Skip to content

Commit 00a82f4

Browse files
committed
Merge branch 'isa_fix_jenkins_ver' into 'devel'
fix verification PTC, NETWORK MOD, PCIE MOD See merge request ndk/ndk-fpga!292
2 parents 1c8f44f + 24e42cc commit 00a82f4

File tree

7 files changed

+22
-16
lines changed

7 files changed

+22
-16
lines changed

comp/pcie/ptc/uvm/tbench/tests/sequence.sv

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -25,13 +25,13 @@ class virt_seq #(MRRS, MIN_READ_REQ_SIZE, MPS, MIN_WRITE_REQ_SIZE) extends uvm_s
2525
m_packet.init_sequence();
2626
m_packet.cfg = new();
2727
m_packet.cfg.array_size_set(MIN_WRITE_REQ_SIZE, MPS);
28-
m_packet.min_random_count = 60;
29-
m_packet.max_random_count = 80;
28+
m_packet.min_random_count = 40;
29+
m_packet.max_random_count = 60;
3030

3131
m_info_lib = uvm_ptc_info::sequence_lib_info #(MRRS, MIN_READ_REQ_SIZE)::type_id::create("m_info_lib");
3232
m_info_lib.init_sequence();
33-
m_info_lib.min_random_count = 60;
34-
m_info_lib.max_random_count = 80;
33+
m_info_lib.min_random_count = 40;
34+
m_info_lib.max_random_count = 60;
3535
m_info = m_info_lib;
3636
endfunction
3737

core/comp/eth/network_mod/uvm/tbench/cmac/env/sequence.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -437,7 +437,7 @@ class virt_sequence_simple #(
437437
end
438438

439439
// Stop the sequences
440-
wait (transactions >= ETH_PORTS*30_000);
440+
wait (transactions >= ETH_PORTS*20_000);
441441
seq_sync_port_end.send_stop();
442442
for (int unsigned it = 0; it < ETH_PORTS; it++) begin
443443
wait(port_end[it] == 1);

core/comp/eth/network_mod/uvm/tbench/e-tile/env/sequence.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -393,7 +393,7 @@ class virt_sequence_simple #(ETH_PORTS, ETH_TX_HDR_WIDTH, ETH_RX_HDR_WIDTH, ITEM
393393
end
394394

395395
//SEND STOP
396-
wait (transactions >= ETH_PORTS*30_000);
396+
wait (transactions >= ETH_PORTS*20_000);
397397
seq_sync_port_end.send_stop();
398398
for (int unsigned it = 0; it < ETH_PORTS; it++) begin
399399
wait(port_end[it] == 1);

core/comp/eth/network_mod/uvm/tbench/f-tile/env/sequence.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -428,7 +428,7 @@ class virt_sequence_simple #(
428428
end
429429

430430
//SEND STOP
431-
wait (transactions >= ETH_PORTS*30_000);
431+
wait (transactions >= ETH_PORTS*20_000);
432432
seq_sync_port_end.send_stop();
433433
for (int unsigned it = 0; it < ETH_PORTS; it++) begin
434434
wait(port_end[it] == 1);

core/comp/pcie/pcie_mod/uvm/tbench/env/model_ptc.sv

Lines changed: 10 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -254,6 +254,9 @@ class model_ptc#(RQ_REGIONS, DMA_PORTS, ITEM_WIDTH) extends uvm_component;
254254
dma_tr.completed = completed;
255255
dma_tr.tag = dma_info.tag;
256256
dma_tr.unit_id = dma_info.unit_id;
257+
if (DMA_PORTS > 1) begin
258+
dma_tr.unit_id[$clog2(DMA_PORTS)-1:0] = 0;
259+
end
257260
dma_tr.data = pcie_tr.data;
258261
`uvm_info(this.get_full_name(), $sformatf("\nDMA RC PORT %0d %s", dma_info.port, dma_tr.convert2string()), UVM_FULL);
259262

@@ -268,6 +271,7 @@ class model_ptc#(RQ_REGIONS, DMA_PORTS, ITEM_WIDTH) extends uvm_component;
268271
int unsigned rq_tr_tmp;
269272

270273
forever begin
274+
logic [uvm_ptc_info::sequence_item::DMA_REQUEST_UNITID_W-1:0] unitid;
271275
dma_rq[dma].get(rq_tr);
272276

273277
rsp_tr = uvm_pcie::request_header::type_id::create("rsp_tr", this);
@@ -279,7 +283,6 @@ class model_ptc#(RQ_REGIONS, DMA_PORTS, ITEM_WIDTH) extends uvm_component;
279283
rsp_tr.fmt = {1'b0, rq_tr.hdr.type_ide, rq_tr.hdr.global_id[64-1:0] != 32'b0 ? 1'b1 : 1'b0};
280284
rsp_tr.pcie_type = 5'b0;
281285

282-
//rq_tr.hdr.unitid // NOT USED
283286

284287
`uvm_info(this.get_full_name(), $sformatf("\nGET DMA RX [%0d] TRANSACTION %0d %s", dma, rq_tr_tmp, rq_tr.convert2string()), UVM_FULL);
285288

@@ -294,7 +297,12 @@ class model_ptc#(RQ_REGIONS, DMA_PORTS, ITEM_WIDTH) extends uvm_component;
294297
rsp_tr.length = rq_tr.hdr.length;
295298
rsp_tr.data = rq_tr.hdr.type_ide == 1'b1 ? rq_tr.data : {};
296299
rsp_tr.requester_id = {8'b0, rq_tr.hdr.vfid};
297-
tags.get_dma2pcie(rq_tr.hdr.type_ide, dma, rq_tr.hdr.tag, rq_tr.hdr.unitid, rsp_tr.tag);
300+
unitid = rq_tr.hdr.unitid;
301+
if (DMA_PORTS > 1) begin
302+
unitid[$clog2(DMA_PORTS)-1:0] = dma;
303+
end
304+
305+
tags.get_dma2pcie(rq_tr.hdr.type_ide, dma, rq_tr.hdr.tag, unitid, rsp_tr.tag);
298306
case(rq_tr.hdr.lastib)
299307
0 : rsp_tr.lbe = 4'b1111;
300308
1 : rsp_tr.lbe = 4'b0111;

core/comp/pcie/pcie_mod/uvm/tbench/env/sequence.sv

Lines changed: 1 addition & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -124,10 +124,8 @@ class sequence_base #(
124124
endfunction
125125

126126
virtual task run_rq(int unsigned pcie, int unsigned dma);
127-
const int unsigned dma_unitid_const = (DMA_PORTS*pcie + dma);
128-
129127
while (stop == 0) begin
130-
assert (dma_rq[pcie][dma].randomize() with {dma_rq[pcie][dma].unit_id == dma_unitid_const;});
128+
assert (dma_rq[pcie][dma].randomize());
131129
dma_rq[pcie][dma].start(p_sequencer.m_dma_rq[pcie][dma]);
132130
end
133131
rx_stop[pcie][dma] = 1;

core/comp/pcie/pcie_mod/uvm/tbench/env/sequence_dma_rq.sv

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -11,13 +11,16 @@ class sequence_dma_rq#(DMA_PORTS) extends uvm_sequence#(uvm_dma::sequence_item_r
1111
localparam MAX_REQUEST_SIZE = 128;
1212
localparam MAX_PAYLOAD_SIZE = 64;
1313

14-
rand int unsigned unit_id;
14+
rand logic [uvm_ptc_info::sequence_item::DMA_REQUEST_UNITID_W-1:0] unit_id;
1515
rand int unsigned transactions;
1616
//protected logic [sv_dma_bus_pack::DMA_REQUEST_TAG_W-1:0] tags[logic [sv_dma_bus_pack::DMA_REQUEST_TAG_W-1:0]];
1717
uvm_dma::seq_info info;
1818

1919
constraint trans_const {
2020
transactions inside {[20:60]};
21+
if (DMA_PORTS > 1) {
22+
unit_id[($clog2(DMA_PORTS) > 1 ? $clog2(DMA_PORTS) : 1) -1:0] == 0;
23+
}
2124
};
2225

2326
function new(string name = "mi_cc_sequence");
@@ -40,9 +43,6 @@ class sequence_dma_rq#(DMA_PORTS) extends uvm_sequence#(uvm_dma::sequence_item_r
4043

4144
assert(req.randomize() with {
4245
req.hdr.unitid == unit_id;
43-
if (DMA_PORTS > 1) {
44-
req.hdr.tag[sv_dma_bus_pack::DMA_REQUEST_TAG_W-1 -: $clog2(DMA_PORTS) > 1 ? $clog2(DMA_PORTS) : 1] == unit_id[($clog2(DMA_PORTS) > 1 ? $clog2(DMA_PORTS) : 1) -1:0];
45-
}
4646
(req.hdr.type_ide == 0) -> !(req.hdr.tag inside {info.tags[unit_id]});
4747
req.hdr.firstib inside {0};
4848
req.hdr.lastib inside {0};

0 commit comments

Comments
 (0)