diff --git a/impl/tang_console_60k_c64_process_config.json b/impl/tang_console_60k_c64_process_config.json index 91f5db0..d2fd438 100644 --- a/impl/tang_console_60k_c64_process_config.json +++ b/impl/tang_console_60k_c64_process_config.json @@ -57,7 +57,7 @@ "PlaceInRegToIob" : true, "PlaceIoRegToIob" : true, "PlaceOutRegToIob" : true, - "Place_Option" : "2", + "Place_Option" : "0", "Process_Configuration_Verion" : "1.0", "Promote_Physical_Constraint_Warning_to_Error" : true, "READY" : true, @@ -66,7 +66,7 @@ "Replicate_Resources" : false, "Report_Auto-Placed_Io_Information" : false, "Route_Maxfan" : 23, - "Route_Option" : "1", + "Route_Option" : "0", "Run_Timing_Driven" : true, "SECURE_MODE" : false, "SECURITY_BIT" : true, diff --git a/src/ddr3_memory_interface/ddr3_memory_interface.ipc b/src/ddr3_memory_interface/ddr3_memory_interface.ipc new file mode 100644 index 0000000..08805f3 --- /dev/null +++ b/src/ddr3_memory_interface/ddr3_memory_interface.ipc @@ -0,0 +1,51 @@ +[General] +ipc_version=4 +file=ddr3_memory_interface +module=DDR3_Memory_Interface_Top +target_device=gw5at60b-002 +type=ddr3 +version=3.0 + +[Config] +ADDITIVE_LATENCY=0 +ADDR_CMD_MODE=0 +BURST_MODE=1 +BURST_TYPE=0 +CAS_LATENCY=0 +CLK_RATIO=1:4 +COL_ADDR=0 +CW_LATENCY=0 +DEBUG_PARAMETER_ENABLE=false +DEBUG_PORT_ENABLE=false +DQ_WIDTH=1 +DRAM_WIDTH=1 +ECC=false +LANG=0 +MEMORY_CLK=297 +MEMORY_TYPE=0 +OUTPUT_DRV=0 +PARAMETER1=5 +PARAMETER2=6 +PARAMETER3=40 +PARAMETER4=40 +RANK_ADDR=1 +ROW_ADDR=2 +RTT_NOM=3 +RTT_WR=0 +Synthesis_tool=GowinSynthesis +USER_FLASH=true +WRITE_RECOVERY=1 +tCKE=75000 +tDLLK=512 +tFAW=40000 +tRAS=37500 +tRC=55000 +tRCD=12500 +tREFI=7800000 +tRFC=160000 +tRP=12500 +tRRD=7500 +tRTP=7500 +tWTR=7500 +USER_INTERFACE=Controller +SIM=false diff --git a/src/ddr3_memory_interface/ddr3_memory_interface.v b/src/ddr3_memory_interface/ddr3_memory_interface.v new file mode 100644 index 0000000..5a8bd43 --- /dev/null +++ b/src/ddr3_memory_interface/ddr3_memory_interface.v @@ -0,0 +1,19106 @@ +// +//Written by GowinSynthesis +//Tool Version "V1.9.12 (64-bit)" +//Sat Dec 20 22:07:40 2025 + +//Source file index table: +//file0 "\C:/Gowin/Gowin_V1.9.12_x64/IDE/ipcore/DDR3/data/ddr3_1_4code_hs/ddr3_1_4code_hs.v" +//file1 "\C:/Gowin/Gowin_V1.9.12_x64/IDE/ipcore/DDR3/data/ddr3_1_4code_hs/DDR3_TOP.v" +`timescale 100 ps/100 ps +`pragma protect begin_protected +`pragma protect version="2.3" +`pragma protect author="default" +`pragma protect author_info="default" +`pragma protect encrypt_agent="GOWIN" +`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=256) +`pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`pragma protect key_block +GSZkcUkS3qxUrQmmwuRIlny9jIm6TG6mW2Y8y6K0F7c2rvlBI4Ei8KrgkkqgYFKGHBIIqIAcaJTB +twKVizXnPL98vW61Tw7/Pd834uCmBNPjCErB8yjPXSx4j41rFgkCjjwbEjaL/XZj9Pw/7rBGKQcf +qW/AXh02rQDsIb3Ry/iAn/PbipEf0OXiDTum8CF4PkN0t8W3eVtVpKb3e6CIN/1cyBXHHTN70mHD +Y8TQSSfyNWN0gPygzdRw6OvotEaj2lJDNdxkl4ydNf4CVaJjamoldb+3ycUTVsd7PfoSD8la7ypi +7422GSzffO/iACTNcX4TdOoYLBy8pvVwaLrpVg== + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=1079488) +`pragma protect data_keyowner="default-ip-vendor" +`pragma protect data_keyname="default-ip-key" +`pragma protect data_method="aes128-cfb" +`pragma protect data_block +6MpGF8eEVnJSRCn4ALuE7T7s7DdIVmjamNQUXObBtpbFblWryxcwm0U+Cql/BDTTKIgKcIWjRis7 +gKB7fpNw/Qrw6vtjymJ2tllLLV0qmwUKGIZpbWmlPFvxZDuCpE2BQoi9+51r3AZD289rB4dkTiAX +n+eBXhfrxABr71juQiMwTu2dMj0hIiVoPlGyx5liDSQQ3pXW5E5ExMfML3gdCrLoLWitqUdxFcbh +2k2rk3abIduToe/6xsJwT22ujxSoyhk6atW5abS8mYI1qI4jwSbrFCdxBWKTTzeZ4l5T7mKL3B59 +Guz9K313Xi6dZI1ayvhwLEbYX9+V7AmWKdNOW4upJ3bUN5w2xo+SjAX+ywt+kZUxfHo4+i6DTHZo +viAnSzCnYaqJZ3MPwyZGmoyk1eB4x+9OBZnEn/9sHpq4+FwZzEEUX6qi2qr/b5Wne+XJnJTHKa57 +J7CLrRoDBsmD+iHdZlbF8WueJvTXIX6n7e2fnl1uX6nwiMYB+Tx+YSjoYX1L9yRAhapTSI2PMpRb +o0IZPFwDonI2aiSbumZw+8ANAEHeR3w8UsQBe0MvWZk+IC1rv0Xet8aboGGH/UX292FYfMtpaEh6 +/ERomZFO8K5kXACjjpgWItY3yy9BYY4TYkzY03YYEkcqcaafhhkq255G0+S5tho+oQvhtX0nNITB +JSIhLvUwu3dMAo8JSvEEzwtAW4ybjdvin7Hal8JtVNgn+Tuo4ILkgrbyQ4rKS02ZM8Rytz/qMZMe +TpVrLROSAdfyG6ZjLZHYWgX20BnhBDUbFO+sh4HsuG4e05NjRfBtXfexc4Khig0JEzTvkRJeERm9 +3fiIDGuG3BSFg3q+JDSMjnMT/+RcFexWexUn1RMUJIT59JPCsx5khCLwTZ4e0BibOXTYQjzhtRBO +u2zHhwfUhJgH1OpX3vyuatxHxRVx04QrhdtUPITwgj+yeS9rYeKeEAVjRwlXv4zdu2jOu7wdM1qW +H/blBD8Rnbb66b7stWVRnBRTUSG4mlZ1PtNew+YkYIZi/7jWtH/+ct9YhR+U06mwOaDZFjRh1yuH +TvFQzOBjN8GKWpbNQ0PkNFoakmxZo2bnZ4qyPU4MBt1/iGY4tq0spQHUcYF/swzu1EY2TNVvBU2k +KiAG6IbVf6gqcUrUffb3HZai5bivAsSmrKXg3Ji7SX27PvvVM2CTwsLjHWjL5771H0K+2xs9ruhm +gI9SeWQEuKSDHSVhKcVR3Cu21TlZkZ5fmTLKUeDPNO33dv2XhrkZz1OKWJkQ4Fos1+Ax7FWv0OvE +McuT0uF42PScTAuGquC3BpeDeCKhO7SPEYklj7q83nSuG5JZHDgdtJeRa30qS1IDAbbmOFEiOrTT +FlhHxc4BCcLmfOOWCiMC0MqFygOqcQph4RDCABTUYx41978lqMBMcr+Xuaii1ZEhBiI1q08b8qFp +RD5cIdu9ui6pBWhWStTpY/BZrQzVKqWvmHnaJr2slDMIIczFyHQVuU4upiaN+qS4e5wzMPcnCIOt +xPyRZ0ZDVSRIKDTFfPeDil0ys8KMoo0MhyAqR+ByoOYxeXY1lCMBCoigvCL2DFttC9efPnloHAPh +lHXv4Mh0Aj0+/4hH2hkbDRFEUbWFwcDbvOUqzX7B6pJ7dFj+9LMME9+kSXcww9INv/fOa7FzaxRG +mW8KiZvHWBMqb8gY8rQXCagfm4N3wEEr82Hx9DTEp3pfp9yTnDEmn26Z0InYpbe4jIOqSDDAgibm +2tZ9NMZLYZ82u+7h5NknuqAytEhim9fxaq0+9Dnwxp6rg5BqyoZeWJlPLtKgoPAMCoeo4za1ty9o +J6uTge4lYscdoE313fVVCHTbG1KHUdqNuSmnM00s7YvFsyLwWFrHlnDS3y2tq+L/YJO49uxECWId +3W2nLwc0z4z2pbe3VKPCQ0icLPsjO0PAVvOr3gknZcOfXXz53ZUn4lJu0VMVvB0UCaZqkNWmXEdS +0QU4X+WIhhPgpgDx8pYzwuGXDfGxzkycA6stZJLIsPs9gLIoedX75YUMoOemIXJlBeLeNcZpQjEi +F0F4sV9cun07opI8Xv+z7ecDYOb6v0lZo+qYoodE8+m1Rqr6wRgv+b0V/ReRQ9Sd5i5sKx7V/aeS +sKhn2721WfZO9cvlsCWAr+RYGJqCuMxL0ry+NVAce7H4vdea2nd5XvGkpmwGuKXPjzne0muRca/a +coAYGr+kk/nSgBv+K4qg/Dg1em0XuoplasFAuOCelVU1v9bT4GaFz1nYMLyw0+KtPbACDgp7Sqja +rJa8xblQpMtl51/zJZjoUW3Eat17y0kCB8LHd7poKoBt0BbhovX3KYIfBCVgyfCdbHHtxdKRVTYA +xw0LKMVupFl1z3gPOfGJqr4pd7JM3bQG+8EJZdENiCC+k/PYt5TKcLRcPlp+1z4RlvbqqlTJu3TA +QbO3FIzB8ySHACVQ3M28b+Od/+zG5TbiKByHfQNMJHOeKamTiYakRSguiSzwsT42QChY6Gbn2JDa +xYaZ4QdLMZ1jqfWSSNGZ5nf/IGpZLduCDfvxxEgQj2qjttf75hvOfUrjWXUyQxu4Zr0ABl+TSYPf +CgGHFxjE/AzaPJkRc2pwoZ/eyCNUDCFVb3kv+T5Wdlkefq47F+0ZFxBSM1TCDeF2R1zrn2aFAZ5S +KCrV+sTV1Jqjy8w/v9V5Os/kAiDjKI/wgN+ktrPMGBBPt8PgQvsIXbWN9EuKqq+Y3dT0TFJZ64ih +Btwxvh7VJxmEW16QM774IWLSwlZ2cyDcy3nUmRSNq37m+jztk8wQButO9Nknh8ipLKqp1L7TvMgW +9SuLtXqv0jx/CEqo81PAD798OiellKLKH1b+gQrxwmBcTX7T6FVVMSOuRR0EmwWIt/ymhjJwMP/p +yhFPUrn3GuyliutfMg28cI394psHnvQDp0DQJjCN3jQnXM7hyqPIdRu4gM2I6hwmfvTAHXkvNsXJ +YRmNbaujKRyEj/NjPK8tUKvVB6yGIL17BJic9pq+6lMQvox1D7i5ZV1Yc97yG+/VXcl6gDrzWTb/ +B0hhwHWOPruw2MlTncNVioHVBakvHXZx6lPQjceIyFnoglJ6v26De2+hLUJ2Pobr0xgoCheEBQyo +3jdG1rnmFvfo+1gqWeb7tm90I9euYknNacLEE+AuyOBADs2XNNgBOkxN6L2XiziYNs8xfy9j4vxm +iiJInpYf/+GRmIGs+I/eCXJp+KkVEJ/Ph9FwDXAefvWPlLr3GUNt/6SAKCzHBiIRa8w4OHY0pQrU +Q7tIgJ2wLMUwt/pRbkazAvWUteqSN3RRyt1E2z66Dcgao5Tbfs13RRj4TVtDWNw+YkRqAmMcAzrh +ZcqfmL1WTFhS7+j2dZktKE2x5s6Xnr3BzZMP36Z9EDojviIpq7HHVuhX596rmj67mfGPwkSThJzP +IBWI+wm2CYFPs9rUIFLw8iOWlft0M0bKwPKx8C4vS0OdDUqkR01/BgbqRbIcH8uS/FJsZRKSfNtn +Hmp47c4PdMwqku/qkOPuSo6Yt+bfgXeejcN199AoqKRD5qQrJQhSwcg5Dxs0wThN2Cw7XoxaM89T +Tb6KCniEBixy3nnEHOWI2jWgXn+5avtKp75R0P5YFoT5LLF+neewZVs/IbpqW5Rh0zDfCFY+Otrl +8q1Ew3xRcvMQDpjOmi0f0lHl1UoynpynMICCDoU0Lm0qMAP5IcVUX3btA6+tBHxvV/KEzAcs2mle +JTMjYy0I8LUcXYkTaZH6B839gGd/5LlTrgXjM/9ujaXDFE1wSfoJHNp8kRAJftwcXeNzYu+QjjHC +ShPuTCF+p80vPLE19AN6Pz1EkoPf4+NzwuMRYZ71WblgzyPi38ZfwYxf+vghADlJGyV0pGkL9D81 +bvxyEfKIowFXk4lfKl28NDYUaimsYQDBNEF3YW056Y45ZnhWNO+GTsyegbbghoU3sL0XGdscFVJ8 +nZIPjaXuyM5n9Pvof/W9zh96oGJKzFkvahmbL8Dqbqn1AM1aZoczTkw1z2cFNXP8hDgsDe12bRvI +BxEGRkyyFKXSXZaMdc0IBH3kmKgpacf08Z5kw31xfbHbJ2XQuygs7avT6RniIP2Ejxf8mTQVFFW1 +ZoL/X2iQ/YqizJF3t95bRSKp/31KczQNHQaODe6GyH1e5yVSiaDaqCWKyShi5FLe/aCfD7bcAoaF +FvlA4HMw2qN2qIM8et3jARpBn3o8YOTbuUGNVuIatGwnksn+grBf1kZc+6gCPUQ4Og1G1ME6O3Mv +LvVBEEgQ4QdktZQLtf2TSXVfQ3VWuNMW52ncJeoRWpHbtUu/zS4BUF4M+tbYGTM6MEqtmcD7ng+l +/lvLZkwDB+stq8u0orkHFnL+nmCLtcQb9nGeuGDfl8asYuZulRGUUp0hSs4KCa9qP0qIiKhS3RHm +30vOB/dNX22LYSygRzkAV6VJJ+A84MVN1B75MI7LHFAt8Ve32uFA49EUySxfEoqod08qt44p2d6s +eFjRy8vcyUMfeSePbBBuclmVYBDVodi/Jqp5yEfbkq/0KYj2SRopHEbskHk3ap64fF0Mj3EBpzNQ +4aYM+QkmPPIG8ZnNczOxt6avT3cFulCEVZrYrkU0zy2PuZiV3ayqh2Iz2v1xjMJlLFX8M+VrQFXJ +hNaRg5badEtCfxbcfA9U0aktSOwChF5/2PfNkDQW/61In0p5Pe7EuNkQGIdzbMNokLw9vwsk8wtR +7AESaB81cz/FbYGZgSxn+hQleMhTNXedSRAESz5G/GGGUjcfWIk3/h1rZYGppV5cpfp70JR+zHhx +qbTZ7hApA6bXiHWl76Vl8t29+zZswqjQ5ygizmLWJxV7JRI9KhmnyVUYBHjXRwTEBSdxrO7bVeD0 +bXK2zp+I97o3K18YKaL/Ug4D5DjCGEAt3scFRcR3NOwkq1vem8C6hnEHNp3yTcilNLG5Ty7gf3Cn +ruZuKgIx4yypBfQscUiYbMVc2aVCzhkWFkCBRfydio5UqcLkTYCtSCgIQ/Rny7cldKbeUGu2UsYk +EW4FkvhzPoIMd3gHMma67Aj0UmLz7WZ0Un45z3OJHa+e/eQ5LruJd2LtA0cl9MK0Ko26DQuy4Z8X +2peprlOP9+p6Rd80JBkBAlUMosKnkX5WXy/q7rTevaAoIOBtGeZ6DKmEX2akU6brMhY938Vqndwo +FgHP/Jxoqu5OZ9zgkTiELaBcNpo+Tmcp4vfB86UAlaMtrBO1ds1pRIiP3Pb/m0+uLlVddxXP+tX9 +PYdIccb2T2fJv7PNBNjgSnLvhTLdO0NpIGMGHTTqmuFdD/4PAo9m6wELpl+U2mg7efkh7WNp//E7 +edWnkz9bJF35g7n8VdxdFUrKwd71hCG8m3VwCXJdI+pJ6T3Hj3AS3T5mPmjQfPk/rtnBLDgmmFW6 +Nxza5BnmBy+ZguDjm6I5H6ELRjVJn3+Y1I+qyYzlnQpU6CA0ULJXIF+pZOMH+3ffP36jypsd9uAe +uLlU2bcd+dOvm40++DJ2bAXHHJi1K2qUnvl5lACLq0MJMoSfD7Yc8fXYG388OTL/pZFwQdPyyTF1 +qM5feikuIDnAs51HoLoPwxK93Y2k9l5jT72MRD0YgtDFucxac9r5Rme388uhF4tplqN7UC9Bn4mI +emXOus4h5hyEUsaJsEZn9sQz89Fn/6bGbhG6Amj2x2bm6amoJ4zlG6XLOanpdgSB39TZNlJIiTnX +I2QiaeYDEAKYusw7Q0TKnwk6XM2VFzmsCA6/rIUjfuDv4r4FLrYvCW3VYaw2hgIWz7Q9yLneGjTo +8bbVm1o5R8aSrdCuYnP1VZnaWiPWxnErWhP9PPnq7fdZcitguqfhTOIlGDuXp9Fu+wJe1B3vpTTq +tE6uIpGIB+kufO8X5gT0NfKX7f8hawDLwyOdTUY6JIgc/w78j9SGctAznphHAh3Ablv5eTeUseCu +x6XVYFkCatBaZjcqelgrcF+yEpREx1Qtqw0Vq5r9wDViSg9/P9B9pOKGUt+nBExXrKG0szzTwwfF +ptrz+q0h67ogJEkiuwturLQnSBPg105uA0RznE1y7NjUcpWONqxM0Fag7CkX+CCeIp9K6/JSrryh +ia1mRwGoY/X+Lis8V1wptamX6nGhxTN6hnmCOeVsJh7tGuvnN3fX2IFTcyYmI/C72Du4nsyIcGfr +5MVZHYOHzMWXf5q47uB+lT+rmlEMf5biOR3VicXIoM3CHFYova7rdwM2jGu3VEY+ts1/XN1tclIE +hNCG/dou/f49aShEaYo/zEHv+Bs3Tk7VpG5grCnn+7XEaf7YAgPcSU7SDRb5SCzqc1KezfF9Uki6 +6wqO6oauCJX1MPtMc7l6ZnEegTGZnsNFnxkgZDQGTATwNvVffnPFVMEVWcyeQhGg//aj0O7Bsmte +LN7IHI9Yym0AUcNDD0HNMh4b/YGpaYqRjkRDoLIF+03jnsaouSETZVzPArLwWC6bf6n1iC1IXD5x +QKHdjampTBWA2mvd81mEZE+am8AgoL0YZlqA05R5wyTTVbzzIGYaziK7qgROpaiUVagwQLP+lu6V +C1FDk4cO0LaA6dZrvxboWLjxKZOeoyavlNHLnZ7S1Fv8Nz/IHYRyCYlTSV5phEfVJyQJ5spBnBVt +d/fAzRAE31snFLVGX7XjAEXHhQXb/zn+zCqAbWpBZg1FST40FmK3u4nxnsHmvy8k4lf04qnukT5h +djDDvZ7drgqBpVcA57ci9NW2VF4iOL9F64eUuPKVRd+rcSSyuLykxt+VXqB+S7nyKf3jtYnIoWb8 +DIDm1Tv9SEsNfy0ccvSRKdfspF1ddpcQ7/bCCVeGxIcxwq+sQ2uQQzQcDUcgBbDx8KjaxzIV+9Cm +9p6oEpfC+6aGJ1n5f19qEk23oECgKWHF01w3DoFfdqWWEeyYhz2M4VT5Ps6jDs+qymzCOUwS+XHQ +i4NREtmA+AHIl5aUKoMbvZviK4VZDjU/L3d9xcTzcEjTnzbGim0KPLYgg1NaELZDVJWl/HggxinG +/hqgSnKLRKiv3VRuEVNHbB7eFLp1XfPf6aLBpbCPkcLVh1nYxgW5Ll/W3zM+1vEM+HnfdRh7HqRu +6pNEVRyBF1k5IIvmZd5XIEo7l2n5ovyNfaetfxrd7H5QH9mpy6RcZrfBBykgJF0TZduOKT+nGEwZ +PGOnkBBxazrwvryQiu15CCB66xL49f7tth7MPYajaDeF1rxGetYmnezn7DbjM2rtk3UU4DUNvSO6 ++JaO5HJbtQrEUpoxE8AsciOuVcUjwN9NZzsf1FtPt0lBFBOiOt9xBcZy4icwkCBOsoiGkhFxwusd +DTh2ezumGYxx1yoVjdMwQ/bTUqxOKp+G10njvlVhF6Q68g7y4YtNjVMwQ7XBOPGJOHPFzJe6CFDY +MIPwxMJrouoUFbN3IPRe70SW549uhAmgQbEDrbLumPZZigH/A8+a2vHD3sKpiBRo5xeRVKqpglt+ +qerE2LIL4BGfkIqZPlvWjWkW8+wkJJZCkSoC81HQOUVOpbn1Cthyh4QiN3mWZAFifEpkol8cnZeQ +d1jRorqeDprLnfeU+SHQSIBOSKl+yEQdvuORv+4I2YCBaQAbSkB4Dnkj6JE/DomyoTYUqTROpJ/f +667UbqMsHp6/FSWVv+lTPLTqu3ojkCRSYdtGJpELfuAZNnXvyVIKubs8O9QVyGpKgai6OjOEV0ny +BIOfuveeiAp2QskU4xDeO55Y6Dq0JDP1Zfhq1QleYSEiiF3p9FUNxo+gPRIyQxXSl4urq0Lht4e/ +jtp4L150Xo4/0kZFwDwjf4rpdAbxmIdFoc/0lLWQ+zvpC3oHuBjifTVgwkildDjnTqzp0J6hgA2F +F/NVjcoUteqmaCETdqP6OCugpE+N56dfU5MjZfnGH9YajvVsO5UPXG/hYjGefqUEoWYDzrgBNOde +3nRoNj8+Me67TK7X8pS8UDtQXhERCE3Jb1wPs91JCNOZxYOVUWMNc2i62unbILZpuNOviOcz6YmR +VnZyOMfuFxoUFJmaJ0Rh7CIa/OgWMv0g0MkzxaIxwsQaaqrE9ij5FQ4dx58EUBXkzAZUM9tHBiFX +GRuBLe5Nz6UEpg8CRhYRbL584lbt1CLts8EvV/Ce/BYgclaImdLLAhFGmJZ1N0CcelSrdYYpqjSX +BViAlb+mqJcUaewBycPjOy1mAM+gB1+ohGEAjK45JPdXtmbqzAsT6jfD0HMdaZvekGUQGKzNCnih +3TaVis6Oq8DSBS5kUveNjy5z7fYeh2uR12ZlQdABe8fs/2a6AZcHhq/kB1q8Ti6h6rqj6+J0/OYu +G0yL4FnIp03IIBmzaeyWm4INuqGqnWtoCHKSy24SrB6MFgKZy7Z+IYd/b+WiZN+tqytWR4n1w7V4 +HoPdUKJPCuvfpoeYmHCRUo8ZjWwQi+duu7apTDQ2w8K27ccWMauOtTZvYb4YgsbRhDZkPP2V0cW0 +iNF5PC1e2/ecbO0WzSOawnj+lw6bOWb8xQ0l4xubyk7N1t+sAtZIsACTA4ZF7fQL3EZngs942FMN +We27rS/Ns5Xa7BciGJnZPifluMgfzPPIcr+4rUN71m+iVKwEbQEcXgV5foMgu8Ax4zlsNkKImaEG +sachfUPAXB5pIhdOR+qh2f7PZSRbhEv666bP739zv5BwcHHBEGQHGXsywPC0h+y1bDR2W1SN67y/ +2oeaMOR0MEqWFitvNGbrvztrSpD49Kh2g4nR4SQsoACv/CGv9zJbshvgdafJj3SdRtq+YIW++awO +BlCM8khkZdx45eETLaiCeBa4Bg8kUy25BbVtx7Cw8N2ORKBEOXmccwO1+WGy7FZ3t5dNFz7OMdeM +mq2tEWIT+dRVDJ2WqpfsXgBQeHkhL7c9wM5jd4CyQuZ7QAOVRg5XOsUhztoFp4SEruiuycUAvuLR +Nu6Dy2JPky0k0DaG1N/z6GUB9ma15MKgpM+A0LQffFr2SK2vu0br5LjkU7jN+8COrzwx9k/4HZ51 +AJreCnvfiwkX630AYHPk8/GFwO+NY3uCgYzyS6p+Trcsswi2j46VWsveGSR84d2YO6H5dwAeKdUU +8+j6Q+a6N1biipkMlbecEfx8m/96uBro2HP53WLXuIRwczU/mdxP9poU67OxAyneJIFXkwofXxte +qZgK0gEc9tHnkWqIq1+AQ35/896MYl+gvhFI+H0cu/d+/jJOpqp0aRhIzMVcgwKKPrpXXb8Szozz +XCBKVO213OPuhyN98h2omqlh66IJL7Fj0lP/Swa4r9O6zuyHMGakaoUe7v7UMHvLQ8+pecwRF9PR +lCiEDAxLUxs3Rnr943BkSo4Rdr/fQ72nnKake0Waz6aV6AF0yspHVEfbao3Du0iNGJRM6Hb304tM +L5vsFfYT2+ri1ok0uPGdN+ooYAbIaobQeIyzzxAYUeXYnrl99hdLrEUxUCLqeaSmCWUN8o/6yLmr +kODIFVRR7Krt4GJzhFnFCgT6yFZmN9i00C7uGkpf9d824xspGasrK37hZnhdqWzG2MrXwUrX5h1/ +N6DKdZkk/kg7rjprxc5GcZDH0/xpgSflX84QG2ETiz3HUotIdEjR6DQSOVifI4f/dsDtGCVeJkcS +32PRixD8gvTwltNoVSXdFrAlW97DGWbbrYKuaVn5gZMveCc5Xqelxa23sxdi8+qGqRPbaZv7dz7v +7VfHb5LG/oBnsgIdcunyoa5Xu8uo4A/eu/FTJTXtgUF4MKq7n1Px0pNBLE8x8QEU9HGovNrXh7ZC +t9xTzyUIxCLorPIkBqCiT91TT8ml3U5H7qnPUunWbdhhs1oLUKe6xveYasiwJ8EE5aujJgtHSjL4 +sUL5p1YJkE/chJdMEusqLFkrTOKQ9lU/R7DtHBiwjwvytFItglJoNmpq5Gbqpc9rKHl9G4OrtiOF +OicnI5OcGTN1794LD3ckMV0VDDZSnjo30W6xwei6u7AaB8WSi74JWzOssleZH6eXMgbKv9kFvEIp +pYyZVVGaKYOPfiNiYpnnjl1fa4WfsNI8440ZG4oAYEfnZVd6JhX9jk5EsAMLrUJRs0DPCSkmPZz/ +NLRLcc+qrxf7dz4tFdyXKGDWsW0I2JgpsnKlusxIMpD66li20ustDecWNdklpcqznk4v4b9CwTG5 +qJKkV3zdKgiveHhuv92e58KTXJ5FTvjaC1taPjUYgz3g5RRI7h+E2stwdUp99brQDNZ4DJ6r7Hbe +5muDNlEiZsJl2k2LhM/Y7qa6eU17giy3BFLLaPkkKTcgIhz5GjUN95+ggc7L1z/9FNGulhgiz3o4 +iwCgJs18b1ltSxpRI8OdLXTjQL/GszUIHmfrucSM8Bv3Zx0/dSSUtzlCmV8nTCeY7YygW3LDZlPL +ZLI/HdGaV3YEc58etK9eeAhocibeoIpa2Zc5hCUj6dJSS4LzszBXnP+YExrPVJjYsCUfb7n64d/T +/GFx0ifg+byO7WwtIazmjAAWZMlBStNNTxORSs8eXu+70s+WdxA5HNVMLqmSmkCemqSgjm0I3koN +AyuVqEa+JL5qhlp0963sv93cr9BRSb3+0Cr4zhEHdM8scC8AlyeFcRxjKEYADvhT3+JuvjRQQPmL +fmIojc+cQM8qILEPmpMSL2qsLA7cREES1tg+uVtv3orZKMzjcf3QwPszze4cwah2HShKYvCCNpOc +JLBhQluYEJFGPSJGlHSvgNmbWCwxHsXqp4ygj1ZvNxQNgR4Dhffmtr28f8lnFzrcLMXYDaJTOMdn +/jzMw5rvmgvdZHvwDX/ltyEbNLl5keoyq7GFQatNMWbPqxtWpsyAxJT+xmEwvi3KPfoMqRFomKco +r3zVACPZBomeLcK+SNjYPw0q1lofUIDhddQvvScucag28ThBqMeQFaQiDJ1UE7GTsdUsE/v4vRvw +T041laKG6obAedi61Y5JG5GRG+H134KbgEBLo5mUl7pGwBPq2jq1Vt5zDhELiuj9NsWYDTgBdl0i +w/H9HIar3d1kWyawAi/uaNz7S3DjnORoPVyD7ghJQf8/q9dwarKl77i0JczIE+k86BUch/cBriH+ +AY99+ObZg0U1MvR7eCu7XVUlj/1haSUUzn94pXFcLcxDYczDAFIqnc4+wT1BjrgpEX8sq9YO/m2V +MVBavsL5/EARH3AHHK1pywpmydRFmnog0tyXOKS0QWojUSw3i92y8RoLJ18o7WEIV9r2iww0bXIO +61Yvkhk2ylu7/zo6w6EBwKbWBvETA4mD41hz0dhGuZFXx5G9vnKscFukAYkDbCGWxlnqAbPKA6lE +MMUCB+KpRGEjWFL8GE3q6DKGfkWCI3Fdxjb7jCPZ7IC8ziH++6ULx5FTG9Ok0IIrTKJjcKwshtkY +dmWwxOx4Vsoy9QgbjvMy943uH22gbg649uoS42ReyVhdBl9EesAfDOzUt9ZDVTFrPtbjwESCPM/f +bnjXDLsKbVmTbvjJwz8Zf2nOuCa1/bdXRrTgiaxK8u1unCN0d7GsRwJSx5VEQUfUb0qv/PYb2mi2 +Jj0Lxd+BypHWpbxooXNUprDVgnqRpJuW+TKM/STOmzX73VyRPShM9VPS926SyYttrrBrjWM/uYmD +DjPQ2edHBZlIFPvSxIFiWAUTCw5cfffV83SFR16EwGnUHTufPJ5YmrSmeA+wf6wjGwdxkX6N+fXG +Zh/qAazj/jewPN9xx/Ww0flDfvA5wgESLFu8Zqq82QJjIbDaOp2mn3lIfdlGIZ86pjji2pwe6MU9 +C/vUnqKoRLHlkXpdOBzyKQQ1KU2VwqrzN4R7cm4puZ7UA+HxF5G8kxp41AnCwUcO+fx4amSNMKT5 +0/3eU/Lg0bBTEeHTwxsy0TggV+QspuJEdX73EXJiIt7kZf3mjonFIAN9mH0InOETzd0IW1nQRXgT +JpIopXFxHDLKR138YezRv01T9bABWNkKuoREMKSGqCvPnDbOYOhuXEVw+B/jomvfLMxjSO/Si2hV +K5GkndnUZnuVkycdaM/FxuCYfhWorU/mGI1LIqPnBcZ6pdSUqqjlcaWOtSKk2ygHnRg2UWqScz22 +4sGKt4psGq1pK7cgH54pp+Fh/EloM7/vQQmzd/6voyUE+ibryBbmcEc/NPiDVMpi1HVj15g1ZBfD +we+oVRIH+pJOwRIwM7SwHdRJcNObSoKXHQOcaIde4A0VDIkwf0t2MdVmRzd0SdH20IDoKomVxnx4 +e5caIjK6AWCjd2nJZrKceBqAsX084515HtpiLpxGjJ/9j932/wiRxujkHVcIKE+Ocu2l5EUkA24G +XrmtdC3XCUQxpl8jCnqpuyzQwqf4mjBFU7lItHxZF1TyJgCOTHyv5FNxe9jycvbMgtiKdkb5RQBb +lQz14DwPh7f2u1NiaP2HBJ2oNoDAG39tIQ3gEXuTCAZYFuIYcYQd/Wiczf0i3IDvYgCrUSQtESi2 +CIEg1ZbsP/sqmldql/X0MukG640zGGX/WhHVjXmppM4t9WnFREmEa70u9FMFQGPh3S+0a3GUpCEN +b5jibsECrPjC6YUYmPdUj9Rvi52loQpfACqzUqx2mgg4TqkAYqUImm4MXeC1TxK4bLMUPoZTJxGM +WYsJ668T0fUTwfIZMbTQonnLWygjjEaokJBrxF231K/+ryYknO/+FPjeh7KJM6OtKF6Fiv+AFeRE +XpYLK9v5LRJKSOiTKE+YtXKY7utQGqS4qizFr1wsGbcwvV2YlrJflipLXeK28HOnZNP6mnwCGZUt +d+41RZPkKj2RSGN6+Qc+dXA1thvCybxSBHLDQMrmUN3ip/UTqDURhgfiELSJaGV8GU+p9oJsv+j0 +mlV9qsJxd+wJGH3lMW7+xaaWk3ROFcCPbLqVCYDupUuP6IkKhx0/1HWKljds0sB6UYRbgjhgzpdd +sR2koas6j+0cXuPbb+EoNVFJu8usYONZ4bxd2U1vXc1wU9QYfcKChyWixb9E024wFfCV3yLffoUT +fuJTFJYNcLjj+nyO6cu9dvC3Vk66f8KREOyH9WgZ93A3tVjKNtvz+unhqOLOeUZZYBBZzbYBWcyP +XGQ7gE+8Z/uVowBZdPBc/PhBBcWxk/J7E2iEgO/P643jQJTj2rsWhFHagloVR1d/8A+MYPAKvvyf +C2DpgQ7hBNWkip+rZKtzbqEcfsvbRDmSLYj/twaP14gIbNSqJDgoLaVeOpPIPDPEz8cPHLWU2IjT +Yy32dxiFqEVeH9Y1vapptGu2gScHPMgebFQYxunWi3roR+lGyxpoebuxTbANtEru+tS048u+vUa5 +8p7pDQBkxYLk02L28zyR0FdnAa6jdxl1PWc51wzDd6he2D75b3SG5ZKByPkKTM4gKxtl6yveWvtp +ZyoxK4ZSZBxUZMoZ7mZRtSXj7zXKaT4P5eQ4atPzh5AXVgAjrDDWf7/8I7VeYCWgwqYBFtnhQGt/ +WFdffBuVbpIQES75KOSvteVRLsKGVySX50Z/vWJ7hC6I4sEEm9+wn8+GQ+N/LcGxq8OKQo1kPQhv +eLpPy63LKOWTQwE0s/PzIGYG7Z8KxlU0ADluaTldE1Ose+QEI9YUCyGer6fBD7iyhb+nLE2lk9Vh +jsqyERzqqABEGNdu6t4VClQc30Q61BiyNr35T70WAE/HLRczJoRb7X98/b/OkWSREr7qfHJ7ZeqR +eu9j6oBNUtQb7uhsb0jH+zAYM+2CKrOjGuwtI/abWmtfBnwezS1zAdIvHBH9eZ96Q7B4fhK/TeBE +Z8jB8+6oHxzMPb4gHH7W9ZXI7Qv2MGqXKr/GvxtP1NsMU/CgDto/MV5A5NOEv5HqzSk+U/GIJgoR +ndx7mNqTudKHBbtHwpOUupbeFlDmAQDthcdqzAHGxyaYRqnZnjUhEbyoRA7bqAvzHhPmhbW9njoJ +nGgNDF9TyMcidv3pgkKnGSZSK29Xx4/67BnxQm2rufVClHWYxVTO5V5n8QIERIeWP//XtqSTghbo +Mi9HqVwWp7A85ak711kKd0FQS6JNg2Dz/MOlfiBn+vZSl9Y6D/bhMaVmp7ZGw9yrkBjNDWMfPKaK +MXkpPDsMcWj3cGmFbZYAofLwGpYDUGZYjbzddF1MkdBUpXepeC20Ah19DWn1nbQUZVoxWOmy1IEB +oKktqICjkzr122oiJBB7kKGIB94qTBdgU25T1QUDVYlkw9hkTsnIOnXD9rh694+NefcZJ1RTvyeg +GvcWgpQn7gy8FpfesvfsW/4JECF3wiJgrzgrHn7HnQdtIVwuMC/WGmaaF10u5Rq3d44YmainjKsW +KKqJr1g7ZxxH9ZBGZzCNUSt7s6RcPW5DJOA/CE5cMdHt2LoTHYeVP1YXKOvJ+OqAl1xrDOjEouYJ +qxMTmFkafhGPOvL1jGeEdNtJHU2vbi1mCSweUXWgCpB8AlUN5UgqoY1/sU4g6Ymh42iQ3tuKlTr2 +O9MlpZB/hP8aIleDHetL0SxsKyHa2502auIUhrv+KHI4PtGLSRv5qi6OB6eI8ktWi9EKrpiWPF9v +rpCj6mYR/FuyfCrtEFMqpKYIIQ04o0efU2rKfvP/5LU5ptwZNbrZuntr14MI2mEe3R4YdOCKgoVN +aKVY3RKFnPyldBnc/IWS2cuBmURH4uQktDZWnMwK4Ifp/zKHPjn5FaL8l48FGzfzyM/HPVFT4jmv +eQ82oV4sVz2IdK6psB1Koh011y1R3QOzMNzMC0Yl5397yL2k1JMGAf/0ZGtFkM7CGRR85hWaS82K +rt987Jxpbpn8izw0wQPsMlaC794O7dTYYCv9JVlm0/NdT4k1Cntaugca0efH61Co/Yv2Lmic+P03 +VYO5SdpC7iapHUIih7dk17w4NteF9b0k/mA0+Fv/Mqv11ZeEHGXDn8Tf1uikXwmLfkdS4ZqDEXt1 +9euVwwGtcAdgR+M9htHhAqFxvdOaVkc76I6cJiLHG1oNz5L3mc+6B69wOZKIAOoCRCwwnOAnTZtv +65BXwCIkYmJpjblTX5qPUIUiZmE9Gp4HtbgFn63cDNKI9LEdWa+23vbfpk8cZPKW+VrJ0PIdn4nq +3xyRBb5I7/wH+JwcIZKDJ84nhujyjzK5EgB4XN0s0LHxPlnBhw+gCG7K3Pd/e8Wr6arEwafLAvip +dTeapizr24JTQ6qrwYGSBCyQgo1peLBtTVEAVOQAS7nc2CVXv9/S7mm3oSLmsc2nNRQEXd0naPrn +t96sCFhaskFU2ZHZbdrZbUcRy65JwvL4PWMzbT/tjCw+sRKZNRQM+Tq8qNTEEHFDydlXduJelUGy +bTiaX08igW+0LGJE3ddTJvMayVHw2G999/F2fjJuxz/cRcSmNtk0DZsJV+QJdDhoHGRjrVFJXo5I +5O5UjEKGYV6FtXH/xT9IkM5/kPjcXg5+GGXmsIFRAT1Uk0hgmupt0fT4Wy5rKL3K3q9lr+Nd1425 +cl/wfFA0gzBcLEeMe9vy1jyjL8S5/C9QbAtCayMtGLY2EkEDXq3pxj54YWk1mhCxGm4x0/YNUhac +GnpuRHadZWRnezMa0Nlcu/BAZBhHhBrswQftklVQnVwP/tHx5SbB5g69WrC+RTIaN6BggyLHpIOC +Z8bqfLWDHqFh16wQrmbtQ/SddxkWqGCm3Ew9SfyqUjHu5DIMb0+JtxsrPdMJEcNTvHvU5UTA+kb4 +hx8X/qdK+rBOp1o6EkMKzrUno6LpzidqL3BVn7HSUlBfrWBd7nKJ2E9g0Ejh9yIJNiP5FV/A1C6s +cv6ERt+VYz66HINVbf6LVfRLasAFAvkKiOE54St7UeeDKJLvjKFzJjVSOW5+CD5tJ3L2M4fQKhay +q7ubHFqZQENvMa0Qbw5Fp8QtH+q1ZXjmnceJB/ep2e+JasMa0UBiZxecK7qFW1WBHJtUFb2RKaFH +0gDrm2eGAGohve/dVSsyzreXDTd375lyI9qQj2jvRHmiN+pmi2D+sc5W2W4GGMHIECMyqCZDBOOM +xyB2FtvLPipiH57GW4MvqQge09LJwK7HUKwMW/A4J+EFG5weCBUtOwhtMClIkf5MfkT6c+soI8Se +PUFXq04wlA6VxhNOtn3nChljDoVVI7SWhlYVun3s1c6dOEq2gzT6p20jDBwYspjiHMzl93W6KS37 +ktCzIhFR9qIQbE8V9E4YOe5CzT0x1CdfYpjN5I4zRp2TwV2rIlvI/Eni6QxNLnj1Q0EZWEEHOgYg +6vhLyNjpEQ4lDzshnkEg9fvDWaeqZ1yZFLlVgL+SLXNayoLRHRgqhDjyeC2Ieox7KSZG+HKQX9TS +CHkcJlS+FjXH4SBaOCYXcZhkDl99ZWAC2Ocru3VDPGfPhPCq8ItsMm22PrvJwvkkzUtOA0D6b5Ca +pcwlRmEZ3ENbDCupI46lAmvi3KccPghyAi5aa5UUNil6ZuckXsjnj8irH9xgO1NjhbKvT/JqXirO +QvE+AW0hYmbfc+MAjkYM9PWRiABxN1tf8mHa4olWOxgH7x2OqueWd+GuOi4a4AW3FrcpeNkzrMCl +a24Jxc9gh5hw1NG7Nf4ED/ha4+LuKAZsKJAHKuAHuIBeBVYDRoz3Gk5WIhS0WJJpZaVByvfKYjIp +/unJTOQeip8WcEf1hGVaHjgR52HCrGgUhb0hjjPmEYvUl2omH5ZZ210xWD2+xwBMUVLs06O6I42t +GKFNuNoI4reeBk/72S3NVZVBl4HPZuFMY7scJhwHeOfvh2V8+TrlqR6X33gccGYVnt1RkRAv1RvO +LWa9aNA10WDSOLJuYlmImZOkBhJa60dyreCftEoGTLcRoy1NhAEiUdoD2BogG1YtE2bHK2b8Y0Iu +WqOPU+lGDgP6gOoza8GyX13D05Xkq//RZukzCX3SLIRLn1BcsuQl/RFMNPLcgjIsOVIEZWMHmqDo +oOdXrd0JuEltVlOHCMAYDwGDNFhP+4Ka1JCNoe79ar0D1gBfSLhEE9c/SI8arWdATvoLTybgthxF +rK8y1eyL6HyaU4BxWwPcF6C5WRoHKtSLn6lTjS1sJscIZLPmTZ+ByzQxOlIXOjT9UzILxTWutzEr +dppDc9MGMGcmhYBVLC6f+eGkpqFhiHLdk4SYL+CYyVi4vVXDE0o4btM5Skb8P7kXjbbtOoNjCOED +fEW8/QJrueXLMhCRbLa0sGYH1cnBv2l7zYycjE8C7K+Sbe5ykU53ApeB2uLLaXokioH9VpwfkfFn +6OEQzb2wtynMzCx9yONu6fjhCxoqO1y69FiCNC+Ad7CiRXBySLAMzb4bslFAw0eTn3uo+RDmSHDm +F7Bn95meTxy99bFuXR/rTbeXdOdV689UPHzYs1K33NHkZWeNTbjZ7kZ+/bIVpzjKXJYV3pGN+tFt +dB6jptVBYnfPtQfpNHdcTWJlsoHsSI8JNvOBBsujgaVgG3mr24BLV2PLlDvfaj/9jRyF3y5OpBYw +LPoqVeTtArw7WS0uVHwevloaaMTZ7858+l2Gobhzo7HOAgcioGLtMXm9zl4FjR4Sq84ugxuLsirU +u1Bueq+ZMPAwsEETnOxi8EovwvT8VVF5qJXmq3QYTlcKTsFhATOpprNuI8JryHjJqrnGzx0rJ173 +87b8bI8fgrwuuxkmoF9jS+0uRJBmQCxb+eeOQ7Ieh9t17XlbgVpH6TemrRQ5LOHrrDJDlHhh84FP +YgoC1xpmrKXnd7FLqJOAt4FUXi7joow/3Nn0jILWzDtfDB8TULC9hVWi979lsGgqNnIcCPzB7+zu +oNIWQeEHcHp3Ex0Oij0in2jyiUUkLH9/yWUPc8SRQCF8xHZbnbnzQ0EB6geMZvikNvqqOjJZrDf7 +iIgjuuUPBYb1JZrEIHldzaOANLE5oLalyUQihee5fadO9ejP57LXSYi8MLEu1I/5x1o++u5i/HH/ +bPnlVyebrDOmxRUaqBQ2/53XujcPQDE90/K/lrV05cjB0KVv1Ghg+tCrf+rHZCpWydb2H52e8DXL +yH4T2QUo/FLVD0t1+hsHxx5kY5T7mG8br21qqeg9Q+Ckiw71jgE+ikuscIhwVPd6PEPSk+ufVD58 +EFGnX6qIAhYDN6Z0gFi6ObfIULzkH4teOjENoEF84IhAIIHKGEOUIOkNW+1QQrYX/sDvdAVC3pJa +KY3sA9Mna/t9OsCnGK4hzHfZSRYtooZg8E1BMazdu7h34OrecPeV70EzMseN+bHWa5SAN5U34LkS +PEgUmIvCeLFoL19uBcX2G6fphFzJ8U3OFPjC2prkCCZJzrd1XJFOxCIHkKxNYUBCC90iJEyQGFgG +ynaigRv8KzvutieDRjB519adampSuWmnTkRTxvvEzfV6Ia93uDPuUWvVXEflV3bkQccJqEMj80uh +lHMNcWANvw+C2tzR9Vylg4Zr7BaI5M01jA+zagMyjIqnzjbBGwSoji0UPQRg0bZTusrAwvCnXWdr +2+Rud8hCAKdCOqj7ovH21S3tpj652LMHg9+2QgSxgiKCgxBerM0Oly88V3YPOz1XFIpj8NJRybCr +cbT2trf+FhR92u0lUnrvDZdn0hVukOvi8bSfstxIlYAx5hc5AoCxb+gEuqsRUWRke269ncEQhwuu +Lcu5Q4rZm5RG+Kl4+u+APRhFiKMDFQ+qyCG+AKAoQ4J9A8zaUhSI3z0/E6FTjidNP0bizem78axx +VleTqHrYowmHZWEQxZS6l/aak4/qBalv3X73OvTedFZD7MuCwrPXYkJMocHasdeE0WkDGlbrRAlm +wx2muBLM6guQSicuUYpo+8N5o7kfDjAvnL+d5Fw/rnAzl8Rv+YsIPHUcM87VDM65CqJXpk0vi1ID +aTIqb0zEzUZ/sRQyJaAEiFMj21FcyDXELUvweanVWps1mQFHC51zxNRcmTNW1X/qpiWWVdo+kc9u +gTABHXyq8Gyc98gPIlVw5i8OYUffy40bpzrdpsjpWwo7TjiHuroqX+gP2bq0wVkUM8u7Zf/Nuk7w +ZRI5dsM3jPkzUbZQ1rNbq9HKcNsNNlXyfNfweek+kmZDM8R5ZNlyLq41IDnyDa0Q1xnvunXZKMH6 +C5IE7/kFGHwKGdCpH+568bKwFvubwiszSEqhEK3dBfrOw5kxDKSnKdOQKCNqQiIisGrLdhcZRok/ +hjp4ImwJFAYAbDdywBU2Ih0tvNGogWNSbTPARUTkqCQ9Gd4tcmslDZG/uy+IEzmuVXi9Z1eQskze +QCf+6NGxA87Yb5G67AMutulMcrybxL3p2NIaUkwwrKs3ZzV5TYtMUiwXU7McWHXlgQD3jruyG0yA +OYsDdQI9WO0u2ZAEBzkVIBYqWoZK5Rz86zN4aqeEJOLpfbWrNM4UYiRETw4NsEQvnIIz1qad0rR+ +hnHR+EEaQHJv2UrIFwfzGmPFpg9T1BAgHaMAZZYe9L649EPui3VHGcI4FcMfmBCK4vzFJMKc0/K8 +x+QrETmfLU/xBme5NZrFgjVrpzvsVTRa2c3uIWFbI4VkeoRPPsEEasNtdsyJsyDmel9/ZBDDDkR1 +z7iNw8bAEh1DkfPZTjMihPQhA5+rEmKRcqSLlBbCwXRTPLIGKAd6konklqi9haje53s1v0tW7oTm +h4yohVMM8Cd4gMrRlL7KmFBmXsP8VrrV4b/ob2y1lhuFNgRLYgU4UNgnT1Kr1EZXMdsWXGS1dxJQ +AULEUYQUmtDSGamw1ZbARb1KCm43LxBJFnY0uqiKXfDObkh54MQ+K3CrrC03zWptjIeIuFGKPzOq +locfzjwQjv7dnEcpefKYTX0sOIGL73KbvKh/fYGms8iplCCppvBGFOyX5Wq3hXW1Y9lvlkFTXjaE +VOyO6V0Y/8cK0M6+WJp+WVYIs6Cq0g519mBDxw5KCU65AJYKW6BPVc1LgF3sGel+/2mCmtioYREq +qKw/K66p29Csp182lWaRzoRCJvoYx9VT+JDgINYQT3wrajaSJhdpJIlPzVqTXMoZ61CZS35PUC4V +pKRlhub+VJHYUWUzDoSF+IImCijxMidVNF5nZUZQwGZCY6CweBlM2tZubf+rUCAfEMNH2bZaXrSh +3nO2xdSdyo9iOEKe9zpWVxXv3VuR5op1o9BwVmmLtFCSJSYsLcry/mxAm0GDaXXPvzRx3CQrwmJb +qf4desitbM9rnXievt2UX/xW8frgkXf/SWu8o8t4XLkcIR1jORMyAiZJNIGqkZbZfqpwcTfjw8fd +2faQXear0K6lMo9INjMKoeI6MSURF06L5YemQd6QQ/R2cnNizsBn5JstpTHNc1AhOj+TlI1PEm+Q +s5T+MUweVntdVhjcsDUPBEv+WIhzdxsGArBMV9BJfngaM6ZUcFGcpWtiX7U5YZhEwQEoHhfgZf01 +S25lIGN/OO1P3QTsA3DGp8QswhRXddtGPlG+KNSZLrrki9ZUHdzXYbMwagZ0CiL6P5dfRnv7RNsA +aXvJmxTQZeOEico3gZSyjOBVYtOJ3s920pPGcZy+NVL0Wki4dDvMQgie1rxllCDDOx+Idv2v1WgS +GVwNSkI5gpjwuCvvOCXH8yDH1Gs5sKp8q9aK/lswVmTUHTdSaMwLr3SqzXdIZuBfhZgGFwP0NHnv +dY1vLaEQ297NUZZ7v01dlFoaJmA5/C99JN41Y0m4JJJo0SbFh8sDq/hLrVel0jgROwDtV+SvCJkh +atq38BE5IXKGr8BxyouO8fnddoT8DZOpOnU/JwVJt3x8eQQn59OSNZgC7ayjurDi2ki8hOnSracG +XUVWtm49hqTrFjXZXxYW7xyBLwL/HRU42c9oCkdYJ/6v854RbQGnoCY4c7XQPB6yJ9syy0vvwFtj +t9En+ixDAL6WHrAby67DJebPpQmaes5aHy6lwrjmIKnhW/b5u1w1LNQO6jE5BBLhDRRGRA8xarzi +3dL5AYXKxjioL3VP0wxaSnbDLqz/cJ8bj76X2r45pvXgcE50jBn5trxj/jNvbseTEgwIoeGbY6H/ +ZWHc46oQV8tGJCC7BHd4fY5IHjE3xUCMSjGf8nnUVLu5wJw5bpMG086+vZw11WGqGxcDq4j+sUcI +cONBueDDEUJqVxOCAR/iXtN9MuM0nwdPY0mquLUGUGHUAD0JIpl9DX5wNpZmkdyglo2wzNP4W0AE +hu1h/N8OfJJXTm3KBfm4XraJkfyTSpeai9/MnBCKdz63ckq2uKpO9ALSqTJIdwLJ/Z66n8DXoD5n +w/Fv6PAHMvPqYrEy77TQ4mYTC2KxskHK1jcPh2E6hDx/PEz+TiPvDdmJS5U+sR5d+iY9l1r2LTfU +vhyFInGBVKklf0WKOhC4SO4iaohh0+lJ8LLkXD+7vsBWTLDIaYh9JqHRdgeCC854tF3w0quweCJf +tsKN5CVB6+21eEQ+rPAStyQdUZVY/266v0cAvE3ZLnE/6TicvEKhQF0Q8CmwjrZb2KWdEmCYvQk3 +BOUTdB25J688eVGpE6q0oDO80gW3rBGB4kcfU8f0lJAlSISfam4xPGkjkozKpCtoQejT8b4QBHhL +SrZGbrAx3ehGLP6gsBmgTrlA8T/3hy3MLKAY1evRlJLQCXgZtriy4naqWpUtR2xJc2xYNlXuY2sb +xh8ZXFyNmvgB+MHB4ullXgxKFySc3/IkN3IXAcjvAuHBh+UUuWRZ1FdkAE2iAV1DYAnLon3yZUov +HmMSI6XKsb/unVCuFPzffIvfZctFJxujQynfjdiz2V5BieH0wq4D0y7xUeE9MdnYsstWlu1AJDYa +YTzahcY3C7d9dzCrm6laNvvQXSV3YvPJYbvN/HyBVxEm1BDcwsZ1cs0IPyx578F/YohmM31hPGV4 +PK31xiKHaBxnovX/HbD+gAVOHWgzBjfjdADsww7+my1QSXZjo9SYcl+OsFwQqxhQN6vaKWzYofrf +XkKmSgerJYJnw/iMvG6X+vL5cNtRTbOQTW+PjOsyQa4DmdXdZDk2+EGipsj77F1hsbWshB7kWmWL +ruk/4fgHZoNflb/NA8xYZRxnzbaAT/cV9ytY3FojOxqzhhzB5z5+Z5m+/XOao2J172bPSn14XGu/ +C8L3Udsgn7P+EYcFMzA2piW5k++eJ47MUcKOFXd0TCLaiBBW+ay5HS5h1FO0mXjS0KBjcpQpigzR +WP9ED1btjQi364enMmnoMSZT3cntawjQ8DRnC0iP3Rk80zpWz+s6CRG2bIvfK45O+WdHEMbbvZk6 +pQrsB3/eM91jfvvAFJB7KcYR1nTTr159BY3VDZMefinsTUOkIBfJw2wKtNb+iCT5dEF3ucHRjIjf +fwBCJ+7vF9tBAs8tXwvp5LpFQ8V3Gp1DWz/D6HR6tvo1Zf4QBYJnTuMbquOsahzFVfxbmAaWWOXq +agwsWHOsPqFPKB0Rm9BVno7W+Wedl/pCOz6zICVO1l89loVCDFP1ituAtr3zFKBDh1Qq7fHWXIiL ++NUbheMqKQ28PYQwefAILwyvLy0Xh+gk9CxIrMuq6BOB7G9az9f34y2ypNiLm8TcYoxirjHX2GWr +IA6KKXyE+Fd9hMBSRL/TqNgZm0hm9To+iwI6HFz594vWn3quXJ/blQ3KaGeBLimmqHZWYVqJCwjX +QG/07oPAFOM4nXuQhciKw1qN5NUOox4J78ORxRDhI9PcbuP+fKzXdLtkgjUp5YJKPoEhKlzgVW99 +JNjCyjWEqbLFuYc1sErNwguGs5PwptjgbLja2tn2ZodFjT23ejxlKCV68Cs4T7cz1BUARDPJOcIT +hhH81aWvu/1pYk+Spcv2e+xEy4xsZUEH6oIGI4xOxS217jEI192Z/kbawjuI1vk3N7QMmP0MEHzV +IVvfPKxJzvN8dzdyy7AnvYVB6hKTfBN2EwVeyDxL9Me7bcV804RpT/hly5lqEk4LvEyvIrQ4Ns3R +8IC4eLgWTX55UYXknmnmMMYlG8yWdqDzSvgbEREeBdeiA0LEXKcYND9UPtV36i4QFVjKK2yAroeO +eTjp7vhSo7qSqFvEWT+BmcLrme0bkJcZB9LlKJzSztxErvOB4wyX0bbX0ukCuuOdYJbpJtZVmq5h +0YKkcFhYu2yMIeDnlN59bO6ZXulq/423Qf3+d9NkL7AD+lMmdgmJKjGFCC/1y4imPO0cyUN9Cdwa +aRQBTwUEpe8vn+AK6wU+vNI935Z9EMkybzoo4xHDrzB9IKyL2dGpdP8Po7ZEAsiSd8Eg/eo6O7hk +8Q9KAquPPIEPvqxd7XZnaYimXhH5R0PLvOIuC4AISxU0VOyOLBcaEcX2s18HT00gVgY/G5HsprDC +pVOdvT/nHf92gvmsTRyUHwSg/pMuUW/lB/qGlGdoFn8enZOCOLCey5805gQe5KsadLEvUMRRnQEn +BcHCUKEfeM0QnaNj9hv45R8hZSxDPWX9gPMZOk2CCe0b0e6tWSJywZaFhs0jFQfAoRurvIeP1l/t +NWQIwVhes82+/5NWIQxfpUjEvd6ZawSzAWjvlS5JInXAQZBExPPYr4kd7rWRwGE8wSgqVIEzp1z6 +KTlSFNpcan+btYOCOaYkGXwL0/L6gesGKurhUAAoJ4M9nPWqLDOSiH5a0QQAe3/NX29N6Kgm20qv +yXQymifdzSnnGv338TUYTeUU/VX1veGEMLgxOYU13IDzMpX2+AYQLlgCOPl8Kp/H0xOMHO9Tattw +XZwotQTsUJJoKGozBz9zDR1CaDlF+1o7mtVaRXiFKj2KVAR//+hU/mdzLw0H6AfdmsEKtIPV5c5y +Ik4Ev54d9XwLq+TGEapRWIsnBoi//uUJe4rSLZImfg9NIYtsm7+nRCfyRSEsOUEgxfReHHMdrRoK +8ANuuDTKx0VHSdIN8VHNhgswMlQD/Zd5T08G7HuVz/oNLD4PJOHzbywaCL9+MDZ7M4dvsjOhp5Dq +wq5hlZZWfFKld+iqbfM3bk6PEd+eFSKn/0v4eXdSlrPWsstIwoLJKARYCyEiPkgFiggxQ7h67/EQ +u+/QEHZowyoljNf51pxVYbjNMgpzjma6tA2RnHLuSSRWiAyBsB9RthDmd86UBfRUYhS9dCo/LFI9 +695+mjfdS5Ag/bQ1h7nvDxPXNZDFS6sFI4b+lrGOx5DqV3avhN2Xu11GUqM6Gxaq8Nn5UAsKCj8c +ge+7wdQgvqK4e1eJ7pHbP/tUx+x1q/2z9cf7sIZIolyTiXCZGo+jknOpaOq+ydMLM91Ua7rErcBs +mj6967+aojqh4nSQIyjTPa3npaSJ2FWV6gw3jJJFyXnjdD1CkDam+hSUiKt5fWHIr0Y4OtcSrbv2 +eDs6CnFN1h6Lhvh7gYsft1lFdF83kSys9Fm3fYkJTVAqLplFXz3aTUF2fRKE5n6HvarJXrwBA31R +gOllOqaqQyynjL30Pk87PycaFU0DONAGbajmA++i9XUs10JsuhKpCkFuNOpsKZPaU2RId+ZG8cKz +nCQgdjNcLw4Zsti0m/CE+0aJDXWDChh4CYGk3IOuFzBq9kN2AAKmIUOUumYTWc7x6G0KinT/EyCd +g6dW1ewZqiawK7R0u0uqgdCXrqNfdAwWAywtkHDu+UUg65RPsSTYRn7SIsvrC+hdQsfTcZ44UyC/ +xWUeu/DGkXqDj0R2fgwzBTmmo2psOBoNZaWW/MzzmKC10LR9rJq3/uuNHWvEjvV0vhQg2CBJ8L11 +/Bu1LuPIUf7ELF3LLfMQXrG62g4Yiucb2o2fj18J3XLd7PeB5zZJVONiE33eRwsyVX/G6/AGJjZ5 +PJINJv+I/NzIeGPiSQ961gWNEtU8aoJQ5LYJOL/2xH2rim6gMOXjEEl8W9FZM3vozC0zofWOb/bk +TTmwxwIE5luB8MCBc6kBBtJbTUxEJ98ZE2DBmFD5+LiApuprrOIJOxgcq9npWBuD5y87MWzkzC5N +bwudBs/7D4x9OG1o8okJ80LqZ6t+JykQaon1xNQOIrNbCqhlpz3ghs0/xN9MWfZxvM1SD15jgNcM +MB5aDioXnxpFOdDDCM4Z6lK1ZiMh6d0cUe95mGprj1MEWXsJt2FnSCIl7FGECkkiNwMOHVzr+ahV +x1ct6sHS4Xbm12n7xCQ/lIY8b0qTpKU+LqjvnAdTJjYDMy3wTp8qUoYHmtaWCwMyxJjEqsXoo5nc +RUOvsyeIfwbNCtQxtoTflOYGj9B2uWdZYDZQeEo2KGkUy3B3Oinby8S5/4D8K/GoFzl0rXhzChhE +N3XGIxE3HcT0jN8ggkp1WVda32tOBfuSiKhgeV7zfkHzHJeCUnzNPsTJz2EbpL5270obFNtTW3n6 +ipdoJ9zrF2SNg8T4bQfwDxw2VeW43TgKjY19AcTztV6PbHr4jEbyIwjlqTjNKqmi6c2Tiq/tsMJz +RecM8XEx6BlMTeEFl0poNu3QscwyiWRA+6KTnhLIpA0lZVNJSCFi7qPduowcFj/43lSeLxShJ3jX +TMfgLXU22PwA56tadwJgkZYz79WAGVP81NIbFvo2lzK0tQVBlPZRpeikjn81ssE5HcGMSiZs7jHP +XSXDi56/51Vv8AYIJmJBvxI0Xxge0sh7VJ+JjhBgq3GNXAc7+s1ZO2DB7/vUjKCq32dSQw/jYowo +ord8laR6nFokofw0RgdRVUqsX7qp+EIqb4PeI78iAaUmnya8a5fvD8pNVoS7ILiS3Ezrxpq5XdMm +5RDIfEbJ4YHF7m6JHjkj2fO3/97OKB/Va1cT18UxFkfonJ2ILaVeSAvZFiK4Fjte01CxCymETlOP +7l/CdeXiXGfHXMORIX5BHrE4O+gTkPrKKs6y+YE7/FiHPVdnYBVm5Fq0toEvsjhML/HSyFjbxBs2 +crzvUN9BJDIGcoft+lflG6bYtKnx01t9ylcBV+srDq+mdWmhLtvQkXFxzOz4ZvhLxDf384VRKF0n +yTuvYBIGmxwHvOWkpg61iajGj3+CQoRlIXnEcf0NpEyg70ab0HcN2lahHi1kwAACm6MKCNrn51UM +b/zXqWipezgPQAmaQ8lFsuUl917RqMdaFnCXZ726BVzhR/UbYPs11oLWFvpBoc30Vd+Co+36kaEH +v7bSHyn258aUIUPUaR2GLJhS0jxVH2H9kdycNc1Ds3s2q0p1X8CK/0VrAvRS7vamS3arrVBaTR8M +G7yPMsJQpAkkhsl+0asIy3EGrTiGqnaCVFOZCg9vTtmrZwRRixKXeRAOyXxXFvTZOD3e1s8Wpn1f +4xkIN/SPBkzP2RwmeLd5shoxWU2hKIJYqRg1pFHDUadFrqOVblFCRZywDccwFoOSExd3ExaPOATL +hQh5M/+lbbbuAV5DgRqAlUB4LTH7na7finOa+vMUzqWn4fA2GfyAMYaKB75m/U3vMGiT1fES/V3V +BhRJfV6wQCsrw6oyD8WlOeN7wRIgPZ8+zBYCgopFa1oON8OmdBG5UyW6oxUxFRXpiJoup69z1V62 +R1vVYzMur8qjgmYuBZgT+vD5lI/qsclbIU6Td+ybszviLiQ8CcaT54WCD6pM/pT9+Ng6ma+Y9ls7 +xMyp1CDgHRRACmZOTeY/ShYIN0k/vXFAwNGAJ2svCRS+8yacxsJW6/HlPOmHXhOblCR/UPEPkbo1 +m40tnRcD2eI0DRXicRV0W3aFO7B2+NHckgSHBLm0CdbWl29wzrY4C6ZP1S1UglO4NNSF1OxxiWOs +6NeVo7BWVwkl6rhU4QuHPwzfN0+EkJTEJpduqb8meL7xOxc16NsnUSz/F+dBsa+QlRdiGm070egc +pCTIIQivkd1upjhUJNtNjDe+vErM9/IfwBIm5+KMpwzcQ3hw8Xx7anbMYS0rcgyAg8j1y5l7mbjb +6lBr14cu+S4VqLKvcEuxW1bB2osZVZcNPpINz4MwtsJbCfumR/QKm6YNgp4dcWyRRk2ulym+N/DF +676bcThw3iHFI0Uz5QRoB2hwFS8Ltnw6o+Sl+Tq3ccK94XGfy+L9P+3ex0ar4LRBHY4w3ZZDovVD +nIx6wsnOA9ZBgYIM/+/2eo6gYga2apMeCOE0B+novrbQi6LqkikcBZF59lojM14evmjrc/63A0uM +wHVfm8h8jxZ1GG6Xvc4E0BPFDSVMsvjS62SBxS7hdDBuI9w7QI810vjQuR2LqUNXZEk2Vp1HxK7q +Mx2zMfNkokDdhWp2JOmtZ5qW9QWFEOKOISCEdiMGq8Mb0oevafFmPLOcWCH3jFfXQw/whd0rGnKu +fqpEZ3x5c38NpfioS9Kefw1Y+/NRrCRO7O/8oLRKgmQj/G7baG1eaNiSN0ar18DxdyrQ0gBfx4SP +xmCbuNvHzd7jR2xDu7fQbmwGsRa55Wrytvvb+ilYXNjrRurKIX1HYwparvpiO76+npgAOqHBqSSH +CZNdHL0A1YBg3+etK8UTbSzA/zW2Zz+ovtN0/1H7fo83jiNhZLVjPtR95u1Ds52ARhLzJ8I4OWBO +5RzXz0pBFl7ITfh7ReWB38XwRbgtLWeEMV/pufKOm5cMpqPPG7ygynvvp6Bork3LDUYtljP8bsAY +I8JNHGtvkGdnyq83Am4e8xHqrdcBNHNM4XyCldS03bn7BYwhYy9orqCFKE16Pm51a7im1+ouFM8S +QBCDMfOu15zrStIulDlDELzco88L9+Nq0g3kaXtmJ3l+rZ6f3AEANqo1+3wJPVJIZQC5JVq+KAOX +30y1I0kpgBjSB7ConGxlq2FwaqE2QU0ViFPKE/YwN2g5YPmsI62shVcqncoHx+7Ql6dvPyhncqsX +6vodV67RwOc8e6sJywLwvvK28WA3vK2EYxk5KA/TNDPNVXUilhjL1P17+x5Na1l5qFeCGjgQruVY +xGX4f/fVdz+uBD85/5lzrqIYLhP+JSiO0Jl2QzeoZrdrPIRBtfxrBaBfVaBxsHUCpdBdvcnZVb+P +SyyyJ61KxcnzqkKNwcbEmw/VP6YPDdVx8hwBWjCye5/n/LWbYWNJ1IoHYbwnfPhbDfKgCx/fdqC0 +m1ir9uVrnqWIVUuMk3w3VBs5Zx11p+gFw6I+0/e+JPMWORHdIcu60j2EQc61+2CaV6EUGOLkeVXh +WjNgvlKbsI6C8228SDjXCdY3rR113czS//k7+ePPIzwEnSao41chSwEIfUOkI/7K/nURndl23NQs +qaVXVHoHex9pzc1A7fF9Vl/yLktbOixjeYisp+Dztc2mnpCVYtkTL8hWTCIS0FJfV62Mq8E4WHoi +pW7o4oc3cy16OkIgltjDW8Tj7KhBDwNB4I2BszXPGkOksT6wyJV+oeadisXcaGgCqSVonm+e6AXQ ++tmRAvVMPaDurWJGMuP2a243DTE5p/xIQ3YufG7dnRcqM9dILABEBaq0vJbfCOn06kiV89hi325v +QvjljZCqU4/u2/SNCLs3PS3eWMxIKzGjBqImuEwPxQrJnfiz0WlFX/LFQb2F13Y9ls69izgt+RwN +8avrjs8oyBt7ojBTmcNovIKIDkdvls4kwMcpz5D2JJvU+KTLLWn78P0Ek52T7oUR2ovxOGT4Ob5f +mEOmBxmkCjNvyjfPMj7YBxX8yyJOXkl0oV80wTJ9kXKtqXOKsBEHW/9otiHXgf1dwZus0MoRgtf2 +SlMa4E3IOoRx+xlKBqF59x2KGpzoRO51gXUI82wClWIgbxI1nRyAqUTUPhPrV0691RYdVNWPIQmJ +m/b6g/fsIIv1rsMFSuXMMjpdnpkGQZmTDgJWJ0bpm9yXRIUw+48chOPEEOPWpxcy6YeEQAhaMG3W +5vwydVltOyvDfDvGl/CBgz+rTb8go1DsG+vnwM9I9w9eggcTZDgzYxWPSmcUAwBUkS70YubDcESc +QB1EUyDnb1WJid6DYxaVnyd124G+O3Ta/+qOVxkjox2L1f1Zx3Uvs5gp30zqPv4vyWgzlbT1xGTi +xAGSw01AWf/ggGykn7+iY8HQlIm/ZHdLvxyHDi2hfdTGU3Baa3fIJA2iyWnr0fxRpzZbFMmYgIgz ++9xUEBIu7lfRex+ld+/ap0k5U7EQmo7vGEBp+4+omGtE6DYNuwdBwBkN09JAuJ3KFwJ34nY7fZlH +mxl98ghnOCFVR0oOByS5MYkIAZp8TJdrqpQjbyGlrIeZ2PlK/TRAwloreJyknHCp2kTgbByPtMbf +KVsuhqDdCIMlPDwR4N9WLMBWEC2+cIHIiHrP8nR+UR3T5NFf9JUWDVjoA1tHfQHvsmLMSHyXfDbC +WhUf7mlew29oDW3o2fwzXUBekZuLnv9axMqoDbaGOMS8U2I71seq/vLCKO2uEcnBwZJet3RK6A6l +NwdRfnEPi2Dm2TBR1gaWfrrHNjRmQ62fzc12/iuRJnF25QCFCJneVF77ZGz3XlGqNHpZITC8EIZV +OFV9vFC7JxHKu/nALz2xIC5yDGA7w5iMdHrs/Vnzq79bw/jqNiPR6oEtaNAlDwbIvaAKnXeBur3v +hVV1mWVCnsbPPikLoj7vtPLcGkLhzxZRvvUE7GN9DRBLgjrUm2vNfmeQwRc61R3ObUz7hRmQIwgn +U6MqjCm4S5rYOryMB+g9oS1iYs/WdI0dn5t2g7hujNLEzxRkT/W+Yn2hAajQLuEzuKEJ/JMS3v8y +58D8wLeLefAtyHQ8AbA4N+NLjcIuKpcipGb+JraVH8sWKq+PyP5lYmvqVWBM1rRZz9v/u2/BDb9/ +jw1KOyIVIjrAaaKwmoClXfa1AOK0KIVrNRoTxHafNgiyq+CzEdiEdhY14+HLoUIk3z2G/HEDF5Tk +s17skFjzWqZi7dO+oi7xFgyDhleQiLaOBoqYH6llp4yvwQwxZmrP1xy2f5PZ8DJ57oisIW1jqFZh +qh6f5iPWmlVh3UCza1ELRnZLNmcSCjB8RUolup6HE2cf83tr8Lwwroh8Vr7vvBSzLrLcWto2BRyg +PFmijyMpBt4pKNyULvrhYzl15peLlye57HXF1ZNj0kM1L5BCM5p+Q6RbfZZ38g4UptXmkH+dSpV/ +i4lhszsaEJAgga4/aM/6U4/rojrEFG7qRaFxXPhTa2bLzzR0Dgp2kG+K+aVOPSV1U5/ameZWQ6ZF +lIWZ0b3kg5zkc34ewX4i3uG2jamwPLssYPHwcshA/JxpstHlMhqzhDJrW5wj0TR/no9GTTeHHQP5 +M0Np30Wvbk8a3VXgpCgDepkJZm5i3/PiOva8+3htrrVF58cqfZiHOQk7HGe8LTLYSnGEFq7UpneU +NZD1U8US7KwNnEIFCFI8w3N0fnVBGEpPiEDZ3774m41zft+baV9tcqHAfRBK4zn3VqsZY3uCRzaa +IvRyqAXtkGsRuIEw+cyspjBH0EhZDKiAUG6+N30OnRAohL5shNi5iOAqdES8yqKSGJk7wK5+FT7q +teBqinJJmwnmjQ9Hgior6YsA4BJKqQipOXDhobuxbNKkHOD/uRAMP+t33vIqj0Z82Wg/QjUUBb/k +W7F3NZeVdNuSGWGARTcTuWmLsPFV4fWaLAFSLUdxZ3PUlLNbjZ2ob2syjrl1jyRqRxpqDG+CZisu +pC8cSXtroyGKzxzAK9BASyQHQzsvcnt5YHJG1b2wsphqwK0vS+SDCZyBFZ2fR7T35Re7P7wTtBHQ +Xh1t7NfC/5jIrHGksyeVcggf/upPYdcHFmfA/2gqms/XEs7mrSVfaFBL+IMbrbjuXxfsYIep1eG0 +SGa7vltP2jG9seZYMITa+QEm5+Fy/nQuXw8jJ/1jH3mizuHLav1gAjIzdFthG4DJn6uZxys6Iz41 +iLGf8k1UoRa01TFqhzrkweK3i92Z2Pe35jJiGmj8VkdMyi7FI2re75e44Doqi42jzLWCabIA5WqQ +RNjL53VS5UYlQWD3jKeDeS+tnCxCTqhsRGldS1N3wTXSD6H1IQM/9OijCMnbRkeo8LvuhaV2Km8y +shLLfsO+t/xKUKFLY3YqEXgHyjxgRLH84VXBrdV7Y7qxTMnnN1oiitB2NhUVA0qjij7Ftd+T9PS0 +mYFYEYwVI7iXJ/rIZyyW0/LRz/CPK956wqeUSBwMAxxefJpU20PCTbwll53VKqKt11eej6M/QJD/ +TJxf8oJ701nadrx9+uCi+/lktBy53ZEMKzeuKxjoxbOpEIEO1JA1J0e/WIDH7b4VIbAp/oHcBjqT +udYe5Vx2YZrgvC26B4yQH0wqB8kvF7g7G2kySTir/NNXJymVT3rfGdl7Rd1fVMmtdwaTQf/6y7Ze +9aoYlcmhzck4LB+qppc9IgtxcbWmTcBLJo8G4Y4ZI3ipLWiJCBqNIsBAelGpcHtduQYuw9G5yIlL +RlYKr5sM2BWBzPsu6HkscpnsLbf98esNY3TD+30ZhPV3wJPIialzFlFGQcV6UmpYXpCP/9fYNQby +ePppExd0upDAmdvCQbcUA7qMngWcWrXEiUHx6Nn1mx57Ft7n7vPwgnkDwcziktOz5u5lC3EYotGn +/KJa7dQ4yX3PHvvIM4P26Nc0u8vCrnphRfTqvEZPlWemsgu2l/toAnIPTfd3O3hnr2QNYnBlWRgh +S75bJ38m+mfWCHHLtzauLY4caRakGiLruZnF88kh9Bz/GLEh6ZHJjRrXor3kYXL1neserjtQkF2e +B8S0q25jhdZqMKz8VYrEk+8fbcBYhzCGPq4cCIHN8j9shB65kSbtGBtK60UedjahdWKSq7OZpDsS +GKSIq+55WEZCVnUiA01czhubSbm0c41T0qJcPgBcJ961rfqOm07bwSjJE3dMNS6wqAyXzogXX39Z +kHbks8kzMcO3X1YPBs8jw1i4oY22A6FsjvOn2mer1yr7IIoCTHsL4R4+ugiCkKnEo+mG3s8wP19J +WxU/nAHxTt5mPNrnhy7gdDuMho+SMN/HixEFMa5d3YOqD8TSuwxP+sQqxG/EhGdNAgXcXyCUmynS +9Rh5osQLuFzRz4PsGP+MgCOy0g3zd1t/5Cp28mMp90qMc+Jh59KCYOCgGQkfh8Vp1Nay6LPtSswn +UB0WEu1ln0+bPVRfDWPBaxD65gz8zOIY30Xo96EN6u6ITzbdU9fUQQ6mNNFcqt4sO9tdjN/jQhrt +XWi1JVjz42kgKvIlEJPXOXpEhLA6dBk5l1lWC4WzfSIgg+HmvapBJcv6YjPB90S9x0PitdQRS1qa +s3z4edqg4yr3RBGLBgZV1Lb8/FopNqYeKbiNSorO5a9m1sVxAMz0xsW0eVRl5NfmHQQemX7wyRHD +y47IMy5bYFQ5put3jsRCUkwfK6NfmaUJ3QxKMNsDubW+T0fKFMn3pznu0hROUEwJu7fhmiRP7TAm +FgMz5345mdPp42ztl2K/MqVOtucqGQWsn+cHvjDnKfKDWJYr/3PIeyK6lMf3MBOpW86L8QZETf0B +5Qkzbg6qQGgSBvfhdUvrhVba4U48CC2moY17/elyfZVLHU6HHOMHpZZlYvXJZ+8swskIp7cPF41o +y+mz7CGURMCaXi60rmKM7BI/3AydXWQC3XQ4NLX7CMPS+UGBvYrgJuGagMYNHiPORvKLKaFpyTgD +Z6R23uQZ4xSsroMyiJNrEyOkRcrTy0rNqd7ZYguu39NT5+f3rnfHbNiS7W9yYj/EV6vFAGV5NlQ/ +e+gErygZ2BczIvXqPNHtBPkssGHJ+YKpp32Oc+usW+QQHySdkcqvMcEa2IJQp+F42NWa+BhOw5GE +FTkvJKudjsNCZZOO4Yx1EK/TpTE9W/Io0z3fnnvENwA/IU2s2qi7jWgtM0TrDmc6mX/rpH/eiLfk +ZSnWjEd1O260Z+xVlD9KG1Y6c9bvsC7Zqkkfut7y2WmNyk3xvB3qWS5o+r8sJKAmmsLv2hbB9HWh +dgo7FXOg1lUm5YjsPEB3EraojUmcD0VfSkyBAsFjXT8aYChjlXqfeeYDXqhw5ROvcst2vfMXBK2K +NtIdu7kJSa3Toxll12CiasYFsKvAFrrG5wB1d7Fi0jBbiB5RhR/r7o9zFB0DobNbvRDTnwU8qeYl +PA5Uihn7u9FPFBpwc6QRq1mIcd5iU1K/IG94478e6GWtH7l35seqVCrWXMoRzF0QDKNJUaFSfcWO +52WlrxZ0yyvNKri/ZpAceRBpSbGO14hR69UM+JtpUKkB/gzWebMkyy5m6T9ny7H1m4akBVY99uSe +Q11Kpkr/28LJgcjIDNEgcEvIPaeQg7F4LB2r77hlh7yeX09Pa1p2sME0EZnWeANrd4y0Bb+2cNCq +EWfCTqa+scDubG5fwi8jSIRr/iZH+Om04nUtaL99J6LopthbcDYwPrBWcBHWSWqWwfG/g3eVcfoW +U3FoLOU9hqcsl82qunGzhdwPqlkrwlfe7oujeHGGqw8HEU2ZbqH4AJhuyLopsaAKoS+jMiHNpL2+ +/Ju68MADW+RmCA/T5ZlTbhecJE3V+Sq7n//Q3XeevyQ+q+nqz4+00ixmVjRyu6IlAg/Ep7nblGpe +3g0fN3DvHhxilEW6YmZ8OHnGnIu+PvnvKLrWnhOXhsftpEty2fs6Shfop5b2vi8XMLpIyB/gNpsI +vBI837VlIyJ9SADrQpia3tqPYWcWZ5ixLA1CuGZ3b1iNjyCM34RVH57Ij2MWeas2Zq7L3+pvkGef +07Ort5C22kfcwOQY48xJV0UscOEZUgMHjZmJ+Qb+feNhYoZCqw/aTuFAovX9Wva955vrvF7NEbj5 +LSJbvtH808wCNI0gHyhLuvwRs7x+uEZ1DeGpVt+Pxm9J+i/xKZgIMkWy+UcpPMFkv5qv4ecBMgKX +9y4GMhiPSFM9+EET8+bwxc0MSvI0DODXvra1aD+RybzOmDSdNM/ZSm6d4XmE7WFa21W76KRqzrOB +WlBdoUj0wpyCJ/TjvezO3vJQXNVek2gu3ZZ5o3rRPOuAYLDXlbtHIIv3boFD86l3/fBVoqh0Ijm9 +dnM+v6S4c2MQzaKx/0KsccOWdzjShG1m5/PLDDHp1CJ/rQuGDvD9MrP2wGrDtwEzqP1gEenZ3Di0 +Q62H/LI5t+kIxxDeqHoM+NcWxe5L5HQ8UwUfzBhHyXedv5cdL83c1hu7IF2c/0VMPtxdrbYYDwd1 +4fUfcWodNE0cOPLg/IH4kVGwVBZG22PskTDtmCxLjE+phtLTIgbyvLHDd31BZ5hrLK1iPDEnqQ0s +7HswDJ0/vp7p/SJg43u/EHulQJ6JZgMz47EswpJG2V7QdG2H7wQGLubv7ealCZifH8cRmtcUnZCK +E4t3h2ihbi8toseKI9rRqYohT+ah7o15QHIRBfHwX6suPxtdzglN/zaCdxFNE4QyMHwaE25KeLw0 +PYVnxFCNNY99FBwYe4R7RnZOpf9mU2GkdQUoodaXyMWQooF3LwbGBGZ3nfnIM2NpdBN5MXvk/5ny +nugBCtVqJZUx2aPU4RCpslnEbde5nQzeqchVS+AJ+0KzMowXl8J+umYeG6Ffaen9VvBWUsbSw7Nc +La84HG99U5y82a8JxZxHEcaNSRBudXfbMAvHlEOXp1nubYEwBavVj1u39eG4YIPZgYaTLxIbd5W7 +iIUfYIy/J1Gqie26d1jh9SlrhH/l5C/GyOKJ/h6uRxOmbqztSrJ4134F1R+BSY7JNfXrkT5ZNdhf +fckrhxuPsINik5P6DzjU32BD9JE7SLIiZh/hzWj8rda4IeSTMnpOaxU44L2/8ajUmw2KjK/IcUOX +wyDQ5NK0vsPYOYQd9r2tEz6i8iavcNMS9XRTgidPMVIQKWJmlXiG02iwg7bUrU5jVSLu57cIndMI +Yrg8U1nOmCJ/+Nnq3kCNwb9uLPgp/pcjXCXENlJGlUBwsauk8PleY3N5YCZMz7SDwSvwgcs9etOv +6ujKGmvXZM9DqoiifUj/ocEpYiASMohHJomRkFJwc2aZ2iCIfcSIqYSImlLbv1l+ew+8WSWiVLvq +IWF092P17QXRERGUyu52YhhXZC5TMNGxymdfQpq0TVvzs3TAk+suG87cNN/QTn5+cmjYbfQJeZYF +pX8XHxFS01OEDc41cC2MhbUP7wEMszoXuips07FU6NlLzsTNWs5lpGDNM+Yivm7XZaNOweiwJ9iu +BCu9eO1PN+gHm+Iz55xYLbFsrWpJOGNJYewPzPYz7LqyRqZ1TtOYBwZ/1jLknJdclHYDqs1dPhaH +41ODBaa2Of/pKnDIGDRFcOSQbLTVmBYZkRi+uB+Rjx6pAthZqIxF15ghP7iZx5Uahn2TZMqZpYlQ +W7DJtbXCPuHMC71GyMvBKwqa8mqygH5cxUtTzZyWBFjYrTkHmwGlk1ToY0GTY/Ove/1EhahXXQjR +eBuORtsTldIh0lmP0JeGC9a9kuvQtlW8BDYz0PHc3VjU8Hw3Dhk7jVvtw5br56Gu1E5F2ZyZgqjZ +ke4NlC1Pi+med4IzVpM0NuCX7//+OVEL1btKHoJfNO1B3+TGB6WfNLcXbtAAtXTUxzkedrOi0Mrh +Aj1mADuS9FSE37pPFxMzJ0c6hW77oHAbVBzQCe8IX6LxPKZolOF8+mV9c9j/Bc7/jjffu9ZucoWx +bkz1b3boMYBN8Cg55btxlWzIxgjLNPxghYy7J3VW/bal7eVMCPZa3jzBmMP9991wy9Qh/zjJYGmr +VVf7672JX51AutHodXps+y4XEDG/EPOq9qt3bY21shyCxu5hEa/VbAJYspKIjqAm3+a3gveK3crD +vnKpUigBOIGJPNk2kwG3M+LfM+YIvrGwBcZ+VUd9RfagMm8H/RKLYT93vvVuea1ulSdiCxFomRUl +7jWh1ZJJo7//UWwRb61ct0GG49rXCrq4W10yhQWhGQ2/f7TBDyClepqZOhBMVQFlrBTDlm0Xdx+R +WRIVn1KRIWHUY5FypjDZ/XLKtJQFMHsljkO01pyGrVCegybHnQp8NLofdbvboZizEFyj3nzsSaAs +Q1Fr6HofNuxdyzAzYMK/QN7KRsWZ3OOILaXmp/viiTcwflzFc7uO+de+ODAP6IMg/W2HV0zvtlI5 +hqt32mZtdQt5RSynC77pvee4uLlum5Gwm+HIkFdDUBSiqPKSngqBYHF4J7HRRFeeBMAFDcbcDMyN +rTYDKYPdgLwEnYE6U+TRCJOKA9khnAzmjawx63z0JUFJRTi/z9bRFFoLGe7v1WU4jd1rwTueinKL +Md3X274o9/4ITtmoGv7Pi+Td/Swkbp3p88KPWW20bYSAOGM+tdxF8BFlGbotpYNPg/Thtf14KjG2 +USs7QI08rZdLZmoy9BpNm5Vmmm/OXk2C4j9SDOzL8gfBYBJ1mwUJ7cM7e6wNw4KEb34M9UrX12qS +JhMultoZK8KiK2dt/XKNUznokQxEATCAghU9qdERiRMdZgzE7bHkh5X553lI3d9O7njcJribBAGK +Ppcq3zYZmi6AH2amoQOfWVct8OrTRmL0QF4OLjDgNZ7rSdugHj7L77cLgijZTj9J9SE67MzuP+8D +MPwCcX8zHDKt4XPRb4yR4fiwok3EghwfOtm+dXHA+ywiVtCqrvdj7oCtJIiNeGCmfgq/B8g9NJgk +g2GAykIT8kzUvtHJwaN6M6OrQlq3E2uXUPuaEZtFHrJnztS07dhyXcKvpZnKixBbnFgp0uvLT9pY +O5f0SdhZwmkl4VDpNjU5PB5mTTKMvijZWScxx3u6QkobqTEUa3CYIyf3WLU2wWpbfZxAJatT927Y +b7xgi2YMG7xZ2NoCrgdv2yZh7KUdDCsvIMXHXEZDsK0Rq2683kKuN9t+O67E2wTbLJD2ZYYShDqm +KnoUixzTe9Vr1noBFIR5RO/YzBDNiSFzjSRFZU9wHyF8cHEDUZZ8ckmxWfYr368U+B3xGOKL4Ql3 +Tw+6UDMbdkzbKX2svmTVm7e6SLq5gkBaLo2yI7eARI8EDgzREnKkfWGjL6XtP/jm1w2mLPVrWurP +3TuAPbvSUgFsQaQ79SHDGypi+COf2v2tuZWN3N6Pgi7pVxEC2d8OZTmnFZQfjZPMo+ezTsCKcHA4 +tZ4HOBeRaensHFKWaQf5QXSmP3yMDpFIymYPRyzbS1YNsICuqtBk+0wKjp4n/1hlopZ2863mTQg/ +E0XBLH/ohbm99xRKy74xTd5vGaf11rXPqXoYcqia3sSW+yZ/vFNm0TNvGP7fTYaVzRfbFMcBfOwY +1Q0WeaeCa0UNLu4zERpLaKi0ORPM8Ojzpy5mheSmXrkevY46FB1jDpaVPFVgmj8Yk0zvzNwDSN9x +tMkU9A1TUBSR7oTks0gl71NUoyz/cNz+AwTV34WrSWAxxQ1780dbil0FZG/Kj8wL0ePCPV3ala43 +EGo8QBS1f4lL8mF84t2EJAtkXGiUt7xIKXyk9VH+Kl3AXQzt/RylV6z77+Qiv8+5oxrJtMLFcmCS +YX+ypkYME9JJERMzN4Jr9oL7dIVtpXt6TJqgZ0Pshw+MKzjB13PmIobzCIHB7x2vJF06TgeN4/RL +W+OEjgk0incrb0WfBgu+nNZ6eWZnDbeVGDO2FQi4qil+p45Thp2VdJ+0Ck3a94lMGtNvoeJtJMR+ +7BveBseoMKQtmYtmylZf5Unns9D9DDQmFBtRGqVlmoHa0HBubfAKVpm8Qw2Y4I00Z3F1dl8pwfup +5RS2wHSENAQKlsLcQ1VfrCXC1FAdms6sj6tB7X2SIlSTX7Fs42FR8g9cW98HGba2bCE/97ZtC70b +Nct9Il4QIwchUrrAOOxpP01JhKULkYL+qleUyQdEJYQcxSgcQPWhb63IAf3qKTonRMH554C5dVM9 +q8KihpTdAKaQhIuP34oiRNd/18jRp8x/KEJxLf9oZk7YCAm6WOKkgxYH5D8Z8WTTl5hwCcHdsz0r +RVyd27RHONoIre0fjAS/XkyFU+tGGPGyH8zUvENcwRImgLsijP4wY2Ge07HKgAMIYiZ+e0kBfmZq +E1H3vTxSEDYu0HzEetfAdenLSB9BLnHFpM97NikkhfGcLDjGllntlai/PuvOW4KkLjgKXHhG9Of8 +Ldyk7q2Fmwg+PinCujP/JgrRojW4nMv8VjjYenfA3JTvz/cxsBSLqCj5xezEQodyx7hnHRZk+5nQ +H6lH6CgOAqBz53AR9MxXh0g0gqFDbmEZvuz2JB2oLrATDpRGz6FncXy7NqtbEwGzudzf6SnbWvIs +9vdQBQQ0yUgHb9R1JazB6FV4WMgYpQc9FCmz7e5bg9d1o3024UVrVJm5rY1igw9uI3CM/7h87s5i +rqNA7ZdVnuf+1meeDCHY6F0zfqvxn+ttFTRGJnkV7pDz3JEUb4q83ua8Vo/9taHPhIb+dmRmlTJS +1NcaEPL68fPMYlkgjNe1I7dPy5ijwB1KJJSyY2jZKnD/XCnGRBr0ebOf1ONFqB3sZDiIXu6fOkF9 +7vh/29YrY3CxasbQjDb0VGSmwmZDSdQUJ5JHItOkKyJOlyqgfmaZkpG0wZK5gdV+CuzV5otF8+sb +8yg4Xe0jLbG7VtPJG3aynKTAL3gpPKS2cqb+lLVwmkkkqLQ+AByYJTonOXJn0nGOyuz6lRcbtmP/ +R6XLXcCn5mK7tgYV1ADLkm0QKsy0jbmcafWzzTT9lY1DhQI3HzV10PJn7qrz5UTmbNXqlh4J4LUP +EbJ/eR5X1LUQRz8pHGqblu/HF3ipzvEMrdnHsvHGed5vbUCrRq9YejGA3ugTF8eHXF7HbsrXeTHP +LzJIlxtLhBrGOrcEKnycZEgcYcTnJ9tLizjrxcmsd4DvoGKfMlEpJBEwDLheH9M+tIG6huKyD1fw +dD74ktTMOE+aaz4Sq0UT9ZVga90701Mss8N9QjhBvx74OTWXoz8tZ4fHu5fjjUxdKQnhNzspEzyn +LjTAwoPM/3sTOspOUjWCbSNcg5VGOTAdrdkcXMWTErdjGQHehKkjEEpj3JgDcBO+Z8+seRFoCQ+L +r+UmfNj+KYT0x7eGvQp65VAiCxBFpppgzeZJkH8p/0ozOHgTrIiYHGGeH/dUeylHCHUkxAqxgpfA +QqvtUe0inLcof5sYQpYRlsS0s81Nyc/Fusro5gR8ukn/Y0K4jSC/hy2S+Z0FFXHHldzmZIQGry2a +mVuqFjpFt3PgQ9/zfk/D1UyyxNMZjsgAp6ZY+6Q1CS18ha7eLO0cpTn4Q6Ho+mTckD0PWNFJDS1p +GzURmpks/HUsaHUkMoI7BHZGQiwLSnm7vHyPzqN7bNipTnx68sOTF0bG+Cq6/XuGr0KdgtHugfEq +5gaF5bOokA6tTRDLtIalkkDg2ZEcr8dFpAdGcUoPCNA00AxYKB53qd+sfE5iJZkZhYQGRVgRfOpN +hg2eEo4LFO/UJrYIEK6iEHUznVceBLObGJ6lPZFQj6aP7EdbbhHbmbmKyTHrWAhByC7rPNfuTDE3 +No793Jrq8EM7M/qG8pSo55yHJrLT8ib3QwwFPqalN6vaVGt5ANyS2wM5XGIGvP6vje6Dw4WMtSZf +ONAuLdYTdsVWcgmTnlhkZJaT5pBUFHNA7jituzJx2NXKfT+LtOIji5CNy4jO1wAAik59uq/Ju7z8 +omBsUSeuvKr4MniqA/IxNMyDiKgbia7kPDvgeiylI95zBKnI4eKV2Q0tLTq3W7VVQ+6eS9pYDo3U +XrvtKsBJ1/oidUXv6PhN/cBTBc1zmTiaWLhhGOegWa6akhhbtcX7aIsyl4eODq6/FpSz2bjaNj+4 +ErzcUklGrqol55XzMd9euVyySUjs0q3LgVIzb7azG1JvwT2UjzdtGVuxSdVoHPELt8gGF4a67fs1 +GKiAnymK/ccyj0cqEZoQEbuuZs1Qt/uAaQMyUaLh7U5jnP6SV3adygwkY8iIp/yXz+qqrRICtNxz +2BeL+w3CCtRGRw0COGZjmp0JOHPcNQsSTa0u5wsd/5TshXJeOgRF8bWA3LG4f2w5BO6NuoeR3PAR +oCaJWjRQD4hT46HsAo8Z8nPXUEXsNYPeRDZeLWOnVeZMPQWDH9gmiUl/vGNXC2KeD//mrH/sfiL+ +6uU//rzacqPa6bWWRN5WSQ5bLYX7q6Nv1YWY18RYKGCJkD+w2k7xth5xgj0D8b2+W1OQztxv8zOP +WOj986LpjkTewY3eVylvAkm5BwcYxLZandoK8la0UBYT7hsNGh4MhYNFWbvW5mdd5a6zIYNSl+Xf +C35WR/aS4UDJymZp0OwRb02UUOaC6enNUp80nadPfF+m6K3snI/XMF6LcrPxC8WJp9u0HHvA3lv9 +o2iv2d16f7p6/JODpKcNotqckzdaHEAgYhiU2tCfPVBvyZmTjUojLQ7626YXEXUFtz6GM9tfbnzY +yxM5qLSMr7hw8fKeZHlotlBJ3tCmTh3CWoJl7FhkKaMm+igzRbm4hoga7IlT2LeHoWcSZ6Qx+gH7 +mtt9au9UHsh2ji+lnMZoF0Bn6nvADhT+wgTP0cYpglYzwy5J/CxbOr6NLfsuanrXsVeA8ZnbSx7V +ZrUxFqUFg5GXsE8p54CLDCjokXRu1X0O7nYMUvL2zkotgkQMtnUSI1UfcBfdWLcdV3AWptgNIFe2 +wKIc2u3y9Wyrnx6NGNGel6W6tCzHIGGkYgvw/3wdpD2BcgIfn42VWbuBsKY18Pc7UYmtT0Km+lgf +1pwxph/BVAeaKfasHyLfxFfM/rRXy44az5cuw4g4Kl48zr2OyDur+IHqVXUkuAKcic9EJXShDBsl +RXGJ9OTIC502ecSoTW49cMp8cLDcWgiFd+9n9+8sCtRmI2jDpE1P9iWT8WXanCkQ3Rx/KY79WS2D +1DuduXHQLCdfwY/DqeenSsNtMhdemW3R8kiPcTnfWWBqI5iJoE2QlXPPZND1+8Vs4Zyai5AfF817 +J80u3XuOlQN2z5mzrq/BTKbpTh7MkpkV/ttjJX82VT+SV06T4J/aJ/7sTTxrgWo9IfRy/e6Ccx1l +bHqZ2eCkKyNbyrbdlAW2r+fm/bSutT9ztq9oUlgpF2dWzwI0zluHinGTet6syP8YAffWluotvDMH +mI5ryL8CZ5Fy/0m3aIWaWjguS2sqgPoDTeH1tsBTxoxAewqFFhBQcfqM2r6aYHbBi8gMcJJ3xBO4 +PmvW43s2PxJI7i9pA8VTYGJatpiGC4xu6/85qCZVzADq+V3IOfoy9oyIjyDmJoaWHtXFueWv+WwV +AUdpaMIOFTGn8KFWgYlinSEtPhe1SknaUufFE0fmK3E/f0yTWSHusTIyFORvINM9dzpMuhkzvCHL +Ji0AOdh6nSVlWE7VFJhXt/FSUwGsP82Zt4F97aHDWz87W2lofvotfcrivatmOznVwmIBP1CLtBzx +rC7CGLIyp4Aue5oiwg1l2csrxNQguyLSezSx87PfmNYd6icKk4SFHg5m4s9QRwqMaQwoy8WFOCGI +Cabscs3U9hg18zfxUJkZAQlRwbcfapPR+d8DwcBCPZHP5i0U5tdv1bTLB/4n8XsVpCH1sOJVIjUU +jARUCBraTJEtOqxijyOGZgdN9ZZucxIe5KwU9NF5BvwBWQrmyPoG9s5TBc0GUskPwAmKCifF3ag8 +PxoSFT1klX3XjojIiMlHlAIKMpMntDVUk+FjNze/areIZXqrWeJxgaZxTlY+EhVFqOnU6qdHNxZI +R6D6o/1b7aYciCBRGiJL/7pLd7ao1f7qX+/ExbkSmcSH40UeAYEvH++CUx+zw/8kZFZOGNBjATwr +l1aqfRMaxHeyaDl+G/TDWP+wr3iEqnHtWwmtrJp6rVBoHQu8j+e8pCo1Q8cobGd5e1CfPggBI5+q +q/5flw44jykttjo12gzr/kyryYFb5wq+eVcRmRfn8MELQiXdQGNdKyHeuwPl4ZrY4+r6SzJBEW/v +3Z6Ob5SO8S6+qitjuwIbo7oHhj4+8GPKnSokHakpDzsCIAYxpwDIWqvi9Sxb0XjOucZpTJ4n1H6c +KK0nfL0uL8HvStaKECiWF4YnZEgo7LO5zOxU3SeayEm4eVdpgfcOc7ymbjz5Opq/1WhS73T/Lo+Z +8ck0aZbZpZnIIHm4XbR9BThwfETmkWlQgcsV7Fmuh+FRwZYNFE97YiFsTxZh+iGunMxzBEiIVHJE +wZKJgVtT+YeoPYD3046ZhLS4P1UERDN0jDW0POEiR9mRPGEVSg0vT64h1v6nhd96am4yWvN2IavF +o/ZOJwyPG8E3TRIMq6A8Y1dq+Pb1ChuHNj19LL0X3IxJ+yg9jVWFiu3odiiNurYQNp/ncRMCvi7F +g2gqB6yICWrB5f43LEJJawq3QSQGLrFb1FRMnrvOHWiIJ2Ow+nzK2rlfxL/f0Zb+aIaKcbVXlgPh +XVCVBxYrHQA2grFfW9Pis+Fh9G0W4aV0RYm7eqrzjIG19t8Se4Z2UdcrhTYXeTwieCbTCZqhgwsq +6OJtFvc2Kg3xvAwtX4F5jq21pNrikoRcEoGTSFCCo4QeP1j/iuU1KUP+Jmzxe03i2dGEyK/+eC2h +uosl8NnZJ8d/kEer4nQc8+rfNKzj+ofhryqjsi/acAZ9V7hnEFPM+6LAjnhkp2tjs4QMbCAQv0+c +D4OzmYB4aZucXvM2FyV3VsGkqgvilBAtlBH9jTbuAxVyvTthhL0IQ6ETYBzIgaEMOL8J7Q9KUOuH +fYGfpBcQDpK+GKl+nym7q91PNRYeMs97X2dY+t9R180LFZv6+2BhZht9Q4Mi9mZmdXLG1+uh/Yre +7gGT/ojdA8sn038Ve6IRbURHZ2RMsMIlBBllfwzpNZiprEZ6IR99z9GprfnBPXI3xeT/2fRG4+hJ +Kj+yNQHq3v+YmovnXZtHOK7EoSymPmrwjsbG9njSpQI8tAtZauTOZoyQGXmAakIOzFgu+diQyHYb +3Jp+1rYHszX5zYMTX2krN8tKAFZY6a6LhTjgthzV96H4zygxVFBo8Bvo1cRivSsHTFFl9G3ZR1wX +OKxxk5TNq5pgqPqlekkdMzhtgEhJkNDzOZd24vodrgxST73vKQVAZMxZu9+v6DJWZMQjFnIyNWBJ +4673SiMbYTfyTZU9n6Gx4GqYiJAdEEduHZOXktThv+QDMEjC2dz7wTFuJ49lVlguspPiiexLVT0J +VT5Huw/rVBbx9nP7A5iNUlvSBsdh8L2lMKVUmGwtcvkBk7C9UE/s+Jpt2WfgvjEiWYNeBv4VZ3YL +vhFCo4rfgps3HSlgF/P3oszZG3OKDlweTKVCKKiBeMVYLMVFnOhVGRWgL2V6lx1MiDJvXKlaRIIU +tpjN3uenl4H16HZxpmVkfLHJO/wKLtcEYN97f5uHsmRQZ665pCCtr4U08hlwyC9SkuCLee00mReR +AGDmv3a9SRllCOZL8T9W3RybvwdFe3eZ55R2hw0EpQCddnaKFYXNG9JMaOFNCBILdLm6Yy1tRNQG +MKMLCmq+9Is0pLf4jdbFetloc0jZGLov1+9snGLws4NYp/J1nTti6cLPMqw3NVv+QuAn7/XGYKeF +jBbPkyhPH+1t0AOkFwSmv1orOYYvS++iwOy+roLWYnB6J3w4V3zxrmBEoh2zTpuMX1BVHX0voIcg +FWo1T+c0MPQB+OVwISfIpzAXpjDxUtyRsBnuG0rnimdqQSX7gjbkPiGfEnp5lYEoVlWCWSXDU123 +9UFawPvKV63WYD0V1BI+VOCcUWJz6CFw5C6/3Gy6fUWZ4OZxdnulooQgnvgrqwendOPjrLlAnEuL +hG07GF6HbNQBqKOjRLJOxysIWfOpEwRct5EiT6mxO/mJ4vwWx09jfSS+QomayYNLclBTM+S335gb +JV5uXip0e67tSLP6NgzyODYUnHIZlepxLG22FMKtwh4il/X6zT7qJbqkXW6G71UuogqPZeWqKbh5 +66cSRDg0yasx328i7cCxz5jRFEGrtay+DYfDmCwzWd6K0o3RV6en6GNGLwHqEyDUHEkMYZXQ1Hna +T7Xl4MZtp/bMjrlKlURy1YvDygGybwOOINRQ4yRhFv+UtkTGpquokHdeMLoB7jSIBv+8uUBrq9qO +44T+M85n4675xcbARQasmeNyRLqmevQX8HLPWCrS0te7XBnoEPS2XRs5T7h2d0Oxg45zzFCejjSH +usfdWYeVwf4y9tARFyoIRBQZbgTPLKYAFJ3AEZDapslj5njSNvG4bHQpViPyDJVWC21wchSmsVtH +w6y3JkCIS+zsyWsmFHtxlBxABVZQCLiyMF6m5xnWVS3ZDnUHY0jfoqOzltAqY/6eidhrVJterjIM +6Ockf00ZIi86OZZBlTFTdNWEAk4klOkSjEskkWSbeSuzEQUkVid9nOREhYk7sRi+sboImrdEtNas +JfG/kqHmzPVE2fKwO8f4SFdu9QBC+Lvvlpgzrhhcm17FlJHmF070jUaJFahYX3hi+up7O+IgwNS1 +ylveEebHQe5rPgW5asXFj1vtlgsD69RYoIaTQgMf1P1wb6erXgbDV4SSJmKHvjbvZR1Qx9bT1UiI +kn6xfzQRdvn6TyuqTEnv6IWR9vCWAML6327DpOH1Qs3GvdFTzLM7P4VkhnHgwQeHVyaLm9tvHqQv +6HBS3/niVu4omui17tPH+JE7ewjH9ydTZPFwMVcvYSLs3oTlZ/cxEbVEX2CIhTJIB3p4nJewS4xJ +zMhvuW6OUyAahKLoPZzSpDZE6lDHyIrdyrTwVhId5GIPVxT8DINGFx7putoMrwTLBuGV297meppv +Ap1592VT0Bkxw9kcWelBzO/pp01FM/RaaNt0+Yx/mZfvqibCeu6ta7UTdDtr9lZ+7aspIqsMV7zt +d073fYaRpb/BIb02Xhy2cP72jT4Yq8vsw/IuOFUjAwqgoDMWHxZ2FOGk2M01klupufuchWYJ4O1M +8E10PbSnWG62d8Ye7ghjmj91ITYJPwGq0TqCj6y1ZFJ5YrSGVlo+zN4N0xocIB7Hh0KENKaKPdO2 +dZhuPR0lbOI18cSqK9t1qgNUrRCzd3jSorZZ31W84TdtEsQUlx5EmJdnU5jSMICvnGTtid+ckuNZ +tofgeUtevwM0Mci5Jv2rs7mOPlHBLvKIXRcoHh/KbM4fdXnkUYR/U5d2d1S06gcJnkFeGWQEpGg1 +3ANP3vrbXEvu2jTzl7JjTIqOuy20gu3nhEymmbccRbpN8VSiNf3Aqk5EJ02CIyl504s4e4FmY44G +UPl8l5zFWKWOttKId8+JHut80ZainAvVjlac17wdD65f6M7m279nhhPRXIR4YFmY/lmWrVGEdv3g +MVHwcezNQj2JA54yN81uy8VrqXCJjkRSKsTNzu4cXlkMT3b1bkKi9YjkPf+FEL38JRBt/dOaMXiH +XANqEVX0C+qNC2alzga0rW6iEayUo3zK6XusKNFv0+/z8sVbX3EDtwfm7NDDWMpGBJzN1RxTVZWk +y/Ns2I8tnoo0WgxYzikkw+8XIe6uxPX45dUBJOnaosRQbDryfoGt9VMomr8o6zTcmQuvrB3zASsg +2cyvhQTo7qL5caAbpyURDzA+WPcQA8VNjla/Pgn6h7ZPk3hfy+UV8vhyM/TI+TIfMrMDKe2w+sLS +7tU6Q7QsxJnblYKrydRuePp7bZPWivlIoA3U91B4NNfJP5C/JkEHKxAhiI2xQlYz2B1GxZrPrmFh +LkQjQROrx64Nynv1fLpYwRLmtYwQMS5MMciaHi/oqQRlqctwJcyxplLo1FRX6Yi3WciQJwYhlIOj +th6JU6+cgHROBANWlaQuF72P6zoEM1f21Q69SeER27LtDzZNKfwcyEatpOhq/rh2eEtMA7XqkpzX +LZtMTpIV0SRWeQ5ubJiVWOhWhcWoH7dUok0BTHBaLiq/RJP2sy+5S26NNwBTCpMQaQ0+FeLm9n4W +JQ1hc97JC6nod/pcW84c19l5Eue5f/PYhFTCpORa2IzvbYp6pXrasGSHHiFyDZXabkZIJpFMi4ZQ +zBDMbePgEAa/ut+Dzx2PNbUuJ/EsX11E1URP8XiCWdPzKAAicQGtHlsO3NSvpBTwHI+rYdV3XPoM +nNX3w7P5bVOIqKrK2KBz+1sIK/LwAt0K7kdbenVSD3M/OohbU2KDpyJl0pOZm9nCFDrpUBXlxfUM +2o15FHLpHfYJbIaqC6aNohjBkltb9nXdYs8hp3WMQSPNyjG1kJy5FYOECSmbU37M354hpsb+gIqS +NURaNH6DRA3FonMRT9um3BtP9J4Z++VwLv6LHjpvQx9S/qy2M1LmlZekkMBQsVnywisTdkAUou3e +TYMKppem18jZP+nxPRF4nmBNXFhuq5AhPPZKxZiKxzgUphJln9NrwZgPprdJUZtiOarg7NVT6TYB +Oo5aQ887PSVHEauAs+fItQqJ5fSP0xByWSPeiimExuN+6VcseRijEiJ48rO4QN/Tzg1Eg11op4rh +mYmpdyHXZ4DzK/RL+xH/HE7/d4Kq8rrgji/hAhT25V3qQOL+VgofHLFamRZp3cwRu6KYEQjTqyDH ++uCmIrd6MlkzDJQNd9wxCkKSzYOc3zpuno750DOy95QoLz4dc6Ff1XX5GelI+bcnLLB0cPoFStbQ +u28CkxqYVW5JBwoV4fOTlhwIvINFrUPm7Mjw/x8JwS1k5f1gZ7cBXuFuD9S7NDIniEhWFj/OyM4K +qF0hOmTHn8QSXaoWYWK1lparqJL/hfr89vHbxR4t3vPPdgPEiNa/6ZRcwIiVhisWfsf7hylyqgcE +3hjd1iT0Z62fhNcGjD5kS3tAu/FWQD513+sYlvVCw/gDI885JRj/08bbV2zMDDcPA/OC+YIsmu4q +B+6IYL7hOmBx+zOlkAyUJnwfGJoHJbimtFSUWEkms/6l2ch2UqQrr6eKnCKTtmsdOISXfVOjG9/6 +2LOqQuRW2Vv1ei7nVLbpysDCOQ/zbkZIfDOX05q/tklfsg4dx9hbRVbbR4F5EenM9qXQyqLGt3QQ +b9TZi8yXcejLIUBGDYeMYAF+TWp0hNGlSRCSnPSWrkloVKxzgGDdcB2grrbjx+32KG6mYWuaI7OB +ZBd+j54MvizQit+oUkubg4+TulwHU51U+Qz9r3X640oNI5DcTiiMQnzsSaTaA6OiKxn3LpjOWu8H +NhpnJoRc+gOrsmqgMb03qiNYmqT7DrUE2UHMTkxgqyg8WF8t8Kg7oxfbWysfzATt9M6dZGMsD90L +CQlOAckCemGKhN3nnW9sNnT2DWzIp10vy6eNrEC4Yy3hQNSvhrkT6bjLh7/0KB+RruH+7nbt/JAP +zzKecDVGP2cvAVpk9wpDkToTkfeCEI211aIETl3i679J12A8S9/PZCkzNf8mNS/IIR3VBMGBgIZZ +UGxsoWW+JeRX+aoOrQHNBIRcJ8XjsKJnzjXxLXuXfXlm34RqYzizCdrD8EbpkXIriKLV1dQB1jEG +GcTaGAu/ymtSMBCRJ8GwqbNcHajxIHy05LuYXKauujQpAiVRZL9ViFjnbIkg3+IIb23LCmFkr10f +3xQd8ttXww6Dkajb++5GM/lwAHX6Gt2aWV777ToprG3drqy1C4aAe4UTDlH5FqCmL6/RYrpqnEyl +IHgiwQBVyqw3DNMr3o5uoR1NirBWQ72SMw3JsYED2sFi71dO/CARjEjZNY7pG49ICaj59n2Zeo3c +OT59o+P11SGwWSIMTfJIjXuFAFFJZOYOBQ/tnzAuIEjd31rFXSvqKKoIYDigwbLW9TDs+Asz9+Z+ +En8/JoCuq7Y29BzzaWoqN30Ovs30iSu/tQ1Jy+Rptrgfmx3MAv/yS7Q7qw0UkwvhCoP6bgL16jls +LhuzrKNUtm4s9LAsjBIkeL67EQJGDsD/vKJ+SO/Mv0Ze8iolbIjUP8zekNei12boEh2Ps32Un0pW +vUvg2eWL9pC+nWo5YN40QZLmMH3OXEC9fFZVBHN7u3McIQ9vdhZw4eILsFm0RGFL7DxdpDs8nDcz +UijM0IuD3lxDiJwZ3IwhPh+apncEBgVDFg3hubPN9UCX9/O1bxoXYYfb82WsAjWMztY4L2zO+RPJ ++exitkcfsAkmxkCbJQXEHTbmUcgVnb6kpGe2EdEjzoh75bNpO16MOFOmxEKEYCiVuTSB7MEdfxVf +gBnEKawPxIhpxuyggWXQcyVWPeO40I5JZGCJU5ImzeICZgB5F6A5BPLmHzx1uyQ9JaBytztogGLk +yOedyBjzG8Vxpe3DfOh9gH7pKRTQWxeB9Xg4FbEdCfLnWpo+a1YSpFeg5M09jojZpNigIuvvdsXD +db427vYjm1St+6+OE5e9QczlXMQgae6f2MsWKb0KN6DWrxfpnFlMbBH88153KN5KOFhjXtY3hqHN +yHF0Acu3ymVfZggbQD02d+71VnhYVTZyTmynxyq8lUFWSarnq1clGgGn3qYMSahN/bp2iF8+/Oe9 +sSvGZJ7NtmMmGuNGTt38ojVEEO04uEFrtTVFIWnGxseeW32t/+hO7SDITT0A8UkkBNA5uGijn1Fi +uiO0BmVLqeVmexkQqg02dIL3+BrTvfzr99wVFj5fb+JbnxeZNIEjdFWfUTS5GkKSf1+Cm29EHOMt +zwaa5VDtpYzu5OnlEWE/MzSlvJejYIgivfxJrVbKol/eiLn3I0l7QyeUd8d369eFSGuXi8Rpxw68 +RjtgJJYbhvE0YzAUb6mFBhJ1ShcepPTw7c/K2gu7vUT+NAozQSPWiLkPGMAi/M8AE71UaH6xdlmQ +dYwi4STq88rphAoMYgdHuRHqATP4bDCOPHTXdE+8ZW2s+tvqbAH2DrITONs3vTbgTIq5ZovDefZk +HhMH32hHk3z7cHhE/SEJrAEcaayhd8eZR9nNDriUahjdyT96+Ukzu87KnZz4yy2haVIc1wck+aNz +3YH4IBxeczDb37eGZRqs8zGrmJtNXeJZqU4alAW9DrPHiO5ltq+9DpuZap4QIMJ1HCZ5R1c7kc5z +vxgeNIF34cobPNe0JScSO2XcgpXUMwEFmPCneD2R9IClYO/a1wXaFf6osFYWiQvVZWVNtU9STVAQ +ENYTar4zI+wd2jcC/wjOsUOJCxTaFKZkBHpCSMi2/WWBSit2zVPB+CoQiCzeRFaQmhg8EUbPkJFR +K3WjzN4/alUm4R1ASiiayH8GU1/befFswoL5S8dEnxAOUf+wkYgejrOWMcynAPRJWw9P9KPL2559 +te5sq6nER8WRpu/YoVUixzTn3bpt/cWwN9hoADyzNWBl4LM0guE5wq8WIhT00CIteMyBOa18VBn8 +3GgmJU/WnE6YpLqtlRr0W7ylNExNKc5xYl6tSfe/jpurcQzgkMxFZHThmSYgG+kPOp6AKPX+rWQL +I3K5dufyfLJlDDCvvKmLbBLhdXlFibwQ38COgLH0yTUUCCQDRaAwv3jBz7T1wAOI01zK9Qat/xNk +1U2sr6yVXco2N+P06Z7v3gxJI0WUxoOMX6adiC+eCs01vwcquI85qfAHOcGszuIz6to5MGNuWngu +TxEVsgBIACZP96sQH2xBgEj838ESFoxda+8O3ATRJuDw5CTCG1H73fxT5IOzhLcNqyvvJQIWDeiM +O66ji8Tk44lwNI2Es9iQyfcA0gZZ+G3HTihyG9p7B4E3V7fLVloeMc7uNhPjePzieAhejTQUMm8y +pZTtSz6DLoWYAlt1pvC3NZFzarjefTuqis0o1iVuWQ7gW4d+ix90GiDLYZv0biEi0DtjGJA+TaHP +6r+jJBHVpVcYFUcqnbSAcV/gOC9/DJUREJ0s9zXhn5KYQ3WYGL/0grcpr2ttf7Jl5PxNBPsm+aeP +4edElaFGtWHPc+ddmpYHg+h08lQZGsh9J4ZsnO1fxfXStG8RfDEmK+Axjmx7DOzwHAhgJCWmM5ua +16ua8wWRrs6niycpI9y2xKUmDKWXe52W4QYyqydBUI8ES4bIpCbzH8hRjp12TY6crzNSMQxCJDGu +QF/2FO7ja/et+aBAyW720CJv9zUyk0qG5J4FEOq8ctTFt6r4lXYzEthLEfLDb5zPOJsWdnpUuH8L +f6OeP1mc/qObHAKhFjLPMyfzWBOPCRZtTnRCACzU4Ykj67ZvCGX6f/M2STaBTc7iVkO2DLFgbLcd +41jj17NCvfj39B5xvna03qTkcfP2mwX7rTDuH4rd+Yuf4cjqYS+EIRaWBFCDe2G7fmByH8hM8x7h +4mAQy7kblWIzt2I8R+NNRM8o/5oE+Z84ES3D4NZD8mfkq16Ff5dXAfkPj02+K20O/9t10vSgZYWy +wRDTpctKwKEXK6z2UIc4/vmzK4XpR0R4p7bQKbFOiIAPr939QSEhdfkP5DI/h+P/whlvK0H9JiGU +3Meu4rYtgtUBJPX6oUNoj7zf2VrtQPuf0aR911dgV0Fj5BbLnE4VLsIAGaryuGNHiZ6xgxSMfQex +hZf5RftUGvL1WjGsxk862sWxHClb7Wg49F8Yq++oWJaf9byXv/j7wWi/PLX6pFCAfOCKa6AC+uHr +M9rGcYm5JZ1oIuwc7+V8nNcqnS60lmAtnRUApb8WexHPy6pCK4Ux6d7L0cjXVReOXpMQliBy1vJH +ApCfEiweD55NBnwXN5ViYYUOqH9I9zhV7VDv324L9SKYU/v9HOaGKJNGdOKSpPikAp6+8UajDm9d +6xkTunEFmXp8CY04ulNSEPP9O9uPtLZQOKswWL9pxSr9W/4m96snq+hqLeTKyI92dCcGOXUr+tIh +qHg/yzWiDAPB8/sCCCKrz1J72XI9VSAEfokTgzd9zczdrHDIeovPGnAzha6k25dKWYXggCnZrgmj +0lXSYInWHaYhJ8l88rlQBAWMd/q98UJuvZy9zC0ct7uD/AxFpoaWhmUxXNsmJpUZjHKLZPHR9Xd8 +5+7p4lyXUcFCl9w8Q7uGuQr8HA2N8Tk7f1H7v87tf6ewDBhUvvqw11DGGtcv66Iga+CTK3DqWamr +VQ3de/+xnjY78tG6mLJaDBRQWPbgukFUqoF2yyVB1qMnyMn2idpUEdbY7ilVxAgEPO6uh7Y9wC49 +j4Bbh3KpPtCvQOJrEAnzr2PGREnYb7T9P1REQjzXIUnfQX8mQGLpc7Cba+o8ViCL7me0ejfaicHw +Ou27snBdJ/az0Q7D4CsSGl+ea5LJ5pNcCG5+W/R4A8Ox1h2r3po0lZnFTGuMLjsgD8C4E3gB2cU6 +LLqBFhj0ZC6s7jOI/Acm71ICmJNL9GB/B68T4SNDDE5KG6iWd7FhHODaOt9G3ZXOEmOQMdHm1AD1 +Fr6s9sazil8K8q5gCv7Z3eP4hteGrSkVvp/toTbqfyqEeqOilwje7Q9vlXwZi9D0x96wecCxfVKL +YP9WTNSyucbh5vur6mBKZtWuo+acwGxJHdDplz1i9frIcohwBg72/zn8gFF5DCMIqgNc+mVfSua6 +u8GP0b2ro/gXqY+UFEe9knnWe4ZaFNwQ6YKUhCj+m5lW1Y9//9y4/BW+VanQxcb1ymbTSw97nG7T +aE2BqOcR2u7VcI8iqoK5knp700VpBsgQ5AKpn/zV7C/sbSDkVCIRoW5xxVSTBJ+UGTwmYtUxRgID +ZxkhncT2pVXua3AJ+GPmmuETGTTPoShOG7m/QuX3nFLd3VVXdd5+9hYXPYHsSI1DVwMhWWv5+J/J +DBT8v3pkzdfx5E4ow0sWFlSR7lo3Q8s2zc64rm9uSZHL1PKVjXIJRm2jb5OtIFsyQlJ3PgOrHb+H +t+N7cTA0p6M4YIQRW1nSYeXHX6zesZUfrMe9PHoMzlE9GxYh6Jidlzpf3aBi69eEXRHB+G5orPLw +9F38I7aVLLWcWFfGM/qF854ZXRkv7Fbz+uDtHlS8cvK52SmkKC1vrOJwmqg2G52LMe56URf/zVuS +WbrWwMS9+Id6JZeik654221RJWNsWciY82WKgZBSNYc6awjBnIQfvVqvg8bazs+rvhwti3i3J5Ay +xPf5SlNqYUkn7IgGfywOPMsdXW805N3NC//GsGxxmvhveLnk37/+GIk49eoYOJiOA2n7Ae4mlwSa +t7HHXHl9n1UV+m8wLXF2Mls8IEqsnLAOpGzzwJt9A6CVW7fJPXRh7eXlrGxDWZr6tchHjryEb5JA +2ZI/BV2sbIyKNWLBaILsFP7BIJolUGngUk7sOKmEYz9SyIPeeTm2pXYbpvZSivBRJyqHoPXWUhYg +HlzIQ89FSFSu9mUhLJSdCyvOvEx6H3w2ixc+HyPDpYJbb+X5b3iPf0he+wGsDFQUHVaaUHSHseZY +UmflzR+1IP6zrWlrDYPNkzfBzDqF5y8BFW3ZQbahU3kDlsAJWw4Vr6PY0x/AoEtCZP2CnUCJl04X +l3ExpkcNelU4xBNXrLFP+oUaAkIg08fmkgVYycxQat9YXn/bmxCw8Q55O748Iq7aKlWdC7LpdxzB +mJWsxC4Iv+aVhwa1U/nnH3qUBasRxcww19Lu1aDzagKn7julqrbFW5UTuW5IvHrVTRVSavAFqd8k +7VKeVUcc8okiHr/sjcAxulv65yvBBDEJ5eo4pekPBFdBCqM7FnJEnBXUYkNkOnHQa0+0IqBw7hV/ +4WdRslxb5VPde0FOL/lUph18EGoDmtqJ5cFeAe3sni1qWjfJvTW+BthpiDmyV5DeYwhpmrJ2Tb+N +XpFgXkPA6a8J3fr7aIzgE6ccN19fkq54ooahaWjYysnJx4/J2I6SUW+XQnugAfRR1Z1BKs/Po7nj +84c8FhH7sxgLhO//SuOe42S75yVJRyIReGl/gTdh3ZnERriN8e7zM24xwEvrkNWiXBgMsf7rMT68 +2nytzLfqEkVIFPewjaFqrkWgwaIY2UOT2+H6MaJWy55iVItWc1l2jAQxDMOGPUq4Y3gXo/d+Iera +Oqk/Z5TebxYAz3GAqSSJ45913dlz8DKkb/JAzBqK/X7JUubp3BngMOGPfUpivNifVzZIWNyQw+5Q +6NDUo92CpIPqpRSBHxixk7jeXkSWQk4NwiRB4GNqsJCe+Uf507HJ34SUdoaGRclh6bBxuz7Mug9j +F2EKk7Lt4Va3cNrPD2aJ54hPdCl9m0ZhzAwmAofnBC3zfFUAiWsLXJVABm9Uu62gqL+H7nnOjpyB +1NKXLnzTF89JAWgWlJRlEh+Dn+yx5sHuupkPNHpXpJ8jA3AkCiqQ91agylHDfimBNacGA+NHoexw +ayU89SZZjfwQc+nWiFJNExWTGQf4fAojVXfUUgnxSQ8cSf6iMj7IgBbmzwaYw6crhVziQrivDh8t +ZzEBe4HMKcoBBL1cLg4iaEF9+xQ4fdaIBy6YicOI7YyFn1i+s95w0p4tuoICKA7IbYqSqK9bsZhY +dK2x8uuvypknUh73F2+sy7AjLXt3l209QeZOmu0vv++1pa40N313fwmOv9watGM2vp06MVIGoINZ +l4PIFep8pBtSTK24zG4Ln0LgxINC1YfdyexvePY48Y/YPDdjDrhH3He0rgalgD3kOiOnb2kthIeh ++IH1I4hS+MxNpSVsosKX6ykZySJbGuhn2n6qVJWZisKy53UNajkOpwmmahFPTGBmTTH90aFEMpvI +P9rXF14xd+iwEJUVJrxzH0D6OQrEKrqzpcOwUn3G50T00TDvLCsdqEN9+XVitS1nkXt5b7ZNxC7A +zpOpRb615xuF2HI9Geklgrmus/MMrC5Wm1rR2pTYju7y06A0jIntIAqTfh3UBMzJrQgrbs+ie4YI +Iwq5FB5aOVc2VVxxCU0x0Fq9cAFcFp91+YnOI4m5HwYyMp/BKmWCKLGwBNeyUqUwxMwAicQ2JBo+ +TQhDNMsj/TO/JfZQDu0jY6ZvmUv2sr8cEz3qy14BHQwWljf6p4j2vi9c6xNuRXUZshXxSiGko1iM ++AyxA3HSPBLpTxLZvg5SCvFx65YUQCvXr2j/cEzCIQdGeZQEpAcHnGugflaRnHsoxSj6+40uDGe/ +kbpUODqrLMJd3epftOzRVbBNftiAyjJ15Wkq2jZxewIh5fzKAbl/0XgjBQeSSxP9mZXOeCsA451K +KXszg+KEOANNnONj6r82qbyYxWv9uw6wM54dp7wfSBScDUew7wZADuxuzrMDkUJ8vQEMY8Ur95Rt +gfNoOc88cDd4csjf52X51SrEfWaHC9eixWdKtWbn3Ph9M4IUksWL0Q3YuaENmjoGfcfd+HxBiSil +xIdPh5nYAItiGjp/p3HTK547iyFmvH1+D4Bf9Q03z7kLS/EPA3wNYE/c5a3zJ+Ns+D/aZ2m7c1/3 +TzOs9pYx1HOOLXlWT427gRjqLhgKpltMhx3LulLo+J97xaT34IB6UZw2oVFZc8AJG9bKu0ZHcO5E +1F8AiMDC1mz2Jz7MK8veOhc1JUjz5MNcQoAGApncgekEVojAhUYqtfGkLtpPM8ntQ87WYR3GXnPU +DShKXcbG2BNbIuTQcROssz9rRsMdMFhjX2oR9tEIbC5UiIQnTzbel7aUeLqnyh+aOkpC85XI+6GR +viIlOVshInKt+IcIDfaykYDB7bGoRm0Se47hmezLImzw9pfKvl8LDr4BSIVfFbBf7qOWDveEeJpF +y+KI5Hgr8/GCMdHfIhMImOq7ZrICpCfSfCY7La9ipIB91sOo6UiuGrnZH+VTL/hmk34sc4ZoT4Xe +gw1NfOESebpQJMzq3OPppAG12tsLsgL8bKjqMJk2+eoKLX2PMuwSQmGiNwfwLx6lzvzwalhBQ3g2 +JQo9d1OdAllmQykPmAd3P3BWK+p8nTp1ijotAmDGQcEOG0pLL3ghMzbVkeaAXSaNSufR3I5HHCzh +Xi7jAFfo4gj3GAAjDFg22gQ9Qg2pCRfH+PobC4PoK0z26JQjAkxKz4FpRBCAytCFUp84gS43FkXa +sfmcdwTFkJULoo3Z9PrkrYOOCQZRj+3P44+V8pQifIPCj5EPF5yKxRSLUiBoQB9BweKKmrCsLQG/ +AB7164P6Fx1un3SOgw6RQrxJ8iS94gMNw3w/NL1CVoJZnlUkFAtTUdYLQ632apNqWZevt6lsNnYt +JHDraMXuuD0h8mlJtixStDMIj4OmA2CvJcH9+Xp0onYXKsNql4//va4RQOpHWqknvYoBmThPsGPB +GU4cs6BpTJYlpgdHFlJ+aERczcWz6HEnVga7WWzXWQ5ayeEasdM+66Mhd/F9/PCVie2wAPOOK8Oa +mYu4tD+7rYf+a7IHQ3QjWA4rL/QyZG9LeLtxCy0ZcLxRs4aCHKicTd170LtcISTlCsk885+YA9fK +qgK/EacYVaUMiAo5kBCMFHk6cTO75XhMEghdB+AO3beE9ua8ws3QircIL3CAk/sRuXpzHPqlsQ0u +UQQyi5eM/o7oya4OrOphluzREhgWBu6HkOm1eyFD5txHQWydy+BJC1RnZUu6+usH8tRzQfNSAsDs +bBwh1V361n7IZv06Xe1pT970V2NscAycH5j4QNwdTc0aPasGyfWYXdYMkTZNvOKJXQKjQq+cEXuo +H91wiBxu8RWXKzcGkskgp3+AF2Wn0kg9GUEMO9eDEwhelqeqLZoLw6omwRN2vSKkXgUNQ+5G77Wy +Wqd4wPFUnek0G6NsAXv1desbeYU2fKOeBR+kJLmiaYmivDC5Hm6bk83khL4vWI52ZW3MaNK4V3x1 +hF9roTqP7uDfloQVk2HgPZPzmBYWpua3GXrnvBudlzs2Mai3iCBQF9F2PSaq8rsraZUirYwZfyhn +UcE9zto8bMtXD2EH+0X8Gfos6phjR6IgCAOk7oeEYBNGNICD4lRxCW+5wLx2Lonnh6m9wHvWm0u0 +p6tGcm1hTjuY6cD5ZgErcNAqADh+si/B/A/t3n5bhYOf9+aAXRYyIC+qtOr6nNr9rWXrMvZWFPrw +MdKguW0N6qquv4uA4RDNDT6vR0fqM+x8ZPK546z1+cS7e6RkpgLU17gc5xynhE0g6foj3LRdAEtH +rlBp799XfhhYce5/Z1CuvPY5T4zevPlGUjWRqh37uNllx9e29we5j2hUwOx2w28PUAm905A1DNOJ +qjL6rSU5s0geiOqDDtKjp68MNHwzQKITpb4bP6lTsX/No2iPw5oE1sQyhxdhh6yrR7WkFkNu1nG0 +ZrTP1o56dzeOQ4k/QPGTHrfVu6Xq/Xo/XEfxnjFEB+GcoypEsKrTTSQDPoEG5f4CRhWpr1jn+frw +lMN2aWeNpPs7TG9Xl2qjM2Gc1uTv4D48d2dZrtBswxeDUUacAPxllAw4Lu21UgohFxYf6/T/OPZk +qnVc5GNVjU1sMQnx72UojJV9DdOFErD2hJAqWYhRfvtL86FXzAdyqYsKCo7vLXKQdJqk6TpylRLA +qBvCcbl+a9SqJx9OM2liN5Uf/bd+gdgLgIgOfEFcVZQ+Q018iI/9Cw6TZyMXF25v3GsDT0ogT/H6 +IoHOe9tb6yfSc0QGkb+Xwe6vultobmyHJli9KQZLDhUIkhf1Fy75UHyldKK8/3Eqhtg5wuAkxydd +dYVFd/v51YDzG0UDfMSn/qWuQh9utLXuqxA7hr8c9aBFacx6jhr2fOinK+Cx1WeIBpQJG2UyuYJK ++1S++sQGfVuuf5aZ+W4BQqKyUxNcg4ke2npbiULWVM/pwgq+hnXidwty8HOtVfQa7gQCq6Aun/MB +Gtx5VqMDkVCInVhCYrvPVN/Mla7vvXNFZPsEAoAcL1iLSMzVocKUV/XKyuLyRb2kT6a82MBc20Lr +HzWQ7MIq2/K3kEiC5D/L2b88SrK9Est7l9F6VHXaG4R9d3qDxHyj4FeJjbLyQ3pVzdfMC3ZPHl0N ++w9QDly4FrWBEMLVI123nMLdNcVzM31PLcyyainex8Xs1B5XgDLIhoQP+mIf4AQ6nogAzI8sNjpw +eETqSZYSM/f0rI/DBSRpT7RHewstgo+0ufGJ33qkO2UGPVAOqv9tiobHprnGY+zr0cYcmZZF3AEx +7+e8VM897rqsDxxI2qF2zf60nt/CTCvtiITNZ0Tq6aZsPdlKOQDzadzRy3+hteoAyTYCtaBZjjxd +60lb4uVn1EoTdNP1EDbp+dFw0A5b2tO1y/3spjbDIAStQC6Tgvbqlyi6H5IP5OxCvkS7HqIWvI1L +toUUJrxBEJ0jph62ZrXhWbi6DcEiAOHKThhVgde9a09wFPn3HBM9gDzW8nVcCXuAPacYqO6ZsfYc +Hs8mPg9PCvGHMQrJiwHtfQmihRqUOKAOgCugtemO2HwqYqBKjbc90VKdKKJ36m4wOCSxh4EOk3+9 +eup4uiBcM+nd1hNj9rciTFrNFFk85QwyBVd8zlyuuVzdh1k74khPtZ2yOm3wjar0cLCx1n117ukc +Ln8ADcCvjbqgXrMAUlRvWlE20glAu91KntC5aDXryT5zOjSOP1TTVc+cMNlqnpC8KLxtEVh0Wi2g +vgNPGchtgLM3v+23hojdS/8Yk014/emfzBkFjOBAAs4JVyuGVOwX2EYbEldVUQy2ixCARmtadDVU +FXC3S+fBvoyGYl3XzGxvnWRswBROBgVeShukr36vNATfNisLsLO6WzGCJDibOXWjPYfcb2Lo4Kv4 +hSj7c2GAkwqr5KP43l29j2a5u4QMl6zPc8ccZq/1/0RPBE5htt0pjhEb2fRLBSrcNaqMwgATNrRq +5mxxRjTtBwzo868RA9fzuTUwPiU6nuskpzBGA9Kj15teD+qdUJLhVXAJoosBmYlMihld+Pgdok7x +P5d9rzVAJoaarKq47Qqo6aSMz2MRwrryhD2+GsGSKX9UqbcQOsMkCwCPfvzjZMYCywiC4GlEzAS1 +j5PfCGT2V4VOxZJhby/eyPRtsAOQnZzQBftftrOynnCm53wx79ENPkIkUbMzL+DnjJx1OkMuiMxa +iPTikF8XPkOL3ZeO0fSduqX4j2ay0chJ1UrMSwgmmiGyagzb1Ilt2nj5sp4BoCsYiy6rd0ChFTpb +FPiSSD3qI0cIizTnG6+v2k8ZOM6iuGQ8EG5OirUG0b/Ujvsj8nywRInzpESUjAFmHsoHOlTWAJNq +64npb0hipWgD8M8NExd+hai9gCGSyXO+TxzG3TAfsYVNfp185mNB9I8WtG0Pt0XJXWQ13T/R7Waj +6MaUmEbJzvY+ZqJRlESmYLoys9UmU3hfTW3K3NBun+UFsuGlUQWpkV+v9SkNtRLc2Jx/IU8YC1Jc +HUYv4UnxulTPHf1HzLXcNRhIVl/76HqmwOYlbogfZXMcRoDjrbA8yn7GbJEfkbvT9He/ndIY4Psq +J0LDI/4Aa1vs3Up9FfYKQhUg2MPQ6Kto0DNLHt9MEqwdcaZCIG4zNNz+14Pd65gI7y1QFuglrQK9 +aSaTS1HNR7YU3QjnUL5StHUCHeAL56lRgdS6fR2bu+63/NoMTbo8R8VGciVqR78G+f611Jl32y0P +eD+SrVkYSOBmaay+7oCSsAqAdr+mMjhqZdhAgmM5ktjibifgDy4fE2ufBeKfVgiVPFz4Nikh/MhN +wklli8U/RC7EQ5IalCnNsKyemDFK3WMtHeDyq0T+ges997e0d+OCZUUlzMvkIsyX6UbTMiHfRPr3 +OyvepKGRZI9nXIx9zKABn6/DFV78AboUbZXGqx19l5L24GVEnIK9DJ3FMyFIg+FnfVT83pbXR68W +aSk+eaQZmbeIB4rnf6iJRScDjGkpcK3njch/xy8bBKRBxKFmLIZFGFcu7V1M/ZufWS3TCI+78n2b +X5dDtqcNSfnYzC11Z5r3BrqFMgEngqLavJNlHbsUhk6tuHXJLTaiF+YfA2brTygg4p4k6mUz4956 +RKa3zxCBQExg2ULl8sas3mSPdt0C8O53laFZp6vOfds1R70HyEyH1QAcFf606BmpsX5BZ6Axrd5x +JUIVXTYw/bX07cLUWG+AveeTe5fvFPQSD0FychQyjEvGwMBkAhx6n6Ycw3o0rGljFvQ68xDEmY1s +ydN0YrtZMoxnpBsvqdDoBxLG0RVr1ndOhehD+tw8ysHdwp8UlayUD/Giev4XNo/lSDlHBVoRmkfA +ASYUtdXH0m4b422qq9l39VWNVXzvPLigPXpevaRV2m4f1s1FJWYDHs2xgCfU/MUL8Z80Ch+BGl1z +GYqZnF91ZNN/318BmH5u71rqQff/9oXt8XVnaUSbuNIFTPx+VdE5l+edVo1Tj5EWXvqzV14nus+p +7j81I/OZPpf1ETSB8smfDxiPR/3V3zfkpZQ9sD+EAGjdwOJANgonhWMOhCaIZri/55DODAowwnMK +ekEq7i2uFPFhdUHxYoInDxCrPnFl/yUpdXFcq1Yp50APCqs5mQA3bwRQndAujxc6btAZJM9/Z94t +36ES4mQOCVlp3TaLh5ToTFQu9ugHw51TP9tdmiwu08HheiSIXLhA1QbtPYHJa1c1dobzTDfgeknz +fTC9zH6d3FNvTBcOmEoNrcxDKhQb1cAqi7PMTxDl15JF82TaQN/dkcqjOPmc1FF4dkY68csSrlRf +YWQj09I7TXr0wgC0HLigqLhjWOO/g7PqeufFOd9TIxl56In/9eGAIHSUge/Y1wOXWPKMLVR04+q3 +JEEZBpz64gw8G5z3QEfI4qSPoXw7QnZv8ZyvgDzqE4DW0MstOXvNTD22hHDKF08go7xSJkzICXGV +G31EAo6C1X7Nnsq2vKfv33ThWWCgKMlImeyKLlcslKA0JfVpxHsxnTp+I6/MWDVKc6bTBaiqWczL +TlmwoMtXlZJ+Do6l9yfdGlEL2MWCEVfExbZP7FArX7uUukm+P6xwtSpnkwa7Iqb4rDuIZScMUPLH +ehMEhlMwVy/Y307YXRFis8XaWM91TYO9ik8AtEOqCpwL556COs+Nk+KE1PTffgwzwWuwc2iF1IVk +g/uATtAkpmRnze2LsddmE4ZW5hF+brN4tyLNzVR9GtFllsh4mRkU00tH9fTDKmRh7+digC+Aup9I +iyduSHAosxAX8wNOhDmJE8kLQsq2XwwFVbXhu+dL8jlbujl0Cdj05yUzSfo61+9oJddC+uy3TE89 ++hQfi7EfwHi0g/maqVUfhHoP5WfLpYiiH9ikhfKX0vL0rvWax1wk/mqbDHZAwiICfLv1PinswRz2 +E0y9iiOuDHtGYwkGKX3xu2JZlB53qVwv0Ri7Gwu/aCNfhAU87hhavNASM1gc6O+HkSn+bMsHeTjO +xszeO9C4UcmUUFFhY2hKvEYrDmDjZn05XtlgZwxEQxPx8EgsRTD8JdBmO+Ql9XcpIiSfz+/vai4o +Q4wgPPkmVy7Ch7ANjydpL0EM/jLXCTIul251d0NU5yd13nTM8inOyrZNXYp9a1IqK2J8NTZnJGEl +QqzOuGRi3BPN8dkcKLhT7aMqRELqDVA34feKgSmmY8AW9mDhtx302YkBby1tONQzOGICAkFjVlX0 +J0ZDiGImT5Dggac0cpOdaHyIDZFgEjIfMVjLFbK7rxZWqp2gXqSRrliQ4sp+xk6dI08FWdCxk9U5 +VrionY7+6mfB6t23lbaoyhMbmoDMpwkpDxrGlrYJatEAUg+wMV67mIQZsQ+wJXAtduewwc09oCSE +FMKRguhsm0ZHtL9vsFOOABkDqRpfHwqFz+Ed3aoz2whFiUYKYz/sNsVIkx2ppoZoAVoMx6vZAjKA +sppKVxgseN4K061a/oTlyfd/Bh8eEY4KIi6JrTodYBiKpJVuvHrxfIEoy9KNuydWKw5aEiIWloaP +2TRiQOCAvSIbIGQNyXYPssh3tzinTxzrezo4hrHhsvFrzWW2/ds4+9TeV4J0iK4CN+B6Jy8a9zLz +LiD6wB3wzCj1nUaO0N/dmshAQEA68pvn9E/X6LapTqksdCHmo9U18CkHa1hNwCFdd1zKTmWUoWJY +890UVARz0ILMymE91GP6qkoVRCPeTULFqNKUGnMn0XTRkmvA+ZFd57Kh4yR+zuVAyWcHxvG0a0HV +OWLHw+avZO8tVdGEkbe/mjnZjS8Tch40inCynzXKzx4hMl5Ue/SEnvoXD3/wTp71elpmbntoC+Pa +BP1H20cuTab362MChaK6KhS/x9RxQ3l8RAjc+5aHvrCwKYPbfHWLiX0xYCzq+aqq98qkKIyNRJYI +rZmfEADHQSmZgjuSBVpmsOAFIEyxA+tpEs5ljZD56qV4JAMtfI/M+RsnsR3tOiX9aPyrRNK6zkfU +qzTvPydMHW1NZ7vfUseJlVM/G+vO8jYsfTonprX029QsnpsN7OrriEMtZuUvGr1qIBt/H69xhXcn +7ZrkERE9HJyVaJT5JE7gpDXHSae4Y+KbR/sQxvNPlXS+lakG3LDKB2HZGNZgW/4v0M9a+q+u3bP0 +8K/qXgnHHMha9kWWiksDBcYNkK8ZUFOiNQvnRBKATyBIm1ol56WupJYmT/1h6fiWtmB3tYm8iOgk +FPTV3cf9dNWrlP3iJwNAUh/BpUBdID0gt9hsflv4da5VxGWoaynrYHWZLeU11tRc5iu3lSTT4efF +YXFDR9UdFbxb/IYZa9tQ4iHp9K6eFDNpKAq6t7V3z5aBb/B5G3sxA2zvrzrsrmLJCEV9vSFU76Py +Z7JAbO41j+66x0uX8cNWGHK+pzxFuDeuT3YDOjzTbm1tbioeBBnGvc66igV2ezbshO1Z7vnN4097 +Duuq9yGftDI7c+2NCusi7+m53rRDWT5i591RtHBhVkNsXcJhphw/PXAF1GKQmcu5f0nhJDeN6b8g +qi2DZyziX016F8w++OJtai71OErPw4RHqbYoGj/BT+lP2tfFqKHD4QadbvAaEW5V6ZFbCLDeFxBc +Lz4W8EFjl0L3fhJe85CFZXHYZUDvxCdxAd1vkP32+c69z82pFzbtALK78VpmA4dBnx6QyWyJSuv9 +aS2vuvfuv50DCNj89L+2MjOvkad25YP2IxZEGlVs5y9X/snHRUBHuhB8sOVeW+qte4Pqrb3k7qm9 +GTxtUSiFQOYZ/tyLU11mhWFB83wGmsL4oQ6nkBa+3WFHdLi3YnuNtX7KygwycoD639Vor3tjPqPw +ThcUh6m5rfJpRckWfIr3OeV1TTkF+zKJwWrRUgYXkQk7eWkN8HJU4FVpknNcO1LZU1QA7/QfF3ht +qE9KvGODZvs0eThD79iQf6yhv4nAS1S0tJe4L+lBEmqaNE76oiULekWWG9++xfLXPqdRX0Ppr+sV +3MzHrgf6Yi9CVUrlpGoOlhM/JJAJnlUuMRPxZ0syjmLzTuNJ2uKEreJwWHMxE5p8XTbCOBT0CVuB +BuhvTvTtFa4wKm6uhIGQZ0qy9BDhv2iZq+PXSKIHGLunxjibkipMxLyUI5hL9Z815qT7oqIbqMjM +KGce0rUjYBmGvUyXVY77ncMMNU2L9+gR4GkCYoKyOjAUrcngHpzP5EDA49bmqrHnBeKfagEegxtw +fgB6zt3t6u0yH3Pm1PEUKgrfngWw5vn7c+YrI3kcTZuOSYrO8GJbTJz5DquEaN+bC/64Z3RWMYPP +Pmy6zMZxO/ZJV5jHn/VydZytjGI4QCmDRop/Joj6PH/cvJ30oHvErk3OupuLKdnL3i+/QYAiHzts +VvbihNAzYyzTlz26FvxarRo9FYMkKQmsSIzdlaaNr4QLDuEThBrq6QVCi3k70I8vbwrkyhgL2SqR +wwuVFltEmfZMUn5nOtczCKAtHxZIomSIOZxaGaH1q3iNB9+AEpGlWCevwWU4vg0xMPmP9UEBT7lg +ANhX/GXriPfQts3Uq0eUDCAt+Rm/qX8WywSD5yE/7E7hy1kTIlouTYToBYe4G2FM/Bj3xANjJYaz +8PTqswkwa0BA1sHAXwnkjEddCkxgYMZTn/XiCPVz6nPCRjGgfclRBMUedH+BKkM1qDESG7I0IZqe +KrGSr4Gu0hNSeItRHgwWNnkk+iPB9miMYVICQdt+hhA38KiES+YDDEO7hiDbNXZRLlwzt0lN0ui+ +gMHmXWHf0NFarW3aEClGIeJyI1I/puphdOO5a/Z2AtV7zhUxOI/eZmtOf3chmywbnHplAPkwUoer +Wx57/HLMjefcBaC9M7Ja8kAYY8avyzM4azm5nSPZhmXG2eeX9PHVoG350FILVJ327QtcPK/poaJ+ +2A0qL0dd8gfrFQTKnRAgl1ELvCScM46U3kIUf4lsWiFq+7ZuCCdKEGIYJPnD808uHmNdrHAd1Nxz +6neWJhgWITME64ai/eiMk+36Zr3PY95SVeV8hXN8KSIQtVACpvFbImbrb04pnV/qAmO02V9x0MmA +wuVD2ChfiphSUzH7I9pfV2Uh8rFSQjfaRxLHJ+WtFh/ZN0C+yiY2f9RnSg8w27j+bWPpZOJtmdtW +inmR0sf60oOVmfk09PN3jYCK5BD6I4fJav4/NMOc0gHJXMtzN1ChfvY/g3GIrequF8YEkOOYe4lA +v6wNws8pBmPyByHXj7t+s6yDKyVaFwsfS3+XGfLvCICRKFNQOZlfGjebhBsvzz6JYc0X2PQpd82W +uC5DItV1ZUmnJetGg5UKTDZ8GDiNySw+syDKUDgcH4dZLZGrGXTWSZdZFt6K8+xtTljBVFwqcgeO +Ti+MR3llKcdleeZqqkyJeAIT7XOTtf2bmY8OP5Vhr314Q5+IdcgZ6cpIxZmyMMQ7LIcfGwGtsHEo +V+g15N0cdUArx9EyWhKpbmpfoVK67EGQk/NLy/77/KKW3J9906xSseFRjnBlGpML3m1ZGfvLNidT +vG9plKJd5DiCxl85OxLCEeErnK0tcy2SChOqDtwKElR/3AH2lzQpXnOJPOyOdQdbL2TKQpV/OKM9 +wng1HhvMZ/iINJFSyBgZRVyD3XwQHkXbiBsjQgCxEesPWWfiPybgOzAaucdlKJJ1K1I0bpOrWtV4 +Fg5c2LnLuUMuRvxRPq7QlSB6kUa19hRkRp/6njKDajCu54eOwDoqBZgEXlTg7AD1djdaU2qZjX2f +MYOyMPhWonNMmOKzmIaBAIwtQ3L4O5Sn4Pw051ec+I7vPX9q7lPBHvLpb4l7nTkljLiiqZhxnCki +ZKVse/lT55kSCdM8x1a7Mx1Pt8BElUUgKss2BjnB2T8glFhsQm841n5+mP5J7eZNZMah7xSJX749 +Pn9bvDZQsGH1D3lcSvRI7ttR65kMqPXYhLAPiJmYQpu5Q5MOYGZOn2KyT4vPVsClrk6+TVQ+ww+z +7S6A7VEz/THeQVTGug9C22lueVRNgFFguWgYUL+JlYKBxb7JSNZEpd5JvvfnZa6yZ62Eupfaqcxp +j2ZdC9H905QQJsEErPamCxe3zei7EiuT3nyHc+IhwrpNQ6xQzWCac7VTQ4EgHJt/RdqiTVySc5Gb +bNOm3SzD2mF09aWXeKZsDbx/CfWa9apy9BYjNPjNV0Twlqlt25ZOZ4xXq0nepkd48nJGgjWojFuX +szXkmCAtubsf1RX0WrEpA0xP4U1vhmBLWfW94cU4EYfy6nip/JLj5etrz/gG9DTr4t4dCsZd+8Yj +G6gBaZENTW8Mo11i2qwhAm7jidnWUHu0qnrPVeBCJg7nbATVih/dGVDUypnyIK4a8tZw2rieUNQA ++YuXg86LouUPcKLu2YD32eU6PgqnRb/Ya6jGEyZsGuuGCtAYt/wCSXXy8Y92g3ySZcxYxBHKkvZ6 +FeYSrydpYXbfy5sJpidqF/vIZgfOhm9zWEIzHRaY+THhXvW32zFHPdF4pTzsWMtJvh1RcGvJeHYf +iT40tWnFaN881XEOAPfSlzWHMHkFtkOglxNBxv0TgVv/n9WAmanMXeBd+HmSwYtDcoIO5mjIVPub +3994GF1Uux2qnPgM5VUIze9WF3+khRtq9XTfzK1erBAFSFQ0lgEnLAl6uja/IBJuHnZ6BOPQqGF1 +kXeVmWmxLYMkSn/lmemVhraz675/fZQbu3NgHc8urBB5gDsOw5I+3aHIVnhEXo4foMRWApHvgdN5 +78iw0mSTAzZh+rk982jfgxo3YYwPWtXG297ikTWfcVuKlsdKt414KzQexGgIKenOj2GVzRrhR1li +cXnaMm+TUHoJh89szfjsBCr5UN6Uxlkk2oLUuc84YUdOKEN33GJVF2bKd9a1KYBuLnKXbkrxuQl7 +FJe3zxfMZHBSZ+FPFFzS317O5EatJpCP6KdNq5CgSPa1YDlO1ns7a9RrGNKja4NbmAalqeVcKAU2 +Epk9oC07OUFWgQ2ZF7z9W6doEA/tDF6B/6XgGm4HFtSY8WVHiTSQIym/oPfQo7XCZuE+LmjcDYMx +WSNsGe/2v29ypTsk/2+Ua9/pqE7bNjpNpUhHs5B5IU78KCc0A+ILzE0cA35SYJbUUTVJLyWvtP+w +pq6vUmEpI3JBI23LF0AthyNxNVJCpwCjbg+cZPFuw5CYoNkZN8Ni06UiKmbGRzMwzqQxiJkRNc55 +U5Z6h0atQQJ4VJLabAuB5QkTwNyr4C252IF5tmPsU9opmFhxvC1XHtfA2ryLxgKdQikaNV+pOo3D +w8r9QPG4eZOuN6iUOuu7wyCwmkh4nmpFFXzWZiQTGB/Z/2pHK+Yw5sIOX9e13L1N8PBpiZKUCVz3 +ZcKYdP1UfmS2rE6USWdH8MeRKLUHjHkK2oolb8MNRZis0bfAcEdCrUw8FQtaQf9NiLCO3bZbcV68 +UEmGybA9JfbSe9fWTrTwQLFVjBHvpcvkilhrPy2AtqJthD4wkmfWRwqjNUUW9WvIC4AXhdpNGxid +nwNuyOaiseBl830JrrghofU4wnPxkiMxLUvisGQkxh4eqODnQD/POfcVWC7CdradB03bvnOcy5k2 +rat9CbztLIG8Mdp0uZX4xq9zJR5z6ODD+wCr/BxKK3ILyzLrbTrTOs6Xm/vtDqc/r1tK3H/mtCca +RYVKDHnVZCtlBQLAU/z4eyUYmXnc2YAqZGM8q1QqPh66KuJyyPpbiEp/0ueS4t/sNTIXXkd041gi +JmkrA1GmF+cjuVfiTFNgCZp+pzGZ2dkQuOtzPNBkf4w1xbpiuRMPeF0z7L5aupk2TyjtA7cKJQII +NoghcIrjr4oSE4zLta8e3fX/mGRiKWkQA9LUCwcn4GN/6uz/akBZ7BJ/wf+gfSygYWd10ezPKuAA +2a9cb25AMzVZ7o5zldxubrnjpVWU8gwmM6cNmGcd3jBYfvaD9C062KYj0ABavNVnLSY+AttM5i8s +w63Hn9vFND2dgPy2xXNRNHoxDntTseNMuXizJc0D8+YUAeR4gU6AB1DDXogAaa1FMyg3jFkTFXkV +EGpSNFHBf7hYkVMUTvxxFoedNRFXOXvPO3xepQuikfMVPMqwKZaBI7kvvC4EjJ+e5+WnFZDTnlvi +bOruk1ewSA9GEglDA9vJcHn2TD8CnNpkS+h5GuUlA21JWRUN7CUXOGou67g5rE35xwHSx3mKE6++ +3lmRgKnWDXObt+YfHp+hci8Gpx4Atg14fMquHwG1ViDY9LJYOkLdw9uNtQDcnmaLoPbJkTRBnPuV +CLUgBz5KtToKXyray8x05eoLBvNswM1S7bIvCraZwGNL3IsjFGyb1kAmYN1qM2azvCJjqd1TQKSe +Q7bZBRsLnf0jw64PmELmO2sRfxUqmL6sWJRMKYUUVgp0Pnvksnm4Hv19m240100rb/ott27pFcZm +ewrsSqdk7x0E9I6MyE1Za2JBqQRn+LCTAgZzasi1AyceB8rmw6HapIqh6e96x+T/2YuFyRauaB16 +OdlmXRG5cDRaIaIL252LVS0jyCcAmaCo5Jox/rf2J1gKmGtUkAIafX3Fo+UkAA3HYWyAlqzmA7gf +lkfKADGGopQRxN3x8Q4SoI7CEtaXxT+AbKjm87qWe8XdTunW3GRqygpyKOFPKUw7ikVv7hRtaQkh +NGN5HNclJJ8M6lvqr8oKqsZJlSmO+czguN0QwygCuruTOzbX7RqPmFh0k+8SHlmF7/jK/mFaVtIL +S05WRC02ZS2hWpwPBDPP6b3Uy3/qJ/s4tQlE0j/7d0wiXnfB6jzLprmR1NbtLQjlCFtNXTxW5LBj +xJOzV8csyaolj1mjvf2SOFMdnkvG08zOajsn2Q/iUgojyo/4aqRjFgXmN9CWnTqaZeGrIWkPI/r+ +ddYBc2ZxaSufJTO7DurRMByl1yG9l5o6a+q6RcAMpEmsuH88vNQgA8k3If5fcX8r9iaAsQPc0+uX +96YdwuXb3Xkap9xyZUfvy+k4rzEjxHLlXgylJ1zxQnV53TSvAXISgXczyD9DKxOV1D29Auk1k8B6 +zF2fIXc9R/pMIKpcrHPWe8tke3be1q9CbeWCFvHO3aw4ZfO6rNlwxTbWDTI0euygtM/xbe6+ToR8 +KYIOTDmJb22qUlS1hpdcUqv3tov92+1vh+Yg/ag5pORQvq8B15Vri8QLaeL/MXYLXorTPfwtpiiC +TiR48Ge3bxcZLvLmZC3I4SkYeROTqlBBIUJOu3x+RVAN/8jQct5q8CujJPhQ7oFb4Xej/u8wRgEB +8T68fp/0MI9VIpOCrv873AvqH+Jm7oh3q2BzAMBo4Izolp+9Zt+N61qlLscOIBMp//x0Yyg0XI4F +0KXNkhWaT7DcROmUlIuqb6A5S/jei4xkgW9a7B+yPM7kUAB+Rb93JbyK2lFVIBQN5JlZQVfL1wte +Lxtz4A3I4e0GIPm8052/2BZQENcQk5cxqS3AR2VSukgSH7sAr68tWPeU7m//dELEyfP5tLeV6/8U +3Igrh0kku9l0uo1ikDSl9XoB0PLutiZIiJaYS3nR8Fk6/L7Z3Fw+3ej6V7aHEQwq0QOte2X+Moy3 +59uSwFxZmPrk5M+olw/oFYZbpVRnymG/SgC7i2P9q8NULjXbT97IM+bOji8TEH6fiIbQ/UaHhXd/ +6VDmiLLk2zVruSuDNzxF3HNMwfQlPakZsyDcZSUFHycU3cwPPTO73PjCLWsJeONN53dekCIZrSzI +0mMoxfZHcIQIijqZXM1qQl8E0eSjELkM2C0BY+dmHwrXwVDBF5sAN8REEA+/RVzjaP2vdhATSJeK +utkUBcRfsHEaucOIJjQIE95K+gHHuVAzb38I22TuweZbizD0/lWH/UGSyZgw77DF+qm2Tj3IXvxW +LHj6oEBFpOYAd8sg9uvkis4wfx4Av0t3Tz4ey5UcnD05uBmOGjybm0BAPUddQX42wG3oU3paVmbE +c6rqnIQfwi5AIiSfrfGuCjFn50ewXf8AWSCmHT0EpjtzMhXEjC+8aChLr9yMepJ7JtXgyQPgC8/V +6mG6ITTmJEcSzWSf/V+HuYavsnV4De4yT+jsph0mNr3xns2u3sFe/pX3gXAqqr0sPcldpy+wtc9W +oIMG/QIDEEMXNEINjLE1B8lrnQy9SB/QBWy95LArtDIg7BKVw3TiB814EuMNFLBme0VP/yWyhC5Y +rMNAZAChhoolRJO+ZcnwUYi7EJmFwWUtY7sGnikOh+GetqHedVz/XiOWSmxf03BkdU/ZILYkUB5q +WdP+m2frS+z8esZ8UcpCluqsO0HazkNKemr3xOcZcZVB6mtnjXmUOl4fgDxyyNf5qnpR+4tIZuY2 +/3fcqMiBFEnpF2f6/f1nBUHrMcq9A6WUlxiNFkfCFjbehnftN5AdDKJQD69f55uzAgluhdhIlzoR +KUGYWmSQW4Xfu0lo3ANvc93vKzK0ogv4X5HZewHV6MZx1dhavLxAngdeGk+uINb2eDgOcPEWCPmf +L4X8qqG/LCl9CFVAZ7deyNonHZrBYRPkkpu2i9lbSffEuIVT2GASTjYDUsLmukhHD3V7Mv45gDzP +NL40jIfa3hM8OnkHLW//ePu2kCWMSnxhKxMhC5V6Qb9gyp2Zpg7eTsmCaPpKYvZHm5IMJXB35XsV +Y3TL98f1Nodnv+JCBUe0EkVQG+GOQ0KZguRiJBYdbSq3GiegzTgJl8kuYNJoJ2zrkY4cYpE2uLtj +y1G+tx71xz5gZLYAmS7cVtqOFUisIjZJFzAY8Obw3Uh5esBpQJsoE9pEDJms23Cba9zXv8AQiEtj +RZmxI2dSRsbUlHGuDTB24beW2r7SqvZveAGu94+js83RS62Bks9dV9apcMzIJRJQwY4Sv3/SY4XG +M16YDCygIDIt/+L9nw7vaFG+CBfA84CBSZk34kZflwvOyCq0XhAhzUzFtBRC1kbRM99BV3QJwtaQ +p49+jMsVQe7HKyEspvdl3DqV14nJdn23Ff9hn7IctVo9H4jvZdkluewbLpb4gTGsQPT6GLSShxXf +ICugwc7huCGsYUSkNUYiS4s87+5Ge7zHl/fDG/RaKVhiobDcyFgQrUmHWBrVqS//UF1oVenqdW5g +71a3UAolo35y8AV6V8/91G8uFvfS9CkE9Zsf3jduIpKXvNjxMWr5tzYM1Q4Y5bBQjGvc4BpqL/5s +lwJD7q1qROHsnHmQEl3bXM9Egd+fdRSV0PVQXG++tAkNfwufqZwAeiRdIUgvPHxQSCXGxp4t+Ehs +kZ8zoWLoSVkpC1cNMV+zTxUnr+hvFGVPeE/W8RTDk0fkZRI0c9lk6bCQOjvVb9GVzERtQRXOrFj1 +WXxTXeFyRRaWzXXYNUhl0yad82G3UJQWovjb4r1Z9s2EVW/TSNetO8ifn8ejUQJCLTQYq9pGwIV6 +pyyLHL4u/G+Kl6ecnh6iZGWasUkqbxKO1YBEL4B/kMYqyumajXQt9XvMf6AAbeJpH7XjB75JxNoS +v82J0CvAjnmP4XTCpAt585fuEnfxl2DSTuzGVs5bXTQuyc+BUhToCQV9PGq3Ggl6KHy5aLk9wRoy +u85A+BWxfQpKtb3/VGSZtpkkCFQgP1vjOiJH8QdIlmpe8ddlls/8aZGGvFoBFoKN//kH2b+gmeUF +ULVFVepVwPk9wrvxOqKj2LmOr0/Kjz3afrR9GNMzkZIrGR/1JOxNE8CcjRdh38/cQ9AXdIIxg/Rd +VhUygiyP73BGMfOxfRxNMMEeinUyKnBaxb9B5juK1y9yY1PgTD0CAlgxh5uR8jRWJ+orJhzOOVC6 +u6BiUiTn3TDeU2FTf4D3+YoovANaeHJWgvFcx/rEtg0X7aq3bEtzjMuyVSBeNsfF/7LQlSvRNUgT +gT5wlYVBih+zUbTA/ymh9lP9megRg6bcG54QIuXyz1k5KZ+9ID1PgwY7Uasu1r80WMWVxGkwZwRk +C/jXHYX4/7v4cH5C9NDFhW4OcyMG553lfp5EkR1ZUO+I66K14oHvQXwtnijbAEFxHUC9wC2UaQXt +05Y6hrae+gjC2K97hu03b+r/Ea/x1Uls8xGcjCF4wdWtN9NAw7E3V2pFBTSEmN9ENqXo17AI2ZHk +Y4BVay7wAG+UUgEtWFAQOxk9zJk1oxr4f9vArsr7YZnC5ohmsRZ+tq3BSgnQ/oXy/myTQcBAkzry +NozER58QPjXdYD+MG45nv/dv2cpXN4lGB9U6ft4o2wl5dOGFnOFt3ddKzWucps1FA+NG3btENJLW +6qV/awkjRHwCx9WpYAQi+lWFJbuVjSM+sCOWVQpzuKEotjaUu0EwFkhf5IbvXV6JeOmrVDYw8g7U +5w//C/VNFF2M7MG1fryrZYKvHjrn0kb/lzFXrdJoay/4TGr6JFqG0/EQZ39X72+fjs7GruAKfAU4 +Vf89/NBFzD/2mQZvpm8tHYcsaXJYvDf5b0lW0uJeAG3OM1jSXybsjMa7K1iDEdJBlJbd8R5V0YLw +eXhhiEAofrqSE96cGtiyU8SZLuxSN7TkG/HKjJfInu5G/YLbbVwjnya9mDQlvp6i60A2nXkuVzc2 +04BHN/GRQo2b3pa2/I12MS2qfVcHgFgtRONvaozIXsAcLhBXkyIKLsx4qS8w3FXr5cs0DrPUXoMj +XV/aMUIhlKkoEIadQPzenDcP3IEutoZBOTLocuZK37Od9BpUioCG/N9ImlxhFYsiUQUFJ6z9sGxt +7AA6mIelC6fTVYjNsy/vbb8vZTFysNeqJsRpPNnaP2lXe49q4xffxa/MdhIv2ZeXMYph0Czln/JL +tlqy9r4HhmUeilasgKb6tLy61yIJmENI3gG3FuB422OOQsrbozWlcauSaMxm+1htt2oabLQ3upxK +EszEmLESRSZ8AUoacTa1bLMxgUQzJNEw+ULn79/221tkdSe4nM0IKng/+FzU/NkuxKkdoSJT67iP +lwZa5alIP46w47FKUPK9aLSlHDdzhNlL3tog7WdAa4ut1Hk0dTtM0mn8W8vyQfXxp2Sr+EFDhfw/ +N2bQR/zjtQZTZ0ZHVx0uje4EuwdLEvpSgQGIcjQTvugqcugFKoOZtUYHl/GnpFPZQhwl3a9MwLeO +kvOcYQ99ErJDsqi8WyV76BXIdnMfmLTfrsyQSZ7eZAanzbWqDTS8fZrMO2ymQp1Hk6piCt6KiRUk +nnFROBxSjKdxg1SiZvE2Bf7mcTGad5WgqhdcWkATNRi8akyXMS5HORJE3VaOXvWDXHrWFmLsWot+ +2Tf8VQ9tC/b2xiDmSQtric2UP88xnYZP/tSi0/ytmZHswSsenaKdxs9cbQsQSAUZoO58IsecC5xQ +gATmf13tGtuHIMsOvY11IfSE20iheGe+2VaaDv/o6JZNSm0theHLoTuLSCZJxTfhTuEIiLbdPs66 +xNDMUjRl2DkjnviQhomUpIHBc0DqrukOPGl22F3TcxYscaaJDYGQwRa9Dg7i8dLl/ZVbIaiKzMI7 +phkY0UHGuSlxT3kPmckmFaiZvU9W0kt5zkwmYjXjvjek6e5b1mOHY4X9e9qI1PqJQjdzOW2PTFLS +gYSh27ATR0Rbvmb8UCQi6zMzW0o52Vt5Yx4tuuvQA6xvh/zrkN/Uzv2m7B6Vr8X4RnRFnOCNcqff +8SPJU4b2mxYxt0x8x8M8kYGX2Bd1XrjSe+IcZttoqqqhszecWaeLkm/T0/dR6a22KMHZhClX35LV +KwRWcqL5p9Hv7BcbZAHocVbf/tfYitFxdeb3S6pU6Zcmdd7k8rh2aOWw6NmxPNIyAJXjD/jh7u/V +nymY7+TbzSIo1hbMQA5riw1R3woreZQqn3KCBpS9tPFNTOmR1TMwtrz1m0zsJqCpTLmXxUSBAJV0 +UtzonHa2acS4r5YHwv7Q6J4+Q83rZyzRMNZjkelEVRnNRrvgMst/RYYKti0HVF74wDKMztCFYH1c +8RGvDWI60oxUw2OH3i4Qrds08ObNsyEv6c6vcK881WdAkn8uHKhJoHVv5iU0yapR5p2bBlDgLTxN +n4y55AxcSXRYNjPa8Zs/eW/p7fkBrtOh63lqlBMfjbzyqRTyOOWnqIUhsqIktBiw0oWjhhhzqFNd +vx2XwI6nPM/OoqZRKC5mHgQW1aW0h/j7KQzG9IkMV8nOE1jIiYugTHNupC1txINuO46m+7i3IyDe +2uEqS9ndfJ8ituInDk4kfaAQAOs6FGdubHyAVk0HvGQgjJZW5kInyzKvwUgp/Z0Pax8lhssyf+h3 +pJMlpEQxokWw9zt3vmnpPRRHIlr/dqIpZtg3d2d88Z0tUymhmh+9W3HCJe5NdON1IJ6ZiGYkLI/N +gOy4nb9KIbZKMfMtQUSmct270mVt9Z3SmY/P/gvriD/OXbGZeEjccJAj4oW8K8gSDatWNeSOZXL4 +U6OxqFfb7wqI/Mw8lWUCJXCpqRJ06AcjW/IfsRgfRZrMzVXvxxfiJxqxBjY4Q5QsDfb9uDNNU4AT +Enxm3E2P725touVrPAvT+GuNjuB/sYCNHJnoEcbCdbrO9blhRQ3jd8TA8W8HXStHX9qs5sFL4SBg +dFm8zMUoxIe9wXhRkcmrRk4ECCT1YVbkE8F8PcsE0m33RdOBRuliqnIQ0N0xRnbqggMIZveQCMUV +PxdFukj6VaGhhipVFs1tIll21EFdjw0iPtduzCn8MEB1NeumBISXCTjAZuv4ZwGB5DNioaQiLeaw +RqeJP7/jq/XTUDGwJx4mJovbrxVmLtZMhNnE8j7AN7qHqZJgKD/rB9GeXljtqYO+xz7icHlQFoiW +JAfNU4wFaglcTBURM9iKqj9IA03ry4ZjrHGMJFg1CQWlJ2BMqS58xmGCOLjFuW/7J9kKFF0rGO5i +jKYgLNgIAOlYTEqOBF+rIzQjt9wUgM2aP4NitzSjo7o1tIdS9/sAOI3/CMkYAYQo8P+ga1cJNB5I +3zq74BO+HXkuEHJ5d8YxZhp5rGRyLfz9XjcSbhczim6NwYQyJB1Xu+vK6JSfx+LdcAivvx6bdzUy +vAlCZyffVZIhGblGTwlKBhlOVXRIe0J5+1qpZVl4kUEYIw3+cM0mDb09kRRJDEiH8heCqFn1178J +YxXIArcYtx14SFzjYdnqr0Hf4mWHGHZpteSqgSnG/Im/SaqHFfI1xDPEMyd9X/ggdCnfkVDs3On4 +s2Qy1dvMbGddok6Oonh53WKLSlPOnwuPb4xO96bpyQylV+HL+I6L0q+a8blnzgR8/Ke/5lATUDBF +kFeGU8Y5onvlT7wO7lgGbbk41ghO6u9U2DS5Fs/3NIlRAW7OxiB/Qh1WapAfv2ES+QLHqyA9zYTC +tqDrGUOSkl03+dWkLOF5yNuIp6MpFPpgOsEf/UJDuRHS2NNKcjd67evayWuatDIc6S93hK/g2fjb +HcR4DqYiZ+H13jiU/BCAi97+ekpyvLli/aImHhXAg6OI/raswWWTIlmTZS5BUk6ipziMmoqGndZO +DrFPhCxfbb7iG5cEjmeSNjGlmmu8+9iB7+RlJTFaCT601nF8mqqM1OI1xoms/8BmZxuqLKNUNdmn +OkuPQP+gaLhyJWi6nJY3dDAfAzB/CRfzlSWDjzNTaAs2/k4cENS8WmgJw+3MFtLDHamgpZ7/8JpW +5E+89PisQ7ZkFt81ZZnanyYfu3xKfxHRuah/8fRr090pvzGDUNK6RKJGQLNinZdW7a4+u8n5vRoR +u9tjQkOve3SYePBu8UXG2EklOxrCd0ehahxh+Hb3HIj2ZKJtKC8ASXbVFcv1o2MeET8dANRnzVGU +ZaWi1m2U7v4AZqNLeFXu//xmBZBVaHgSuv4rrxxMsJ7vbfB04WXIqUIZYLUZ30Kx1BV4TOXbuv0a +TTNQ8PSl3EIVdem2Y56VS9HLbkTO81/FsV+1iqfZ8afO2UP838FnGOnMfDEeV9ik4kiYHaWY8dj5 +HvsmpzNt333h8SmgOyHGVIoxoyTbyTAschTFWmgEQOkcKGji0zZPWOPqIhjLF5D7qooVx8exzARO +XBdfWF8xBm0B4PbNiEuBgXj8ygxUVuZBEGUHaI7bdW+wT6FLMk0aMbMZx9OzXXT8lkgHZCU8bHWe +Ygk6gIcqWXxRGPAp2C+T3oGWQdzYELWJScFD0vFE7hFKYtVgJ4Cl7eJQW05yzmudC0vOM/nKZLjE +TkOFiSdYYaGIGaEhzUq8R0SGT3KkaMm8EmXFc2n/c/wGWl3TIDIb25qOdgc5L04eLIKHNX1EnVzV +HDqUckspyD7FGSOjzwFB1w3w3ugZYNKqujyZCX7LBImzh5g2BDuMVjm/L3jFJrH4/jQJlE+jhfLi +FehzIFQNaJPXEeARDzJVdiW1iwlfhti5dGqn5zKzBnS3H4XyHK6/ZGGPX5xhNVOxvUGFvd6S+Xdg +gTn2eHlRB4ZHro0Ec0NrIT82QzQ+yFdJKgvAV9NKnub7/m0jH5UDtWk5eD73OsV9evRkPcFLS+oR +8XC6t83tFm9vpHbmjrWhATNLgLRu+KuNI4Ab9wtoeyRxdKC3sQNQoXu8qojlU7rJ0uj6+CFvOkoK +ShxPow+iMaP/rX6Qs0gaOlQsYIx9jb6b9gzRXus27baQxoqmIxswmD/44wdyuviLmxPJzLTFRqU/ +5opC9n996dv8RYkajld6ev5MznsJsUnYgUxn2FBXT0dm8dRd0ZKWa7I2VnrmfLbBN1gN1mQkbvI9 +P9BE4IaDHr81hgBQ0FvVWHWTrx6Ai/Ah7wYmbE8lCgFD6kWQJ7f+wwTZ9hOkRJv58pMfUSlS9qz6 +6BbDQcEdr1FmOJcpGfTJuMiP+kDQ6cmGc3osmuZLzAgBOf95Sphhp6VR7YxiJzas8kvYdHMtcEHg +mXpUijYAhOpk0Iye/HXZP8kBpDsTAjedaOHwGU/VVcElVfjVztkJgBBxcivdU5kIVT2UtmHK/voz +oHZfjm5drpvz7ZfuDs4HADfc+8TNAkRhq1N0+aHvKIWVjCjfmCGU/C144wuS/6g4Im5wZS5XC9Xt +YuvFE2bhpXFTNZXPtWfWxVUTEMkSmM6fACEdexBN8sXS+bJnVjqoIRdos9s4fVUo8VtGsy/dVH33 +dXKtU1+T9SLEMfG6TrDR3umKqRkVz5cjMEo3foF5gnUPyttg+8uZ6/Og9InruwmuNuQbjVVnZNlP +ejbdRK9nh+w/oi8nEasCiAzsD1V95ktmaY0DlE1I4s16ZQ7h4NbryWqDv2F03uM7znhFlFnBPfYI +jJVI6xH1Ky4MAUaLgTx4FPFEZ0JuX82ucYx/sC+XLR4GWXH10Soudvl3VfMDdutib3+r9dN8ZvyE +6qOlzc4FZCE9iar/BOROAkEKO93I64a0FJtjJX+7meW7w2aYGnY/TNMPt0r7WHvXrn8OtGTCL3IU +mGyNDDxg6Nn04fkm+z6mn80NmmBSQSGMaRRnobaHc4hglVDA8ne6UvoKwPpc/tHNozmJFQrEM/cZ +i/Jt2ILuCJwPoT1/ZGrUAR+sJ1jUw52yhUSVtCaXS5xtlSZSih7gUzaD9cBQtLjTJ45S4NTDb6aA +VH8BR3FqnXEXXCJK4c76F/qqWV00LUYGT4xE6jXSxqnKUPwvv0iRZzfG5zOIPA8xvg1MiBe2T5tZ +3IhWT6BFAfyKEdvjd3zGPT0dSqjYkQ+Rcl70LLrnjkveFfn6TehJN+pjBfKlxJaBBdbX/Gzma/mp +meocSK8Tu87Z0Yd8OucQ2B8hINk+4l5Z+vkYQRumDtCegthb2Ac7loHsCtTCKP7sMUxc/0hppMmu +P1m/urbeUpN2r2qOXeUpTleNWBAvQZ6ep79cJIA028KOGDMmb/zzDdLwuAwAjGd5dXnRy0+h7J8W ++epfX9JMH8jm3IUxAqtD/SIl26MjA3fcZDuIiQ6vIQSQX8MFuHqeQ07lqdBVFsSnzCULdCqGIbpr +SVCTLPTfgw1jVgcvaheTRZXjw0j5r0hoedoYvRzxzgRDcGEd0APSU7dbXMbmsuxfwfAkDeVnKRO5 +dMTWtR0yrh9q/5LFvbJ5Z2T10sVVh2ALwDF6JPF4dP+l30OKyxG/FYonsVh9LvAKtekgAV8mOsUD +bUnvcAr4v/L2bkN7k5biR05zAoEKq/6QfwSvgQfMcKBpH7w12BkrSw+qo06CPkk7DyhoI2BZdcDC +pvzKTHAzxkUMZSsGoahrpZf5TQNmQ3h8JLGLLn1H9ox1QYIAr3DzpbxhX6vw1Pe4g0Zub9bsANJ2 +xcDVV/3rrQTJttY4c0khOd0sDyeYVqWw8iSP+Wfa+Jp8e1t3RhgnMRqFRm4sgKsdGOGDaDHAr3ru ++cMThWtejmjlMeFPHnrzGYLEIDGDIZprDQ7N9p2btD8UF+GvCfFG5mcfWbtpyr1714FbBUfSM/wX +FITWKkytSuuDU2chpWiMP5v83jZ/e3qVSO7aPpUtzadmmqPhZoHYsRj9ly+LlCBZAc+6nQ4Dis6N +nFhk4thbiXya38L7arGUvH0cUZKOkYjRHl8fO3Vj9Kl7U/Tvu5oAPmJwmKrQtnNb3LbCrrgI/Lfp +eObt/a+CLhYk4qHfO1lz+9tQenxvwZHtZ7WyrgFPuttxqO3sKVerEx2tbm1Y8X5DEeGQGcDCXH73 +t3dQ2LVfeOW3DR0Kzu+yMX/xcymYMz6PO+eB8uXVbeFqHNL5gadURDSvYUhfmx6R5uTCrNtq5aYa +8PgT/ylc6ePtR3v6MCEV9QcHDVbfMAE8lqv3TUzq9gg+4g4NCbxsIkRgos4qpzHtEXuLEWTTF7sB +YmXjnKm03q8NrFi00ED2HTQ6aALSxFTwVFraHd2nscLUVtVA9W8AzF27m49mN41U6nxnc7TIIe4A +5FRlEINrlmF7ckHfZuoX7Q+jcH7ApN4ALxKl7mJZYoerK2js+CUXn7thQrcerR9wJlDdl8EbZphh +VtFuzETcMCRySuhMNZd91MLW52BzrC9j5mVOMG4cstwwf04459DQ2e/m2n2UboKOrjUANIqJ86CM +0+v4zvdctjuFFVPS6MqVKya1qWZA0G1XXtQ2rrjtnFvV3oSxcmhIGJUoQqWYyBCyLbsHAoQ0g+nI +fBTaOH4drTpcLA0DPDFYyWtuX54dc3TVVc6oHQjVbWDxpErsQ6eULTWFVwLdzVOoDZoGF5fE9f0Y +TF0Z6InrTJkyAABMme2vMUymoeNOaPnIr20cviPZfFt4hhKCJtpdFuHoodngkE+EHnhGwJ7VLbRf +bGkJfBqkgsMEFaZwYm36SMWopG4mZbyYIHwNXWsXu47GAFOOXWthM74xi/dm5Dkmeo4kkI19LDvV +KwC7kLiYTjAurWvid/o0QTqCzzhmQBmZn2OixtFb0bGK7Y8YlRlmzUuFD4ZzgbwV9Nw4SpnAbLI2 +fPHBWRL9eUT6qObiwe0pCaIYiWNLTg2I9ajz8392y+pfgHlWd0PIqjMtJzT8jxuK3X02p4KPcB+w +JwkJ4DRAZYBvx7esGkGQs4ETeYK2S+XWS+u6uenov9Mvm6mqH44lwrHEpM38niVE13K6Hfj9l67D +2uuj2YShNqTe6MDH3ychEuOZ0+/cZMujEitPfgKtpY8c5HD9DRFH1ORDfF/ojcagKzxmIeVRq8o0 +BatIV8vK2+nCgyLJYpS3pUPS8qnJMvt9LNzn9candcKWRhNVfy3C6v0hrxMsrs2sueCTIyApaga9 +BGDBtzN8j9wUMrx6RGYjF2TisWtjK7uQyq5PuTrSen15UAoowe9s+ln6+CndZWsDeGWAVXqXmIpv +ulRD7oX8FvTgNkoKDUZB1MrreYsKC1liCTkhTlUDDXGNpJoe/Lekz4OhH8d3c8v1DJPx69CMTzOo +g/L1tnxZIpVB1tehKOzYXlwTQFa8EPvjzp/6y9p+fn2qYXlTWhxDdBDTG59Gzg09unylRSkAVYSD +eLXkZj1FJU5jRQhlUe9Y1Iavglh7MS+amjXdoq3DK1s75Eks5nDWpZs5/qmHMUEVL3lNhvhHiloy +UwyMg83DjVJB+rrzJA9HcJ1c6VOn1wJRqOjlUq3fmV14PVI4nCwZ3HSem4s6wOh6/RA332ctwdHW +nqgP63m4lVuwuaKb2tknlghAfW6EB1nIdomIWc5vg6qnNBCKmzh/EFT6uOgGG1SF/3uXQenKRxYD +TyaGwa6mNFNJsfvN/2qUZjPpDzmYHG1Izu7QOlTiuC10rIOUOsnRo0NLMM3l/wxm91LGfjDY7jdk +8NPlLfZbRb8QdGY8F3VcSBHSA6/0OIMANvTm+S098wJZ8NSSiNPFyHadx/vV8FE9FDUwzZ0j6DUp +gxMEQRZgGb4w/BErMIuw7uhzE1kQ4xLgPbUC/Y+L52yMiacfxgSXL5QykpnsXHLk4IHfatDzx6B8 +SLBzT5+QTHMMfoXkRzUpkehCZO1OPFemcd38nbUZKHCIcDFqkLYOn+KL3xXHqONLmMVIgJgObVJf +qHst0HLSmLr1BICZQJsWKsUoJDHLoDsGWRy8qn0QY9545cABh2vBJGqAEBYlrZBCAy5Q0oR4Br3P +Rxnv5X2A5/OLMsZmd3uh9jONxrlZNqnpFDLEFxsJyewbJo1Z1y2yCOu/MWt51P1Srlh6kSRIEdQS +EQUnDW9hD8JbQM3JDjF8+eRCE/qCeacMLZ7Tdz1kyE/IiwziJX0M1TMDLKerVa9FEGUJnKNl1bv5 +R8Jl4SmyJxaMcUwZ1xWhG5eZ/govKD/glNIstTQwB1gTJ8U3DvlR9q8pN8aYzR1TU+40tfKKAyIe +ieAGm+BOpkFy8vntq0i4YIcoEIeYdzafft4c8wA9K/qvAmatUllSIPoz0TZAu40pxZSX9wHFhsCd +YZ5f6q7M5k0Iu+coZjiV9MgMXJ1haz4Od3PpaVywstqzbgySKOp6Xm4IVS4h+2/BzzKqx2kpbR+t +qAQ/M0R9QmSpkSym5aB2F4VStCZPVSaYpBEQFPIIDx01JptTb2pAfup94WdWot6I2Qc1P0q3aBBC +TjU75EYjUjUhtpJur9bUGFFLwk8Gi5bTGd+mkORWKdvdIVWDwwvpkWupbm0lWQ2m16QQfHNexK6b +OXS101bCs1gCrL2E48F1FSfOyZ6kLLSn/BV8baiifvnSpg/8H8R7MSKHzapgyD67+2+6ghfL2oS+ +Yzvb7wS4slsOpz/rneYMqtSy4fHM49AEArDztDxqvaz82R/UoKo3bZlSoStAJt5pAV6TJKBMiExQ +ORPLjsiSdy+E575IuOvPf8ENJP+CEOY13ZPdSwLK4toNlvujWXEglyY6/BVdlJ2CZsU7tEhzMLYW +BdM3Na+DU4ddMjc1MbzeKsqob3ZUKaqCfqNPo9gUOmdKKoZWji4q3FPnHWizCod5cujKnIkbDpBk +5cKXnU7FIlThmAROBiOWZ+6kj5bp0+Aar3zpc/pXN5kc7npNuRP3iV5TnuDk/Pmh8QYJrwcL+QaW +IAftpHGkqj4mMZ7uOZRMw9Dzj1Z7pnKCVGEDBM7YsFgHyqngeu/8dCKvk0js6lBobQ55WvG4fX6O +G6m6TcULd17alQ7wtTevXA2LB2mmpd/HYK1Jc4usz8lcbPRTyewPW1F4vH0MUW8+J4Ui4Icuxqrh +yeAXWhsRxUMdfw1j4IeXGtiAOl+p0RY+Sx4dxXJhmzx8WiQYSMJaiBf9UXUklJRnU2rlPv1UMDGm +3KX0gIJC64ZfGVJvvHXtEbbxL7sYmteLyz3shaHMfSpQrpG7p9qfFmBWe/vWbyHDN8gyWlGnQqLt +LZMHHw/W7aZSck1mJ/4NjyG8RT5flzsoaWvUh0B6aDh2jgqFa8K26oL9qNrQGCy0pF3IRSAOKmdR +SYTQmVwVcnQvkw7mhprh5V1nvNsGl15acQHlbvTxJPQmG1kLq4wxFZHi4uX088UfhdAnjGNb10M5 +In9g+mg03DehZXyPyHkSKldYXpwk2fd0w8Ugx5+7bwqdZNZkUBgQ+NCUlc52BDMg+EDkftJApAoM +ljM/7FaBvqRxe2A841cYF95tlhx+B4pMDu4drf903NFKiSYSLtyx3uifIGvLG/0VPWws1uK3R8oV +NFlvD5DBpn57qvpoziVtxFqJClHxIZmgQ/68ZSWImYwWkj8bRUvLnnoeNz1LhSs+1RpPAQXZPe/Y +y8sQQj0K9WG1OilxaK/ryC/KfMTfQiYigIjxnVwYlkOglQs4TdojDOPJEF0uBlT17hLWZeqKMSgT +lLkO/eVs2pnaxEOeyD0tihpErTxCJ/lQJwTwQvTiA8GS15nxUTYRWG02P1i5JoqkrdnXnYGYYow4 +I9CYSJ5MsU4QFzlYh3vZ21fhC4ayAmekSZ+Xbu6BQDjf9BGMXCkGiFQGyeWxbxhRiwmAcx3f7C1T +MtA9CjYJ9dMnLc22CWdYxm0l2sHBmGPHK9G1WsvqiiNNZWrLApH18dGftANPgbhm5h5EsrNScYgf +m24Bsdpwy5BTV8IRz8bi2g9jU2ue8mj1wlAXfeGfbf1U3r7BFeVpl3xXwNlAgz1T5XPA+GBeazwl +Uhi+88pyvvf+iTiErI2RtOXzFImmmBLmD502IY9KTKNCEH9Ua+t+51C45Kx6NPsthc8qkjHg14Kx +G3TlLa8AwKVidFc3ojGawHBLa4mjsZassKj9epEf3RFhKgRvWpRWuUQmCgjU7PtZrdTUSuu35OUr +wXnTiXEinUqin6bkssaWp5x2J7QAqipt07jB5xQKUEGfNd6C7152bDJVacfWbsjOB/STmk5SDFDg +cTgqfq3u1nJ9zRMq+HGgmf+m+ZrZfW1MujKw9ETtOOY1Jnmf4KuIjj7Ci2oOuTCdSerrkUJB8rUg +m3cw3LtDZGV1RjIclKLtwY1rF3WAcMtqPtfeWBp7w46cuwi9nUrfUlY7hx4KuQkbLR7AvHrhl0Cq +vLsZuqRG8hQwqLrusw7f1ClGkJsHDKGRmAo/d0jzJMv5gRVsVuaJBETPu7UIJamHB01czir+uYxd +j3Odjj4yXBx7RHemvlWUmEQbqasXcPMhbrjFbB2hcYfDe+A5tV8GkfrpHPFYMrbtT4keIq5pq0LK +MNSYM2l44p1XORhlRy4ZwUjX5KfVyI4WStJB6smf8wpbJ8hshQrsq9QwSPc0YrS1QEs+FOXq7/Ro +DNTCVDzaefqryBmlPEvs0mpCmZUF/YCS2kPD7gABwy7y0kfmujCgOLfNxbvJfqB7raz0ztdOqEhS +9jkNmL/5TJ3ZlxXwl2BwEe8vdpFDkanEGmjy8zhYA2ZA2ZOP0c4Lwzcm7qZ5yFNO9lA1B+3yNXVJ +XQCtiUFC/9M3D18Fbace9EMMcL94Ba8eknzWKZEz6R/ZacgMs3N/wxwhyJBOLo5K6OqX4HRnpumD +ygYIkQNMXbjeBKiIo7MeBXgczm6vB72FXaiQFKA1p6oC+Z2TJ1aFVmoe47AEbV/ZR5FnS5ilI68v +yS0jAmViDtslSXu5xoI1M31qDWhK40EcEInMAstv2X1Hozaam6ShAOmUPoDp2/GslkeXLQF29nJw +G+UbE+8cv73SLxSR177iShKvWovOShZMRjWN7GIFAlmP2PfXAoojgQthDGey2pKw/yl3GlBKm718 +nbSBaiq7gt1ibYT1R5lugDZCvEHCBI8qsDTxS5U7KebpuL5OtvNWXxciEbKbJqzMMdroDIFGNWZT +5YJlHDNbQ99kiRFPJw6ZwHbnngQGNrZ14aKTkzNJ9KPl8kpz6LTq13m9I9t49V9n4WYr6MvZ1E0C +7znboiU/OLUNTQr2rAGjGPOaeNFoPccnHWRPDG9f86ok4o2ilmKSznfqgL56MywZoi1Eodle1Lyy +N7e1B7MO6g1EqEzzII39Gpdn8b/dV3yfb1YfxV2dFExJDJWNg0koCsa0Rdqo53G4E7CKp+xO+SLU +dQvrCB3JTfAjiAV5ZEAv2lePrcF8dIYTl39zbTxd9JRluKucqs484YxoECjFoFfzrd40SYbwwwR6 +8tOSY7AguBzv0xmIp4j9Ih+8sz4DuYv0gvzVPEJACdhE8tDRTEKpHM/SAvtLze/+p++UopPK8SU/ +WESapyKAWDP4U9qnMzhW/8BOv92nFhwfKTA36Mv5XG5Exmx6A4tOoJ50Erubdw7QGF4X/1OvtHNZ +IFWWHvNjl7mWW4w8+Uey8DUl44Z4dPmrFO08DcaR39iyqRbGaV6+YylbYTLbBXCH1ARFWwFNr/1B +TGfKYFsF+BSAfl8MtWk7s4HkMjjdJof1LbZ1zRg+pAerj/u0hxUJVpPiUPFz+/pcZok77fAKIEUz +QRoaiRUAEi7KwBkYPZFsOqneIMgvqsUnKqD1DLgor9SuKrKZEk1YdOc7nnmd8HMNF6GNzJT1eRXy +K/N6eMyldlcfE4Pl6dLbW3UnfR3+qecXFUonnS1vdKAQugvrToBvyFFtTw0IhB2jmbwV6wPf8QTD +If8pkGMn1EFfrzCVbg1kQNfzmlF6kif2lLqno+gByHnOR6DWxjSE/aw+qfbVb9CsmgBS7FysDt7P +G+ERByAwiEBPW+2YrsJjxkdHY4qZOB0N16KgWK2NlwS1jiyQwVk5zqJ3GUauoXz+ZEKMWoOzxh2i +rCOFV3QgOwvOcTgcRrx3OrIZcbEViBUwrtaG2/zQFkiqchjG92ra/c/BMbGJJd8Nf7q8t8xFGgBk +cDxDq+/sCEnGDy/CbhO2SqBfcA8uYpnGLNDrLVukqiP3dsPHT0U141APP3KsOaTr/FwbXtx14+g1 +0KGYy6IaxtOdmPSuHku6w3c6TmC5oMPEa3nqZIyx+a0QWlIxztm+Urelzy3iIMo9K0tgclJC5N3N +JY5K7ce/uiuT1GrVy59ylSVJO8JtZxMI1jNgH9VgWrVGLMHvC4fz8+EFH0rdGzgtXEfafuVcdzBr +Xv80fXDf4UZiZHSyTZAXNGbZnERODW/6VDvV+oaefsbeuXEqopiSyuLfnES36z7G2luNVXhaI4nF +K24r8ss6qVnWml3Wxug6OozNecaKR7/2lOvezGUYFyBqveJqU9IYtxdJU22Dmym3PJLHf5/zljrd +HYJlVJ3zhtlToAJJJiZuy2y3I52sx/guW3m6XkHjSE/V/VnDvWDCx9VqKyi4NkjjQR/o+C3gec3T +wisb2C6Xh1gCMjUl+pn/dswHVV3RlMPRB0IPZuA7EfnKslBtUYsrlZj3GVnEScbM5ZV/KckKCE4p +eYPl/tvUyIJ4yWVoMrJLmnD+CsP6bMxxLtWbPNDHKHY3+RQIIwplYtwTre/qxMWqs7CFPpgHAQqn +H230/w0dl++GxbeYeQG2Rjc/oYb3iGEKJOhlvFjlTcwvG5cXNJVpKe/97SQSVI59AwFHpqjKAJiR +xRZcif+xtA+2Eq1S9tDBlI+khj9bkI5f3voqsDzRzDQTFtN4wBvcPhErptvCCwuW7MstFG+sy10R +VqT2JXc/poirAQsvkPTCyO6MgTOR1JhttYDJvN+KCugppLe8lqZA5y9/4la4OeYbubO26pwlOJiI +GlnZRZ0xqSBuFriP7A+kPGncwjmJg+K31wY84hcEGATTkrfQhO9y7I2GSac0jrbIriDhdwOx29e7 +tUwuwUjpJxy35m5PobrHl3AGqkd4MLPqKir0uWB49sGE9lV2b2DA1RQQlfV5aFBYQf0A/W2dGEN/ +e83EOgJPvP9oG5+EFh4LHAYZuNx4KkxDW0XHKuL1TPfC/BQcQjsuUrAZpY8j4QKheSnpOkbw5EaA +UbKKKiNrdNDFVUmBJsJgGsQm0e/Oi8F+pI6FAtSjVl845eoSe5USSQK6J+xcO/VsW4C1Jf/m//ag +iKC+rwBh+Bg2k/KBKI7p0zgygKj8nFelq6VaWEzVjYvWFzWepW2YWrujQjw0dkrWmOSSTv91aTPm +RJEpatrrLzFi2l6iVsSy7C0TSjUQV/eUAWYXe6UnV2M+CBo3OEyXJ9F0pF+Bvr8LPcG4ZTrkkePj +tn7ujkvLqBBn60+iA/BWJrw8Q3a7O/1kus7LzhaPlmUHeAeqwATby2BReK4aD/NxWGboSC3LYPph +dz/xZPKTojNLRo1zatP6pKGkGheG2VDDwVlFOL1/eX5p4m7hkvo0SUhHewDlAL/2aLfh0O6w68ye +T37LuI1d8wdF+WZD2+wkD8EhAx42QxkOvUqAp74FAFlH4TemLWkpq0ewpgbSkfBIfi2m+PfjUExa +1vF+ZMwi0B57LBvWJN6QZ3iuWGcDRTz1Me4VpIed3j4vkh0H0FB6FVmYf0zc+Jg4ZpPKXYljsse2 +fmBZE6rBFB+SCnFx7X7cZfrpA64xayoZMVWwsU8CIXwMJw6LHyVpYPwrn3gnycPn5ENZYiOgxVxN +QFpzPCsnzbADukd2geQnPD4wNQXcyn+G86rHlBHA607G1XJWstUVK+Py4sOpavGYP+Iy6tedtprg +RtMpvE2EvogS6UmLsDfX8AMPW6m9j7ktpB6W1NtN/SfDrw1OtIeYroKdQRv9xOPK33jp+syKavHF +nxhCoMntvtPeTg5zuJg6Eh5JGbQTFwrRGgHR+Qhqm0C7DG122AFS2iQHhoEHL4ynRNawvnZU0j3s +TryV915gwspNlj/avqc6gs7Fu4dHFYAQzZzlERh0QR/wcUYMwGeZQYTkK8uYZv/mrkfTR3TjNLRM +mZDrI3uR8Zq9HbxrSYhnWy8wrqDr8iYuXb8gSmCp4WC1SG+7ELL6OY8G6gBjabM383Y7Eejpv7nQ +u+w4NIxJN7gZmbInjt0GSNsIhWj6Ka929Vps+fUMVAbzbmN9exHMaZD6F6W6hT+WQkiAoka7swi0 +v32HzFaN3/Qi6sahnHPmxnz0qYbEgIewp1AsY9FvELVoxbZIJyozf9K4m5px/yT2oxJMWujzHMQt +6f+SjeN5UHdAKwnrvKlrIILb1uYjIuH4204hgyFuZMV6ln/KQ7RicdUe/Fztf5sQ4q2TobEGr5HG +IEo6l/zymJGY260KYHAjCKOvSADGX+YobhT1wGZrQDOr5kFSdfSJhRckVjKL6MxnvAXVkyjjFTVn +QJ6UgLU6lBTRlRZCyqs/bWLpLsxBCKVetTinDvQxPukG4yfny/e740Edyj70y/A1FdTwLZouG0Md +oX0WAgNPu0MXqm012O4utqr8n/KP0vWHMu5xtTEfA/aN1R1aXIuI7BpR8urPK02N23Ix99jpgHb2 +GOYNh5dEcYhN9EYKxBq4TOx2kl6GjXPHgR0d8XtvK0ijZHCMVoF2cDMCgvzoPHNgD/gAB6yFcJnE +W/va8UDhah12j7TIxOCi9nbQELJ7JaqSYnNdG7SS3sBKqiPmXcMe9ncgiT1iOBmQ6aA54fzDsqkq +BL1S3UpG01/zZjJ2nujteteiMK+hNZlhNLifKJZjuMKY0EIc3JZ4FnGNpHKmZYBDtKYW5//dGED6 +ecj5Nz9VGjzfGtBdcE8ngkUM2lF/TqXeimX/wNWWZhexiT5Sk0p7jZ6wgYqHuKvvch8OeNfuaxsj +2etnMCH7zFe7wTlxrArsIhydyMirKNGzIxOeIkmUTPZhgKFX8Ks/21mSRdWK3Wa5cn1Rwe9vzm/B +NNj46qgFswvmHzETjrW0q2f9eZhtPBJGtsPnntTGwiAjZgi+xyI1OXr00nTbcOqeHcgVPt6dka+v +QNOjOvCP/tMPTN8TS7Xigo4pkG2u8R+RHyCw5OVsuLGvZpI2B5CAPrTJLth6XFDeSM4Xq3dbE/Lz +Q3AczqJkUHiVa5UksXAk3+H0dPyRUYG8ll9TgiLupgoOTAj1vqw7k2nHBc/jxwsd3aTxCTgXRv8r +TL4GfI4NKsFeJ226qWqU/LSvO1GGB9j/+Fatha0GssMRjVaqzA+745vZjiMqMou1Xj7zGQCgrtOO +ExThGFiK8ix1tw9SWSb55r5N/WrYvU+UsUApAe1MIQMYMQgRDQWW+7w8os/EZQStzTlpoxvG8Crp +Yth62w8W+lH9ME1c53wLls9/H7mDiIXTMRzMXuRI1jgbghE0gVzQlbr+mMZ/PdMwjPckJnOPkhAH +ZIQkPX8T62ebT6Eupfj7Ds47toWXUYJ7pJzLTqPMTBSe5MFjR9YTAO/Hl4WgPOLa9Z3Jkb8VruZX +KGwysrJDoRQlutRs3L69/IIlqeIV5JzZH8YNjQNAnylxSdUOgytlMT1afKzG4J+Sn02437R7BEDu +iu0S/YGjwBHodn1ihsM3BbDgK/gGUXkZBcYioxUQTRxcyF+JFRYzD8+Yfd0XzH0LkgAyJi7nK1ks +CEvGjPqf6LxCdyuD4uB3kZTfF4qcwn7VSMT+kjpkTGOCC75g+17unCsj5eS+Q1dQ6r3UM6USC8Hx +hncDMi8/BNWCaS9DrTFCRzF3QxkiLVk0U1W84f4yurPUS9NOkBOZYv+70WKDIiY1YbnUvER6n5bz +OpApNXxTmWWUDDrcd0+fQ0IxXNTCDbipWhGwIpMuWy6MtAXKald3enxtLGwJaSybpAHMXyHBeTFB +P4G2j5zzcGy9Cmtu59V1/jPTtUuTHByzLv2aeZ49KezKG7Axo7ipbAM0y12a1b6ATZ0q7sPHK1/4 +71RKfCGkg8I0Ru6gvDDgnmYGhV1NABlNF5t/aD9IJpnGasW86ZYH5zQFbvaHf6LM9FFApFcPr4/+ +f/KovPLcCvkdvw2MTXX+/Se5qNxGZsj6bT+nyUxOhcsJb5qagCOlj8A+UL1QXodaUvVWRnKItQA7 +9m14XTSgFbHufl6dmxsvXaJ5K0vrBJWEIprsim5+dLClsjZCWKBU14ouvwzacsgb1APe34uqndvu +aazsNWv0ic+1wRbQOgiI+KZkYXph8/ZEwTXe0fpyoHL+SDtRGffJTZp7/n7RCcOjuBM5FWfQ/nFX +OLyELHODB7UR2DXJA7rhYrmFpo/vGys5bHZJYkYVwEc4q+uwfcsQWFmGmDipPdSK0WVn+qse/SGU +MrCmuBRdoav4mJV/j5RCOBlefo3dQZiuqAo8Rzbpm/3DtCU8bZovsa8TL7I8P9QaUvxSUkgST8pR +6wRTk88ykXr8c/vv2XPVrTSGV1v46jyRsrNLkf//cVZQgbfu1tjFGC9o2mTIj8XCX+8jkzJm4l7X +yXkE00iImdhIGtlCNwVTNJnPGoq3v1PgawsQMc3imDGKuG9FfLwyg1dQ4m9HQ1GjVELAtD/zfA5A +J5uK/7Pn2o2HCQRKGRsY2Lb2i6RM9ClJXQFIIKSQY1rNBrcLEOQYI/kCoRATOzKyjK3vNYQSG1qV +b4hge2TV1p0HAY1WNszZZMLxYSP0TZmP+DwfGVcb2Wta5T0q/EdBHyPCRHeNhNI/+n9mr5/Ivy7A +b5UeMDsfuujYs/cZSoP7oKTzoYAqBufWx3VXrDoLdGyfkvh+zA/tJf3+LuiAx+vE8QUeFlAQdmB8 +iyiXYELAL1XOAO4pLJSedea+FRQZIuE8/EDjxt1/hG4IxxDVyUxtslo2r5aG+YeTGQrCztjziO2N +ojZ1Dfm/zgmBsueWrIstKqKy1xlaze2Xa0l0YpaGDJ2FiZtb9lenh8z/LTbnD/8SSgaSutZzpzgG +HZVL2RFGXmv0qyE4D75IA7oLGZqHwR7eFaYmlrMTvWwLFF7DQV6H9Hy3RnlkSHOEqBIx44YbCgJ6 +gUi8gKev62KBq6IaIDtEZ083AGjiWlH+ZoUmsv0Gw+v+8ve6wruHNWF7abj2xg+MZCvJUtZzUGaV +pFIUppdEw3j4+l8QjBrtKvtTocLsxaubSlLNRR6SVCPS7j74/nz3245mpraw7E6BPwsPapjnnVN+ +GP10AZ1EJ1Y0+HQJOZOdUCMF4rOv2sQbgBnQYNKr5s4KjctOfLh4Um0Pq6+DGeJRySjRmOGc+1Qm +yVA3vv2jGTOoaB3ro7MQGmStaors+AqrjOwTK2Fy6NroyazgBON/BsXgHZtm6Wbi9IOA4G3ub4PE +K7iw26o9YJ0r7SA3MIFLb/Smv3B6FdpEwC739FFB1lh3Vv60VHIYbF42F6bmFD2+4lJl6DkK7WA9 +0xrjrQujKlJcTojMtR7cCwAAcP8no6+oOCE4ToxGjEdxUQGkoN7p5WOkVUBvglERHt+xVvL0frn+ +Grij2wLJA4F2fjWTX+6LfNrVl6VEFRFUFAMsry6hhz53UZnFEkJOM3RcDFZywZb0tJ5hiYOcgZct +66Zag7Bp7vpC4w6hyJfulj8uut3w3vSJVe0ePC5tDqbLnaroThtpKt6ZgTdCEzqJnRbrc515sEwX +DMn99BeizLOJZfXqjWAi/DyjnTaQgyDXz9SOb3rTIIjbyV+d9lgf7UCI74zS7A0CDDMndO44kq+T +h8JL+GfBtf3Fz1N3OefwEisFtT9iMHlf68GolU4nfUFTb7qi/rfWPwEsZzt/G5Yd/DVYdmx3aoEy +BDnUemo8lDLqDvn6Bmc6PJJd5NoGWygrHunCIET0r9E+CvTbxRlcPWPs0aP8S48V7UA9v8JbQpJT +vQ5YHk3luNV81/74Hn8eEV4OO99G2iL5aM/uSkFmTfh8R5EXihKUHaSf7yOT8wOMf6AjlawCYDr/ +BAUR+xCKO+7+dCWageq+A1Opu/orVgbRy5a9uMR0sfpnC20VcnOgfGK1d5rjVSNtXzuu8+9Ay4hp +8IkvSeWRp+SI1uqqFucz7BMyYCid/yQJqJoR1F/r9XJeJiRFJDNw5rzA4FyTf2OP7TLPvGcSLs48 +KQgTyRjaHUMLRhFrJ+QVIqOxUsqqz4MZk8a8mern9Pnz5Reii6DNrrdUUCRjStKY8yXb62fVc3y/ ++gZ4N54xr3o54YAs6K5UJlIv16ZIVByqJqFekuZR/4TVxdtOQA1LKiC87rvAhnUMnIIpOlSUVKLW +BLzHhEPB/P2lvNMvGViUdiq83HKyzHuofo+RWRMD9FUY07f7fI1n/AzZTAXlVAQKL6/tC0WCDi9z +lSz5IbHsBNYoL7P+V16A4hwwsvmACZurcwMyGqg643gGGm4jeDBfiMy7gLCK5GxyxwfdwhOLRBt1 +nAgKpGhIHPSET6T1GbvYeILOgteQQ+wQSpV5sBkEDirY8YZJbgjB7yHagacZADVRVaqX/Jqh8N4q +GKZ1zUlEw6MUXzPNmJARHVmym2wSpP8ctq94Xi+dSH1PLBAp/5dOHMZR0CfLdNB4Goz/zabIyDyX +EQrzOEpbIZtrGWccYQKKjAIpgn4+/XSAXoh1XupNTIukok5PuG5gBRXlyMU1FZW1rvhF4DZRLgx4 +pAn+F9jCn4JDG9XNj2Y0e2FRl9+tY+fhaj67+ExS0svtOVMCHxp8Wo7hEjP2N2m2wOXW9sClwyWP +xuNFw4aShCjp+8GJIgtywx+Xq47fiy4xQLIXVctbi5K36TR6oTWak5DNoIOhByo9gn+ZvriEpSq2 +HJcWhJrYXdn3VtUv86i3QHaE06qX6NsZrnP/IoG52fEo4ORety6TdghUvF9i9lQinnTmRl96gNfT +kh+H3qZWFU0oYdEAtY0NVXWbZjpGGejoHlnrxiOr1NpAW8tfwJ/EKgl9GviXUITYh93idJ4cutLY +PQ3dyG+SrblGgLzWjiyomkiBQ5vWAcNdeNDZncil4+klIyhAkr7fyhDXFgHrpldpouPWEyQnCpod +Huq4QWVfvc4JhuJqh92hn7u0VbYnbfZuXXIUbfXkPl+lFmqH/Lm2TzcPzSQAmytZHGZFxo9ZETot +cE09ztT9NitctdYxF3OVcL1EKUvfVe/auYtpsfQsI74rbyfEPMWXremiyT37DAAZ8dDUPqTTcg8J +QcilU6kn7VH4iRHSqaZ207krxB63rkXZ017WfdiMSyhc9q60AMDWcpvR0bXKUuNo0rh5jZoQdDAz +iGrJXoG9Rse9IX3jQgidtI9IRte4+ctAjGGDkJM2OAUKBhxq22gXPCv5YR51ShSn1tk1W+BxfYFu +3bMhAK8t+8XlvlhHKhgQqNXepiO0+Q40QrmA3GpXmLD74LgOQIK/eF25svhtspof22T+80Dwepkv +YMd0tFduEuoE03yBki+EQs2QFzgGV/vBaChBp915x9PycNfxMqr8ZCDaUjUQubDsLOKvV46SR7HH +Wr2Hkr6kBj5+7VjlzMRyRZQSsdneHTEyTERMhP/CkbQ5/cDjSaL8kj0NoN6UMSle3lzUcpPr7vAS +A+fVboNXScNfsaC0sK4j0nmtn1ga3GNXGVOcH6AlKoiOmtLBkhj1/VqYjtxKn8MXAkKpc0o4ESfk +PwJQqY6QNr/XPoZkrWPK22Irs0OO7ONPzp2BcduAIdlZ0j1wCwvZMFQmmiyri7kDIRQdDjz4RNeG +FCqPDl4r4iR4dtjTOt49TuqWuxWPm0LzaaNfNn7CRQ8nPyXTSzWwRRtiChl0gXs+udEKacGx2DnJ +zaOQwymxb4r66gkp+WC8ygYaQtSDHDkZo5N5lxi24Ax7qX7haqllkjkLKkDlUFbC2e/hvOKAKSi/ +ib1M7uSRMGFD/ELsNMqMm1VVXObZ1epGg5lGFncojolfDG4Fv3cuoDlZ1P+geDemmzo1s80j8Rr1 +tevHSHUkGxBQWHPOdL/vdUa64GQyb6p3IZ1ilMWyCH2T+5q12oZAEdouo7Dmu6SlRMtqss9buauL +EKrfe+KinSVAI+nHX6NsB2N1H/x0piqmmNHYi6nVKATlk2ixBEh1U1N6oBAKHVWH0f2iZU6FN5Qu +pPxfdlZaZdtj5B7D/XHZtTlHTq4KtET0JDCeSNri+8i7gAveCEU/H1PMdEOOS6IFIdVX1DJpA6mM +oPPgqh4DZAVy/zHE+tJB61PU/9t+zaeupH+PGx+9SBXo+Yqo0lcftYTeb+SNaaDkEpzUpmj1L65J +xAKxoDiSkeYlhw0AiudP+9tnUjELcr3svnPsmnQ42mFB/4bCQrh/+9ZYZn7kvenzy8K3nyuBO4K7 +RuyDadRsJcyuW/ufDusUPn5epnVEwRXrEkt3GfevKWpOx4VTOXBP326vJ9K7c1j3tkzaMIzYyMdH +YTIpilOEPbOMJl1BWqIQ8aR9kqcAvo2BfQCeO+Mxs+HWXCUR9Oe5VAIt87Qc4b5Pd6sUItwhn9Tv +4mAWkcNk0vS2+uRASXact/fcVCOwqxRGQEYBq3MJVhcpsL3OYHg4kQNHWcG6cHu9/cpaGm4fIgN6 +xqnQDNHfdzqAiZzMt1rgmYqMJytDK5N/JNJyLFIG4HPGpGeSMrYyAhZJYvAKvHCUcdkGXh6IPOb0 +XgqSqFmm4FvtAz5suapaM07gSn4HKVK+I+/HBk4KsWA+4gpk5n+3pgZvuSkulZMzkCbcxpCg7Rl/ +T1YEpu6OvLxPojr4Vhaha0y/cLo9lcIxmnyrKVnpKMbVsuyLVs+zJL2JszxUdGCl7+9X6ipBpvM7 +nldakyYceOhStUdD2ye9KtuQM3fjz3AF02UOo8tYI4H4LJkAW3jY78XAzSGzfLFUcXEs0M05ujag +k3NQ+8DCTGPrH0lZ+9+WUHF5IDADnmxluS6G8ghBU0IIjesXJHwcF/S1ergR24d9kWnEOcesXy+C +CctyT+tTNCt0nuu+7Itf0QduKru7mGsPk4kcSntKYTX/GXQx7k2x9qAG25Rig/hLLofA4nccyB+Y +Zt6JKT/YlGc0511HNxK/OzMk7baw4K2xMsv1e0rVWsFRXonT0Y61XPxk/KeZAIuQBm9fuRnxnQX3 +3f6qdGSfoJ034aJsUdVEdDjANg1obpfki4ICmRRz32XJeD8COrE1laJxJkFCFTBgb0JJy0qsNR+I +f7rCMxXzxe+Mux/y0FgZqL7FNmhIzhEdL4RyYlNul/J7WVfS1nktSEEFg59LSbUjKyouYpjDs+U1 +4Hxh5VHy5eIPJM8GPGA/nXZvcJkF7YejKetdjpLX+Ay5LJyCTWx2CvqGgnTW9o6aI5I9H1WjKluk ++fZxEuHECcf/RGsZqRyF87nCPV9yIRkNpVGg6yHNcM6ZtgjwBsC4KR6S1yU3TD05/tZocepIYZ/p +FKdKTYnRDnP+QvxilBEl69TcOvbnxTFOfC6kffWVy3PDktC5agYUDw2b16mvHkiGB3vCQKHUkgkv +zZJP2O9C2ViCayBWZ/BNxz14stQWcop7Pvv9Jl45t2tZUQlrbu96V/XJ4mvW2mhsC34sEg0ZSVLF +ADagsaUJXXthKiWoG5ja7Pe81fRTIvDX/cqSlAa2Miq6MQ482V59MH+06Q3QL8cht9c0L45dvcw8 +St0lxVjohHG4UDoFnmVp7KVfYYT8fTeutuxGFBBmWk0P1cTevi661HHMhImTZVz56UEjLq3Nmayl +QjvnwN3Uz42Q+OLw8oIuMinJoqkJH6GJg7D5EzhYV0MMQcAGWJmfaAS33K2TyjPL9BSwXRmSRFo7 +6kAPt7m7N6ulaYUkMjjozqZIh4t8WX5iYClORCXa1UK/lbMraFvl3kHlL1muM+AlCTOMNb/h0+gG +fU5hzjWCtA5fqd+BZax+j+KRaNTyamsPfp+MYK+U4wMFIO0uU2FreSjwrXlGjt5GsX/mTvZKUEr0 +UvBpP+Z5ItVnRSa7PQ1DUu3VlNWLxKE5OTmdZI0UE+UZWdy/XJ6A+KmOgk2SSj7slsB1+vyWZAMb +A1e2O/nS+gyRE7E6RGtgGAwXpWWLZjMXYXdizRSe0hxeIGj23Dtpr/HsVAkcUoZRujFoRoKrU91X +H6yNpDQXn+8Pg+nmK+XU2QAI2aRV9aPlPEmvYGgknvP1idQE6XU+ZoFekJtkklaCxJAoH7PMGkdO +Tisz8SRoxdBdXBe9Lw2jex+DOkPB1bvqpIM9ieOPV4kOl2LtmFpbhhoE4YC3W35nS+xtK+/2v8Pb +DIBaU6RgKTLVnouyA2GOvHiA6Dtqw1jhtpuA8MHseJhfST40ZHiTyhfRQv8pOQJunDs8FrpgO2P2 +/PYbdkL/cZv7WS3vhEmrigZPQIpKPlaFSq5DBoyPbcV0dUBkodmv0z4TICSWlcQdNkAH2OfmnPXI +5uvCb/z2HfUUOhBByUTzvNVtyFMsdltAFueY3weCB/spJ852u4kbpvUaVH6RuROwfkY2rxPHUesD +J8YeyEA5XJmaf3r4qLmHU6s2pPaTEVgk2wIMGdgsjFtYJQ8yThkQt16xX4s7UPMekAr0czMAPU2M +7AfEJvRag8Yv7QPL3VHuxF/dLhAagODqRcwWIrGGlvYuu3zGCyNOoLkhpV83FkQweXBK8COCvvvT +5DiVOBC167TFgPlwARNp03UY5+Ij5L9PArOVBG6dGhOHM+qQZdqScyKGscRgVse9RbaI6kEMfFKd +M7f+sX5AxKUCT9Z8AOIhkeVNQZ3LTwUArISyKpOaF6dVcc2/BF39mobM8hyYgkMQj3FsuGqOV/ho +mujOdga8maMnhZY3E3HSCgpi7ys9f6VZkJpRmr8x0o0nRN2faoZRuvtPMCmMEyQU9zCs+woiI/MM +EeYwRgKpfPGw+iK1GePlu1JU4n3I/ej5hMOpK/qUB+IqjZ7oEpQGD7eWrERBAr4d3GmESESlCxr4 +qaGmnYPKQBDsfJjg8wmYLAq3VfqsnR74m2pJXZ5lvwmwYE1Zw+2guk8NmKfGAAuL4CssuS9QO555 +6XDMQwDJWcmNIzi3t6cAN3tVO4vDmxTxayE3hWDhDdFKerJgkW1tSupg/wXnaOip3M+y4QULPyRG +3aWAiUkM2cCoJSbVdT8DkvVEUyXxoOZNJln/IsspjIYafOSJ+oYCII94SQJVGlu2a2bDKElAM56b +ZSduKahq4gcyBrMwvyrbGRslGozJvZhoiRlniVyQzThyD6ED3hyE2RHmZy6//9xyNPkB9dDuSInf +EGNMYL7lbkT1a/TRkr8Xb3n+grCbpGp4CIbpNu+16OwYpWI9vlNKM3BG9iy3gAwAcIMCWYsXfcLM +/P7pXLbhofRwCIDqC9QwkRrorDqA2vkSY2NsiEClEE3MXtD3Ijcr7KqCuldI2y/pX4t5WHGQOdOy +Vlryc+2vtB/FXYpljHRMdj6fr+z56jNdmwDSPAYDCO2RfeLyH5hu0pZvsud5Yawekjpt3hT0oGb7 +eX9Vvw96FlcZBSIQ7RoxQXuYtQLN/Vsxzv9wTAw+VNB5eOdICLHZQxsWnZruYSWRmfcNdzViRFnS +kEf/KSKQWIAarwRxLE7bXs6QHz9j+3MrAr7Ak1oQ5/aNxObcGiG2EVJqM25ZlfXfPJfDWqGorfC5 +KMOmFsSSq3ndrLJN9Nk4ZSMb5RJPqBBi04JA8xmfngA9TZFg+m9ul6v2XZLDBXwb9CexI+Dzg5bB +lwFYFVetXmIlsM+bxgwBnwDrkKj196c05FSljtieDfMcd1oq4ajeKbyrooH9z5d6fdfQ+G4fThgH +PxvWyks9dqdRcaRgeDhMBJcmqN5fsJ2lm18s7YVp9nbbs2NwG1AcCq60jhaWLzT/2RIoQ4S9FJYf +L1+8pzl0ov0NhnRcPEcpusBxRgaiuF9dVlTHm3rp91OCnNwRENXKLvnaCdQgl/OXtsKWnMangmbU +2ZA9AoGbni25gOybRfPEqUjaLE/yJwlK5CXRj6wCSOLpIwTD+Tgr4e1V4TW4iO3OtQ5bai5+UGib +8Vc1JvksK55ZctxmFvxOgnR38722itespwDY0MX60Tn4wanMhjpN1FMylQwiSZZbbr4PV+dpTfxD +8HjQl16JL32Fj+O+j+inJah6YVpiL3ujQtk020Gl5oSnT/oFHvudJdSaA3UwK1B8nt6JHwUP93EN +ENMRirh+RvUxLOcfdA9oQQQW/jTg9P8NUkX3hcLzqyyE6aqKQ80MsIzTijY7Dd3AP9yLmnDB2Ebu +vkQCEY3d6AYI5t7IotSkFMKtQSup8MOeq7sbUygepkN7Y11xZyCl/j1h2LIf7/ouZXwdNWVth8vv +NZCdT2j3kel6GOjgVd8uA0MmUn4ntnKtdTKTKGpDXx82rz5sI01h7r3WQ1SEJOe3wIHWP/2iGhr9 +y2cNkm7sQuc96Rh/2GQtmSVv1A3dxnngcXtd5al6jA83Rgud+2HIxSI++/IMzyz0ZPloL1tcdaJS +wu6PUsiX+w9EmdeWHaXbkCbbmNulFuxlWjPEJTz9AaQ3JE6lA0WXKY3E+FTfhE6YreBDeXaIiKtM +/A5qCvgF7rT+FQiYk48n532pqTUmiCIjWKYLhNifQGtbp0bzaS35HfoXWeplvWlzgqudYX2uWK6n +Xktk0I2W9+7UxoaA+0gzafWkElQvbq7m2UxmXuJTnTwIMrTLcPULFLRxzvLgfHJdlVO/JwoZrPmd +a/rYVGyY7N2baMYQ8Df/Jplvy5HLFaky7zkNj5Uc6wnwNUD6q80jXwNmijRq8Jfoeqg1D1TBtmeH +ofCnPhsmYda4T0td2qIXN99XSimazzU7jZ3hWAg33cT4tRKLSN2QSUntWOYU+qr7HiIPIacH0iKl +vX9pvv/U3sqjoqMURPehgmOUxqn3rlkBGMgz1SLgNvIJUSvTP1FT2C5ebMu9s8uwmkLPpeX0pHex +vU1RSJQ3I/OAdIPM6HMoKMM9gcn+PVDP8UkscIFuH5/lV6jF1fyxGVaHQa7P5eERLTAYmxFingCk +WItISPpkW1aJtAeCc19CTgB4MZhQ33D+i3KIX1+6kDwhvA3I4JPhzVDA0/tO7WobpKgeyu/oTF58 +0k0PIwC1o+0Czugt4b9mpZqDYF1XcITBd5ABw87XUTRbOasagrVFsIL7ChOayW7sd/tdw68CxyP8 +Q8iKv7qLZF7aYvW/E+6sc0e2QSzAFWzDPy9dEVs2ddX3kJSSTNqtnvFH1AfVoIgH7oZvreOC9gzG +k9tCeRY3Qe9MIzCKrk4uJ7Lq7B+hnuUmW2WJLcJNjgR7wuZDXr3G58xrNAI7lbJePB8YNlE05bM4 +zjtOgdqvdlBY8YjKPHpczO7rrWJqXQa6vmZNsB3FABc7mFlSiGGywlL1KnOiprltxCtV4ttXM6i+ +8MSNDHdxjy7TnzBNQ1XGowoSgsweqjoqLWjz0NumbnN0XBiPl/KhxznNQJOTjf5webQnFjnZ/Kkw +c/pur8W3ca4VepFO0f9Vqnm+TpZXfxDYHrG7NwNtrLC7QoaXazL7fUoWv4Zz5i04C/ZKw1JmyEjJ +CdBZTbHY+oOpR/zqdbSEQfY9L0IN+QCKsdP8Z2RPCR808vuTEuqNPCf6BCeGYE+kvlovfTiJdwQ8 +b6CO9Nk7gwXzpa2JGC1Zk4wRlF9kbpSqUJZLD5zxKTdQjXCa2wc+/fa1GD5iFYQqTvEm6rw5A7Ly +91kWqsPSeoUcGg/o0DyXlnJLzWBR18ICCSXHKBOxcvsqc05zLfjMDJGFQ9fIH/P8i/AbNjpFYy5m +YWVJmcP8VV3PlDFxa/Z02IOZDByhsE8pmc2/NSeSKmHHDDrk+gx/2mBNIMaDdo6c1JtSzqvuTX/O +sY59/fgacf/yzNXfikp94kjs1Wdno3CC6djbtAtjCx9GucIBrm1WF6x4Y6XtjrOcE9GGjvRJB5pU +BrzHl3mVVAMTOMprnknNoJx4KVW2P0P03eiDOQMn9JIr+CWOetTtDW5DKSDR8Q4jYvbZH+bbdy1d +jMfFfmMg28+RUmVKwSbQFUfBpWR3mRKpRXraMZF2X7ePZ3pY/6kSvp/NLVtxGubIfqJHefrfva9C +IgUyzcs4ieHEECDBqRfKn9Sq3Q91XeIt6ihBGLvIq17FnZlvMfHN24DrwSjFl1F5im8sFUeViAED +29ZRy20RlN9pCjyw4Vh0THDwBmGK3adQI1ziGxscsi4gBhpXfuNgmsdPu7qZ7HxxVUOC7d5T6ym0 +9lzUCbwKLGFYDTCp0Mf3EOVRMre41X0y7W5hNGQpQUuGCogRkgWJv04GF4MGa2tMRYleKyeqFuza +bOXBDjyS0iyDyiDQMNL3OBnMgpomO5vPsn2Ax6IJHD62Hinf5asmkXMmMQgQlEXQc8WKzwGzbGmb +kxTUb0J0X8f0S5F0gdLHFN1U+1VIDEac9rTsC6RVGmKCaxlAhXSVaMCNhcejKwI2z3WDt3bcfqbe +1ipcmyHBHvd/C2Njb3cZzgjVpBZBXVdwXIxcPJZ/ZGiLpbBCgCj28R5yA7Z9Y7j/f4mirpE1HDMi +PPw7kJi2FRGxEIlzKIj5j3kO8gCPaH2YNqwDpQ6wIDd78W2vVtow0cDIyOpVVyikZLynEz7pSSU1 +9AczG12LK9KtjTkiNihg3Sj5ITdobH4mGVgDwEQ8Hree+vTAGWIHwkQ4tzq9xaceo56+7zpGpH4A +l81bZKFHwKmbdIZ1YWLNlycFq/yVszJw4xlESJ1gIYU9aGIv+59gDRsztnrLi3zpMBjWkW45/WMv +w6Hq7MG+b9IELn0YBLPLk0ntNGwJfQ74wKf7qE+vJXBE1hlSoPVNhw7NZFvDsrBWD9023RwGqFx2 +COWfg7jWdW8+czTlA9MC8V1xn/b2HyuToYf7SWY6jZZOItdAV/z3Mrjo/MweA8OVj4Y7jO5OH26m +OfOau0c7MdLfKh1grLqombVg61YBMvoelrIk3iSRlPNnnR0xPfKrF12y4NnN9w330RDrhFufPwsH +ce7f7P3KtM94sjlyeHlp9JgVFwLUXj98yO877GAEZY1mjR0WSdnSzpkluOirNd3EEq+ACsrd9NxV +r0GNNJQ1d89kXleX++T+gvhVc1yr5InxcNDTvut047T7YkpQgioMAfZb0ctq3a6OmMWZfwmoYgAT +0VabIikiv3lFBVyD/MI1X0Q3hVuVnFo2a7UMTuhndLI3N3X39fIwBw70aiaIpsZ3QkusN4Q3GFd9 +3Aza0ciCrIXzotzCgxcB+ILQhOn/5U5wAeRzfOpCrk4Yhe0W4aAe4YMKxOfB2x8pW5JtB526y1B1 +5uqNESVuDqMyX2/lqujfW4iy7Mth4h7YorupPORca4PeKpIib9eOWLmTTCiR+IfkeOLvdcIyopqV +ELlOGFQZy9lwmNa6jQM52NJ1HMyDoN8q+u7KQq5tKQlF9Ay4g1GBZcBwJZ1lBbRAvB2Gx93bGRfV +zQABibfUL2/34+ekgIhndPsBDpzLP8F0+xlkejk3/8NiisvC3p01aYqykserY4StlxvLopw0q7lT +YLCq57sQQQTeSgNlzGgfMurSm6RoXE/IWuDT63Zi6NHni7vlvSNnpa8F9YogCw763H2JV7lU9GrU +Qlq3kV3fgURNkmqGWRaninIM2g7htQmKso/wgN34vjnYY1U+I+eth68IqHhlpc49TVipf10s+2yW +dIyFT5mCCDfIs7IA+AsnNmlEpJZW7bnXkVabDyMA6FEQLT9b2xL1+7Z/wksWqe2ilJK+06cpaQXN +WMXCe9rUgI+lZwG243CQt40y8q4Ipqo0hITRFonv+w+pebPbY8WhIUW+krCe2Rt09Zz6aTCfcz/B +5SBJbW59L5I3JMIb8biIoUU7L3ggB+wl1kehZs2/O1T2zsBGO7/FTplOdLDKj/7mCwr+PyWcso8+ +x4MpMu1l6rZRBEMedffeJqilIyJlYO9OSnVkOgxHbrL9YbiTp7PYuzIhahnGp1Ca3GlHO7k4xtAl +HwnKF/uKm8dw0tXKYmlSp1wqzguS9nPmfFWCOHaJvWnc4tVrTp7mLZDpdVziJcqTpcugmml6WGlX +TJgD5/DYI6BhiNzbHDiKk6jjxrWiEinTvOgGKiQTHBYFhUG7P2BACwJhY4b/+BaH4+eHNqgnEcsy +MSbrVZP7N05AW8wFSzUvdl5dERf/OEfb/slXHF8M2LTRLAQsR6tMvlRFIYTmL6hCACctGT1+YT8R +JIfx+GgCotirM1Jo0p2W+NCkvDmknc4amx09eqoiUc7EDDybUj1hmxy08jYW+orJ5JJ3n3Cz+TlS +xzLuPbcTk4bMhEjiL7M3Xp8Z21pNXWssHHdlhRJNRffJwktQIKBNQ58VKPKrktSpRlRCGML1Bi51 +2Gt9UyzYawmt+yPtkIexwdv26hX7Zb0ng5C5sH0B/Goj52v4T/2ga1mjyvG+6RtSFCSEYR/uYTZl +TCxTPNf6bPnHIRpLQ4r1A1ciVbBOmcUmGKlsL4ylxITYM52aVj43m5B5rdV7JsRGM3j5E/S5chOT +X1ALG0KRmupfj6GAsQSNcN/N5XNw4csdSebkOaTBmFWfi3lSg+H4OuJIMN74nQ3l8HZZxzWgI3FN +C/QI/wgUW+V17zlinYGerDjIxJEMFuTIGFcDiLgTp4wWj0pkkMqqTRcQOHo7bTvM5vfVnDczg0Dw +QM9S/KFHlUIqQ21LaLPJB6shsfJqIP3ycIAXu2IMXVNSTMPVDil5JTSZLHaZ1B2fh8RGrD6jg1Hi +E2A849ChDKhostOCv+ojmMz1OUWyNsV40GVlmorL3GtlxR/zDrV4v8KudjQNHEdWyQvfU7NVBUro +FZ6mHMKFT4RIjJAxKHgZXvyXvZSVul89E6m8WLveUiu5B3HrGMCUYjS+qP2naZilz+gG1af8l0OX +SaW/wLON88hJWPU/FlNYnsekxkJQnq6DXLAO422+UDRIYMx+zZyntTGB2AQbbrOYZ3v0Ga5/ZoTW +5/bbVZAbc9DhzRxkO+Z2N1ajR/E/4VI70TaHJp7muUFjZoey6J/kYNNdSDv7PgiOk5gXcNNrh5EZ +I/oVyN2HAvGZjuv05ggEpASyGFrzOrLl3aPboFhvhCN+rDClpeK4AfdZ+AGttGnux7RLdH4PI8OQ +mSnGtHYNKE86lI10osePdku7EHekPKEHklPKcJPVgFMbWizwF5qx4Nidxmg3pgyHr+b8fqjvpeMi +5rr4ArbMs5q5QYqKd/fJ44S3ZsQxoIjARrp2MmzsJYLIWxJX80oNCaWAKazJkanEhnkvnFVcVK4M +i71uYAV7MXityPWxf7CY9LNFnAvTf/+R/hA1cWt7vcZZA6NonGP9MIXEV0GK8k6xNFAmIpFfsU1O +BuHqoTFpRnDkk1TljWA4gUZgJyS9hpbWjAOhk2CziqQizCoeVzP/RhI67Rs3H6Xc07SVdVzSUliE +Mj2bSZ5YTPP9ww7jOCwviN41nPcLJQOhOmai9rlj/HiDF0TuPKQhRSRqHrTAGFTATCWcW4IlHF1r +QAsj32NDaoZ6CffmK42SBuAQcriVMh4t4hqEB00ERGt2CNkHkrdFljYOLb3/uhEqzgf6h4TslDJA +MMrOzhHknDy8pcUbp4G29bSQOfLMMggrM7TZGsfB5OVLrj/9r2IaUL0RnoAMC/1xmSF5eQ6HPgd2 +caihHjkXemnHfDd1BAbCLX0tsPD/7t5Kdy6sn/3zyWIz1RkmFN5aS5JEQS3JOSoNqKrxygLcT7sl +cdOke0Zw7YZk6W35l5xtfT7iM9YUepZxE6IX8DkIhNSRcUM5vWEcr0Z/ARq6h4kPi75OmJH7eweC +Dl81UJgVlUvmrnZFTFxz0Em2OBmvabBQaEh6Oi0irHE++0bqHwOEaQBYvhpbA77kX+WF5o8XuTRl +bARNmiR4yUkj59WuZwlcwvBReCpXKr0/vptyto64HEQtdShLSeN06u5vqJuNL/jXl/EcYVNdwIkz +pdsU79e1zQTUWOp953LAFLn56wprugeCU1VOTFBpj0ZfcoArDkC+0OlgNPcI8gdMwrhG1Mdsu4K/ +Vs9jEYFxXVhHPsZK+rV4dX3G8NmKk3vE2Gs3+d4L3gWPART5g7UmiRcvE7s9OCb3f8qc6sHQuu60 +3QZsVCoovNjWNLGjkzZfOvCZ2vPiyuNAqf9jwc1PeNtXF55z7wFl2JiTf2g32o5KR42tgAOxgSAN +qcufWL2O2soidMYcJc8dSsQ0fBqwlxJkzv6NmxKqUZ/EQ40GeJL32QUO/0X++nEZqAmvs3ETJah/ +bqPKgzo5ODGy0/F0t/kN24GSIIhaXW5qdrLJBhPBW59cTafDZAOr/oV4X2l7gxZ7nAlbz5yGhJuT +PQkzsMirAwt8nskxEQCyRUFwscFJhVBFAOBUsQIZtcaa9mFTcxGI12GIK8J/vxqbm3F4gqmmBkM8 +uNoe7J6GkSkXCc3ip3Pk2IMCWYLENOyTHVWnYZ8eqe7VlxA3p56fz/bhsBqGgLNbudjUQLwycMxt +HOl1ehy5PP4vF//YckmC+Y8XDkOnNwaHoZBdHlMbN6YAPyLnRhRnvS565qcacFuOyIP7ZyAlhcAn +fuT9aL+y6OlXkcnDkGmhostN2VFpxMCNy1Lt9VjBqorXLDm0tXE5i+153Qd2pKjfSKCj1GgU2jdX +WGdgTnFHc3NpiG5DHilW02Nns2oSab8A0czSXs5rB2fHE1d2VSUjkbKercG3LCDKIC5KRwYeckvE +968MqTIWJ8v8hBi2qmE4icP64poqc9YcqQmj/bTXaU8fjpiSSNUitu1m1+lK7D8OjGSMG5ahpUGe +t1haNCt6L4CNU4yyI7XUQ2a9Jrq5T+y7dc2dHI76lbIDzaYXz5mEbajawqcLGxZAeoaXuamSnmCN +dy1Dm+8gluogf/yQ1p/C6H7yz/ZvzhAQl3AQnt09Em6SRA35iGaF+VbglBserGX+49kZJPp4mGUm +r7V0r82aQLyJ8mCLOXH2WjclFqEe2aN+1K4QX3uY7ufhytyh7EZfGix19VLlFFUDTLJfxNx5ROGb +ac5aGbCBYx206oKc4w4cJaa8hlXWQZ1N4qpTuGERszUzKA0pHKqkdi+IHTMBhwpEHvCipEjVQSRC +q3JAyrcgenWbKe2p92lxFXsUxPgaQuQJOxsCwn3mgt2+LmO6nY6kz1W2UhQIwTp6ev5fsgonpCjP +Pn1k8YN4yc7IC6/gTc2P3f2AG+8DRH4j/OEOXatQfDBIn4C1Im8Pa/SPaJ4s/pekbXLRseKpiH8v +TGh0dKHMpEIJTvi4tJiXNvMRWrTdHRYk9Tr3DQ1L3qvl9NzOydMGpNvZuJFNGIDj+I1PA2IOOiQm +mFFzBTIJMgHBPzKrz6UvgybWxi1gj4oI901Kpzok061V0+bjfDfkWt/YrsO1575k/4FQ5yT3vQcc +mVfb/rsT4Kfiy7zCSv8t9RzZ4m4huGedv6gP9l5E2ApL1FzxGDrN8FTSMqK5ysMB5IIhoGxU8aMX +k4N9jjTAcT4tt9g3PYnDxACn7HyxqJinVsIQRgL9Fq0BqZnVGMGjz7smowJGDWiH9aFjdJghujLk +0v+Os5vH3y7nng12VE+erofe1P5ZmM9gnwTmMuCGpht4nkTmS98bQoT9S0KsRiyGvyQH+/BMI5m4 +96IXiBC3XM9jZdyas+8NM//Il0OlLWHeLI47tvLNRxtv0y2FMavQz64+Ad7sazKip+iZ2wwPmhi4 +Vj9wQilLsFRhGObl9gCGav/wZ7zUKu/y7WRlG2m4Q2UjKaTREVmaJxpogwPwrQ9XB26Oon1YWKoz +2Zv6TMkSfTNenIbra2o7YmFrNMKHzw3zUGSYmYEJl6UXsTeePXwn2PbPVMz2Xrbv+Qv0WfOJ+Cix +pCabU79jcX4PZk63U3CGlcSHf3u6/TS8ibVApMCO8iBzYAwkP8WgddFPBGLAwr+L0uGV7p6jWRoq +Gh4uRyc7BA3B9jpqNXaSKrA+Xr8ZQFHU4R6JnmsLB05rkcf40M2Lgr3LQwSBOKq+OFlRVwzW5UUI +KHdKnlrTW834MhArPUTXm+Piu/q4h0pw1Em2+q1TnLXbyIvDEUX1OfWgiSWCHdnEEUGoFun1fgFF +rcxxoGOA3Hi1/WT9DiLnNqZZrw6sHJM2KJmmk/eB0UH6q8vSxRU++URHKdSxLD91dwsvptIAD0X6 +Ws8hYXHtB+8nrCmiUH7dshHXHtSOtGRr2KR5ynNdzWPjkRgMhxM2F00BX0VNFOepTHuzBI3t3mLS +XTlOnHNBSuifnj1rAe2AQPt2KvcQY5hhtZ5Yu6102bHa9sBLTIV9dZbPUkhl8+5pGWeQssrk7RWz +LZXFYTi8rfsaubwkp2YRPFZvC0Zuv/+r6d/T1CqKyuounrcv7HfG/FgHMdx7wN3Uw5pAXMo63GqF +4pz2AsXZxkwOISH/IIa18nnc3YDACE/HJ3JP0V67Kd2YTtiQ8qiImXlho+/cPHhLFnixARNmxCMC +O3ZmEAyNF84joFY37uGFXoiLetV0LCZIdIVwcE1qi/BsJiXYsVXR+wAeqhVELLzGNFjUBkjWbg60 +TcF2HjkdqX2eP6sXplSJDmHrU23PyHRs5DihpODOhje7tgwC+mxCNyNJ6498usNZzRLtfXQ7NAUi +rY/nGbEfjenvM26aGhS6pLhCIcZghm3/GkU5k9V7sAfprtura9L1bG8MOs4Y3BYQcl1J9bSSrVot +ZZQ+HT0qf7I8lH2RgEvVWcS9Mp4YB4yEaJ5PbimodWaEvd2PqKfltTiHjew/FE72oiBha7h4M5iy +EHp+Lc+t0k1JRfKo4QaxPZRAKDAVIRIqY7zBwymr/gbc2AgMapXy3qPxFqDEkl/X5QQwvFjQ+xrr +NtAZ4F9nUbSoxhtvnICJmKSOtoA2cNjVK2tLIR1BcYryozKVV/cV+SHGSznOby2WEJJRgZCWQ+a3 +eqNxjQdxPXZrOnyrhmWBM26RRx3O7jXuvUaGpjSBbgEoCWgaPU2MvWSBV7fhePK4cAaqsJjYuLKJ +lx9TYyDhpMOoutLVF85netWB+l/iNAroPoog9mTRYCoSertyja5cREr/blNk2NTpYW6LRVZ4MYkt +I9RnzXg7ssWatSdDio+fF9yH8pST3J2tDNzA/StFiwXnZKu0+w9XC9QRBzYPhSD5l0fn+/moYR6/ +ufAIlpErXpvMGTOuJBLuRnu22i9FdNJgUuXkj1I5oqdqlHiDOUii+uQ8mipax58NKEIlTpjbyCpg +Rg61juPJhnWo1ewVMnMR6IWF3J0fm2a0k3/UlptePcjEoD17L/huiv9AElL9ynTskYv4cq2Lxk8X +Kdebb5ePO/8hpWos35y3MUNl0aqybLI1vaC7qX0K6gjq+jYSGJ7XvudhhMNeZBmVjakhyR/yYlzB +QryG4ro0Ljwo0K0a5RCnqIGHaM/bSMdqxTYfRnIJRO/OcWNpk/YpfiP56la0k6x3O3yJGb5H+pAj +MwGLaXbQNPz3FE76ZXoXhb3iUdzZ4XDxQpx/cJg6Q8byN7gUl2mzBeSGSxuMV9OKbuGirsGprdLg +Pz7WHBv8QgFPPz2dtUCGBux//AYq3eiCDCQkjWFl5kNEa0qOXLB2k86kXLye9FvdfP1ZoULDAAis +05cQAKRV+SRkt6MQI+NbvQLxgvwNC3htZ0leNnzrDKCN9PkwxM0vEgkhCRcNLTGjnX/xy+6rfnY1 +ju/HTelg9s/XIDKO3YsXSr9J2JJvLVQAqRNSsimTC2dLOdeca4ZvUdr7YjpwnTejj8TCYbBKxhGJ +llfcyyJaRr99iGfUr/2hBgwS6b7HBxV1sRcTfl5phwvTwTyHP7HVaX7KIp1ZmSsje56XZGFQdS8u +ryFtxkDNdyNhEWw63qrKtqyhvx+lOB7BmHmzIlVC2/pnTuo7hBa6B+8XzoUkj8DPLYxB/JB1LSxM +SLYmEG86zW4Bd32WhPZD7T9HtovQULGJQ9J4336eQURzSghx40MPs5KO+rOeDENnArhoJZtE22o3 +3S2Yj8a4WRg4sP+ZIZJ4trWB5JTiJVUgxaSncnHL+3/bF7Q/A1czV7zVUkIOWyk9h5ZloMVeWhvC +rFu0WOW1Vu/Th0EbCQWxXjAe1uo2TG4IsSnYap9wHxBZwfYcV/oVOM6RUmwCokRmLyx6FBVCIuWW +hoVuoe1wa0RrkojI7Kj6pM3ULlnIgFa/TjVsbTjl2oQlHPH/0XrnLcGSvauA/n45Eb5jFfPO7it0 +SVnzN1Uv3T6wYOHlBumhEdZYrmrEAlj8EPxUOq/wcHNKNvpFykVqP0XLjiJAfOLhdrUpAYYJtt3e +cl3fK+puR0DtzvlmYMpbpxKlUFpZk8Fsg2wuE+bGbAgvx/+XFUh5LwKlaTHLAOhLw/tVv/NPb2zH +FPbWBSTuZliyz+pzei7FPD3gA8C36/Sh0S0Kue7S1tSsTWS9MQCZQw/dakSjLZgMM1S6SQMcyBOE +o5wTHqSztbHkLjA31IUvUKZU/bhAKk2d1lF98rA5jAJDGlO3KE5et7uh+gE8TvjXiD1dqtlzkNrD +L3O4HR6I9FRSlgL0eWKiPH/4NosnRRhEmkkkWp0+6XjxveYBs5yj9fILLUUhhRAhcuuNsrljTxl3 +YJYEqQ0uvkKNhGljfapsEFQNK4tD6v4qRvKZX1tD7QjJHL7mH7VTM7MZXFi0kXFKNQx2pxqVenk3 +BtI5TToN37vBPNPg3kE535Pu4uOjonmHGDfDGHbWd2ezz/wpFaE6N5pqhzxf4xwSoxUlHq02958+ +6w1M60XRl9ZiiQumEsojRKean9mFcvvExwWQ4P7r+DtLkHMitGbRXIhrS/Sg/IEL1+N5rinZp+82 +NZkdQiDc1mt6ajzlEi89Svrl+tEH/PDlbQjaMEYGzD0ugVohzfqa1gD9zSHzWFrr2F6yPwbY7gj/ +sBehEDG6pvGAD8I7FJQ0MS+HI1nHfBqoITMLJ3Ak+fy9R3FUey6JB8FaDmRMyNbtjvei4vw3I+Cy +QkduLy003Ink7n5Tkp8V2ts9pINqAWm4ZG2tiVdKVLSKiec2X5ciK3UtJRhW/wajagIz2D8SidLf +d5Evv6vSZJ/6lRA2bTQuVMpLo6GlTd8RSjLCfdmpqBuaz7VlRNIR1owELDYbKT60pvSDE81CKbd0 +hoa2Fc6V5khNTARvjIFfFeqc6QtuHfF790ghmttnAL075jPuDpmYg/cum7KqqaRljFcKcZ1PSdO3 +qhrMHWgjQALY1UGNXVxM19ZWwLDvkGLQHAaJL5yF19JXcIJv8HDGhNVOMRnRAgLjtE9jkD7B++L9 +jkjrwhf8YxNbs5P34tX26+gsqN1MJayxSNY00gZs+zqlqnSIFZnxENylfl9MfkBZpgvBAfebiePi +Ce/9wdy2uhRkl464BbQUEhQMlmgoZGvYiX12xrMlWYdngug8stu/uDkgx1ncqdVGB+tNmQx8hv91 +dslGU/y1VktaZf1PUbgnMRn24Neny793LKhoKXk8MFdJ+3U6nhUWFQ5uuW1N7iysEndU3mR/ht6Z +kVhJxsGADwiOfGhAqSm+z5k4OoYl4fXsLljEii50g/Hwc4VJAADzZTDHkZUxCEFk+YDNv46/ROji +47jFUF4eUxR29KiKb0w1gWp/nPHdKtgTeAuPtJWqe2Ku/3+dDq9GL3qq6UuMLilrQjeUlBe/W+hS +5QQQWf5IbpYandfIcEp+s0tvB8o0oHIQiImGWi607rbC4uX84y44k9nSMl94mS6zJjQ4J/EFRgz2 +pm9pRVV2e5xtlywUyuqdfa6RuYTaQD+VyjNfUyz6YpjtVnjIUZ6O2tezVKi9NTtPsZ+/2wnysOyK +I0YRABs1TLjRi4GXdf7h4owUCbJSu02I1X37L3+b1mq+ido/1uWhxIjRtTsjqV6K+0Pm9QF+ldTD +HjtAXmINFqXIcFtpUZneO2CEcnNebhgMd5b6qLmaeBQRRXrnALOdg/QieE34eDxLHr3vHKcpuHik +h2C3o60/5SzZTZvxVKL5Kz4WZygcjKsXhKeK7qzregIW6gIcQLeeK4OldkD7fTB8vZUg2qdoEAUi ++9JUvY4FW6Ndyy9iILPVMgMLXRPhv0/rpW4L3s1YO1MNS4Kxm/ddWCmzq2wfUl0VggNAYFcl4e4O +/yHnNhcxrn/ZKQbIjDPHK6t1Noq0BibR0jMTwI6Zh4zFjhQptPlGvdMwNWV4bSZxVvtuRsSS7Ls/ +xxyJ7hxAy3788kLBTN9UnIJPKBsi+5fFWMvFqA2irWlYFX6+3Lo7xRcw6PbUt7QQrumcxjZGVf0N +HeSsu4zuXJYp6OK6cUMN+MKfWSX3rhtFtBMzW2vjxg7eEXLKH18zQtqaFsAjUA5z8rHjzqAx0w5n +5LOJxGqt/uMTmDHE8P7gZIb0EW2bMj5WtXHcat+g1Orwso5WwYN/7RBUggMHKjVgOlu8U6Qjp7EE +mFLJPX/y+7p3BKg/MUUcgNYnyxF6kDKxlOhdzuHeanNbyk7koj8hgmagqCOF1DO4dbdKyITJk1Pp +Vs0pVqjwKm6HGVseC9p2XIWB/udErVX5jtVGDk4Fz4vYtJGWUS2SVt0H9MQ4cnE6OJ9ZgAhqrxLu +k0c/r4KvXOBezYiHPaWngueyomD0wrxTBgaiUg8pjThNj+3Y9hq2yJ3RwVj/DdU6WgwiJlcEbn34 +8Pkj9ba1q/ZO+tU1225tbOENYFCZIX5fhOFZsWlE0f6qm9M4zwZ8woBQYu2cUjWc+9iwtaHPsWP5 +LjQipFjc5XdQDw8vNhVeH2wfVWhWfmC/O9akf6mnGZ6Gsoepudb0Pqj8RgK6jbyzCI0sV8huBwUM +LbX6g/EbYV0dyVu/OQljGrnFqte2ALDCusDdFEQKl2ixZ7/UIMT9FTRsiu9rxnBIbdI3H/+EBXy6 +utKElo91Eyy0G3C2XLBFcx3UTiC3/CxfEh51MOJq0MXEAfnpZ3sCkGMbNOj84sK0gd+Ixp2eHnhy +buIZyMxvZmoVhttP3JtsEBH/qBOgTUy4N8jrz8iwR2aO5nmvoLx2lTyfX37u1dImm6WAIhkE3xGw +TMLTlJMxlOAbd4jjDFm6fKxt7+1jI/PiXx+2i8LtNr6T9ANY/zKsyRBGuFXCK9KbOPnwE6a3jHI/ +c+fiM1j8ZDyU2JNp1lHHQXaosJKPN4CFy9TmKMasQSkNdp6jzclB0Je2AVtC5PDnnHHlexbUxOC1 +wx4YWR4g6PI4SRA9Sf30GROxhSG1xMo6ssksGbAr0x2hxy6FSeHodQZYOWDC31qVzpRMKxMx9XHI +3+y+OPI9ef/65NQ79lrHkAqQjxOUlHtB8Af+VXOs2ZJ7GF/ZDmhpafBZaN3ZuQjPYPSfwQZxGR2g +zimGHx9an084vQKcgm5lFz4uSGVE01viUt5xE1dsjPXzD2uSKA08hlqVp2qmQwEq4a3S11uighRa +zYDcWqAsbiAV0QtD2jnXMq+tZWca5CzfVA+Jt5R+fjfrWEjRG4FXmQk4sTZEQVnqFwAmQhOZb78G +uMnP1ZKVks9LbL1/Od4Y8Vhq0b2+BmaRpnB/uUQetKAEOYQcqYFPGWVH+aFqeKGokKNcOymhLTre +B61J9Kud8DwE5FWYLh3JOSIC8xcnz0dw3heD4Lt0QBRUkp6U9m47HKQmp/sbT8PT4XLNoMSpDo/L +R6Eg/iogfzKdgjfLcywyCibYC/LYcX8T7vPc7ffxqzZrVTM8l5nUBoQFB3tk9Y2fvv/W/hWXaERa +eFDTpyVAOOO5QvyZacAtbtBosSKzv8C0ZxGZyfL5xB4aKD1v5JhVw6VcLlIbAfX8xLdwbBmDKdKl +4eqVq4jlt336IKaFY1yQl2soLxhZn2hvVoXhd+P0btWgdd/EtaBqpzZbOLuIcNzXBHkHfeFQ4Vn8 +IM5U4jr10wzerMzPsJsVfmdBzsjG4DmbihMPn3vT6tkaKGBYTdLBG2fVwnrt/pXIRr8EAOB2t9+C +HMHi8xreUPerzYV8MEbFoLmtB/R+COTzbm7FxhqzTUqBbaug+XIvQKD7tfD8BrtxZBqxWu4c/xqh +ewGNMVz37rnsHL4TrxnSpfjjBPD2VJA1sAoCsxZ0URI45E8T/Z5vPDI1F1D8j33df5UT9s1QaJD5 +VfAF6fYMGCQSy/Wkl8X1ynS6lF/DWwpXiU8Lh8ji8It4f7X+0EAHNxcGsDKo5HRaXmtSMFXT5C7r +bQjTesGsw5KIyb3/8JIywhoNClydAfcesb3IsEZvxZkn1upmIhrVyECINaaIcR35yOOSD5nmmlb8 +Inj67lhdOm8UDJVN4AEWW6voW2kywTNtbTHtxE7g9+6h077TvQkiVSEs/XfPx2lu/QjdJaIZDoaI +mvJKwdzT3uHVH4knTywJoX5YmB7+AsDdvPNgfhYYvag54mssLVtdhlnKoG1571OQDEvQ61rJibnX +RMLff/rjq/jVnjf27jJc3IuRj+8Xe29FrcgPGv8O6wBQWuU/PgFTsodHrYtnH5JdSeIzu90VWCGR +WC19WkJGARHqsSJFmeV8dAccIDiiYDtD2LXdIBP2ZqKbEZQ23ICcJBIacVCy5OCtQLlkVoZ4hujm +8uECtuy8QClzVR4Vv9V1mw4d/OEt5X28s/UanOpZ5Q2VdROR7pn9n3fCozwl3qZh10li/HJsSQeb +dOIYAJCYophUKA4LnJCXvcZL+UPct8EJ6XwF4oW2v0X6I52hJfCT5QiibduUBpj4e8HRdO35h20H +3VbOKOsPDI9noCPsngolYnocXne02G9S4Zno3qcaMqtRz23ZAgU7XLICe+vnpjqJVuLKBQhQg/3i +s/54ybqdZa8RQzM20XWFPEDQxID0rkROydzknV0k1k5+Poab4D3kDVeyx3txbViopbqLhvkVi/6e +jjvaQDDSEr+27dpynH183Tz9o98Ca0nMOKT/9M6xawGc0lU/8a1fe4WIOYdjq+GTHUH0UKwIiGoY +OI9b3gJmyjX+HxxTawhsHckEVnNFt7WT623UxertD2f4kpnvQJTUtXl94HCUVgekzLaOWbCFYT49 +ivdL1lpAWlUMMu5olBMU4y4sbOQucou2f45SxPIhuVT4flu9dcUZi4xUQ9LMx2FdTtjsir+d5TxF +9B6V1Y/5chIlGxFqCZEr76IFRzB6OAxdOVkgWZ5CZqeymzXBWfQ7fbPPqOr0sXk4/sx0UMyfDgs8 +35qq9T/PwI1plWORU6Wdnc/m/S17LrNCD9CGj1dZEmanap3VxiHkItkrp5Qxa305Hwk1+W1tfoeq +jqrsidx9c4IY2Mo6IQab3mfrIzDK4wU0kqN6C4LJ8BiuzjnHeAjxGT3ypATb94M9irc8m+zt8jrx +uytZ7RheWe23OyLUJVV8gq6V0DDSmn0/gzWOHoDkhqmGObGLsCDX1tLMU4XGD/ie24Bc39szmc77 +NnAxls313uknIp3AIivK58wVdV/las1y1kbtAtzelVPS1X+ecfeSJlH4ElphBa4YAxvIQ+mDThoA +02t7NL2XJFkqQjGyBBmn99w8lfj3YS17iFZcf0Dq/Q2K2X8ivyj6GmjaOommN1Pcu/5+6j17p/1K +1aZ9Cm/4+vJmHaHNcOF1jTKjXIqBeRuJNjWxYdYEQUPvcnE4YGVBYTq0GOHVOBCxX5FdVqHwuXSW +4YT/+p3/cT/UAGLPgeEDkunWwU7a0WhhSwLscm87JmDFXwSO3mo3YIdTbZxCw+kst3V750OGEQ/G +4NtKKec3U3Ov98bufBW/C9k6YihRg6wG3ixNNPkKAPOSE5ivuZnbpLleKon8Neu7oYFgq2yzH9b4 +7GM5QwcaAa/VjqphNlYTlVHljxczbH4kHiLlbjy1ew+yTchqYif1bqOTSlgOVsSl2CM2kLbBqehF +8aRda78LLrZK+VlJJuVC7NRMjzETlO8eKf7nYFgSGHH/46lm2D7L3If7eCrigu9Foz9G9/GcEb8s +1qfsA1WPIEInENwoGYz+kGD7izNOvjNza/GJwCXqyd4L/ws/DXVaWebW4VWgnAbnwMsyAp+KTKCG +D8IYBXuZ1I6YWTZxcmjedNlhIMey4U9x544bZT8CM86FPSSWy+r2SfPA4TAp3BtlgKwkEr7Z7OzG +FPnmKT68iffyf+tDfnO4tTeUNedPB7PkA6Q91hnhq59mxy50hJN+7jKJTp5tBpfQlh42gOSJP4+u +NpmJSp/zKgIM0Ks7FJ+vBRgNFqVdjmIL/hTGE8tCu1+L4wPIM3Y5xBj/WrlU7XA2vUdZuLUFIGbp ++TUsIWOJ6QxKe88q1jgFQmPY/Q3idlw8KaHkoN8hjDqHnajuRV3kvbystUdRLAiFxad0v4uCBND3 +iN3zq6I4gEjqNfEUIHX/kMOaOA8kCsLiQ6g/CS2btgoxL2gJxXBmvUtQnZ0DSJHzNO8RDepahH4o +t88OPiIGcZEvGm4g/gsO//rggjkFI8j85iVN+4R3mvwaGhGgUyxvsoxbZ0u9rbHGPFRzrN7HJaPp ++NRpmYb7fACRGjCnR55yiZEfSYY+jfnJTzN+1hux1IuR8dh18x0OOqhzsZU91jHKQa28wZPjwU4p +O+H7oQrBTfNSO1VOngBUzj7DOmi9Y1eVwJzxvGo/Ge9/arQseyRBhTIcuUqUAZ1hv86g+XSMzD/K +G3dWcXXBGolBuhjA7fzm4f9L2nH4Hyo7AONL03SvxYcIQRocc/WevlEkJjYlBYeBLBOwWTCrW0Aq +ldYXwMF+A76bM6wvuxfwVOknKfHMfP8vfiuZjSoeAeIWTNTlONSjgvbn3hilIE3M//gC33BSQsFq +PHYF64SA6q2T6Wlt4YhwRN4aqthw7vmx3Nz3joq/C+WtFRSj3FDsRIQX0KokR/zrq4nIHRnvpKZh +i2dVkNAPN4IhghNWWz4n1SMmVQxuKsVf8Tt84bKVnJH8hH10/KXOc/9AGpvs2EVD8IVgk29FN8Lm +g69aiOWdst9ICtTu+bgvqYVjxYZQFsYJxeNPbqJesKO9MdTz04kjS4+9tdvUxrQ4+HJlmzAAsQkF +HLFf3neUJ4/Zp5ClEsu0FHiOjin0/+PfnDzfz5+PmHDhqrch5XYTSbCbG47KvbwVVjcgw1sYFcZL +SSEydX8IyL9n8+eLb/X0lmK2d8T9Mfr7ekT/QPv+zLBFeyxPllU3AaBaPdSyfo+ruGDFsuhXnkRT +Ydzu0qECwZg+nJBiylXkkODhT1JS8YoyJN5DdnofeYJV5fVZIaDJc7KWULSNwqKv/nRmlZR9+6qk +x8wvYxEEHbW5qrsGPDC6VYZkeYDDYr7gIdY16ixANCJiwGIA/kFRApLRT9x6c6/oZuGKiryx4io9 +AYQ1AtVXN9ycXw35RMBFZowyKZM9VToMPKfCv6sBpMBxLv4MDxKTOc+1bzRtknQ3ItIW+o21wudd +CgwtS0h6pJciyGKmnclngTivvyhEU3D0s8Exot3lEoK911tTT/LqBeIXLw5V0eTM6dDJNri1oZ39 +OmaepmPy8hT5zRig1ZBdiglvlvfhSX1U6aAAGzD3xL2JxfkeVd6MH3w/DTpwTlF6qOk8WCg+ymLz +HPC0uQ4evx4qjxdaeZEybrH1EqgpS7z4/sz+ryIjT1q8IhhcsSMhAjpbXbaZog6eqbHP+Ya6sdie +wjmVvjx+hkpE2eyIrP0JnYwxqF/Xu4q19Xe0+uM8Iou3MwOIPPecPAQM0pqg6h/rSFyWElrxg+K0 +YEe1djaUAa2MmoLNKkbV7Dc2lZp9x6Fgu7BFP9bUYNdCim5wiK9mVbcrfAhlRiHL5bHlWskpINWo +1M1/yBJLuoL30ihyhJFI9MB1Y9kWmmYepW45Tmak9QPrvyrL1U8oYzkHdeEVhFphWxccai+KgCsT +1nuFxgAYYPq0+tICcBG5lN/c4yvSc4PewSvXm2Ls9pTgqx1Ja8w9FzVMC6CqjPe8+khaDFW5x4Le +rF3tpbF4rSBEazHxUeE3F4+K8mCowUUVdAGiv0qQxPW3oMoewwJboXOTGR2dJH1IZRfd8/jsQUhj +09gk3Gv64Yj6+x218GIJ2QGYjY1bO8/YYYYqXwdxKDK6HrTs5aT4dk/Izx3Z1w0at9q/5jr7TMzD +h2wlUpWaH4YDF0bNVvFNxiO0fcGLuik4rcEgAqspfXdxGGbqE7eBr8PL1xJkfIHoSC58mjMuwDpN +wbFG6hY/1E1JrTuM4hFsdjjE/gXGHsRr9lwpBep8RugnDQ0wnXQR6ZqfEuMBg/KVGMOpqiprElA0 +OK29y2XQKUR86U6ZjJ6bfGK6hnulM5GbgWAJjsjlrNwXdwqToYHerrXFnL1YAhRmxoqN+4IVSl28 +dKGTZA+ewXjKjnEOA/sUTDD1JFHzSdoGb/Z8v4WSkIXEo7sjlV812yrscW695eod3VIZi0Tw2Ezr +0TqImvX25tnvtLegcBZ1XPp8AR8NseMSlD18rf9vkVnV/PNF0awgHOYjQvjFok/40OHfnaljasyl +9zuWe+ehcWTyGrnSJH7vKN+k5G3YXBpTgjob/E+PTso6o7RZRqhJnIwOqIz6nPLa27qM9KQEwwV1 ++0a5OKla5ptTOn6/Pc5LhyHzbb1CFSNwJp615mIgInvc9i6zXx9Ano8U/q63kPOBuae5x3L/5dNe +Y1U6zpHm3h7g7qf9TyGitWtV7PFz8zayoLvr4h55V8HF7uwYRIju+vd06zCDdbmc8Hzgo1xEnRZL +qZmTwQaTiknky4LIUtUnO/BHA6ls91MugqqJ4NNr7eZrdXwzTm228vg32g81U59jV0r2EmtNCctN +ygt2+2GeNDy6ED0tDps5/fX+3oi969mifvacCK5cinXAyzYr3SInG+hPG1l1uKWRJm33q9SxKfJK +tBemQNxF4EmW+RBBBq7Wcnw1oJdr34wOsT2SMQt+NT1GgVi6UFutWgDDmgTrPKxVIj1X0Sk457Cg +pt3qfiyVS33rgj75v8h4YN9VdF0TyWhX47vKuByTY0Dc9GiJ0I9c8dFMbYqtqkCCFLdZRymVzcIl +jZFnr5MIXei4dUaXOa2g6AZuu3QY5M2PYY5iIkUxD+1NILPWJcItGWS1A1Clfm5Wbsmtp0nrurwF +JgdOmRXM4ldP9qgnA3zIyiE4Ldg1TEssh3LQWqo37GjUF41wRp4FPBsn3W3cQThwsVjRIfJnYbfw +CHl0ZTuOVMmTZ1Wr1kr5xms+hojCeYaeu0Xurj/7QC4YPya2PqEFpji85WqD6ez/KCtdTn5Qg40F +1IOe23qTZ48LSTZQZN81Sb8Cko8C8Qv+MSnHnyMjJ4QQPxm5xPukHm8X2W8YDE8cLCf4iUTv0lxz +2fyBmY2wvXeu348JHpB1+AuJM+kyfbuKMgGVXWOqgARvGYyckCyNy0uuEMueG+qa08IwVq5ei9i8 +x6ErB6KGUw6FtxQQ6b2ULppSea1A5vb0KXJvrOIwOo4v+5qflqxxGa2sirw8WhWL7keceNrhJROX +Dt83ukA+E8vLf61fv7bX8Qct8icJTkLlYz/HVnkM8c/Be8gXH0J2BEdW+CbonHaDhIQVLccrQHFU +xkDcgBC4DhdkSKG6z2b2+WbwqP0hgeapkNtDUrGbN4sUu/irW5qq3mSMhKF63v1H8LqipgNTOMD0 +uhdrN7MVc8zHw6zYbD80XgZdaQQSMx9AnFlgfceAaJ2UhJLXp3+fj6+cU4ePYoPXaU4oU3fWUUUr +YWe2n9jqVg0EpzTRNB58wibao5GdxVnRVR300TQRoUJve9nlECANkXtHcJxY8O59ApUYV3iBaE+Y +ulWljmZSXklLlHgtdyORqNyQkq71WLhoGpStKHztFbd0L9PnXbjFf8+/yczPYr35bh08f1EO7azq +XwErQ5lYyWLK89A4yCXa0GnAbAO196kufoOFBEYNOCEBwikCxL0FmI8k+3iC74kyYxv7uh4B5/XZ +6rie9pnqFMNpuj7TmqtH2EHBWbIkHsgqWi/QTjy/HeNmYeyxcSHz/3TYpaNnqAHX4d3rmsbUsnWh +B0t32PowpkSK/aqLCFqrJYeJRNQAiYI21jIuVNA5xV3f1yFvO/JYPhpLp9ti6BFtvtbrNzsHTlkq +n4329gUy6Dmqy9jrgkIxiZX30Nrtu80wvEB+OyoxqaadCeEyuCFDrPlwZaMoKyBVaYlqPZHHuN2k +1nHegkrssFVbMNXGfwONk6D8ZXR7O6WdBITlEOerKG4YhZf9zVHL2KO7kpb4m4lSQiBI8J2J375U +zs1dhXga5ApSBd4pzqaBv3bI2aBL0+pSltvmF+HI7wa8pRm5YzZv3qhllN8JgwS6HE4ccD5k9jOS +I4yUEeT2cmbhBuJLqqd+ebvp1Bj+0xT59ppwyGV3xlUA2XKnN9pbwIhguJahVE5sVwPEOKQ5I7A+ +/OxXsPJLTAd5YlzeY97tTDSu3ZquVGYrm1o13gNyq3QSugqT+sYgCzFltOT1e3FsUNdG0uMpNV17 +t5iuMf9ePmIc3m8om10jdGvqi5n+dRszLGmuSYnQdl8eiGvieyXdMhkY4ykts86/hUScIFVoLFky +l4KRagfufSHbIsju/kd9RSEuVnnTrtl3h3oW3fVNM0EZqTvVn485hm6ZJJSElGj2UAH8w+mMJQ/1 +Na+iWSRgmtuUR2Cb9+Q7BSp7H5ZDcXM7v9U5p+IbHH/8xREeRqALt0o0evLT521JR/XsPmvU7WXT +7neMg94knGjAFeQLwiDe8vG5k64i9u+L75pxJEPxzvB0B10qSmx1Ue5XdMoLB0RXHNgf1b5mJMEg +F+h5AhU5W0sg1kxyc/sAzC4JiSOA8IUidRG7LN1xmWOzL7S8IMsFr2Jr/OK7xhH8ND/vYKkuBTDc +Ft1ds0abbLQgPF9ffs8P1yB0F3VJTz934R8HW64cFON+zw2LaKGdRSjiidYEfCKsaAMhm+HLV6v/ +apWrmyMpz0rnyqa+BjcQ7aql0eOGYZaNr2LGHoSfGOp6jt+rcv97kxOdxeHV3Quo723hRyIbRh6z +/t+sNe+Hec/g2bjqtsVkehhGuad/o6qRqBHBTZuaHgerPkko6Gq7wXaPswfh6E8QFLqh7r3WjAPm +8RacwWILGU4qDbl/bkDCIIVfGJ6m4dfhsQOsbES9rd+A6rqQ0h1h5FSWn3Z0nwM2mVRH7Ktfj5da +udW9Mme0axBcRqFx1+bdBfn1yrIA6sTVNlwd7Fu9lm5gqdg5t7mZUZY2d8RqbMZssW3OY3YDW+no +FoaQo5eQynCcKRKCbt3BQgGWwJrzKOAEmkxcyZ4EO3Zim/xhtV7WoXKcAdu+Ugb9e8dascmIZywR ++ljWCVNY5Gb4sykWVWMPqOd4bm13UIQ1rOKN+I2IolwHYTy/RJKX1rx0KF33AU/baDghuLETemOR +iO0Vjlv6TH330ty0aK3pJc2u4C3Bb5ExvhtuTo/pq5GGnTHRJxPJjtsA6yGKJozVxM1zDveOKBaS +xw9ZuNoslWjgp1jN1q7hvn/XGcR/RIFXjSZcj8sM5HA+pkJ+TInKW46iLjvXQMvxTfinVYUurwcA +Z6G98zIoMqAY0iWcO7fXuwnWmw9ZxAqggePn4XdDzdTNz334Ae5yBP2oXkYZHBG0NZ9oyXS/Nab9 +0yOTnyA2VQzpwYZ5vds8/4u+bsjRJTyuaDrV4LXbs/nuW6UoUCtDOM6vHXNUcNcEB0gySN0i1VW6 +jXvZZfT5venqBY1f0AlRVbiRsDdYLXHXyN4wM1T47X9px1355qxHblzxvxKgOcERZJxtHt1ALJdJ +OSoklQJDVwdws7tuClGwaGqOH757M5u7CMFY5ZD3bNgh/22sMKpKLiJlK4+nR5KqCbOI3+3F+GFx +L1E3lfZX/s+bQDb/T/qt0hLfjPwh4WhKFDuezJbyDBRlb1cf5bb7p3sX6W9UaFCjWpwgQwrbuh38 +ileMIG5BUuhQkxJLWySiC0wmiwHK28yHMnfzLIyLdvmsL9dtf5l4PoBHycueEZg29b/CHnYDw6tv +NlVkTtB+hfEl8F6aY4JuQu0qJVhsdoA45sh7JMKUP9PLHEI+YNSWqHrdOuFBZY+IUc3lviyYjCSP +Yb+nwhKQ4vWVimhuMRxCdRM4uEQMvpPIhX70Ak7JA7YyqtVVGJvJHLbc/Lgvs5QKlKtqIO6ybzF8 +LsIjtfMNOjE4bYP1+2BhP6dIiNs3ZMhBc7kzSGnSWnVmDRNkQ0rMfxYglCtBK9f+8js1bkgX+Ful +ueEZtvd0FLb0aTV/lgoH2lmeuZW54aIWx7IOVQvzHh9sl85DKtDuw8Hs7CzuXwprwV5eyfiINtlv +aYI5Vfvrt86mS6jsykx6mE+qXqbA+Rhv7vfbT2mL9Em6TZ+Rf8yh61Fu2cUYXVwoe9oZD6cYe+UU +PCin9CXMlHNUjVTI/3rxcz3CjuRljUn9O89Tju+2nV6wmLC0pSydd9XysQAaCu4F+SkJ/v1ZLxjv +WVQVBXnJPOg1bYjXlg5D/fm5+IBC5iuvW5Lz0Y6+jPErXkVVM8dNzy/3SQlCXeSUSPljvOpWqFnk +cr0l33jYtGiX2UjSa16aUsZUkpezTina96WKeVOK91toPn8N/ohTjqtXD3Lfs2C1FWH/6vBtLuCy +2aIr73nL8dXb77U/PeIooScoc06CQW4OlD6cE/lStJLQ5ffJhUz1Xh1gqvF+YKfVoqQEqHS3WYTC +g/AJKsPm6xbyAHhTmhDPkkhUpn1QxYiD5EveU7uvyQpsFDhe04Xb42qvoR12lHolIXeLKFRJTkeK +ErG38lc9onjivEun8Xb/AZVDVaxdv5eA76TLhucMLi2uKsnAv4qGUWEUN/VY4qQ0a9UQMxL/6N1B +p1v3d7ngeOjeim/3O0dc5p9lxfHSGXldQ3sLTUbUQoLgWL0yzZSK+wxCadlPKoiWg1YRHh3BpP4h +T5XESGSQAY8JM55AD6VkIj/vJmIv7g9NpkZ5jEqGYkfdY7//BOOs8nutygQy8WOdGfE1uSoN0m2T +2yp4OeNubOCNV43+ixZju7LLWw9etdYfgqPscfRJH1p302YxIEG7SComyYsuj8qC+lAT3awu8gS1 +Jj0TBZIy0lxArnvQvzbkqHS0dIHZBSUGU27dsqfvhFdDKxrzhFbaYhsKMSIb6LMAaYw4BAOAGUym +VoocjFoMRbqnfyg7LMHPFWQMjsrvrVs2jCoawtA31JNsKvEKOzvgEYhhupgbAoRVZQaiwFqziB+l +IS02B7VYXYy08gSP9JX2h3UW1eMuAJKNAPOXw8cMjRUqjlhOy8fBvZbfusj/Cvf4JVwsPDdmH/jo +uCoIuPDQTpdx6pJQkqGi3gE7rNJu40sVwv5Z9jTYMCF6eRnBs6/55GMds0d758qWCjpD3hLZsPMY +PgzXnNHZmp/nSQfvRO72q60P9zBAtBnyIgT6Nh2yF26vOgBu28uqLmCBZrNiYuwv9U5PBsnWr3Gl +ynh0Zzic+7koxUng79zSEOpjEN4QGW+CBAdCJSOAyfdvkV8uGtP63nef1upUUo+n/oozAZPHEZa9 +r6iKT4qeesPJ6lFjetf9bYgyh2SXPa4NkyfbMJIhOTwNIyJnHy0eS2YUzvwFIL0LVD0bZ2CXZB/+ +kUqrKJGEb0b7ptTC+5QC468DTEIp26vvO3CnaT6cUw4F4+lbTayd0hMP5gnrmW0hdPL4erUHUPcT +pdP2skU23DKjPfuNdILR8pl03KGAnq/O75IqIwi+2RXJlH5KOTMzj80/jjKrjNihxJ/cQfCug+Mj +XxkzvbFKHlT3TMdz0Cp/y3ZMhvB1FXVw6h8fZFzdXzu/JgnBJZDEtvjcEtu/WyzgzINwWlVp0xa2 +EMlsU0LB9DVlmgPWL5ntUeStL2js9E5gONUFwMqs4ghkicRh465OsVTWI/ZKYGhGoFeDqFTI/An6 +xN+zU4G31IX6p3hzgBOFUOBmSzgbx8T6bztH/bWteN3ggBJ19MQMrfCiw5B/Q3sqUjsoDZHLAFID +QvYSBRgZFmoxNSnN1iNQ+EN2zZIgkmGjw1RxlLG0muhiJ4eqG4l9GSWhwWo9/aJ3e/iugNfbvc3w +jzgj73e3smrfws8EbxsBRNBDjrqlhfvlZ1QrIB6EIqxQguCfX4W1ABIEXvOBoouja7SKp3TuOVEe +cCQ9sHK7aiuRVtNe0+STXN0Rb9q7hfRCyQo3Kd642jAY0C/HOV+CWdTysM81YdLjEPivZKhGRPNr +zHMFMDMCoqP1xiNUfVNP+Z/w7dhCg/hViS5ekoWjmRKhhj0ck5JfncQo4+LT3KFF35qQCb9rj1eX +jC+8rUIGeHCnL4c4spQFFBY5KN2fvSCFl1nKof/0a43mcvycvzPJWRg77m8TK0NxTeCGx/wLqoPv +3utNs5PIdH2bVU+J+ofjlVP5aA5mR503QDGozZd7J/Ty2A0Q7WMdB7EHTwtyxIFHgeRCOkRIx/3V +e5Y23nz9RbRnTYHQR6UsFcBnllIumxN9AQEMH/eaF7b8BpV7FgdSZrGPWLz9VJmnINgrhcthCLRQ +GyDMKBbDQnZqmcTFXb8fcQwJYXi3NZPO3ISL7bf1mlzKrvrdXGmS7IUXsMnbORsX4lC9zIbLi4dH +SSU++Ng9zv39RgYyrh3NjyHk8AKzKHtu3c/9/guoGEbfYK3jmx7zXatF7XVkGCsYLNdw2YuaMLUj +IGU0tAZ1oEt1IXUs9L5a1hYd2xU6JPIvAnJTKtfWWCndPT+gPI9vpuHvKqv79T9ZQYtC3ZHdwvE7 +AUywjmDtNHr5k7hVzTO0VG7A3WWnzoYiXY0vtBlvekWnRAfMNGUslAvecasuYRSJfhLUb+E50dZ6 +ouXRecFPTziUk7KgGwzHUlbzGS51hv03mutNvYhHuqzuDeobDZKn9zMDaYSU/Mv75Hp8YfNrtd7o +eQ5okk9gQIQXEDwg9yuIw+xip7j6hQteHbKIDBVB9XMYF/Hl1h0fVguIwC6yn/KOlzYJdsw4RKo1 +FsrCccvtAyS5kwvNtwc+UICnvrmaUttO/c+vXEc7RgoXFYajdY5y4Iu77giNViUudTM96Z71j+ZD +l/GmdsKe5yQlsmx/YJdnJeylhBbFpGBjzQRXMClubDB0cYZjKKBrU1qq3qMmI//32lCJqTtS3y5Q +S8HcZok+bd7Z440H8VXXMMzzAlx4mA7AWhf7C3+vr7bAnJ51NngfF1Y5pfPQQftif3kOEsGugBUi +UTPLBVORTNLjWbi1qC3+sSlTHlejaxTJ62xSiPIMbVzngDAhDDSmb1GytXB/lngVf1s+cw0vntXi +z+cnyDR6CSNelN7QQBlEsFgNwuCh1hrxlY4w2ycSi14/yO4xscJZ6pq6BuIrEiToIgC2g7MLJSig +o6Gb4R/CkibKI2/Aqbfe94rjPlt1BtdqKK7OiDwAMpLjzoVX+EyKIpUcGHLTZf34gkGNFGpUx36v +TIHJYKu8v0ErE/bDAHKp5RXw7a7KX6W1H4oxfTQkAi6PjvjO8hf3YgniV79uFHtVKgebWmAqpwBi +HjYb6kNev5P4m8tB62GKgg22pg4ilz04pU4FXaPtGLxPLvzoaEk9rJ+CgShsy8SPc58rd0BsuP+I +K7w0t4FV3pQ19eu/hifS/KKHMpfKuvXuFgTK1PhwYBW0BHzcXRgc4xChxe3qzG6zz8okIdgIW/H7 +r7k9w8t/1ocrY9K+wFK28PAsuy0pZ7pybBjOQcsamvY63aUOwXOpvnvWwHzXEkd93Au3AHYFPjUL +CwsLAMzYq5lUxh/OP1IPCKcs9XaUAcae2pevqC5eiOsQfaA8PtGYNDlqhWmQKIqPzMGCJtHS+T5V +mBZSN+wA4t4Mtt/2sU+s4oMSUU4vCeBWCa1x+nSLfx02/HlmxdK8wCMHGipWn/DhsaHvYApRVr7+ +sI5gq1HO1Jqqs7V6P975EZnwfxs2u2DE9mpVotjKMr0yvbIbHgQ1OubfXGsiwN+n564YuJYohBzM +pv0/aHgdzVuosBKeNeN5JP+ImHLg28qkW6m9TGzfzP55Gu5K7JNn6Vk3nuREjgqfUOBA5uVWj2/Q +MENlgnluUOl7KupPZg+fgMGcVleG7I+8eIPUyFRYMgajvhwwL5Z6QwWDHnArTTEy3ccvnWlRQ7nX +ngeKrsfKsCNJ0vPFApMwGQgijm5nBKc6UpI78Hvparyor79Ha2wWX6Mob482DGb54+Hu/VnBkJFL +b/K+1cz9YyGLihNGXu+2QSwlNEzy3R5GWn46UkSpcYmwlVB1jPXLYxAljBO0ngzMRysbv2wirujT +NxmgBYrZ0V//JZMxdLrds6IxN0EnnBbCzIarzIIJkw7zfL77rdwu1wspCAbar19i/o6ZXD04fHll +aqJCM+yMqycy4eoVf8Qnz0EK0EtdbjvDXx+WZArUY95UTiIWt4lJ6sNGENgxnz7WJT2+R7978whW +2ZD9a+kusBKR2gy1pQRovSJxTb9g+MaoPcJODPglhU5yHjd/hixnpCoRVSCkj0mvg2UH3yD2RzW8 +TuRnnsylgZPBFRmTbWdphsGSsY1Nxj6YZSINcQ9Wv1hwuVKx9XSd5KPag+Ll6Eq/3EjeaoxIGZ49 +UmuRSXALKxoitNeWhieNI00RtHmUPzGGgzAoW/jNsKfmeJzbwHhH+74oqEzmNzMJxQHOWgXqJ+Xb +MzUV13dJXEIQLlFUnpkQCeZWloedkGmeB6KLWi8d3wED2xrMt1IvD20beuI/I8zsTxxh1u4/FMYB +xB4qZO54hqvR+94QN+qEakBo0H/J1QHX64KbSRNlAon+Qjsysn1eL4ZKiOViCD74hD55Ye+jn5Zo +izcrZSpJjfpYpacAi+Sh/wH4mLW3CpcpSyzyamQJa+Rekdqw2mXEclJCu2tYdKbgn4Tspyhx9pfx +s1cnRSnc0YUcC7HBGKVaypOMjFEEcaj+rGo0eJPc3PQhgKlVDn0OBGdSf/cwR0uuS4O5aCk77BbY +IeM/1mWdUP3nVqp8zfn36Wt1yug7HIhMiP5BoGDqJ8noMJ/iaMgf7CQDo3t6a13UQWbcTEkJwaxc +6ufi4N3aP4NhXOqtKK8NP2QRES6JsqybvK/iJKuudIbJVIXNbVjJt0nhCLMKLQdA7Jtd3c5UE6Nt +i1GzkFdB0wpfWuQEdjlfLThnBSffekVucLc2VQ/EAI0YVec+CB4pb0DCGav1WZ6c868CZ39Jug6E +HGKF0XQo3E5O8vvZNk5BeQPJDmRztLHdF7L9UpnEiXKkoQOfOPVBHmBbj0grItMFBGYXi6htOcYV +kU4T2XCBrkF/4Mv9Ls67mPHFlL5Zm1Ex3Ir7aJX9/nbCHd9xRZ5OBvbgxz4P++kwh1B5uCawdjd2 +p+b1hMZioXEw0O51iGC52IFM7ftbWj9vgH20ytVWZAvqzrHi9JgV5xYEWcg/nWrGGKUT/uT6AVhq +GDEkdGxxcA428nLcf+TB+l8nzwpiiul1a26dNsquG4PRudGiDLk1M2ucHgW7YGQOuxWCaeR1YaNK +nQNsDX24o21guDN4n49RjnoQSH+akyIb7JhOMPiKUm3NkiTgJpgvge0eHDa9q/YO1GPkMAj9csKq +U/MfHh9KKwlBPgymF+PDNBsSLMg2sqZc+F1z+8c6Oh90aZnJJSOC9BIM2/ATrLKnsYe4m4zb7yjr +86MacdpBBXQph4BjYNrE6IFu8CysKddCLn9PzwghRWMJ8UTPQh2MLbOyym/gNF7Qghti7z9kYC9T +nibwTfr72Zq6yEMrsijt/vi1YixRyewE4JbCeK2HLFVwoQjoWHphc6fGJlKo3So46Hfy9TNe+P+6 +6TcUYAq4ABFFj/mSYmTkTprInGwtZ74WrYUIpiT5lnL7XAGaU+qOW5sz9oD6GBfOMzKUZjS9qMU2 +A/uREhnODkNbxJGO6FnZeZdMb2SQ5Tcd6WTE2wtCcie1Nu3vHXu+Zs6cO79xJGshovL2nAZ9ejOo +bykEicwGqs/6AxjsPxPB9IN2r6LbT04A/i3udHFqKOw6TcMSqavFKo4Luj/8qh47SxJIZLgxwIEg +rw03jMjzEafxc7vaK6mrXmm0ecmTPw1nHQcUsgdZSVeEZtBd6vCmcfFHNVVLq9VBBlWJORjUT7FJ +YL5KpSU4IcNfwGTJk7wuxFOW3yPTe9GQXlDvcXpVN4+TrK3XpR91hJexoQJB8fVMgPW9hEAlU+SC +uNiMXBMIymnCgswZ0JclVXsroyIRxS0s51xWHriiRSWq/ctJkrCn8qqTqj45rrigTRcbBF59kIjv +rE7o5LJ6xIHUsjsxY0KSN6hUVcL9awDuBUrjlNFkcF7NEGdxiodoW5fJ582mU6n2d3CkRvwMip3W +8GXpZjkfX/QSyak4Cp9zlTFCf1KU90Q00fmytyYUD3H9hNJbXG6sCeJjNKuwx52EmxHcKHxI4tSe +n3bwPi1OFqrQPhzm8mJkkqRiJNh52LBs7Ny4R9t62SZ9z5UCsnBS0Aw1+GrpZ2J9JJ9eiqkfa3yG +9Y7YQO0NGeAKZIiVLn78fEq1vQ2LUKo5axKX5hILenhA3i2UQM7ABqZ8YWWH3ga34Fk6vTDLeTNr +EwZHMARbmKZqUhAMNysEqLdSikD2nYphk+qr/OFOQYvcQ2bkKGBNL2eS6aZlImnnBjVstyJVTjHR +gs88vC+cy4cfeY1qdahzPzgb4aQIL7QqhyOTMPBIlQywP3jYoByC5PoNag3l4KCBLGCsAAfFG0pN +knW0VzFL9U8HvnyhH8fR8xrpdzSqoKJCD81k7UGHeGmOj2WAeQLzgt1hhBm/qlkO4a2b5DiSr/Rk +jRDqYoCobiHPC/vJECPX8g0DmO7MgCwNSskADQhS8I06d+SdnGiUMdkGnDFlxMKJkVRfCvroFzuR +W0kpVtNtsxd9b81zSZoRRGzNQBLdCoseUtk7fzDosWGC4pn/vTX9uM7ocJPYxHVFeI6npMpSVk6J +42P8IK0N1chpBFFsyx60DVPV8EraLl1m3kfyN1UgaAmKEzAj8UG0Hsiw3bZtzJF17sW8z/ulwKfV +y0SkwIAPhKH9G1ONOy1cJlITxR9sOMy+S7zwvrUryNc84MYxFQiU1uG7dNNtbzD3+W71brYcwV71 +LjU/3TOYB31do/gUXcLBTaseIwJcxq1W8UzGJMDVEYuGXbtwzOtVbd2aOSs/d/g0RdH7u0Q3VhUu +Rs2OdMYns2mXilwLywXj8kXLPGuidQSOIHlwQbSPPUTSbD+N6mb0ShjSk8CclRQz8HGzsTtSGBfC +ymyG7rbHvURUAhtSjSXcOyg3/fsJcIQ/uweIOjSbES7jbvKtrxB8WxwG9zi/5Pa35+XMwkyiweXR +9U+jOSnqXGnv4LEtPPI8YQunq7s+dyO7XiBv4V8y4RxEAw8eXXnSBHHBUDcU9lIFxPEBtTvWXnvq +nZ6VFux6zZD1Pf4m45UV5Yg1rQ5HcMr3fY50iVLTsFTDs8DiqOs1im53sUSdmy8evXDbl9sjrqVj +zJz6d14DTQ7inFifS2ViQBQnu2BGVRNca+bpdiJGXSKorIUXbPHDs61HF6TJ+/dbCJ1D0trKGcex +lOjERaWCbxqLrT1vb06gW0KLD/4kPbDVhst4bBbCEoAhC/hjN1KjMY1aRIVwIybjaBNjYtdA+wds +7mW2Oh1yNlwi4BTxaewDOSgtTfUUxGBVwZrDuM+D4+1GhCb39y1SiQMrhRUTVd9BOnf/SgZcl9hj +FwBOe8PNs0I/nW3B2FNqLerBptA8r8PZN8Kp7JHzBZTKzfsvu0HcSQtGyf7A/3Y5AnYqbEqDR4O1 +C4wxjHK8uGfzsWpz3khHbnZgyyVhIwrhGicW4YnrAmRCjkHOPPSwXxTsHBwMJsBLULnuMxCjd7vM +lCsz/4um8eckaBEaUaB8p+bFrbiN78IizlCyW5HoDBqYmwPEbOzxa6pX8rqfQK4aZv4tNC7rb8PP +rK6DHOgBGicTlYmwxfhsuPyrycVx9s09Owa+5Qstd0X11Sm9OudjU1rRFIiSMXJS401hFqFoFG2m +URoi+8I0ndCBxyhwn40ZYo+ZQok3q+6+QDtxACC4Yu2MlIsAqOBRjyhRzArtgwLg5TfoElnaWj8d +5g4xlPgQp02PL1Q5VguovrW7Lpn1td+Ao18VwUd1yUikTPm4yfVYeef1j70bJns9Zv/TtWt1F7sx +hBwc+ULOcynwzpGyOoWBtXN9kwz0m+1iDEcql67tNQM1bGR0PE+hKj47v4H2Sq19khEaSSGNRXya +yuWKwy4XE/LZAI4JUiJnxQgN4perfRowG2D4gmXTsCGiXxMB7qzsdskQuXUcn4OyuDrptGfujHt2 +BDTRdTQvEzmyQW+/bEmaDu+mKrVQ0+ZoP1bwgxpfwrqTBX+kNblxcGYYqhHskVFlCg0hCrM3Cr+z +G3kuKkFNUW9TupwxMbHff9sU8gMQ89mxVYTwpxVdjAcjI1cJ00E71AWvNaS2GOZb653jeVuKsagX +v+3KVPTHOuyRmI4G+IN2HKsSxyguBZyxa4fiaysVdAG4FG3YRakNYj9VyNFXkC9DMz4B8Abs+bQZ +oiIIYqCpTB5IT1m5nWaaZplUbzdrJE+hc4bgIqgqwxH7vE7IazLm6g3mSPzWK6d4R9vQTLA8bRJ5 +fTHX0pRekqmAQxdkaigZTC3IlYEdkMpyCcjwEQp3fRvISDkS2mTc6Q4VdmYulszyXLJKQg2AN5+O +a8tQzQWW8glOpkFUhUaw+u9/+DWVXWZ5Bjot7BxpoRsCgyGkFvU89V2743CYwi38mldpJqpr4lhe +MFFjJBnUJT1/fSr5Y73CFCNQfRtbZLM7OH0AdK38BifJAx1+1Scbb5gpLhMyqWcetaC+tmI8q09o +aFEk2yRixLyR1k69q1/9VrWmzskaLsULnCTZcwwJ8mHSCDPrn6aFhetNqUw813jsbw6tluud4Mnc +9xK4V7gNyxVwcetjX6F7FaNhjmX3An0F/l2W3uPiekw//a2074ZIoXpRQKQRr1e+jnC+ZM8sx8wC +BSaESP6uYF1G9LsmOyB8lBAmW4S7y6OrXojRZZgBWtun87kojGYFnQKCk+1zJcnA6JFQvPUgwT9y +iVlleEpHCduqq0pOGFvD5MwlYlK+5GHWNnjodS+6iFfGZex/Yu/K78E6yBaNGpba9M/qvascP0sf +r67S4zrtkPddDcue+dOJ+jJt7hSO4pC6qfVIeLoydadnr1ftmxTNQbDH6lUlA8t53p4/79prVirt +RbpoeFSLP56QPyMAalU+MgSIys1RCrtyDtZeKqtoqqr1sKLHbRHryWMc3pd7XwjF0oWTBI8mMW1b +BVqzH+kZJblN18tnQs4C/ux+1BOsQHpBTw6UT0YJxUDFngXPPubliCqDNmMl+/b+2yPfu0WDx6+y +XUgpwL7zB2CbrTwZKFUNwzMKKTtisiDyJD3xiPjD9MhNvAyevmZYOzth69ErUKJOv2nF6KgD1tb6 +qITIofe3H7DEIqxoMDk4j3HQtpXiP9Dj80vZ/MwQ5dvEF16pX1NxCDAc7vS4uPf/9UlDuik6Kl0y +lRd/GAofX0z4N1WircNe4f8kPtwlel0cxkzunsXUPIPKsbbEyqLcfP1ZwItdS+FPb7YhuBq36tG5 +GJI8SMjGgmqgy+DJ6mLfoDqPRQFNbCKbXtA3xvxtX3Tq337Acpua8JqLFzdZ/iQ0TikdHzqGt4gL +Hgr0Gs48qQROpJsm3X6L0qsPDiWJ1GUQZpVK4aX0msDfDvSytaFas2gIh6oCUfzgXszUXt1MfCTX +NnIjDazM/EJDK1QIOlBLNZQ+cZT+38Dzk3EN8s7yg5h9CGGQ4ky8ctnmTAOQESmk3e7SBZOWqlkt +rMCK1CbgzF9laL7b0/jMCJlyk8Ay8NEnEgHtWjdiKEkb77NEaqLR2b79XAsZqXIptZGOMYZqstYX +60YlCMYU1E8cFykRhc8NwZ6CRzlt8JKN62Q4l8vGK5hx6oNV9PqAT+V+h3qqgV0iumkRfeYSsqFz +KNYCANFaV0i6rCZc4uH7WbqrUFQl2T6NteYp0V+NoWmOu7hgjvBqQ7TekyFW96U+0MeUzDK7nDL6 +0CpP+t/U4hP65iwCia/CMlMNv9J2oeSFAaoha7v8/RNALgpJwEr8y82NR6PN6t2M6jVSg/w/pjdy +CAlivOxoDuxN71eoNPg5W89AqezOWrXF8YUdfK4L6Wx9s3PSLkNQJIEyHR+tvUgO3tIJuoEG0LVR +sWikO1jY5xL6k2OlBAet+Qc9xCvFN2V4dXvsPklEt6KRVWMON/5PfdAOXECEHyhvf1vHUY7oJa1h +ItU+QnstAEbBmAJIKvXn6eg4ODa6vlMDehZjuxBDQeEWC3uC5E/P4QtOTglFn/DnihGcSQSwVKF7 +3l8qntgQRxdBHhopqRco++CFsEerw9/sIKkONA1paOkz49rdXFScJdVJzAdyRuduJ8W/tUHv5pWR +wf75mRm7JzY15RZ9VqMRd380UL0g8TyzTv0Frma1uT4L5Hf+WixMTlTkDkAxFk9Jl1gNwlc5ZZ8P +z5kcthmi2jrDegrByv23OgXFtE5TBID/zG2DQ5JnDAHYEVQH063XjRPcdHQcpTgcUUCtnkItpLyE +yqJSp6PwgkTlmB7Fs4U3E6ZQGRPD/45hGjglyu81g8o1pFE6+THbHFCt+h/ot9hw3lgasMO7YiWy +0kRR2Sa8ZqOnJ/kwY8Xfesxr5s+u4BZDjVI+1ohI3+DO3dn79xGG12qQrdtaZhvRS5G33ObjQCC0 +OifLJZGz72t8VgJt4iJ93wXdyUf4pKwkISh5ZI0Ph3TK/bVtfnvEI14ktEW0R52/trne9bN3lp9b +TrJRkOQKKZMLn4MY8Or5x/dwBBm6ShFA031drrjykJma+nuYOub+/yt7m/LGNmUrwpim0KERHLT7 +2zg03MCmC8KHV4Iyw19HQ8IgKOp5VT+dKB6kmvAEXxnluwlYqvJq/imioboUeV28uCUPkkzPXmdr +h/PndGNzuGNdzeUh5IoimyyyUOM2j35wYebwkdIUfbJXKIyPIabNaGLsyE2PAohpMz0Dw7S3I3wJ +oaAZ+6N+D1YJ4SxGGYwZq/ofuR0PW9qdkQ3OxtcpT4c+8N9bsLv4KAjMMaU2kJPDQN+Pm9Dyw9N6 +eHje1gtLSAK9Eja5PgEUcJfpvAzpfMSl+BHAyAS2c+BpIRnbJW7DkNZT0MRDJb9qMFYL3u6GA90B +Gm33dQIwjrx2ONDZxvN2MmOmTmimOtKIsaTvMj04XfcGRAet87DC76B/F1G3RwEYkwllGwRIre09 +jkXeGsY4cLk+gTAqXWxlUamM3dajf4vWpteZexe9uD3j69kDY9WUGtedLXAXeMbwgGgP9iXF+3Bw +n/nl6DKVKdQovVjsWksRo4nqvHrp9vui1Km66surTW5O73m5xbdMYShCO3XMh0yfMyZlNXd/qPaX +uTImzjePkWPE7DB8G8MG149DRop3w0Gc0yaRD9SUEfmU4kq4sD1EnqzupurTh+25dy1ZG1LJAJJ4 +iRuFy1NGYyiqdJMmJXcm+uiTrGtt4wFXAyOgmO/8HHT9WpjVL2qx69csicpboDLYZP6QG2RPN9bb +4dbHaJ8Oq824k6UQVw0wNANgDpE37LAkbMAq+j2fhWMv6BntOHJZLqhLCFwar5Anlb0pnUZkebOG +BLVVa1jhTYWqecuqWz8T67386RD+q4CIn3PiKPfZBY+AyVOpTUYOhZh0ncBQuW2x7yaNwcSSYRkU +GZv9fIStKS4y9YxGg2ehonxsotFKQomN9Qm1348KVj3l5StwLtZlx2jajjfOGgtKN0VaMLZdIgAy +TKye208v9zDzd1yS9lH1+DVpS46sEI7X+wOVAj7oAATYfjuyoOXoOMibcV1STPYJ4DxbwmcttGfl +hRBVHa8mIHnGcoHo/PNOlmeB2YWKgOhGNoIn6DoIHmtcwh8Y5wKgbzRWZ0z6BVpcfEs9OhCekYne +61O10dtxgt76zzaC5Eec+X71gBVDmCBnOfu9MrxDOkU6b649kLqRMYgv5Fe7Z2JDoT4U99lXBv6F +e4qcIS8MbHJ0I22mwfeEMhxEiTgNUYB/wrGT7/q+hzOlGFfe0RC7aXY8CXUOf7Jm3+9FzhhNvFij +ojhKLlOBJwJyQk2r2yaslyJHZzv41oK+Fl/8XLK3nyK448zTGq+zpPmWaTCJgBaUDgm4t8G2brRf +9ATkgSlBbqxwjcC+ufFKKyB/iHNWPV03Yx2Jr3Rwi+ai7H2Cos7urCrKxGFXzeONPwnCplobdHEs +lkPc2OMBnNZeIPYSdT1QsSdsOBEKnGylVyZmF5PV9xXT1cSyJbrxQMZCyHJXWv+svVDLw1xzsCxl +Pg4jY7ReiEm7ndeoKA2U72sQBq4GIN4Oy8sBjK+6gptQxL3MLJA3P9JJrVvqDvNTE6oiPaRDPC5+ +9A0eE5McDjpJ5qyMlrk4u1ZBjZWNL7f9cO9fm8vyvq4Z9wIPO/hKWWLPCtKpNbtTxsEQ9EURcJ6W +BsS5SKRkKUSWpq3ushxUcsv1OfoYMi4z9qqT7pguzXTC+k8r13XGH5HIlONvxX2zrlNaDozBasue +kzuYA1633T7z1q9TQykpsLTLzG6mATV158DBjLeDbMmfqOuNaDKlgbByjQY7YFSmNok9F+lvgC/T +zLAD6NIW1n19Il2xSIo6j9FEg7nlmIWdj4KWpwpSbNUD76TexqxfEKQZ9pxYVnpcvvpNq1RAWgDM +uVNte1XUC/S3AGKIrWR4eW0yHXyyuoFNvyQBcxjO/5XiwHGwSDo4Erph5hjqyv/UVZYZukpOK2mM +hYmH5uW4ys4uM3bbUIilIqh70RoYDO6mRmAaFdiR4AkNfZALv0OkgDv6ZhA6u1ZdBa1Yw5PojPg6 +HGFED2r/7rMp52ZakXzcf1y/Ruu4UZgrGkVDtVXrwZzrlwxuH3WNx04lJYvcUIrUvBybxItDSDL3 +6WKRSY1Q43n2c4EYKyD1m5IsNyAlWPn8fb597IWK+eaOKgoJ2nOrAntc5OuzCEuBCclBjDrd3FkZ +KG3v/4UJpHEc/QaVG3OM5l8b3wKYbfYXICRgaZAEta4rCuXVQHoWLrl7S2kcTWL6HOWrzGv2Gkvp +RuFdzdiN/rSUI7oA76c9j5h/7uoipk2P/9pLbB44TxfzCVQ8iNhqaHxiaYCZhXgXMNNkTot1nuur +YQd0dgaG/G55O99gtsavjsSVuA8YwpMj0mvZ3hsrmlTA15svobfloPrajVpApaqlTePLePoW8MQl +H6E6D4LyUP8IJENwCEDnQcj5jyZIZOEGWFuzkYtLl7eZhwoV+RYlSysezi1eAQ2JhcPFSDf63uL0 +rYN3a5AnP3X37UGTc9vRwmIx3LvFdHzFAi4naeH3XT4zBwGY60c+QAPPNPjMUup3Pz1PLRG2u+ss +wNw8FiSDBiKQZakqA995YkWf//Zng54UyWQ+GLJ59nth7C+dmEJlNopWYYdNBLnpXO67U04JZZVh +8669WP7+4Mq5A1cabEN9MQT+22gexZp8OC4QOM/9FYLO41q1K77juE5Q8e9LtWQ1K5Tb4ETyfdyF +ULa5o5PAjaLRnb+tPP3O9R32OKMcoE9+ByDJ3Y3Vfqw7bDP+cGilpjIxymDqeo/Q7seo+48LSHek +fAXq2INvjHF4CdQxv7SC4WrZUYPKFeOoHeMR21urwIYSaV7ikAE9HHvpW6exSkz72zCpyE8hNJXo +irZgAcjw1vGfs+mIjjSJKzPNb+dJaf1Yzy2G47Y4aC5XjjmGepe2EHIl+DZO+22VfqUB+aS6PQB2 +oa1qeQ+rfv4vTjXTyGHE2uO/zuIxUfyqmC9d/7WOThYkLTafwWQnWzCF5PgZSdPQ/CsCNcj2HNp6 +SOZraSeTF9I0RA5DYghUs+e5bG6ihhW66grKjqPaJyTmLhKK0W7oBK2e/LVoT8W5SuESPwur6aSQ +YKJFNH5HuW0Kw259iwkz87T37iW3MZhnQUe7O+YzEEmBXlNLcG/knRt2f1wd0WLWV8T55STks/P+ +qhkV8dwxR/wbS0t5yenTTCxp0AQM0SwReTkQs8d0Qxj59DxsLeqD1rB2cPbJvSNgiiZ+j3L1lzPK +M4WHC2wVu2dkldWCL4WAHAl/gVOUBZ9cEjAcBNooZdeWSMLNQjhRV1e5nu4gBR0QKiz/12iyvEbd +fLf80C+0HO9nLWhP9r+da8KeopaGPejku17LW0L5qzfdCO21l7Njmqe9SlDRX9HZtOroDt4Gza4p +TthMaeeRGUIf0MDpNJ/jYbLDaSkdN1cThYSs0ROywqaSHvPWxwUiIHUt0cajC6Nf3g48DP0Ykc1K +2pKEvfja3vvvVr5MPuSco14IxA66eq8Pv55zUxcbrlhFLigDyvCvVkkYbLKB0ytMvSRLTM24PSJn +2+hCFsJogPoQXaybCgltz8IuQkYCUJ0gNyjPZhplC++yWZmm2ZZU9ZjvbYPxmskS8SWP8sQ2tbFc +09HH4pZEO4DE0z1l5baBAbWuXvIp/YJQGMZ/FZWSXAaThOZm+yNCmZRoJj3Ko053TfYuwxgNwEE+ +UUA4yxRLn3FnBgpiBjuSJU7M6DHHTo8h5tZ8yG5bq3HDMN7T+mDvXDiueqA9WFcJBJBc7PQ6rcGn +kAG8+LAR6quhW4LFpdq1WgriCsChtMHOacXrIfD2Ltr3jrdY/X7RAGhPmyf6O6RR2MoQC6EM6snD +ZNfc5M3gBfAdGbC0ru3kJBfeY9AOYJtlFU99fj68Nx/pNm8TO8gZmHXOZ5bCe3JYS/Ud4DbqD9XH +lfd1Cd1Jg7OOH+pAYMI3UCU2+Xzyhh7m32vSyXx8Ml6A+ayX2PHQ/KHqPN84MSUR8MoxRaNyITRi +vwjaWd1VJ0UjdCrOBxWQ78qhHubte5iWpxSwdrIKAX8nouI4x/IuoeiS8N8wImmYzaw7XGkT477k +h1hQhcpEPE4x29ZDSAzWtejhtuiBH1NF6XZQsNMkQ1bq0EZdiOwbLVcq7vfQ1CMru6OkfvTPM9Zl +q+U8t690DGP/hISX/fn8xulrQlYCpApYFqFhH6QzJ+DMueovIRsgAEz8dAD2A+NNI1tOp6HvfE0s +l0Pzqnr/NbpBpuyFFCaRK5cSDAJ6DkDLy8oFJcmbfT2PhYdv4roa1QBOTizBZfbdsU+68aZOpAeN +xRvyInB9bsYTyyzT+Ju2oLAyE7/QZ55kuK0i7UC6AqKa00sqygqfmXlX3FhNe6CKaYZdOe5E6VVU +YjCfp7YeEIidRdKEhD2WZGnxtZEJcJoBVrVbqKrteUuOQGKqfKHYAskgbzZGTQQlEKTZimeBp7Ns +S/d5J/rmxEjgguJbCWZW7+u/t+pqH7toUouo+/kP3a1i6XagBpSZ4jbt9WD9ouJ8KDedF0EOoFRj +F/DMEWn9eDbRXmCQxXUMl2B3xTCVM9mgA1nfqcUegQLqEx61rGqzHeL7IAplmBs9KLE6z6L4ZAUK +ltrEYmxCUYdhFtaH65GBn+SnLH6tEKFO+b87vkcqHB3OzrvkBSQXHCxc5ve5yLPrdrQ3IxOf+L+S +WOhEqfJmzDR4bN/BRL45+GaXt089OJNjbbYco29QU0V47HLE/LjeycqJ/t84jKUU1v+r1WSgKwkA +tc3qBU8bz2zHvcW+Co4tTbuNNXKoF29hFsxmQDMDgbbg7ghNcoC/YXqRRZNCfVwa7OiF0zNI+zDf +ffM0OWqf9lS+QschXfFnIVbZl/kbrw7+oCFmY904CxvYhsp+0JmjNuAxSrK09odDTOCvDKsyYDP3 +mc+uX5uCOlDnf+v9ADi4ehdaXR3L7GSxpTWb2DVmfJpJ08CyO3jrxK1o3MP8ngL0RfOV2wJRY++0 +X0Di4fuBprHeELBF3e60VEfvocNVo1Az5s8la7tfeAFQ1JMVbk7O9WWqDlCdqElko/sZoqGRX2Jd +bt219x8yb1ENDoXSZDHAYQUQwRStkd1wUFwAlz0b2HDQF6hvr0bTXl3W0MLN1mxO2l2LfxRdjQJx +WCKdl2nBbFnhML2NUTV8rRmkNEiF4gyARg72nJEQ5dhhNDViPVuqFx0JqsqUH/sCsQRn6isr1Ya9 +kmVFDJfX5KBDzf9ekTGKApHr6o21fBbZOLQPPZEvIpVnjOVWylb5q13QzVF8d5/wIpIuMRTSVlze +FpymyNYV8rV5xHlxn1smOJoluo6xZ5KnHST/2nJEhQcQM2b3Q3hAQ60L4LehpCxKI3u6QKO+B2EW +IXTs9qWtRQvVldeGC3x/1rFrUBNQHrijKgkuuDh+6jyrVc5mQD/bJdHuoQ8T75dyZ/KTIB0GjZ6n +R7UgGqdLD0cKO8bJ8fWBL+kiuTdywW5NuiUxMJEaAxlIrVeeucW129XuYhU1SDNTJ23zoH3hoHQN +2mjWFrPNTs9i3NhUojB/MNmJVE5HIgYEBOqkxU+wR5TbtHLg+eHtmDbroJ8OSdDqJh9MqV7r+vQn +0oiwnmM+jY34CYCVGWN0lmwNpq30myc7C8ZkzUAdyDc5xsNVjMLOPbvVtyeiVmu540ZtWHRgXMjs +EtOi0kD+vd1uSp6Bimy6MPXa6vmMHf5HK37jYm09AEnMf9DUbfMLa/NbOQvmfLR/WuVVqyiq99+9 +PYsHSlADh8U9t+uKDAV8VNoXkMPtthWuQwrefu6kql/OHBAKURPfWfiCSDxYv2ZXQZ+ZW+lfvaoM +4IDmhNvb/ITcNuaEZYgjDmfgQS5o/cAhnjR+lu/ozbWx5aY0dr9nuyZYgT99BiN+Fql26E5bzOKl +9DQ/whY0iFP9/pUMTJfTyStme4CU7+cQ/d93kigdmxCdtrTw6mnEXCrFLf60TEEZDjRoUn5Npy6Z +uP8Ffbs3YfqO20C4KHvIcCkVohpqvtgfXbfMQc/rS0aOq2/ke2ikIZ/vdmZCSfT1Nl4uY3HJwSiN +kx8AUA9I/TtG/EmroGbdhXvzv7+ytKb/cCC7Bb9lxxUTxzdg5zpUJSkSYfaRT9DGWyYhk8sUU5BS +hXegxA6PcE4JUq4opeROfXwI92E3x3QUSRcLNBpQp7kua796kzaQ9Pm2gbJLlU8VLxlN6CasX8II +mJFLCygJF22IXbE1smp58X9Eri3jnH5u37ygTMD/1u1h2Cp14QO1ENqdU8SGrBAkk5mHCGWWh5cc +D1D99a8+ctEhPOsdgpi//gIVZr5ovQX1PeACppaLdmvRgTHnU+UaynPYqqD4z3wOOlBLeMA/T4oG +SNyX3n/bZoZDK2whmocIJdmRNi/PteFxp8idNvf78Z/31W+a9IX2r2Pj/iIxXA2aop6GQ7eUf3S0 +H4C/QVEkFcBBAshO00Y1pNKhRLKYDS8gschfcqHyH6gSgbQMfTQVFT3Qlzw4XDTT4xdF0R5g1h3i +YA8Jr0v+8wPX6yQchvpC74uLNxNz5qmxIQMkpC7DbIbcJCxUToOcizmeB2YmNg3t2mf5pf4Atgsd +dP4FVY5l6YL5C14KsfsFvklLw/G4RHlNbDIQ5sGQU5NRpJcRi1QzHuDZA87/TpSJblca8ybz1LY8 +V56uAHI7Vpcj/W1IZvTQ1tmYiwWCqE22mPvhAXsp7QBshU+0ME7wrWQIO0hKKU7bXQtLrsE9m86c +Yp1hsGBfPMFLyJdBf/k19lJ9HBSrzcNmYxFoPPrCbRcVB7qwrZZKLPzatjQ/Eq+WQYeMAhzfQrBS +yQlfmLpYhL0WxSEi1aMFvDdxBmED4Vxk72YMO4VP85DxfRfRpAY9akd64tmT1s02OPtxXbhzZS9p +T06pR3TwiOEhEzbJIEcKY3ikVqcKKFN2Ui0wIKHvjVAdfOokvQPBPbgw3sCss7tOOMOW9kz39SK0 +vPkJjQTMVRr8J+vlU+lRWMSZXoH90kKEag2rv351csYkRd208WEpYME+kQaV3XHzM2ceNEHmTzU1 +nHTbShK/SUBA0lT144pXpC+lvgH9dEnlGxdt2NtQh1Z5QShIntR5ukfwal1ofu5JOGegnIg0M1Kd +JqJCw0cn9AIqOJRmknQ6IknXww3nJRzrwV8eedg7qKd8Aeyj30YE/1OTY1sDkj4CmzOdWqzQEKtH +3JtSfyMHnWSqoj+OBjSa7tFfGXbzqTmawP6mckNQNEKzjHfKWQNXHiRCKHMNq2lriRRwY2Qe0G88 +rkxc++eNvePdgp7lUcjjtnN2X2HIWlfAfS46U2ma/NceOIyhQ3+Dj66HXidhVnA7lGW7X4bxIc4M +BJU6XWuEOPIPi21QbIZ5CtKCgQ4693pnKGY8rhJnHd2IofCj4r+bqlY5XgXnPHPp+sQ3dn3Z4sKW +T3/bG/wWPre4hpFMK3cSbR7LaxGU0iegDLbP43d0zzYSMB4PzjkX9pFgCTNSwrAYzW8Dt74dXGtc +evy0ROKKwqN/9OqnBQOuK3GCY4bTxB3FGCfSWEeaQ+tRhU8Itv/ZCxD2DYST4Iat35GYXjNgIg2w +FxVjFondcRzMP/gq7DitbhDPb383/rPhw13xbAOQsBPgoO8IYr5NYxZTIZo7+JZ8vfsCrZWv0iBF +V9sUpLOH000EHxfknJ1EW8iVcV92e4lMQNBVgdtR5sJYhiobJld7HNGjsSySom5xpg+883w4AYrb +4Rg6kd6ocqOExO3EWy0M+Hoy71dWcxKDHL9MVO6QhSl/XjYMKXi0AYoXu78WW1byitbYcaf5h/MO +UPCQOGqR3o1Xu+sLQtM36/aMLODnrthRPQvvydUASzlT8yxFaM3b8K0nhTLGoEs1e9d+BafsddYp +0asR3VvgtHdPhWRcYnY0qcNwujGqX7HSkyeq32qhfH2iHcshJC68iwNtLa9ex262nayzMmWV7ivF +lkOYHsVynAbmpaJVogPMrdVEATbtzKhdD+XJq0ZfhIUFpAdaKnHSTUasmQwePkNIwVnEAgZ/rnlx +fOdlDOpS9wu5tPLfIum2oIp+2ygRSL1vOs4cw1hbt4SRypiQXjbHDwv4Nd7V3C9SuC6zJrd7tOip +khYw1Nfj7gV2VNsKPr1sQCjj02uQRz2gByLaWWobLezTtmPqZi1jEAYSJGF0ooJqPukdOzmpqzjm +ubJ5Js3L7nEE5hS4UkIYnaOaCgzQA4Kl6dMlyA9eFDBnxih/oVnAkRHAD4EcUpFL//XYufFt9gQS +qCl+amSxKSmfKZ0P8yr34WeD9CFSiT8iVGOKTmhrGd6ygJnBI9VmwFJwQRgndI7VL35IJuZAlv7k +KRbFdYtVSUzunvO+mZMEKPKhP5TJ8DWVsQ1F4KPReT6dsZUxlfsIlu9NG30BQBeyZokq9Ef0CCvC +I2TSi6HQhowI1ESJNKR52DYM4Y8M1mKqtT3ztQFi2RSYrE6JcnR9a6WisytAknCNGhzjllP7q2fU +0bGJKDGrBF0NP2fH+dgnbWNWyfl0C/+U/OJbOq2SUOSlHxMAlEGh9rnBCcmUqOteTqZLH/IpFn+l +N8XFPX4aYlLRV24/CHtBjR0Sl6S0EUNZmbhuBSYz8Uw4tQgpNTx3bRPFOxdBy7PNdyq5g9jLJ8Z3 +FanhILtoIkvgfG4AkaSY0MUhOo72J7dAcWHQ3I4K79EDChU7UnVBW7qhVGS/pdUJqIzhm1HClpIh +H8JAiVdXD5UkPEUVLVgesbBySH6BhI5y8G3sICv3MkYeT0HyAaGNr+HWXxHIV0iDkm0STEIEwtFp +rFmEhFD3lJ3LzbMF1brgkLBvXpsdrpxiCljJLKDaudFiiZaohkXsdhlf/o2TCMwNIXD4qpgswPj/ +JFuo5BmihfW/roOLFCyWZwURz9lCCWZISN5bSAlELhzMoy9llqolTACXCHCskGigrurSDEjam9WE +Ssw8/EgAPTsObsoxtBVq+63zHi1FASchgy045QmW5F5R0TT1a/6mUE8G1/Wo9DDvX90iiWYPAKGQ +LinF/euXKp1KDyl8gZ7J0W4X6faekIDeqd0U/FJS3EaBrIBtcCMPQnzeUD7CTPmZYEPCtoVmcDJC +7aMPFJdOmS+N/VIAWmomY/8cfvphy3QfJX8UJsBnguWcvh5BPYfzN/e9lfVM7bdprAcrVli6/jKB +yCbEAaDFIzhVsbts+rJxeih4BYfe662jx2MkijChp6xZqArKE/JRNP+V1oEilzqjGz1XvRu5G62J +Kn8fIT0yvL1nPBtNPVTS5W83isapm6k94PcznS4yrf40ctrF+pTu9Q6s6TgieGMDigdtOWoGF406 +plWvnPpTuA1WOylldonmHJzX8uad1mYSp7SBfL2C+BFznZd472Bh7PfDRhIJiZG8XUWmeJlKhN9Y +2gL3lMYWgktE4dY7sB7yJFgHZcanwIh//0NN7aM6Z/bpCZu4r/tZ1E5ivk8F0IJGw+fL4q3xa7Fd +8bLnOoItWwM9PBCLNiiX94DBNK+m+PayAOhJFxl20qLZpyzx/u/cR06/6RbbUavz7iKdr44l/WqL +8ItYSRPtn4UOcvAyyjg9oJu/KwJ/uNt600umunSEQ4A638V0h0+OxXI4VKUbxwKIxxehSZAd4fCC +UeB/xvcwIpEEll/P//lnePGIgXcCXr+odxm91U/l0FqnK4A6PiIw8DoknjXL1A6u83KkxrDyWOxL +iZLKh54RNauE0JI/aWOg1h0p9Z6risV9IQxZHHWRIV26PGNcP6F7ySTC14o9/vJTlX2rInGplXb+ +OWzqzqbZqzsyxhIAQIqyV4UdoHqT3rBMRlhM14od/8at407w/B2EMAIDX9IzVxrasH2RdOwoCIJV +ZsVdUk4N96DkrjS9HPaZell55fDbdohGrvv6TU+HotU5YTpMcjJgLK7X7UbAVbjYT5qfXFj5JMx4 +8nYb0br84ASfrw2w7ruoVPemczJ9pnSZQr1VDqhxP8PP24WeZsHxf4awDCcjI0txjnW8t+AeZtEg +hbkD9gZ7U2fUeaRGDje9rplfVYbAq4zwI/xqR40zcfAYHO92zmfiuxHzgEDX/jt1a2B2JcjHk//0 +ENshIY/5bd7b8nF3Ovyw6tNeuv3TcMrJ2waJ+/4ljv2Jvi/xZPyEAL2qjgQ+ThYh4JBOD6FpxQ1F +BkFyzgg/j0qqu6ojSz3bHo4j9ViGXLgVfjGlzKu6pXb3ImC/ZhBYMBx+JcgnUNCEFqF5hqXSAt48 +gArY2irUosMpqdP1/MZszpYRlZRKWSe3ME7uB5CT9/+/EUsXn+5R7x4McGdFMY20i8ZLlanZOdhq +HrzFNqzAMcuGGSdIQKHDNApXp3kV8F4lybHk5GwZ3lq0wHSqx+QjqXfVc4g7kYeL98fUOn8GV6fP +pLWkRIeKMgahP+62sM/w6FJT5vh+SayniUNxajNrC99aJlBsVZCesDK1hgvFyoDukKDPygmwNnCv +3l6o8TD6ffbpkW4XY9ALiBGfXfC27QrvCxyq/ivJ65L0VlLMaZhdBQ3VUrml3HWRf9f7pZcCOJcs +jdxrq20U0gAHNHOmKVHhHaC0WZnEiiQuve4q9tefOVnsrBw7Oqm9z74sM9NR4QFsBBQPJXLTz3NB +7uxLq8inxChJAqapsmhLxyW2+kixuCJBF5m3Gmp0xr/+mxOGGUCzW66kS8QUHYA/NozvXyCOrVsy +qRpuY0qZMMpgd0WmlLN2iHJEsoN3FNi84kA3WZMDF1ANtf6QLzwV6+vzRM2BvX3UtBpD+foS1EhD +Ku1jdJj2zpMRqvuAIMqA2aV1+PwqqCLT0TzeY5tyrlwfUduLeajcLpqego98k60QpyKoZKS4R8ty +Qfyk+6wC8QYtxD8ERSJvolZiyUtVlgeNj86bzoRAyZ4Pcxpg1GaqCS8aKPMJZiAo1qmrnMxlOd2o +R3Z7/qo1DdxN+RPcHx1J8JgGEdpxzG1f/8CoTDnHZDqVxFmLjO6gHvIR0PSsD136QjFdbkT2ciND +8qY3DRexpSvw6uaKW2s0LqL2fc+XgDFz1n07WmY5Jqm2PBfDmFYRjjYPPYg5Z3X5aAVsKtUUQcLt +DXZkOjFHlEz2xwY9FsY5lAv5myUdn2vLmoD0zG2e57fl+nPJ6pZ5q4rH0ru3LQbwdtun5rMyq6oL +zZNjyCt2BpqqOb5IeA/LydGZnkH9vhq9CuWzMb/Fnfn8hTbkXpwrE3lE4AVideWPGMpMS5w9u1lg +1rzmindSNO/OmcSeQXnrnCzi3ADdPMUUNnOVelAvvMQv+eaEQIxqP/lqMC8S2WrLzNYQCpkCNvg9 +Q3yvoTt9fX09w+1S2i0PIzdi5NaAkCU8t8rg8YiemzbXjVcfUl98DWDdfg3Y5xJh6nXgx+eAmIZA +lQgV9gjgy0u9lQ2sG36FcLFGNVysK0DGizEEUF78z0jrs7BdN7Z5/YP4moQwmNOKdH4CY7rCsj2m +2ezuQxMGRwM0omNK69iYZQ45TtMJo5GTR/T1sIEV0bgxMn4bVGmLsmwcoxn6CusjONmCvuM5oODR +P3Gc3I7Wk8cZC/wwak+uB8YBhb6dm6wf9tC1PSLdAypxKrgrN7Prw6iJhSKmn3cZavKpWfefEjKL +gN8ZUp3Ot7GFNTu4UfCryxNn9v6yq33KqwxFuJ1jWyOEPbQbUloWGclpqEN2pWsh4zwkupghvpo4 +2TfiqrhqCFA/mpzxwG6ruXuxEJawZBQRoXc8O8RzXl22P7EyWBz5zxGpDmpBqLSjPtSQaWJF6wHP +DGYrERPN8ZKyZjnrPtB0y1wvsoTxqMqWJVxyInLqbj4ofE+W5+ucpz3MAe/j1tHFmoXWkvtL8tXW +tPhxDjXqiMnLP43Y+pfD7PKYBxCrhBjrBBMXPdj1hfrY5EcXPw+DAxUmjmpc00bDZNU0qdzcIoXR +y35+Gl6srV07K3OHTkdT4ipEXj1rR6PGGONh8jaNet+D7cCEE8mXJQB6PI4/8sZjh+XgJmQG7MtC +36ZKREegxVqsVZ1BUm6uLX+aBZubohgitAmjX0w2IkG0Ao+RP+ZOLjnUiLtfjCdeV+i2cjpvDUpY +xmDtIM3H/RTa7BinNZnrpG6IGFUsU4+CSPpWn4qGtV44y9d5icFWqHKQFfXCErM25w4RrF8wL6jM +bbcct36uiAiGtcH3geqk8c2rz6VJ6r8l6mmAUmLryNPTAPn2ypGf0PlkRvtXpV14OZVZdw1A5yuS +JjEhEgPIo42HK5tEXLHJg3NYpVed/gRgTsOmttYsgbSaa4KQ1XRjAQLdb70i9bCuTa1d+wUkUBNr +bcediIAwUIPmkfUQK81KJsMBNOxjS+B86aj2lXEYFPW1HAYtf1Jl1u2MjtnW7i8Uz/Znw+S+R9AH +CQG4ssGYY3h1E/GNnPFu+NKBVHxZZyYdKGwNqFF6PrOy2bgu75jAXQ0ElHIIPKCKrUvR3qCZsnxc +5wZeiFOT6e9ZQhZ3sCJidMR4psyCkHfQ2eyk/XXJIaSCgnugFj22/Sg5xRm4oLIWjsjG2z3A1KMO +7P40XoxJdSZiz+UI/cshdE4drw4ZlVYOUEfQDo7GmV3fhxS2pMPyWAnZZ2nikt67ESHqAHAwIgV3 +18y+BhoBVOAt+EjqUf+EQIao5hUP/1wSRj3erCjG6TP1vrjCpQOV2JYbylFvHzuh62FoQ5CGtT5m +t0zsDn5RIwn5D9DPLzR0a+65Du/2ab+lg1auexEPl1hUT2HMg4YCm0TZSeHKlVnwX5pqVtDl/Eko +CfXqlSMZ9aR+bffxEDT4jAqqdueugKVbBf8oO/Ts1/3Uziw2FOL3Y6ibgaGRL1tqNXWsVMKBh3Bc +whQigiyyFHE8sX6yoPlL/CA9xjxmaEG+5LXdKZIIRTbzIbpU4KzOPBIft1vTSbFIhMzJucN29B7i +2ZdAJenZnhbbK1XZ6um6N0JEIyMFJVPD7ewJRaVtySM8y8wddc9ANmnS2syNfaN2QOvBmFdVigWY +/OFc301ZzOnrci0wI/ttqucJbPnCmGJ6MzMdZA6w4ONn1kp3NevSurD0FwqOg5vJJw8JVjM9JjI6 +lud8jLqqWFyCOp/UwRo6UIsrVALN3T30tRMf8RltpcOfX0zO3IviPpgDe8N6/Vf10ejUMxPqeWfH +Kvq38GhT8hAoluFFF5bHp/UeNjTEh5dRXAMPkG3soaYGq1A1ap+VhMGH5nzyFoRq2NWzpfUE+M1M +2AMiHUlZeqTXmDTTTEsancISQowwAfPNf+3dkM005egiF7K9WugNuh1E9rYoJ4bNbFp5QWeJ3JGB +uK/8IlT+b+hTUagMYyiWropfqHzvPimHunhYlA8QiLLA0SmNKUbieL+Hx5pYl70ykdowIYpIXqDw +uVuvn/1OeeCgpgyOJwPmYvX9fFBYYxiQfzmrNoFQKmFdgO3kNuSsqTrp2ogALS/Eglf5vDfoQHhH +jxy4iO7rJ9W2vzEdrU55XwloC//Pk78UbVfkToj15Ny8NFyQ+oJHrHSL3negvTLPUIKMaE5uOZiy +LKDiDoPR0/r6WxtEPxjPSHfy5V8nMJd2K0gA9DN2+r9SECCuT7KewMlslZqQnGd2A3GM3JCA4K8g +s4dNvXQNKvaXRw4b8q6BHYybd4rbp9k7tuc55e/x31zrd9znZQkbdU+8hmXxnr2qQ7Smio6WAu8a +dIWld6sQ2oc7WaKSnaFXn2fI6dsaVQvfP8uYeqfTmmuKhgqCRQqUFclBs4tdZ+pQRre9HDPWsIWO +enZvXhr5vMsyUU7vi48agxJHBu0SLVzUKAtCFM0HW2JHOCfolDwKlgTENgad4+z9g6kD9pmZJVCJ +OqKS+zGDvWyDUVCQapvuhI5toG6KS4x7C4MH2AuNk2hR0/ruT+rz8vNpXTeYkzSurdq9r9r6VUKu +XOXmHPo9kyOxC1ZXMeZL89FPrN1eIGEY/SjRsfUGdckDvw/JONg9huSRwikexAMSIgJmVjZQ73C3 +431S6tpkfLTPmoMKMC2qwr5wLn5XA9yMhknnti8aOBO8w8bXiaJCNkSzOsKhVC2a9kHfCPe2E3+h +fYcGvtTw/nJcH+0pt4BUtRL5RctMpG4207Aokqd6dusvocq3gg1HBkgL0M+qamdml60KFBCTg1/Y +4KfJnIoz5Zrnu8KHx4tGFKxbo3fiDuDllloHMFX4xJZLv89YR3zmGU6EU7zhItniqQJ7cRk/u2vy +R27+ykJBCarhpJqSeEhgSMhLw4WP5y2ZU3nu2gBrewVKYiiKRrElgEP5iMn7QndSbCGiM23or8Ad +QsTzBlnWd/hTKilQLKPfHdWfz709bjyuDnoFhShB2OaG0vw4F6Uj5hpQMEWcNGd+W1cASjL0gUzN +2dGBl1huNut8FY1FDGhbLA47tNrPL22T/TEqHvY3+kLtfgtt+3t5eztojkUfTEQvHJ9eBdWS9tYC +tkr1S/H6g33pw1Fyyv/mX69hvyuhuYbFyRsfMG2+PcQsL0Asy8A5Y8tkPdMRB5MUZAmwCZ0bKBTo +/xybRnTxGpwRNtDD9sGUIZlH8svlsCjRGZ76o1vR4X853AqDUw6D76D3iKX7kAGdJIJnTRQpn0VB +HxQfXiDYRpoGJhsXuGSmJKxlQuLbwliGq/qGlCREUfaxH8vZ6acFAfGO0+kcdHVJsrjuSSFqn1MJ +mYcQwhbxOCgcTdMItKhtWryVMZgceHJsE33WfpwYWGJ3ZmChYVVuTjnEG267/MaESCu9wh+PSoeS +5AvYbR1sHZ5zfcA9c1kTbn4Md/o1WXiCa/QUsiqkxDXAWwMCFJ7PocoZBrSIGHXnpFQ2xqFmDtGv +TjNsQQLCl7Hr+ajj6TYnZUgJZfazLg+m8KDu8CllNHmKrhRr/1JzwTbAkePAiMWZXnfe+ExaloJr +8zq4LBJPUE6SobIa+3fAVikf5gumRrlDXT8ewxC/lfXEsZf6ICZ7cudaTlpRbNPfvGwK3KH8AgdH +mSyCNv0gqoeUIyOnF2JOsnoFlM4INyTeHN7Hm3IbTXtCMDmd4jE+WmCPQPSLSiAsy1VpLyCL/J5P +9mZdsYO/wTOvT7m/UocpmYnAPpYfOoZ89tLzeh8NbM3gHkQjCyen4NGc+S4SK5J/wuHtuyxooTVY +bM2OdQoOxeSMwtA1LJE7BaAEHvE37ZNrCy8PyL8ZEvaiJceYqtJnjqEGYThY1db3dKP1TevT8RBu +wpTPN6syRmhsF75BxT7C71jDvRs3ROUNudpupLNmfE5n0r570kYHK66y53BYCurE/qEdofRGSJnO +K33ItK1kk9N5VVY2vCwLWTkVm6p5IfqgAuHFOIDsfT9RGEM2035eT93B7P4TCAbQRlYiyetVJwEm +sLm7IK6FnesHyk5cQ8Z1B8R5dBrkHWxVuXu8pWVRxgjdD15+LJ4WVIoQxp7ENtgD+b7ksJHrauyY +TDxgWKZP8gfJ12FF4Gi4O5ycXstrRtmvkmejaOvfMRIyCuUS59+1sxfV46vYNQ3MIMhycQlSW3sz +/WRfwA9GQHqmQIKP/RvOU1ARuVc7sSx/qvuW/zITKOwf363DEKU9VzF+LnnRkTyav1MwKw8P+I57 +/0+/APl9+RmPv01bUD/Wh36oy8nDHDKuoT55AnYm7iM+x/q5ItDDvT8vIaCIeTBOAZHA8TigJsCT +3Aziu1Npz8etodSK1jR2PDZ+cXskguHTGeMBsCpZkgSekVmUMdDslzU8o1xLTWCJDMc12Hd2r2uY +ncA4Z/62v1iwsoHjsZZsTs8EPjE26yvMnqGXihxGGTpaWUzIKV/NAbSdZHAljAolX1VMJOMEUpKi +p4iVN/GU5hKVNryCsQ3rCiiyMmYXHS0T0BWi93K3HjRXMWX08vPDAyZcPJ5c1LhOvnCTy6I82Jc/ +TDAvzmNWsod7ftR9ApJfZvgP3W6AiJOFq7QOpBSYLGJc4QKF0HpQgbJcli49cByxdZODN0Ux8+kj +dbMUF41F+DaVtJdGoeUoO+gZfarPQxCCdp1oGIBbzxba9pp1pVTpIrUh17owB27hqFeRhjyXosdR +lGnxIsNreVG7h/qfBCj+oimS07IloRiQvzcBK2ZMsWdh2c86njjxmT6Qbj7AKstivw7f/z7rbAhb +SRNIfbTnQQC8Hne2Ii0AKW8M5pGpHYd6Om0pO2tcKRM2GcmQaZA5cfsK4xfYT7qvYOxz6+Ll+AIj +hQm7fZHztEkZvBQN8h+dWoR2jFIpSY9uKaeZCk3M9wKxPyrdL+d+Bzcvujsbtm0GkpbWauomAvw6 +O43MUybBcTwGFaJDQym5sK14vUFSpUTZPwMhemutKaduDMTjtgXyHVtJflBR4/RPdjaOQpLK2qUB +whUw8lsr/UZF8SCOB+w+lbE6cMWep08PTocSW3nXc0dd27Q0nWU7mtAkfZ56eCFSlBkoLi/fRvqM +5JbT1pfODibQV8oN3tF8418Ocb/swJCR9wqPlKLi7P5NMR9CUYUJNCpyD+tcGcrbtgnEGbRF+mxo +xyd7HIiK8+U2LGn8/c4QUSrdfXxuQDVKhedwwdQqeYZNU1u9iRNIXhplEKMOeBQMPXvkgcPZcMKU +ehNiHI0P3vCIxBxg/VUc/DibK16XNLDIAVxn0CItmYZcXRlcvBjvDzh5tWNMwpPrLEqk6buoxt9G +lW/Q0YL6wgTcc2M0POtDDczisBapuypT0K75LbninAB35NH4GHuP6ZY2oL92XOPAKSPw4JBOFhu1 +RLgNCsdO3DdIUOt4XerK0z1wEznmhkM/GiqN8LRovVxwpGCX95RzRwNEX3P1NlD7wIheKZ9izuFz +Ybyk9xbrM/cqkLCvdzT9P34UuymEEDV/gVAgydUx0bB6bo7+XqphzyOwQar+l60SiZcaZZnvcD/y +0miTGjdYoiy6Q6TKEk3h1D7NrOxFEFL/UH4EzEsHtT6i4bTHx7fa+YZQQjf+x+Gr2O70p8XFGurz ++gv9eQT0rxw+ZuaTceT8UD6ECa4fY0ITbC72i2se4LcwgwX4DGKysIzLMU59yKQUt7nZtVP/Py3j +hq6qqTgKrh5bRx62iY3TR7QVmDll2/8Ir6ZrDpE1VvLuXLNsdGGBdsAunjX/47n8VOQd9fGQZL7a +9cKhTCN2CAg5NU4A0BTS0KUhN3Ugno1b9U2zMZ5mZzblkxYGAXBlXkp9pNC6/kyeYP67ScD7FCha +OgGmytiA2FEoUrxDSBb8obz+gqvBmFrVPn6DAsq/p13QsTY9vJJLRTtskS9PRVKnXQQ1I2Imz4tE +KVW3aKmt2BdQWZ7kXIduTDuF+BZ6lkqVEmCZ9UoBob96NN7G8CaybonbNCVcqC64lW/tSypnBOyn +uOQIOXdi8jU4PjOEEE3AJYoL500REvMYMJKPYmKCfhbAF5LUDHbDEoprmkC4mysr5T5WuE/CnlT7 +ajr2ogH282ZXLct4V2y1NyW73LcXw847CX+gSDefWSNyJgQistkIuCSCey7+QoA2GQ/mlASOOmW3 +8f/48x2xUr8xEl7lcCKSxAevC9HRsgaHbwW0Rbioh7Kcnem1dxudrGhxyfDfzuH88GGgDOQeyjqT +IzHDteelfbta1H+lfDC/yEzbOczp6VQbO2LzTIQUBIasLdh+wtao+QESD0wsi8kUPzO7O9MRncH3 +T6wQdlttsxekPOmTONuhs8+vET9SkKaBn5yXBXmzkVzR77D2ppp0ZF38n9pjGAVmEMbgMd6yDC4P +H7Y6FVN3RTt8v6KmwZVuddy5lGUC5n2feFuzNMrJtQIL3KraiJmCetXzriEw5QB1sOkzOyUabjtE +BxvejnhnIHi0Rxxveh+8vynYBKt7BgMiQgnpZkSnK1vMKVNC2YKmXcr/o8HtEtz/zbCng06nl3Pw +xBVO6ThTkEx7sxozWjUDBTl3Vwch4WXElccAJfUh3dRHawcJZt+imv3dgB0ekPzjNvzoTIpsH2/C +NNTKd7G689Lvi74Uc0WJ6v8JGb2H8/ZHPAMOKS0me5/PUPkQO4OFslN5q6lKb0WYynfBT3Q3x18N ++nGSYCDlc1LD8TKFp2rMHfMfZxFysmgBITausvv35krUggUsisXM2jLKIHyDmcl2srlJCUpKQerX +SPXiTTi38Nvr1cqm3RlBqWQeKYR5Zh/IH10LJlZ9eizgFUimb/tyMY+mz1d7m3YRll5CK8LOc5xz +rk6ArR0VviUReCciohobRcIVzDedvDfETgHqs6m65O8/SMiutomOoyRYG5Oqo/MbNMUrmzOTPxlX +otCEc86YJwACijxngMtBbgTuFUWMBK6FhtwuCpTisMVRgIymy/cucNfHTcKJH7ByMu8JhvBE95rr +09n8wMZPBr4ZnWcqtUR84yxVhqMB/uVXgkVVW0yRlvxrm+9jrDuCQF7q5febeMPOtkVUvR0LP8Ty +6D5C7HXITRNJO4dyGq5b4T3UBCEgV7M7PtDEtvtuFsYY/+UfnaPRSNo2bkOsDQ34DGly6lk3F9WX +Buiv4Aqr23OlTMyw9S36FE2Oy79CAaWELFWYK8uCuAtCz14yhN7q9X1lOVFHMO8+DB57VCcjJJd9 +xjOuYFf/R36uv0cr0xqHJ6Df/QXAho0B90unRB/wfu/jjYehJIKdfv7o146nADz6TX3queR7EEbn +N3vWzpk6QAlvuKtHHbidyp4IZDpxs/B2H1w3Sb4MfleReLmShhrBTcKs4u34zsPBOktk8mN3CHFg +7rXRluO+vNOUveUzn04Kiv/n1Fwgu79j9C5mTSveGkG8mwlra8F+oOek3xjFpKSRhZxhG4kV9yCg +nTNS5kNNvjytSq7YRlyoLSVIJa1wLEsf0rFoih6B5yl/A4Ujlcah+KQJk/5d4vjspRLa7PiqJomf +ifupGrsrmdR3TReQeUs8OhSG2/snJ+PMeAXtHTaWKs1S4ZmNTRMVlSHrUn17RBRpfRMvVh95AgvM +0XJ6sR7b8SPCvnTK61GsW+XMgYVA8spjwy4s88MM9TwpNy+YqP681fqSJOhcV4vRlpkC4GTliDvP ++Y9N7Q1/8o8lG/Nl/4n/WpPcGVDMzkQ5uAqGEcB7q5Fu1sPzP3CaQJ5B+qDclMjG05Hek013Z/Eo +GRTtnb18NbcJfxl45ivYSpY3V3J0KGkJPJZi82IMiE6F6QaRkKqllvqy+RYmZqeWSjGn/m/C7z8S +tj+veEuf81+gPID2QS7MVHU3bsyHUEy1V4hKrBfGTy/Tdao9mRexMLMFGIQqCshesGdbCeCy1OD3 +8GGa3zpWnVFVyzUUiaMNCmz1sxQJuOSmr1nM1+3mz3rsK0GjeIdiQOw9fpWonw+iIo0IZWpMqFB9 +1R3pgDkmfqi7oI7Vg/Rd4ta+/vp3K/aYCNfMIayvyXf/jnD3EWcDkxv3nuIGbDmE/HckNPRlIpj4 +GUIN6dGPmsEb5rH6kjnjoN06DEg5v2AD1fCFwx0vGTtwj/u2Lz8WnRn9X5nQh+fon/Iy0wNJ7C6d +MQOZLyAc6alnIAtfy3SBeIJM51LiMKTznqlO5A9HG+cY6BvT/6JHlENLhWroMuN4E3sOsg9H/EuO +/6A8ZUms5PujxPMF6iM1hGCkhxLmHom+WLfHlVVOYjP4CNi1eflBdjfp2bsvME4c4AZ8d2iT1e1N +8P98DmxTCiTc/xYQCt0u/YqtKFtE85E3j8f3+lBdC/At4QW35dBpeYhX3hRNnygzIeis9uy4PbkP +NGPesWFGSKFgBEhUJi60p9hsB/BCSvZUNsIxCmpOB8pcocOV01necxgoYKDuF5puw6pf/F1ZTFm9 +VBAiN5Zq92jx71g8XgTh3GtZhNC7tzP4ZgdLiB/YVReAo5SCzUEE85ZgezeBL5flKzfj3qrFpBVg +UgBU2AkjlJ8Obxiko+6dqLrrGmBhozbknmE4TosTtvZ+KYTsS9JwChv41711OwpKWDK7SoJMTW+7 +8U6jOIuckyV4cuGgvqpYYKOYbvx4Ibujnr6AGOMKxzALcOjjeS+UcZB3NeIoZWTFQb5FQLEmELPV +HaaxWu74sy1+w0xIg6L2LBSCoMiLFICOVG7JNvOwURY2middDE9xvXJw3DehTj1K19HZn0xbpoSl +v/zFFWHJkOX+EdxcVYCdUiYqiQn+wT9xXbSn+DcznC55x7vCtUCoewscnmwPBfAWVhp+i/rfZXsX +BzkbX1iiNUTvt/LsOoR3u3bpnSuULKCQmVPeP3gsNqxfvyTLhfoPCqkU3MPXncDZGxS0yIUHDYSu +LHYI4OZ20j9ILJQgs0L4+UT1D40RgtEbTMWmMk5bP199JpFyWL9SyZVUhX2tzV7dZcJi7e7H8YtD +j0lZvC3QEbgr6qbgO7nRp3zh5BUk/9iQwaSclPKa34/U4t4JmephQU90AuUDr7l/KZcASmXEP+lF +1FmIXZTXYgPGzL2Ifk628TfQD/Gx85a2STxVHKfpQYvt8KEhNYIkbBUUgEqdcqarZd43I9HjYUnZ +8ta4JjpHs2fU1JD5CEARRmidUTteo39IfTxtOE3M45yGve/4fh/Wnrgcs3kDXFbBzb+MJKpNYNo+ +FdE75KaaFOxz+nNlbDMKpNKhI7cmvDaXGtyBjnVHvtfr4iLYQmKDGq7Tl56cKi29rpSD7/+4v2gq +Wkyu3uFqrNzPzUOurJz97bgZ0i4rN2jKuAq1CVgcLtvOnss2tStBqYX88tUTu0DgXU2KZDSwCAUc +GiBz9Lc9Xy6fgkknG35nmy6JRdNreKRYUJJmaKYS3YGw3Gzze62ZM+oRTmnukGL3Xk87/vhFNJWa +GdBmj67f99oKeIFhojqTuTatIUBdK2kzifMPwhB+NvqhWNoKYls7ofIJmyUcXMBdtufUEuTAcgsM +DXvQqUFTw6R9+47mHTDozJywjshXBhTaMwj8faKEceQrE8gaXUUoP+pzJUZcyVMnrzYYPBObmCHa +MZRkmJeNKwvZOC154VCcehcYDedaPvDV16Bj2xuDo48kg+8gsBFH8acnw1eI/teLiTQigeqW4Gdm +oOSHYG4YPH0vDL+whwk08ft5GS7QWyXgp4+jJSmrMXRwz5bfLvK1qU+axUm5K/o8YB0amllI5dDZ +jZUpORVgftprSclAZ2/R97+c9FEwO8t0t0rJtcmbd45v0d8947GHlGC+A8O01cQN50iK6dfFAcX4 +52hC+FE2H9VMRBrs/i+CZy+Wf6mfBUMU4+xAb6W4rLXXjzbGJUao+umD3YstDrru1M0Jcl8/YrtT +7n/x1LcJNY2JD3sSAem3OQphaHMSmps/R/VwYg0ThKOh5ZDU50Mc5DizOL+JhOW7mTDCQD9fag4y +Ld9KLhsy1BDatAlMn2oVYEOXwVmbul8QGBS4TVKf0RwPiZnmsxvVMJeUZJUUtSpkaJ6Xuc0HW64V +st3a9A2yTLaBgOKdLSjAT4WwuLmd1/HOw+saJ+mAXnS5gnzRcJkW19qWOBD4+fuk9Sog7BlqQhDb +3M/Mo0mBcdWayUHqARvbo1ADQ6A3l+tZ6ShxHMOiL9p0eGJsX4Ig2/8T+hm8mPP14zMERHvGpQuk +dmYsaqYj9NjZrw0KLoz0o3VOFU6nOS2rTwJljRKOJD9Tfp9ZLpVgPnWoknPEe5rKuq+egslmhPo4 +PdTzgnKsBKxSgQdM0RR5lKlt66lIJSn0ZHqR1MoiHDyHNcfN9IKY8ZTtz+VspQMtG6zPUc2QCNVd +DKAwxc48bgEgeYzUytuMtAQsc5Kn8XS/8Nm6IxJRos2UXfGxSx148In0zg/F3X/lEQHL2RF/dXCT +U4MB/1yLTNXeMQMNHunKfS8tU3jR2zBVDVPpDGEieZh1Ow0sxjJWi2XnfjcbvUdDx3S90BdS8Bm0 +f0f+saM3ZqCDoNOp7KhVf5kQ0s+1Sont/CrVZ+NA+UJsz5SMqg+XlSxEDH0eIbUVKpRDEgsa0atK +Qjo9A7AshiPnTzbX2R2U8AixUvzt9K9HPFMOXQnMLiUDCNf/OhuIoF/50mWuPD8PsIGf/OlKDa2Z +UicbLdqhI0CRLMvaG8kCUZaX5l1FmfmBBiu2N70VbmaH4RU2g/RMyav00QLa6nfN1JR4SGNbMNVk +0BwlRlWUxjJhkQ0/emnt5zSJa49C+GcFjHGI/HQOPHZsClyt6bjljimBnOafOuzdUvLG2Xb7vgVJ +8Be098eD0dwfWYOGCf0FmheiQOyBPLpmNAWr0sUg3sE/djYRSByWpHD3/Dxf5XUwhb1KUy6u0IIk +WjrmI79WcBo6QSInY2wDq7iPnOp5KFwxJgiJyusaFacrkfwLCtH6WBf7oLdeLI5fdBxglo9EThqo +b6/DxH7Xp5PZzyEM7nZAOhRQBU7BbxLc5qlSPI5NGDtf5rljG3s57J5WkmUIpqTS7lTbM/TXlEfv +5rL7BJ8cRqPLnk+Z4uXlGOTuJLH0A7sNTqTZK3XFq9zkbVoXcUqwuRiTnrPcFpp0NMtvG5gTD1fN +m0qX6KJ+nIGd4E0nuqPRnV11DsLKE4hVcMuLhupoH5JQ8mdU8HPyhwyGAHsFU5Wz+5f6UXlzutzc +yPJF8I5OXJTLslLdSjpVnLJ45hnL/ADxRkYwJjUmGK9vBYVtDVgf15Y6PE4PbtGrEtT+kD9VdfLx ++LGCoiFYlaYsyOm3dLNkREScJM8l9iRQGsGtVjtA0ou//sJSa/NR6dAn2Ioq3GxGgJ8l9GmNX5Fi +QdFXYTNUYWU5L4uE7NQAyFbxeoRFSCkEKwdaaVa+7vmAVAYu8Jv54DGFtpw80aoXEnKY3h0rwz2j +fEfhEUI9cvdUbYuuM1Ln3tk/wsDIWJLSblYJ3fnpnr5OpY68wuLLXjWtLXSe4vbc37qrOktPUfGT +9kNR/j9FQb16WOaVpXuSsSpRM3CJdthcOjWUwmtQqoQfeth2k7BJFV1ZjqlIYexzTSkJ5xzbnnhS +v9883zY63rqJqPU0UX3VHjEIhiAf9z9kSN5jNX14ya4mWchqjbMq7RoDMqTVRkcjy7agmZQE1Hdf +7UYVXS6HZ0w+EqRF+ZdeE0u1fyGZ0uJGjBAGf33cyNxqEdGI92elwUglcv+jQJ57M+GuGZVaQn1O +w40Ti6H84g/7VLbIGjOjfaGA8m4GV55As6K8u6WWUi/u7tiCdOrS7YhXKn4t9SzIFoF2BP4ziEfJ +6u47fwgApQ01hUgoSY0VjrHI5L+htk7WVISDjNC66UJn+0J2ICF5pRAjDsJIJtWlXiQzFOm2eLBo +PSSdflFm7Y94bHXf5EkLwhNrhai0OoQNSX+TQm8805SSDRf7xOHbk15/YpOy95nm9nDcdluf/I7y +AFLeFL8PvGbvaesPCvWzzQGbPDk625iszy0IYZ6hqXIYIJi/JJ+XszIHrKy91hjVb5LXjRoPkQ5Y +r/KS9XVdKTarI4znv934qleZHutNpA09KJKHp1qvMhxwx5lomSiOzzjJazPVjO8tjv1jS3Y1hHRT +v5AfNa+rvbOVBN0kGSBRDispPtO7ergOhuavmW6g8HWNjsLu7qFOF4ngq8WIrpi8ydC6LGI2a1o3 ++UXtX4n3+w6TXzdcFiB3104/7mhldXTRfJ4g3Xr6pOjeikWRhB6qA20wdfd4fKuLadODk+uzYGHl +STO7DzD9DfxBGZT/AiNF0aMHDu0xbS5YpUyeFFuQOkGtA3SyPbAUbFkugKDKXVcwQGaLO2ZXccPa +NIboRyAOVWt5lAFoDVM6QJtzToitZt+u/e1gc58iMo0a1/DIn+7Ap6vH3CmNj3pVVf5FZmYOlMpQ +O008VR0thRfB4tqOf0GMP+ewiRnwZ7hbezu0dPLgkYNl/b26vJgqUI9j/BUHkRcELR9QreraBwp5 +/5gLaDJTzfzc13oElTwJU9MRa9oOqcNRxAq6F4a5rf8rz36o+FC6iWOKN9qmBUYPusgOkbC09tvw ++snpxtjLVc5W6Lblp08HfFBXJJDqfMhLgFmcRk5oLC/RuhzAtk1C2IH1YpQ/PqRfw1jQtny+QXlq +bt5owvk8ifr/WtE+Ykz1L7U7m7rlYwIJdg1ZQHtG8rpgHENZvh5iykiPqdULEPBAy1FqLjleIPlz +y+D6bwdr3FvDhZacUZIdJ0zquVrFwO6g8pnsqSsJ0psF3UfgPd9tgCELYsrDfnDh3wtRWeHQVbOa +24RB2drPHuBApPbaz80BvwCTPwO7PydtWsk+eMpEoCkyBDS5+TAj23jsxyNr+5Rp15gS2QTPJa0D +pn2e9ZBMC7usL3d4gXYsrU6L0QUEdv7gX+BMZ9GoJoDYJ5SpGkfVaqMYZ6eozB6qtnRSyrVAx1jb +qnEYV8KaMfDrQ8021t7rHoYtUJcp62m1iAVtONt6+JeJxUUTwi/EQ+ANs41I3yDXNb/zgpPi50eA +4qI1zOIhSt0hk/n9/WQTU/+pcnkYICoZeohZpE+vZ4blZ2xZaZBoKOSrTQCykpAMxv2GhvEf42Ac +qjgd0pBhs3OhW9G9VCqHNuPde6ZkZNI1RiAznci9FjxZBcM+fTV8h/Pnu0ImPNpkCS//uo3oQCgV +6gHVJhR8rnrUpxb0GV3S25hNCQRcduvDso1wZc+gDsWNK4NnugyAyuPdGh7d8HBQgvupb3r9PRzH +dF9QTnSHK3FvgIm7CreCtacc5Ov6cPkeuvhgJ2yDbVilADA1M/ELDUBxXQiML23XaIY8xBhlvPWE +jCDEP2bd8SB5f6tFT03VBXVgrOWQcDvqNx8wu6kgIElxi7f63X8hsHlgtM7eNxWBaJ6z2D2lADO3 +zT4TtalePJsPJG+Pp9k2A8ME5ahGvzyo5G3ECp7nLLPkr9PLjaoZElZ2H520ghGycr+saLUc5xVy +P5zdAthlqkDhUFAEYv87tyvwdA7z6B4xD963jbH9cCXPkscSrRjTX+A/UpBjR9izhrhj04kJM3Ux +maxoBzu5ig5HCZXlfwaoqZdAHoaT1JlRXMkiw2rnPAXaim1Pm4pvBduasSmMSxomIFiESwdUinHl +25ehREjPcnkVCc8seAUXRChlaH7WH/5fRghede/4H6QDICAtbg814lTbD+WDl2y1kOS/YV4rSiYq +t6XyI18mqBaqjlw5TVkqdSHKg7pq6jVGkRZJ55CRMsno2Ayybut6A2K64fYrWUdBlq1RjkV8xTXU +SbOVtml86bZ5GiY2BARPPwL0N9Rdrst6G8fQXYRLGBC2zRKukayG4JS6pon6NoKd/6OgFt0pRviH +DYqmLSd85UaNI/DbI/U9BihMWYudZXcJXN6WGhS2r0/IYjPQ/VzcoUN5dzZQZ+y9SfHy2LU/MtaZ +Wt4eohw3QCuY9mLpS5qYrXVIlcAfuADCwWtKixEmNIVZ+0k7M6/VAXAB7CJiEAwz+SrLxnInLqX+ +Llh/DSM8Mpdz09AEy1uVWIDEueEaf17sX06vTHyrhCF/J1wmtW3LdtRBSkT3Jh/39prmksfRl9yS +HqEUbF+R+0IbnYhMHNZ5J5utMC4nwN2xzdxV6BGZ2xvd5oKIPQy07X0Zej9/AiWYSxYqlNw6+E4m +UU0JvAJexOF4Qa0PZk1OMhN092R1Zi/yY+VRoABZ++6aFC8EoX1Ea1FLnwNdJHvtS1XcCPE9m0Ky +UCUEK254t8rOSeT+vNUvyH728Vn5sRDhYFIjji3Dw0/Eecj05EsGbTirYGYqxrIpX0OJfFyyQh5W +wE+kfYL7xmGUFFEv3O3CNJ0CHVAhdCeEJq054lE2CoTNIUqCqE0iwHMLf7IBAvd+SNCYHfOiLtD8 +WxnE+czlLLbOxxKb7V+vPQT+yHSELvV9OAQSSirt+XE7W+tH1go51keJAzH3RtUo8IcUAfqNnAPK +47KNXf0KSnNovNI2/UjUg2RaKAU04Agjfzj6EEkJ35fY0dp0l2tn7Z59E6oHzigM2j4kZzMmq0Hn +NMPAYAUD/bRU38mI1YkRHxQcyBt+Ulyql17T7alr7CpKH5O871G55MrzlTLbp+A7HiMwwMNFZqTd +NXNVamssc/LjRUIlN2/e5D8GuGIGo8MqIUUdvbIy6Ca9jhIG5F6+MoSkg87Afr+zC0hLK6MhpruD +jGc7GBYuXGqBvLXIY7WvR3wuHt0023pkDmQZK8JEJWDaAbOfGzh3gtJA81oarMRUdn4CxmysySLK +B4nJWlPDy+5jiYk29ULw5J7hRET77+9/Dphk4C3xblUWVr9EQXsp7eIFa+qlQTIL1YxNL4jzr2iO +DeSMwMrTl3DJWslofSYZQmDMcC4RDK1hoLntBMDtZw7MdhzSIdVgvtrfYTqST28apONLOlE+CqVU +loKxgm81kBgf4ZLw4uyjj2m9xq909P2bjkYRIImLsarIJsB+u+hTSF0+KJp+doME7Vi6SojyyN2g +mtxqNxQemiL3llJ1bB9K0W3B1LH/+E5fwGjpsN8CjC1quEDMzVQyN9gF7bZfnvL8cg/6YLrJMWf9 +p26LW2aghI4kD89RYs9VfEsH4P5FUro7MVrrUpy8HDnHDONmyGi3j9XvwSUbkDwZ8kyoiZACxgWb +S2FgBwq5axV0CAzW2JJZzP+E8QcT5709GDs+7AnQ/qJIFCMi2fAUEuNwP2DZRWWsu+FeoFytwD0o +zF4B18HzNn8niFb/dQtzO8isD273iK5xQFrroghY+yb+l3XlVROlK95ANysm9yT8jamC5ZWvU+j0 +wmVt0JavxlfzxyYXA2On9T6okAWa89iuDu0tQOO3wVq6/z+j8sE3k7K5eIrkcYQTjvd5rRrSzv0l +E62Lly5IoUyH75KjfkKKgr3qpx2CdldkBZR8TmONUh8WNnPH90cyKAuCrs+u9hNy8zHmMnQIfsNb +V4RPNUtRWFB9DsqsKz7Qf87reMDs34V6hBRvoElLDkUHPBkEwcag/6fN5/5lmIi+OVbmRjqXADrQ +E8KnGaBdkrjAMjDaMfGKJaDnCaD9tG9kNQPtHJ0gtddoJsq83bqKLntPPo7Je18WBruT+cGQca0F +vgU72UJYhDjE8E8vK3KLlZRMY3vBHOBQpqoCpPsjeR2LQ0jeUCwR4s6jGCvu7++aYnXmK4srL7Ok +eo1vV9bbfdJWSJ4t5TY1v5hlI4T9+iKgVlszw0EMCiVqi7mk2lSmECFzgUQhavGgZGB9l4rDxvR1 +on3aLFndf4hrKgbAGrsibOWFybfPbhDjs81MDBSuzGpHec9bzxNpe7ZOdUTuXfBMM+PU9Tieur60 +L03SZhfVi/pEGYeZYJgkhWTtperLhtdCoPFrbXdS5u2nUExxY4IysmZJsHbc6PnNCb19YJAWaisQ +wWMsg6SRu0CBHCgl2icdnZV8GLiZYyd6bSckJHEsiR4XddztgV3mJBMoMBCsWLRa0emolm7iKqRn +qer1+7kZdN07vAi0kFSF91cOwwBDMOSpJwkfoclybTlf7o4SUGpzY1ADM2EtHo1d/KnhF9CB0muS +Z6Dw2/cqkgKIuwKGyZzyH6PGtHli6u4DEeOCvqtU8t5ItcSUSXb1vFDLvsTTHy9SrNsFKSqq8lRM +tGhMS6feunsJnLBzcbnQR5969MR0jRDaL0uFfwru1jioBMgyVnUnYum5cWA1Yp8GYP9gbFxsLueR +GTOCz/jTJOKHCs8/Z9BZlOErT0OkUGTt5ceX5o9W+oyt0qkWoNIHAKiNQkQrZUtB+EiE8FuWDodB +phU14vBdpPWDFA6rBtP6oZM2KU9gsoRqUq4spkY2eRxFgUPItpcH3Ah7pIHiVD5uL5NdyYAoeYK9 +d8dY0UtPjGCn5RtIG+iszeMIUPDGP68dRwkUhNcFCQZuRfGS7TJ8U0CEte+J0aY+LpAtMQIgJuK9 +tKfPuZJbupLpOdsDp9RKseheVJDdGYAtxH3ETXdeB5PMVw4KpOPnSOsEdEABo5fVv3+VDJNvGjHI +iIN5D7jDoLEAgNZO5enHp25TmavMAHyzXNlMYus7g3UEDG2/11aHYbTb095gnpLTBsSTqr2L3jk1 +wMsMLRbmASXS3T/6V9Iy2VrEUHDK4afGl5uDXV2CCuvMw8ic9tI1BmXmSNotgPGfTZuPklCzeRLw +yPtRf0m5IzYVwC49zW/wHdQi75Q1EUo9Y0JJNVP3QPz7Ll7C5+e1ERfv7pGnkpnoiy0XwGMluQns +lwelg6bq+Ju1+LEXS/hKjYSPPEZlmpo3GQZX6+BUpaTnJFyRIFRBUoWkFQEqKdTSrX5TDcXLCU1d +hDSjurWqW2qH2ByP4frL8CBH9bQG9heeqdb8MLrL0VDhh7NuB9fMm3qRunGoxKBcW2J+vV0yy8ZU +xwMqQNwqoQt1YFmKLiQYOALBNMIMDTVdP/n9BPOxV3jrEVVMD59EzB0kpaJG/OZ9KawrStqOnOvM +10GPVxutRcJycXg6xsRg21EgEmyQX1kJ9TZtnGtr44+KPvI84VnSSKnqAJQEbOZNqfEiCe0VJ580 +msmAVPV56OsMJhRrV0tGWXkUCzFlMkxrwYhYSPgbQEWGQu+xPU2j0LCz+Gqlql5ht4P4tAAYqsER +CU5LW5pzEF/f8pQITHP8XYJpGC5mngTMGsveo4nvaJZ1wInv6X7idnYW4hd6XHqVhjTmS+vgHNIw +8XVXrsjGCsDelqD1eh7ZEpexrVqy4gkJsFE9GA9RiL5QlX6kMLb7hTgbvMN17zUiB7RyfwPCidsN +ZDfGxcek+vf6sja1ngQ22JPAtbu009gMVXWXNdSOQW7f17kS8dvHXUr87l82Rr4yKQlbFO/pDfu5 +FyqadQQNepAMIFG8HMUMkcRtTAhmmGT1OrY3OyCGJG04FGQxqTxFZDKFrhhBOJBvIewWi8xl5HP8 +XhoSOFfK9u8EcdMwDPnAAcMRPJkzGv+GnUHMUdpsa33jmCWRh9rvlELHAo6Jo2pEJwtiFuOtHvKI +zFGg1k1qp27pdmsB5xLyRmCgPZ2UdP3K1oZ2qbwgLBVTSTnmffydVg87QCRa4eKpTsVbvhvzXx9r +0QkHs0dg+xCC02VIYhFDF2fvH03NKA8hutYtsihiraxiSH0ZH/mKWg2EjssWoj6+rSvs4msT/BLl +XgkW6SimSNqXG8WAcRV3qDE04E6tuCWtzTwBGxTD21NStEJwT6vVhH8+8AkRdFs044i9jjixM1Mz +EZKzAzRmsJF3QxDcw5lwOW8SyFew1QM1Cs0uMHza40V9g5vk/ACXMcHuM6kG2rABmYtybzY2pa2v +C+R8Z+fMl4mRzs3ngCPq4bPcl+tZ0TZrO+4lRgNBwpTE+0LZZZMV0r9M1KLa8XIXa5vub/VYAgQE +wMw+7GkvM1jvlqr8kLgPrCy6PinxdkvhPLjvEHsWTnyR3AKkLIwRXNHxGlsm9rP2H5Q2j0ztv8A+ +8ZIDl0nnrVvKcYcgc8t1v6HgZXpIAO4DHpzXKCMtC4YSWK249pn7DF45jbGnjSUgUepJcaWQskSN +jY54cH7fAxGkdM9EH6YAMTrveuwoZ5h884lM/q/iAHrQtBJRH4MGlNfoHgPrUvD2hqtFrBJlxRV7 +EXD4d1eUtFX0mr+Lo8QplgECoHu/QCXTgteodZB0PFVEypov34KfFX2qU+5GKaz4rqfPQmisErKZ +WrfBKhMcypHsA4I3BJ80WU/INTlAC/9xuv+HtbJjNjIH8EwAPKPfW3rjh2ey3II2aeXwZc6Fhqzq +OdeigQ+9BlnLCALeosz/tKWveLUfxe2P9PtWJuzGiNSp1Szc0YcNHkvUnpgRECgiCQI5W3C4Vzp3 +tySpndET3KDmt2GB4Ao33VlDGGK0IELOH1xJQaeGmcu1Rdh2Ukxd+exqpz3sii5CNqovOE4wnjTH +4gUCzjvwPcVD4zZDa5pI5lZbHKX+BcLanYjy7xig69DyZPKowjLZbhhyNDsAJ9Y6xHzjtZDIlwj3 +hlTt0rnfrviN5/lHAp3x7VrvurEA6+CtfzguvY2PySu1u/PSDf8IFl3loglAop/bPPDPzKsJU5Fs +O79R+4HADOi87lnZ8fIiwLOyu0lrPea6rBxKCgOlJqQ5wd957VZBZBWvlyqXpjn3yJ90PwkbLLk4 +Hu++CoZkazuzrqDlJ7fni5kb0yP165JwnhLffZpm7hLQPG3fEe2lpOqXvWQ6Yftk939r0m5xGMjH +YcMgeEcvsnu295qP1FD/Se1wzdGuE9eGTwEY3kg5EI5kOVdUOrXFzMXoVerZUgrUWu/BaqBZkWm6 +liUGb7s7TGEHx3zE0U4J/IexBFyqf+MeWzKhAZEy1vB14e+1wJkwBnqHkK8iEdtRTjWLPhydrRTp +DSS1+tCGxm6sJnWSdK9fInqARqjlunFGRRWXPfcz/ww4mudAy0gZ64OMrTLJKlr456e6wcJ3TTNz +T/CApREg7Nvs/jJCQ5Ev8wf2gwOBO5et9KAH9p/R5iWIf6PFRPku7IcKB0DMz+qb0gTDFlxm49CO +ckEca43NSYMQeDR9kC8JvLM7O4wj08fZ9juaLwAyT6V7HD1mG9SIMfHqAG9idOI5zIOzAh0pz65k +tMX2ez8K3+m4kfZBpPrJSdkdq4EGAwENOMkB7wUBEPxvJqr37vzGhWjZH/DWQ1SCCqmKFi2fE4ik +2vDBqI0gdXMNe1p5PiE05DGlKpJwW/sBb0kFX9zMrsUA4pyjZfcdlbryT8vb/uDwvcjHOT1RTKzA +jLUvjOXjc/rTGqLSjBE08/RBBR5Y1gPDB7IHUmWwX/GJqNiFe0lO+Fpe6DBY1jynWwdrezyBY548 +2yPkIoniYCaUjjHgrpdnX/u0i1bC7H84PZqoBXr363x0zQbl4fpv7T8fqInKSu1QYQDpd6TgchD5 +P1nLQDvKesoSd9eIQUNyAb/0xUo4BkLZ4sadMnGbOqZi1MwTNprDpCQVrAC1gbBvYTq4AOsA9H4d +DCfgAIPPPNFjsyxICnZMWugRiMIkvAuPMC7OnZgfhar1SREO4hwrAIBG2EZzIigSD8s6JDMKLwBT +Lfgi3bvC8S0YAXFGIOH2Nd3ldbb1Ya75XUa8zGMrur10AJf5Ft6zi/fbtDflaU8UD/qgvqtHSBf+ +tFk31CBIyAlfi0DfXv8CLvoQE3aBGLCVHWNjpYsBkLrSaQJXNqOG2XYuEfFJaNujynSz8Pol24EL +jLs0DGX5el7V2xX2GkTbnAItFxeBierC5C99HdCmNfaN16I1hyIwsrO0RzMmiJq8I27DN73JdoIy +yldC1Bc6VDhZbhxYCqJDiO1vHLQP4geVbcEYIKESHM15F5oTJLBVYxaXeE7tW08Jx/UKnWz7yJE0 +6gY7pXKTbWm13gSFD39R1C8AgpmLyGIIJk9148EzEC+IMN6im2vd9oV5Yz0OPEw9dz/1eTX0oQb9 +yvxS2mrB3g5BhAYQxdTGXX6ZGfnpE2fmsDxqN58lQi8kkHSR5XhHemLrrQ8T1kfI2/AE50TWixeg +OCSj4mwatPbQBH0YGIGOB42l+BI0/es/1/3Q5Ar+pXOgXrubYLoj9u0eIVOei5IwP65saV/Rg+if +hZ2J8ftL/hWcEDnGtXpEUfMRBed2TXMWPCoikCVL5JWgvgLK1/Uu98MKFItj008VWlunYO/N4gFy +xmrADxdtWy45AO6XcsjtsWv3yWXCfDGOOg4F543Vc2LHi7LlShJKIWTmLivyWBUVuAAVC9YRUo8v +kTW9UNwAds7htkk+v+Mu3TWSAaAtNReiCthIvv/HsB6D6uJ9nb6LKRf2cvf4C84z9fRmDTPohw1J +mAOlTaW4XO82R2skSnnfvMzplAodbFR6iaZszc4EVkK+dwqmPaDexAAMLVmITE9pLjfT4TlmSJRB +xcG75ViBPhCR65Vr8SKZo5WGsUlf8RUQ+tYf409IKmhTwjoK8xmeqr5Kb88p5i62PxXmVmxPQvrR +07Rm327w1rjO7zAEKzwlTfBVLcYSKAF2ruEdE0rNjsYtxjcgEYe0xXg7TCPmM2zUrNZSLm1P0tsT +XGTbbfIFSFEsEM0cBgxfAk9muVK7XfvHU7DPTbzZxCANDMggyX4VR/N0x9oZocJui3O7o8nRNYQM +Zq75rpd8XoJEmV6kBb3sneH7uP1VHQgruSgdPtVd3w+CbFc3wnRRhxehSfnzybZ3TJWKsY8hPYx2 +q2g+t4AnfHgpOAOdcAh2GVCLmEsn/j2WMbiNfHDLedCro5L6nR142FcfCgbwSQ1ykQ3xdI0DCDSg +lbNiIaf/JbZty4fcLnZV1zJz3BWRQZZyddziiFVX4KNp5dZCUbhLOZV7eJtMutXX9cXTR2tid154 +xEZu1IM7djTO4bEK5QuUWDIy/JXHxEg782nIhLm1cQYYoQ4xflAPhUQesrweGb+U9WZNEkV9sCAv +ffZcKXYDMPVJha6JO4bYTjHKNyECvuRlk/xHn+Z3aCuVOaCYx3XkklnwVOjBycbHgOsH45mnEIwj +3kCVAxQ8yX9JU3ZtuXD2ezag3BsTrZuvSm8se3rMr2T95VBTrKy0C2UpafkKzsY4LVrOp0XEN2Eb +ONwm+2A2T1g8LPg2HiLgmbi2a/fx68guc9/tn7TQawVI8ZA/aRmMFZSiV6QLmNAetP+bLJEqueql +osMHsUkNMrGXD7Pfnlj4I07zf0eB53kXOjlw7ERAauNKxYKmkYnnAQtItzNrPw4XByvk76zoC5jQ +VYUvMoOTPPYP58xBFZgVLuzw9JyB+P8n7HUjqdnaX5ozWwsEqvDqoV9eeHCGohEApfYioQlEJGKv +rrN6rucno3j3Fj+aq3lh21n48lNDvBqUtCAGtpDgHJVnQbRsZSfQE2YjmjOHloh1usvtNZxE4HOv +u21z+bhti5MTeo6Q67AFVtPcu/xjcbBGG2ycUiQRwOKGDk9bRhfpXZLKWcniviRmQxYTaMOXU5XV +fo7iXBZOdAnjkxSc31EyreEaUYh71gJPWJGA0o9fLXMH7Bn24vMDaynivd1DzaFmnU71bvFlvQbE +kQR3zf92JbnYTNYh1zVnwP0w/NqYpW4r8jnNuii/5O5vK4tUt7FhuEHOx4RSfMq+z9gXyu7xtkPl +iITOisbDWIcpmoTyurip9AslC+RCkrW6j2iUXYNLilzw4ymI9jlQcSoKvvvzeXhOeIMgT8EsRA8E +7iePxVFMAZGdQmjxQsafeMg43JEDR9pVFJN5TA/lrvufk5Bz/8w/QsxqOF895qK5aZD+YZB49k5n +z7GesEAFDGBdNsz7cPI/XjsZNLW/lPSvHdcUZQCGfycafiRoktI2X6/Rd35zxFLRagS8mukdNbzf +jht9qyvPAmmioVJZhCkVliqfBh5NBUSPGNqfpVj1k8Rn2C7dvQkm41YXOPzDWO8HLKdVVrExXJDt +HGc08KXoJcvd2yK/xeNqD4f9FUDnlRnHeUxFo25Na5t+i+Y5OtuW2J1oXAk+SdPONw9/6hGEnwu/ ++uh1LE/70/DpPN6Fx2ixxWi2loqM8kVp3bJxr9sWBx8pyYunyvJkcmWn9eIcN/Q1hjGe5Inzv2q+ +o3LrZORnT0fgzbnF9DfoV4Hvj/eX6+5Ah6NhCNGXWF3fMaig/77WK9hpr3waEV7t2oqG1QTlMFb3 +WoeISrvO6h+iMGeJ203NlhsW95HwEWfoU4eyPwaUaPV1kmckaP7G3LBKSTtsoNZOwGCKZh4wtXxw +S0aBNji3bKA0/dzyn2C5IGWNcM2jcPf3nU3ZTvaxp9wSsrXrQMskO9pMnZ1EXaiOZtZh683kC3rl +OKGp+MxwnxOPwQfk5PoZpI/wnQzD0C4iuwieGAJ5UjwNu77bYtQQB023g/lP6kd5Nb0zvFAL6k2s +pELqlC7y6g99RIQi85/MuI1K7x3wf0gsJ11QT1BS2t3RTDB8AqqvEhpPpVhvY76zgONHFDtL1Esb +uBQrw3xB6Y4UpcKbubffXjmOuQbnpy30Y774fQy87UWKUtlZAddqzHlB5kuN5YEe/d/jF7Zm6nUE +FIg5pryx3qXKeNPLciQaAHl3aygy5iRephuZPQWawGjYUAF+SchCGj6IyQXO4ue7zH4c5gTfqyUm +p+I3D1PgbJW/FsBxamfZylkG2TIP9cd9h+Gs+vM2QCISV3+9nSHZ/6N5rQI/9wkJHwjDU6LiIgnE +Lhkul+Bji5FsjSILKi3PzP9QKxOmKFtxWNQU3AOFx9OReR4VzW6vNn79uKUzsXy9B71L/GNvUrvW +J6d4NpnijOYYfyvdaDt3jTuDbFWMz6ozCoE7do0jHEJb+hhR5UVFX9Dk+hMnrrTdNJw3NZm1pLe3 +OwXS7tJ0CbGgFYfO8x2U7hECgBYxiD4SNQ9XHQVF8jrLMpYC9bw1hVLs+2KuIt51RgtW6vHMr9VQ +0XMxQLKcxnzrJLJ2pnuSSp4m7bhPdpW4Kpi3uWhotHxwdIFBjyJMn0JtkM6HSJYvYkg/RnmPuucR +/DRBNHBjIDahUagSNKVsVqg05bWfDxErdhJNHsUEwmBrn8YlmCrTuot3LgqFNtLBPKTt8VlNCbsk +ZpqTh8T3RpdW6zZGG2DWVIKRL6SMZblteXEd6Ek3N4jSg4zkpFuGIW+IcQLRGcq9FSe48iiIKlyH +FIN/1A/9BR+VDasWQ5DHcoASsVg0m0LZlW+p9VuvwoV2cT9HApBmRaT+jStgu5A7HFb07y1gT1ce +qOCFNkuYGHJzG+GWrin1kZXqixwi6gvHTtzrgrfE6gZjQUQ02CPncKh1fCZS+/cvVy+J8X4CQ1x0 +H5+GgKE4E6g1J3iE6bep/48DR6tBhM7C0jEdLrS+2CGU0P+H5+VDKyzrsOhsYwrkyyOSX5SDFMMJ +ZAumukJC4cH2KEqnemq7fAyEV/P9Wd/cTJ57PDjwrzaK0dcPHFMo3JWMmaXkFWkMzW2tcqqNdmwb +ljbD+deIA5ewtfvykQf4B7B7EULwSeJFTuhnFX39O6tbpkQXIrbTA37qIud2UjcgVVwg40gfatIU +G6Xx3qzxqjCvg4qXJeiGgaFQp+LhqU2TNYiqpCqg3ikWtZ6ii8p9HgxD0PFir9UgOXRLsTRD1+Fe +iD43TYxBwu7HH6hC6OLpfDJ6jZUaD7wXmgvLxYRHpTA4ytrKAzXQDz3mUKjE19S2CHqtXkHRz8JK +bCk0hZ500jtU/1WVtyVYVV0E8Ro2CHc1M5pKY1eul1EOJGiJQurmbNT8zzFDUdXgnzFfnUny05zh +3KmAvIOrJbfpHysrrzRCeN32PouZaRC0EeHH4RIhBYWf8hhRO6LA/84vImFhqh4NpGckmIE2JAg0 +OtDWKcfh5P2Mx8cq1oJlII2FcaBCs+Bl1A01xR747MckaVKw/nWDDi2gO0eczK3yQLGe1HvCSEV0 +bqklStL1MA4Uwu2Dyp0TB5hEcmIq+Inv4l4ZNmPEtGsEw4/Z3yTQjhW+pZmth0t2A6LrkYS/eYFR +G6r5ojHfSNFrnSiAMNA7MY0rGY45nTmQgls2HuCje3m+5cUtAXglJHHBnQHXWRf4yzWGBciCwWZf +T/MUvNyWTehBSxymT7k7WsChgl8mF5gJRtBlOY4c5q6NiTx4LPo6HncwjRzHEfow9WJIMYot5Ppd +Ohc4V1ZwBBPS0o/jaFuRihXeAqHWr6MxjXlIx5XWQvXPF7UBCold8p2eG6sa2UjGF6HTHKkLAQr6 +/zCTBm2VjuRac6KMzm3SsDdNjMcoq420aymUa0E6w1pQIf2lvoa+gYsgWEMUCE37cV1WjV8Z/TFW +C7eqlVbzEab3gf8EULamUidWj02vioVaPrCmN/W0rylfSEKOMWe3zETOY0GXNdTrCJy+22d1LRgi ++pz93XIJCUwLJ6zKDmk/H9c+eTEqLB2Xjtx0JRh5ynwn7Aon+p+UrFQ4mVcjNEcLROH27oa90ma7 +/Rfv87LD8A+DOBd3Sqvu0qCeL4XpBG6QadVWTA/VFDt124XWVCfiTmLv0pJzHS7OhPg+UPinYZqz +yDc8lIz/sY4dDCeYBEKMtn1wwAzFI8rmr6np5kbDcan6Mwh7Ixoxvalm+ZEIXroVx7sWsWCl1zwl +hMzQvWjHOAr9SNHgGAz0EKYwXHQqutfUOAwrYPW+hGUoGV1nBmZzZyRFfzKRPAjXJdi+9ArJi7ik +h1UVQz6kZ8j5oRKjaVElTeK3Ls4mHtn0LtvtYdUCMKIYOLUqfDsC0dyVrA8leO9Iah3sOfACBuPx +puQ01/Pe6eSOuXgYyak+e3WJhyP9w/H99zlpccy61Mt68640+g40mH9eSvr9neimhp97n4NctU2v +8eIpU7yihUB+ki4t5q5ZVLXWJ6ZMOCGSvm0gEyecStNCxIEfO3Rrqrq3NrYRPbVfcKBGGp6PbUiP +AYSzlHs63cyU423xigULcnOAjb5k3l2GGdFmsFP5pd4+1T8+GkJiUTgRUjs43a5niNAWtIvm+Rxd +R+lrcjSsUma3BaNXMIunQSLNBnKtWlb9oPlYOnteKjzSnTdTXfS9wPlDuYV3ZfqiAZTGJWDI3cnD +4WLtMjiXAdkEAuxvukNJvCUDVQOmsqYfpbjmV6/xxcpGCLpoc44CzBSp+tJFxBnHjOdHJ3D76DIi +Fyn2Lq7jf4/n5eHRFN9+BpJ4geIYIbejjHE9s747e3/ywH/fncd0ll9p43fFDchYQHxMw4kxRN+t +wQ2LRl3tDR8Yh607vb+TPnLqgyD/2IuLvsAGFNn9nQ8STW2aUc4CRDcCm4N9ep9ztW4yaqGFPmiM +E1mKeKOzAwLUzbVzBPNSstQhbeOqBUFd7RtFSP8NS7FHkO3jRj1NyzBX7BGo9ODr8ZsMrjFb2xdI +HKbLg4JWM4aHrhZ8A61zKMlgDVHuYGPhFB4LpxkESHx/16PHiTs0U8xjmnkagZe2fNVuPHd07pxA +aTLhwuXy3at/1tqlOAdKb9e9F9M7sL9RxFmqAsE/WRsmaMvBPvD2uC2aCVzPI/zN1fptgREjROgi +Co6XrBw1GU17hTAZHrGfzBdZp6wJXf8Jjk5gLWrrhLTR26BlU/fnYUc97JqEhR+MUeK8NZ/kBo8O +is8nEXc494HwyxsHdTLl3HWGnZ2A2vErBFn2vAY0R+i3qd5NuzY7VMaHyVEO2DxOhX5FeqyffAVv +96mZq3XU5XZlTuyrYmKa/+g+C/XTgQx7vGC4S1xMAPJ3PxorvIRWKQFLLBACzOvAP8CKKSSskYk5 +8FS8jnvM4/ur7bjRSsoE13Cl6TooX8zYmrZ0NJLq3Nf1Fn01UAlhlqy2AxTTMvjvNMK+eQi+me7t +FqLAki/hwvGe5e87Gb9JLpKz09uext48zJcWM1oNXdxErZSQF4xQ7qoMnvawqsym8OLMj8w5Bn/r +p+0F+XCBhrqjpsXS5cHsTNUfEUwnL1O2tO9VkvWxk96h2nXHKWiSd25TkRsolkONfSf3dwlvVB/8 +Qh+JKVGboikB3bcTwHYkYIPjGcd7nFmuC6drq3eRoMuZeu0GfZ/B7rvALMwC0+kQLVniK91eXUV1 +Fqp6scFNdYBNu9Ce5b+S/KNXov2FQIqQTOJQbL6P3POjkELqFMN4wYq9VdZBu6zrj/qYFZtc5/zt +XGUu3bizYC1s/Z4uEw2V8JprkgkXJ8jGx49yLQnzMaTGuXtoSxwrz3lq5mT9bEnkXfew9C+tghxf +TxLKfaxXHzn+k8POGvUS96jD6w7rmUdQZMjfIMxU2+UbFPdmAZQJuAzBLEVdShrn/wwpFDCAONoZ +9xQVmTZSAhGshPNlMhX6m50OrMtkEp3Bb6ds+vJi4anHllV13OvMKHOMC52wA2DeLBb37lqQ8RCJ +AefiBZ94hVXiZjcZ4YGSNOagEeexbNd2+44vYNCjmZUs54C3lxr/QRww8jr7ueVw8EOkZ7EspNmt +qh2+NZ32btlyFCi0hH4Efp6+eoyw/MGsARndPpNUvvPMPCbEDpdKrZdm3V5v2aDzRqxUhnBOFMb1 ++3DnbrFhlmDe1tlvlSEVQLIHhN1w7OOPTdnBLMc4RkSi8EjJBYwYFxH68lLRjFPdOx4oL1I28MqW +cCdnGj6bAutNXaOhs7peHJwd1caL5gswjEeG7QXQirSdMIs04BNizMjfVfMtPBWcN7LwQWVmX7Y5 +Fa09fT7WmwLg1cZRDfq0HCUBhpqmNJ7/BOgX1gilje9OsGyagoYoO+0vz8LlG9YagCAWq4wtWfXz +vcG7PoKi6/TdBMIeSYVcIIxCqKGyedYL7MwCOEjco1BA36AJ3PWOCPs5z7WRfpXqy9UrdcFJi+ub +4DgC89yzrI987eMN2BElZ511an2WbStfPV8jyeIhtX+U7hsXSszp1hBG0RDbh20BwKEIKo+Zl461 +yHH6jXJ/YWdJLK50alE0clwnAYuY6+T14EBpDzpr1kWAPoCAlnxpuIOCMagEOKjwag4EdCfq2K1m +rRrturDlgR3CfoscC9gHVcPEAPVAWAFIZNKyCgFNBDiBHnMoq6WqxxqvtWKmG9g0Wtc01Jg1mWqq +4CPOcbpBScdWOBykSXBhlpVoZhrCF1esZjCqR7bWpoODQR/SZ+9dqQf0pKmiapZapTu0qdxW862S +ExFfYTSqGfNm3yV+6hX4hdPRiRPgUL//WBk9I+NBOSNuZs+1IwbIJRAIAk3gO/gnjk9KFssGBkzC +XxhpxKLAFMpJdNmTDFuOoyypGYQ2owgkh2mPAyfvWEcmdr9ZkQSltTNK0OjjoirPy2YQFw/F9KuR +2U5PmwRA4nGyWr4r8xEKkRcwy6ibIpQpNgb75uDViNsd9kqJhpLGZ+s+CWrOgzf0W+EsLtrULwN6 +BloWotg0DLDbBILrvcdkqOR6+0NZYN6SaHykwe2yWFiePvSObcepW4YptJt1xAXKEvbWVHzntSEs +wHdOPWW/Ui/GuvTI2W5TUanN2QCoE8hhcd1TBumOE5eqwbek4SC7GsjyqwF3hTCZd5uQoz7nFSju +GHWn18pDbvvkp+v8DlTcasZZmjWP8T+DkadfXbbRTfL+bsb+dIxEXLahZbIl/aIWwE9yR+6Qq2yJ +CAnl14S8Mk24LilrCK/CmT7+H24ubmj/kj9//gU4whnScA+oWONyVniPey7UdRfDq0yj0AxQKc+r +QzwgVB1ts/wpGHlXX5ePW95hUST7ju4hEHRB6mb/NiEsULJKTUD21m94BsEUQOthByap7bSvQ2Wv +oWhBVmDtzqGTqJKzCkk3ZvotzExpm5+hx/1+Sax4yOd6zuFeTohH9bovvW3PIMRqj6X2ohJw41YV +/uJhbyabe0h+rqi5Y69qv0Rkgmo6Rn1raTl1qT3wqOVPq7WoR6v+Q2rFUw9GrGoP2yAb5JQBqr5d +wjndlVIH7f1Ogj34uSvJ4G0SQauXQwukN+TYEkDVsu48XGRxeNg6JHF68WfQRiaJtSvzaqePgj5R +yz0tzd6KWjQsbWJkkWPzo2R0TnrrpzrdEskmn0I+IDR+WD+U2a0IwftFMOK7yvAA55ticFi36TLt +NiqdhrT3cA0ABSrnA2YrJ+Jr2ZMh21fPR4Xo9M4rO8usd0gCMSBGMY04LGgca1voP8AQ8Uka+WnH +BLdRsdG10bETGNPAJq1tv2Gfr/NQ5ckIOaoCdM9H/H2bgpu8Bc97bJaRd+bqWV+G4LXBkT/bkgnX +97bAUEg2zXFZ1C5Bg8NhB9qRX5fA7rkdeOZZ5+i+RHRS3VDR37NYIWN6qYLmdaQPM5lv4QiIODsY +nHq695/56Pi1r09NFbxE5N0/46mBhcbGxYqjzfFcT5wph1iIOw8GVIMv8r/B+Qqc+hQATGaofLjT +uWV5mfrXwrBZgCCcaQP39ZB7Ar/mzNmLnbUlIQ5I4Mx2hSXxxxCGjLt5v2dIhF9+ggXC2aD10mfV +tEce8QjH5s9XP5bjwvqKhwyYDJ5FHlRGHNiePXQHCI/7kZ9pQjME5ljZ/5u4A7OfcWD731+/YRBC +pWY9LEUhQoTmyjuuL0teTFpT0vsMA2eU7DODtFKRfjdDAGCiqP2ZnNkm4yl9xq3riTiBpgLep4+V +4fqtaWDL+vYZqoyssPnqO91r72bGicrn89WUPQnke1mK6nJk/nUZEzDi5zegtjNKhxuPe1LzlD9L +lN/Kv9ZCzNJL/bh0FpTN/uY7Re/ZskKblg8C7Sx7uVfkuqjmGxgFAGsbQh4JlxMzF/nLEJ5x4bw3 +izW3asKh4YBiNL86IxhMgsEx8RV1pPxR5OGJHHoMP1pPPWMaAflz/jsG8DnX7wefTLeCOg5UipK/ +tjjyP8hce8V0l3g4WVSI5aKehX79xipgTAi9dDNkV8oK58GHxRfLt+w7F6AfODytGJbxHFGT7A8B +O32PfF7lwGIcrg3LMNBEP8Rybe9F8/xsaCKgdI6I2ke9fvkcGu+VWD2LuYlqOggje1w8eSIVp00M +9aT8f+2jdcp3rzPQkJZ1u7FFDesLTMjBg8fN3xqcGiKKg7LMhOHzP86bF1uYvuOtA14ro6oIH/15 +qD3z8GCkcUHOhaPU9zhrWwrs45WmlmCk1Qc7UDzZp6Llzd++Mj9CLG9ccqWrJagmynwrJozeUCjO +qT2ssQTmKgHwmC+ajeDUyDFla9ZqrlC8F6dD75+vvjGrLjS3aZlicHMFHbjMCmKg+4pbZN2Pz4Bt +e/KlvLthPxTlwUzW12zh7kxRiecKkKDPQP4cp3C+qTXwv1PsPfYWJKwYZr+VOO0eVL1nLxJdAcLb +LG6vA2rhOelO49Xm2IWudwu4pytNC2/9cr2B7qhWfox/Y5WgA15EQq5++19412yQxFB7VmayQtCi +m1/IuJsVmi9KVcGYSIs2bd3zXDjfmlp5gyhFh015vUjKAxKjLjLzVqorqTzXgaoNXy5ksRNtjE/t +YvG08ukvc6AofJkp6T0zy7s+6tK6olQyU8ALkIJBHfQ//ZQG+I6u/lkVV6MP19RNI87f5yQ77A8t +9boZM2/0w83QrirWEfl7FIFtOpjDZqM2rXhkCmzGVadjjesaI7EGGwuXUn1GGhnfdkF/grNTR0a8 +pTCq7A02bFFBCswsQCbmPg8U+LFHLmBy61L97EFkE/lyhj7xO2wkSgqF9kw+obNf2PtCVjX9NvrL +3pjkOBG/3I1wz9lrt7DlkLVC8xb/Fkw3zTtXWdwQfCyPtoLrmQ4jmyT5S0ZV7tGn59iCbt+sUq4a +D9x8U/ohRYe2vlb1ZOMOpQ7KDF/sVWWNH4hFk8kG6OM1/fm/nJ1p9z89ZrWeusVH1EP8OyWOm9HK +Ed/GhUodV+g/fMyNIIL369lntQWv760GIEw5xx2b1OPe8SfSs+er5FpJi55fBU/d4Iu+qQd/eQ+6 +dHfS0xq+pz1diYGXZUuHtC94ogFfVWgV2WxvVh9zOACJfCtkOTk8pwRlXn1NECMlGP2LnpZKIhom +gbYAg8SVRpZnbLkrgk0GASHxCPjU1xb2gmbAeBTCRjWOmuhykxW30MPL3bTTJBBxrP22ALIW3fQa +Lo+M8ZqTQ213T4p0agJ2oorMBeY+8Ld69Vd9bhTNOuZdmuaw+2Ka8kanzylDM/OwVu+ogn6UyYWX +ZDD3T6f1uKkYqYKExW+vwY58DR2QmODkRMY54YOt7w+Ogf2n5fmkamFCu0NLux+qt4/iYzlrQg6u +SkwLxkZ+y6wcyg04c/Fx2KN03oCbpMuaFAycnf1BEpSrYmw0tDjJwxWSkFAyLP0jKzsA1+jZqwp8 +o46qwjaSpiQJzK+cjTkRcwTwRbdghp2SPZmQNGzDaHYGIIpPLpZ/MKjWLxSS6vMW5CzPxy5nOKM7 +FkRhOqrT6NSi1OFFuGMKSq4i1mnGb07KyY6dgdU/uIcaRuqHvnalN2zuthHstkxHTtiyYXToksWC +m2DjzbfJIdCzYcmCCJk8wQKSheNef4fy58sWh7XUv3P+H0Jr1rLbPpsCdWuBuTDZ87AriZ5BltUi +cuFqIpnQEjzlTconpa0i3SegtZ1gShgS82DxLVhfGvu40yNoyH1r9VE3b5e4oAWCCwPgEZMQjRpd +RmRgt0phsk9GRmiM4yJWt3TBPBq9fVpCnMXHz9G59r+kT+0MgEmR8JmBTUAE9TfIIKABIbp3QFAF +CfLcNzsdD8lE4Qn1UmQJxp09i6kWRjmkhHRUlqleaPntB09Ntp6KHnKUlUCo2bEKGinBB9CbaT0b +auNrQ2LvATNWmot/LTqxYImoi6lawkLzPJXUDHun8RW7He0fDFmYqU2PTssnmgf5MX77K5mpuKDp +Kr5/yypOb59aVVN1m66ECWZA1O4A2dlJ2+V6y8MA2sU9RbzxvybyWgsndZo6+CJ2AjHWkFL20Xy8 +1vfABtzM4JwzJaSEA+zvSQp5ELzr9EucWw665uHSEl2d+dp3XBE8pbxAwAVnwR6doEvY8pc9VTAW +crLVxgDKIaUD9iw/MgBKqL0pbjoItUzrEbOHZPuSI0yz6m4xxzxAQ2Dbqy/eo4TnqsnXGVRcsSBB +zQ2+Te3/rP8G+1M6Vbv2aL/hgNOK2NqfcrqNsqElRI56JkaMVGs/Ma5y/q1pvrJ9vfA6VByN0nmT +DymXBi11RQXFhG/4n5Dl4ZHdUHRIKvKcfmDw64YoUkTbQxQbN1tticTCTwJvZAfDBEtKv0eQPFDw +BJGAVqpi4JWt8KnVdTFrt5Yfh7E9/Ze9vgdSPb9n7w5Yvzr+DBzqjdCyjtlLlLYEjsiGeAgk+pbu +anPDzJKisDI0HOESf3DRbBQb1O7h5GwDzOd0Pq7nuAD0OO5yL3rm5BP/R8Ud86pLKxQsgmVAvdgm +6U100rPM2TxTJD8s1K2EhFzRvepA1BE33/qxdPALNVMUlzugwJbb7BrRIeWWb8aM7eO+3w4Os/b0 +WcyPrOuxArElgGqgryDZz3EjFEkzusgytVF1PAsJJ4GgstTfAZ2SePXUjaCYXRsbjrJfl851wBQZ +sskIra228DZBp7zjtfE/+8SAcUAsMdPHT5M9WAmZb23lA5l43H6k7HTS9js9aP+zUE3qDALr9ZBZ +3M/AyS0lcZ+llxX+6bzKLuBsjjxDPU2/Plkl31GPU4OyG0hD90Xoy3ds5roJY6GjalhsFs3SX3JG +JJOddqCAo5xC4sWZCFW8jlnAxaj8tVHyqZHbtDbtaiDaUq4oGzcrt2Ocnxb9uyM9mu+1ZopKsVvE +yNREQ/OQxay3mZJ39xkZP4v+sjBpIRvuMCNuir0py4i37zaAGxJUyi34aVuaH0/2Lx6tLlTAjka7 +2I8P5q67S9zdqZAOtRTPZSb3Pw6I64Z9ctpGnPQr601eA1+DTMX14etKpKvKdlw1HAxygDfp7ywY +aWJlEU/BAyfHHDf7AgmhDTe6gTkCwUmjf115Ahpr7JTAssAzLHXAoKonnB/WgBcwvC0R03NzGYHP +FyIuEuDar+HgtOhWd4TBGmKYjgqYNtaet/duZ2IgirMcuQUO3xRnoE1Eh2fLXp2wg8NURq1HTc25 +eh3FbuMO873XXrZdOfAQf+K8JI7FBUpGJIw/W0fMe+u5Ibg79rWJ0GIYXoaDQNw91PXGS3uCazPt +q2Nuz0fIGhZFs5uMC/29cgPekamsN04bpd6U2k5UgbXPvjgf4eEcU2frR72i6jjXqcyZwtqjl/Bg +olwamkJ/BVMjF9ZPQ7Ul3uFahesPrA0+y7nF+jVMa64qfzhiDcxFU0onabog0rcS3r4UUueL1jCX +vtJ2tVeea74aTiN1e88Uv3IvZFg+7oJbR0PsOu8B3nud3gC9DHcoRMcKXJ2OTB5ZL5vIvrcPV0/l +cXFaGJDMVoigKJ4DBrcXyCwoqf1nc5toJmk/qfcVp9uTqroGTprc9+Ti4SmEZE+1FTlSPJ/z9wjl +0SlpbXMnM3jAheBaUoS4sNzn+KPlgUzBVxdSxOWEsm2FeRws50uDaR28KM1Tnd1GZKCM/GbL+oLm +ZHo0NVnKzSGc9yD7DAGwKS33F/5D6Y5XB1PJeVmggkxzCfNvzLYAVblTW/R7YjeItiaWHFMAGu8s +aKQfKkLc5061P1+kfFG6Q8Fj3MOdRa3Z5KYOM0s1F4hftJnq5ivf7huEIQeicqwV/yS7dshsNclN +2VCVWVjiMSbEXsu6bkgXFzt9ZZAjsfDR1oEwH3h21m1rqvH7drFDJSxDLdR8DXdXsFa/ApIMUBK2 +q08vI5yzLCqNCmxXhnLAODDqsCdbTqPrWjLyhiYoZcc88WHoC7nYB/OdKEE9oknStZJWdEpNNiJg +vUKnbRQEzY/2MXwW8RMq/03eWkhOJDMbaalok6ZzJAlzxVY+IZxunpW3+llbn1vxFTmr8nUC5CMg +Zfo9nLw5A0B1+uP1OpFh/XYXhZ83HWTbu8G7XWcVxpb633twTRYQNqu0l9Ss4nqYofQtQySOsp0f +2lKsXj+4ozkJt7JrucytSI371f4lURer31HhZi3MObpgR+IbTi1tGMBudYaIPnz23gh/mKABZGV1 +i5+bK3RmFuFNv+6st50ZIun9XUg2QcYM480p6BlGKJX1ZZSAfM0zCe6U5jc/VQ1hTNjTsccjuYB5 +df13f1lBGuJcOAFyBSZ0E2ClReQHIg37aEqAB0ppcFaQiNsqY5iCR2JKplcy1/T+isf93he9mxTt +FS9p2ujBU3hsMRsM6Om8KYPb5RAtr4ERDHyjnd4aHqYxEwMJf297bGCFDeMovILK7KFE3Tn6ObSc +PwyLLx3Dj9+fVb5cweTIFCCAdfFQ+p19gz96ADy/4vYkiGdqK6+jGZ7cioGxRAKtVX6U0BkIMCtT +SBYBDc4+GxC4carPyp6w+vPdULr3yCJwxyRiUob49WCoHHcYhGhvtx2qyslr/QYn4nYk6qULG1zc +S1QMDFNBL0yrf2KQJIp6DIE8DRjPIUw8kUEzCjVCqbPrvpGI2VQ/pdIoknLHRkOZulKyVOfEz6Hv +YX3kpEtMdwpJtpj61BHETp6BsFVWFpSwy0AEtLNnT7DoOKPFwyWmOgZZo+zz295sBaKPVb0c6hJU +gQ09Vzl3hNzHMNL1URsqykhGkWazF6ihPK3uI70WvTooN/5KXtT4erQPMAPStt3VDDvuStXvsnAQ +Vy1xYvLBZIMx4jyVU7HHv2I3q2h3/gP7UhXwrdzXxmkC/S3drgwxk9gYR9QmOYUQUj9x1b82wWuy +QkQanE1D3+ulo8C5C40lgu+pDJILEh14Kc53fO8Sp37ZNgF9jMhO4eNOD6s8ENKTZ2R67B/ga1au +klpSBYTxT681LvJgtQx7Ug/s4FjB5lCCsSfjW0AURB1xl7VqIAWFAPyMK8e1otfsz9Cvc9Y5bcdu +Lom5G5mnaJyY1oC7EN5AhNndfyEVmpSfbZ6GjrBbUYZuw8Odvk5PBYT4T0St0+dQvMFWJCenbz8x +NQiuyxj1iG8NGEkuPb53a955X8VSEpv207voc+bqs2DTp6v2KQCpFgnoWa/tAcCV3JmdAlVhi9/t +ffrQbTMzDCWiEPQLkp3p3vryGrMCvWs0xZ8714djHnYKP9RdYOvQP0dWIEucV8kxGXkKdM2WEsKx +iipVRyrWrIxM4e2CWZlQEjwCTaFClDbcA3/HZZxhUmvGnmPNo+/zIB2LVkj0Lxtk/bdfgoitjgxv +ZDp6T6uhB/WsPexqwP2TSVq8vciwafwziu0GaTn2hsiDihCUiN9bsX3/r4kfdWr++4mv/HQkF7Mk +wY1UB7sGMWocalKSlLaZ1/F+YuhjjxOqm4+czJh8M4j02nCb9/qnmbr2vtyqMr59ciKy6fx8R8WG +CbYNQ/aT6meYdSh/IAN23R/WptpIE0yKIe/KXl+AMka1SITMw4AbXoO6D1kUf3zTv9vrNWWqbgGx +L0BU9D2j5aCJy/Ig8hQyL6Nmtks0jphnD6XahSR4q9zFjj97oEQMrOpKnVYvpuSSpRUyIowRri3X +W/G3UWP4S7OkwrRR9KD3D/qUJ7NJ//PlCqUf30XGC52dOKjhBd3lJScr//eIoor6R85KtQ16kOCW +K3XmigohfedNveDt3344FERdfyVdZtEk/IxI8rIadoIXfsZU9VzXeHwfUI3uLbpdwy8hDJ/hw6g8 +Vtc6fYIOu8uZ9YIHUybR25Rrj0qZAH+FKPJONwKZBcrxtt8outmWXCuiBBuZ/dXOLrPVztIjOHJ8 +NBFUR+9QF2Eh1pJ47wyBzaWiO0tT96dty9budjJHvavjKkiIpBMF3gHcE3p1X70atJwfuiTULcI0 +uSz5ZgW3KbSnI1SHOoWTTPYUyyM5P/hSoTRpO1V1sEsofclM+5OKp4Cg0YgqEysKAOvFh23RpUit +7yCNw4fWVWCwgb52a+JS/iLHH2wDK5P/+ESryj6oRZExAb0LtD6V4b8CKUaBoP3/gKoVM4DqYZfz +YAwQVyi1azkDToJ0dl3jd5UnmWzrO+HMq+8eKrFIywhK+nN0SsFLctTGvhHQ1tBq7eZ+nB8Y77H6 +WJBhgAP8a6uvLviLqnhmwjy6PWEj8VMCT+e8C8WWHAcL7l8IU9aqmkriZfPI/sosecTszn5n6CF0 +QEgxKvEuTRhCHZheQVzKuTBz3ROWe2PSSVljnT9pdklvnBl4JmQNajQmlptC9niKFMO0K2YvT0fS +pBBpLHr57+la776wRN3segOqPRKO3EoBBajG7yOqCfvo7eF4h2ZOg7VsxZsFEXmEgLJpaIS8HFJf +MuH66gQLZKATZqO0dh0HEVTo6cDfNvmNUaIS3YTEcC3Gze6smzUSsJq8rFfWhCz1Jt8TP3HadAGu +0toktdIFH8NdaCx8rMBSebXybBgF0UNfxoXM6dN0GSLoaFtI1axg30qnyx/kWSbNTVKJ19OxSYUt +NCsX9UyQyi4cG/1jf+hIlu4OHM8kqIbs9LKvhNTMPQZ1plejt60gtsqg8/Dd9FAojkyR6ZdG9swv +kM8FLQUE5BvVv/8S4Q3vreCvkG7QORz1JUH0jHNcC1N9rPNru1wsxp7Yz+oTiI5ePN+L9nwZYkfe +1yD/VOOU8bvxdSVH35ooxBQjzMbg8GUUgYh9cFlf5g5tAvSZiU4MqpbZx/WH1a4+Zfs1AtnV2bOv +CS5WbLIWSDNC06RSVA3kwZp8wgIwzCR0NEHrqo1NB/srsaqZhHXVwRJDTyjCOnwjoh20irD2djie +JXz2LBDqSsRmRvItqgEFO0vSprGFv+HQiexzlZiPVLaZE2I5uatMbjkH1eY15T9JpJ4gpqkOhJxB +ZW+3D0EzSe/to9AJtq7Mu4Tqbp1sAuEL5w2TL5XZpmqfO/3Ne9yaVkYj6t/loCYDP637aN0skg5s +1vJ1d7xaxqa33hLLaNMhGvymtjvo7GWbhvW6Ba3x5uOnGEQogH/XaujzSjGaVTqteVkLTQCRhPeX +y3uwtfi7Tej+RRBYcPT1lC3yU61O1mF9dnuMu3CbYm8HDyZ8ZDfoDxsmALCo2KYIxaFiAK3bdo01 +4S/TCinZlsg4hE41nxRmNYkfeIlD/8PsQs8t5t2go7akVGVjawBlidbme/m3+7hD4qtuVgM4HsHX +YkhoSM2X3M9fElpqSIxikAb6dBgkOfS8fbz05hP0aRrmnNDWOgGw42jlqQeLjbhPCoKUTvM7M7hA +4odJnQ2KLlCIXOSDZet3GoTYApZc9Ytqm/w8p3i0XTOi7LFaroIpxziO5I6abItNzpENTcybACc1 +hASGc5ioiez9scJbf7X3t1cd57IGRr5fmlUZhAGzXRc4dQlB5EsVpPXLscka3k/i33urbqNKVWNL +vYGWNt99PNmwP67t1O1mQbUNa/9vJYp0Od0JT6c2oSib3rpeZYAn233WX7H6CeY0U7G/EOS8EJo8 +/71SKDaBAkUg7qAPLrHpMg63xzNp4mM/0LvChsROPlig3iyxfu3RAvFC0iaCCoaD3kz5v7fTKejY +iqgicb5vAiOgNhVGta/P/XWs4QleIKGAGynFGwu381oKA4Tn7F5fCrq5xpILhz41IadQ88GmUUIN +v5WOFQf4VxYNsE2zNoA0seAsMhrDwAddZmZvhY3ZGaCPZkNrnpelohAsdbf55Eg2rPwRIpd0Y9xz +y7w6K+p9/+t8KHXIoIp1RBftWQkAGI125APhMQEzLIo2uzhoCSjvDA2ePJmv1bNZ1AKIE+oMlMim +mwW7RSRqiTzor8GF9Mc/m00KnipfUsxJZ6JPp5DT6M4fmAu30aUPPUs8qTjLjMEzt6S44yrdZWlj +ckTul6JRGeb1VQf8DG6WtAKNMItYstUYs3TIIoksNZgwUMefQq1UEKj5WZ6jJPfHH1gtqCsU0bev +mXJjBS8Eb+JkzZZyF2bTb+smVO3fuy4rI4UsnZvZ88wJOm40zzCvFZR82/vlpFYoaL1ufGjJ1p5w +tNvzNqP+sYxUgXfYL4k8VcBY0CPCrscsSlF6PUhs3FXdPiiBtCGZSl9g/AtgmvFDbHGq/jU5t7fZ +4AMVJKhzxLa0OEYdwvDW86p06aWnZDm6r/sa7/tL8HKO5VkAilcipT9UxP0G6UNmbBnCK/CgZyeu +MwidWfy+m161xHP1Zmf/b2HZcqnPxWWaKsakm4BymBrNNWruxHFX/mTP2tIlj/IJi0dkVq18ejJd +NT2Rxnc6akgIV0Du/gck8MSmfeOB0WR5ANtrAZyp4v9l0AFBCQR83i0LsTdS8+gEd71mv4nmYW/i +lqZoVvEx3RY3yyAOGJatjPb0t/2fe226ccedJzzaSHSi+JkbvMj63XG/7NL8wBkc1bSHC0TsUtQa +/KJNLspGM3Gq/OhMQ4STSdeln+KDwkWuIdYPeWGwl8lrUWcMagxPO7qvUvuQWrpilrIg11SRDGVm +jRZdAwzTajzvr21nWjO+wuBhfIayVvdaQdI4k51jcRwaEhHA0u4LU7r4PdU3afG0eeegXtAWTHFQ +mzDKY9QKeuF+Qe7AmHeSl3bp+Q2D2cDna12dHVi8VgUjd34fpg1xQEDJ1hNGCHav87HrvfvR7Qpf +ppHxbfyWYFgcAC57LPn9tCuK1d4ISGFZnYsLx778ktle252vvoz3PM7z7nScpOhaB6GXDuaDBXeZ +iWWQvxU9o65tgQoZxOM/YG4FAHLHpNfNImHK+F8p3ZE4nug5dzuHdCjGdWPwAauOc7qhwZQpC60B +/FvaPOeh1eiqbUU+Qn4c0y9nlZseGzrswrnr/LRf7IyPRa3SQPiYV+pWPYfGtvwpcm4kvRna8hDk +kIUOrmghpDwIKfUYgy22bZ/6dvmAsn4AovJT27C8IcbGwhdrcqkSIGkR+bA/UsGYd/Ku0dcQhgtM +klvmubh8CYAgRuFgm5Hdm/bx0U9LkhSBaWdZVDFr3MmAUNzLoLuHFI3DZb5jfS4pQrecZzWrnNrp +SZD62WR0M0pTEbk3stUiIUI2ip3miiuk/pusCI+nll0mfuS720ZuTpoRx7KRWCRpHXm3vT7jq0NK +VkXIdBid51AZQNQrUQW9yRspvrR33vtIV87MwoybJlDh9PJSHyCxyRFVffGhVMs0mgf28DXt/IsG +J+ToU17eGyhG5TLGOVUCaJnydFJYbKbDqJatL9GNXZ3ue2bXJRLaecA9ySSDEYZkROPV1kGhGD3p +Scihphyq43V5Z4DrOr3oGZe82acaee9/zBgHtYyCX//8ZCbb4wmdxiCD+EqcKz/Yo6Cy54/hDIIM +MV7uPmWYGGd4zrY2+AYbMhv/V0c0fkHH1YpdnUqHbavqbXSa03N3Oybf7m+jzK85JC7hyWllfSh7 +69XY1Xl3RskYlX9zQgR1Hhw1wS+7pVc6AMei8hIYXWHiBw6+CnFmWilNpcHMwUThJuZuFusdpW6Q +z+7Kc9jTHCXxC5PgUER9wVHFLRrxydNt7vb/HQsyMwbRSTjyYZyw3B0W0Yu+tAq+LWZZj6a9wKS7 +c8AOaWXa+Ulot9Utf4TV5dJ+Sh1Zl28dPu+JvtJftIBMAfqEObZIdixVW+yKnBxYTQuCivjeVijT +71R56d7aaemk4c5raSiQ5vjmgKRhilEPfG9tqdT1t77CWUpkvu0wesGi1x5FoKyyirss0VIZ8eRT +j2GQ1JTdUSgK5qfG2s4j+Mgb4Nztz/djFX3iIGLq3galCotHdhpCeye8EPKWgUguaCQP9/oCyTOA +NYjbN3UWNhNcZYRJCP5sZi1U49drKH5JKmrkM1yAnjNLS6R9TsWvwYrGZTk8WWDFHLlvAli74+IT +ziJyWJIQ5MZ2OKL+MgqZiu8C9NHOizTGcNhkuA2fhcLg5gIseWppOibpOjXoSucX9qqBBuFEXbLx +mj/zxFKuL1vNBkUjBbP/AvzupsGO1yL8VGAEI19oS8Y3XmnooXKRJxXONwWdVj9EecdsLJen5k5t +sKQTbududf4ogFHSmTxIc/LWKC/MVP1uRtfPLad3lykmO/oV3ZqD4Gyq5B5A87odm9mcyj8ZnkR1 +ZJ0wEscACXHG/aDiGvTXdPb++eFJ9jfMypWUmNjzvXWtrXQVpdlcTkF7h5rd8l1I30cItKTkqWYK +ViyKEgHQrdLD72c6nBGIu0W5/QgHGKMfVVhKz5sbxrhwjKwRNbm8gmfsHg/o7gSxAYBM+xK6JfdN +QzXLvVGYt2IacgB33xQHQSgv6QvRJ0PFIqbo5GXJugJ36qjjumCvft4J75RBESRM01jr19Tv4yae +72HlpWdDSN3cqoJHtndG75OytjWLir6ofoba/+Op13Nyv4CLJH3HAoSjvV7DhSBbr1/4RgZDNqpk +DacTPJH4Ibgw++evXg1N9HN1TntpcLRd06htt6OGfVFV8Xv2aFKf4QZfj6efZZj1sVTlvE0yZWmg +HZ0+SrSvn/bdJy3SW6lO4N7xWBHxqihWlkD7Lw9mfHlkuxtyNNiRjj2MIV5OnnpHcWdUpJftfn8q +9u9m8Kl97sk/nUC95Tqa2BeWqQcTvCEEvuMATRLO8zcgyCcZ4Wk8geiUlJ/ur0mcd8S/VxhUln+o +yhENkK1m3bGo38OcBC+o8RS2gZdRbyDog5T8AHt3M284DiUHSeFYu1bf8qPUWRJHg5WOXLJumF+Y +4Vjf+RQUchGGyItEK+C9wfVx2dm3RtT/SyOzH/iwxdBSZ00DXPJYu6IO3e4rF4YVVnPgfJh0gOnq +/f0CPLS9cLRyDFSgA/JkJQJHZ801q00r5Rfx4pU/E2FFk09XOhOuaaT8beztMXPtbQLOEBWWLBZM +1g0wAvsltUYePhsp/yNKq6X178KCS1eX3xV1o6AHAes/HBpEevDE2C5wlSQ0qRxVRoyaeRPHiWit +An+IiTW5IRqTHHDuQH613TyZRcREY6NT2HLHmSsRbOgWQs80tXHmn21osIc+rQ/SVhNfJQADM8sK +IiCz9Y54YldMF5rRmilb8TKKDzEfnibgdOwXW37q5Kzu0uGP4QoE4uItA6Cytv6v/g3slyx07l2O +W5pTQl6zwYIBDEQk7sEPRaOsvt9J3jg35R1s1tkJLTiQKT6E29lcqZ42OWv+wHm76Dd/8Ncs7BOu +XghclDPEa4l8q522CIDKL6nOIzcIfOIKwzgbfIaEL5b9ty4rV/7vv/Ou8pYkPoAH4If4cSNksRj9 +yQwbThEFuiavPOZnm2QvXiNLkS0XaYa/6jUh2ul/jKIQ2o8JdKZ/PyiuS+csjGMgvLiGi1bIVBsI +m5gOwiAf4yQlElB50Wbwn6SMN3qVhCCnB+c8tCWIHtMiATx3DqOYn3vsWrgv0nCuvLN3/3S5NV0Z +mXCmPI61MA0lbMvxZTGJlUae6rro8854CiVc9UpY1wUD+YICUq9pneI+g50BWLaR1nNQ/iGOhrpZ +acHOuyAd1A2oULFMZPeBRkAJpMyNLe30PBrKsmxXf3O2tqcxHgxJDQY70AxT59vZ3rivZNBdRjFp +uCvMXJwhNFvmFO5WY52OXIMlvWnzpq5YzfZvOAdw2LgPNiP5Y8/NsTSQI7K1GZP1kfTS+t+B+GOy +gCk0f0XWDts9UFHTQ01DQ/hs69T9hMlqljmvcVGEOBThZ069t0qi3NYaqnmekYPlwKLocmvocYkg +Qa3nXhhAYvUiyMcV02baf8vfvev9ycdpOQA1imRGspfHgOTxlWw7SCctmo0vm3DMF8SLmVhgE8wv +m+/aPueEpaDAhZisX4N0bRsd9oVW1UcVkP6ZyMSaErURVjuT17vA1PAQTDIGJ+PicONIadWeUgut +H+Gku6M/IRBrCB7FisYPn5HfT/XHBm/hU5Jgm14WvOQS6948ry1z8pHLo6Srbe+LuKqR8C2RhAs6 +NacLJUlCf4hPBa1n2b+Q7mEvcPVBNMTZ5+vn4URYa4xUqLbPL5G5SctMmpnXu+fH6DJ2J3JYsCC9 +zpNGeEVZvQ/g9EgVxd+6Mw0YhV6l4WRV137ZE2ecO0cJJAMl1JA18rKu9r+g2JrUBkquqVehmwu0 +Ei9FnSpAh7xA4G6Kn5fKoGoIi/JNpIr/QAhNxsSaZXyALJei7b11F9AdnpGbslp9fpB/WTq+CSaz +VvxOHpLifB7ErnxT6ltWtKl7yJbtSNhyOA5HE5Q5GZKJjuvJ/Kndm2QlARLqsQzoKD7T2oY/O7AG +u2sYYXM2bCJX21JXrpqiY1/ySnyGEYGBEwU4qiGCYzractnK8+LStrDpC20xwYI2dnopEkPrKL7/ +NdHzGEldUYi7kiiLaHxw9B2pGIIqPR03kDRMaX6gOU17X89OSaPTDiZbopwadNE4eTuhKdcodZIX +ciqeUzUFRTwKyPggqNADcD1K61KGJoY3Rhdz7wke/HMzPOshM+ry/Rq9UemssqL3jOegzYA5tXFW +YDh15obRHg+/02Vg9hvqxEOKKGOU5QCyGMxHcGGToKtuBvj2POSOyyzydt2i5v9b1pteidFG0GIY +a+O854Tq6MlN9fzB/hdwCGHy0hCAUoDBed98bS89x2YFNqFuRtoMyi1VgC3Q7ZNUdo/7BN+Sor3h +qw6UPWzJDMXVTLie10gpVaagaAy2NtF5FvTqDs8HwMzQg9b1ZDo+jYUUPjtkeecqrUSaYcG24aDg +9fT4gpzEFc5+SSPTNSD5w1XJsg+yct5CLIM7Uw3Alj7wpLts2w3lA9K3X+rs/jfmnyxBAIBoSzoh +g9YopIIjjGCTAad1vw7lQAEo1B08NmrydnTR+xRZ9DfId1ZgAFFetmFDnbUOaXGAzfBFZVMgF3LE +7ma82Sl16ls/xSHLxPlMAjMVNUyRLVMXD1p+35QBvIqms6aZUv3tfjCTuW+H3jCN6663L0Vv+E6r +EJB9kRDyX3XK0gjTJ+Odk9zGllPi4TCpTn8kOAhtbDp12FExqlAjxxwleMspiLBro3ey/EZkQ62t +YuhVaDjkrBe3Ec7m7p75D3R6rjWo6AwY1cS8lHxfLVdE3aO2+ldAoa+OrTPL0jq2AskLHs1S1kmN +JOhzuw7bPcLzjxiFtvoOW3ACkAuCsC6FLkkUhr6O8ePhI4LGf9BQoltiVuj38p8S9hGX3aXeG1Qq +757m6vfxKgVhAY/tEOboCL4ZbgWYeQYkCzqAx9vIYi7NSG0CRmjoDFZ7XhVC7PK94Pgl+/TP1AQ4 +6rSRYF3rKmZYxSWAl/06n5Y5cRoetXVzHxVD2uaqaWegntJZDFA9xH2uB8XkorOGGkuMTv9bGXEH +n1IFNerVkeaoMsGqvWi9CtjtRJDLco9AaX1urGdwRd+SKiDWnHBQmpjL+8ElaMG+bvDLETLfokKW +2E7Fy+gwmP0i6Ww5wLsHcSL68079oR9VqY8B/llVW0SgsXbAQpkDpy2G3VMITFCHtvz7BevvoMKQ +de9dQT5GfGrJObmNNOfieQlEkwssJKMhArAhjPIgTkLtzd3DT9GXDZhCd8yNnoTTl/WkgLZ2V+3q +wTACHNttnBVnTdZyUFSqoQQ7y/2o7GGQ5qKz9K/PQA41gfvfhpdP4t1qjBlcNs4FbJ/5SwgIMHF7 +Ci86gEPAQydzcbpI7HmXPkopd5mC9QofEF9Spdi/axsBkvMWWhp0MgOXm8y/1gCVA6lkr5fFOfuV +A7GjxPm4LXP7RukDjKULIa+lxWfees/sMSfQWR/0UFqhDQp5QrQzLZULVGUAJNE6WHebcq42WYAg +Uz+R1Ai8Sd6yFiZZojzVFGCwF30eyk9CaF5Mj2N/rVhSgQw3XuH1afVJbXpUOxR25HBC+F1+SUBZ +FBO62dErze3ZxwjjzQhXiMCTdCJoB+KzeZl63QKvPpvF9aDzYs/HmBLClGLnoR8O/w3K4xK8Z1Yo +Y5JcvxzCuimaTIw4zMBhlFXMBQp5mMJBY4zjOC4gZwjx4lhgDRhDOLuIJgBOX6RcKDr+d8a2UWqi +kkvI01XxpXnOfUBv6pnkI5ByI31sxNlrZXP1xi+6yQw2uyIX8TXTonyFperlsq/DEYB4Xq1DsYBF +Xc+130+6+7oFpmRvHwByidJ1zzG51oUwLs0btUIoS+k6tCktEDshuVYfvgZwOmZybdOyoB8PicCv +S+gyR12G+i9N/OQ2DeNkddBNHakgf0Jobjs39pIWu9OmEzghDeLUDOYdHX6jh5Q58JNX6l/5zUDW +iEgHbthrNdwixszxvlPj2TL7baQz2xryeDfTeNvSWlDY4yOX8q7e/v5SEuKk4/Zvs8LcdU076mFl +UJuRI7yj1/NprJswl3R9GRAOiH7eS1FS/E007AqJv4+Y5iauf+TtwM26F0WVvCNQHh45EfOeScij +9ZeguyYGlNUkWShW8B9yTeQhfX8Db2d6/EySns8ZakiKhD0PygGR0st0+sMGGuwJ1Za+M4FGQjaS +6lFiFiQCnXJkZiAEAIUuTxVW3wme6s85MHkMWHs0hcgYcAOQZjW2jLj0vp6a6YsKd24F2ZjexCif +nV7geSxdFqkF3kp5Mqhmdre2+QLjUVeDKhkACXPcc73YIhvRtRYu8eZ8SxZFQ3LK7nVtIxRElU5b +3u5mNGpGNZgveuXzCqqpY0RbaGltStLZqXsbZRhpPJsoCHZOwrnLUD1888dfhJsj3ZY6Vo5dHoYZ +ODkFKthOkXkqHI4lDc13wcUB6PUYVVmlsJ0Gxu4UoQCBiVOXxVdXVYbdltGlksl9vbbbcRSF0fC9 +l7GNIgytPG6hlL/8B3XYHRZy0oKXO4tuYtit5zK7UyYsme5/Mf5h1VCoWmYYTr3JzGS84DFah6pH +2V78NzQ8jpURZa7keHKotpq0Ju2xBDYxZhpXhO0pJR7IBDc5WbQyXC0d+XmXgEYfJAfJTwWYYboT +JK1yUFakIECakmiHnE761hDCZdtlRy9pH1ZcN9mZjgaSpEHLC7pbuz9XgXF8tKyLFTxzudiYmV7K +Xs4Eu9J3FMbzhF4mlzo34iPn1Sm2gsDp4+3lr00kSUEaUZuxFMkCrwpJtAJBeEnkTSh6yBvNUTpH +vXYLuo//NwcDoLjDzLdFYXi1ODw/aX5G0seBuZLMmU5yzSBvf4a56aG4PbZ6xdGES6wa2D2NXfju +5isvGSI/Rv7P2NuLZwAGVbWRJPeNtdC+mOHNs4dpHU/jEVRDr46LOl0pjF5MLv5WKq+9Owjm+aDa +8pFIsiIRMIIdv5OdabwFlEA/xvujDlb/0wttAdQMJHqsJjr+MhlQ9Y4F/fXWKFLpweOrTsDszrkK +g6whkGqBg/j/LXfFsmtO9iygYrnPflNrlwVOAVNYbumVtyq1YKD+eK6VELmsrb7yOgVVOqGJRu1j +DIi0VkLibDJ+APjj8iXe1KsTVo9Ha1Wv3tzReVa2njl1ClW/3Rn5UIt6RXbieHJ5uawxf40Tft40 +Vg83at7L7Wh/hXkh+GN0iqKHAyJv0lx/GBjaRf7h79GD6cfEIUyAjkdtqXKaW1310YlDjsAY95jg +YbFyJ7FspNQxoivtLMQc19t5IuU6j81ptb4WMLCjwWJCjOjNmd1TiG9rujZq9sERwrNrLNuIZat8 +Nv+jmNLDzydndnLWYRW1QRX47AqgCA80/Vsg3k++rZ/+vDhos0VLsY6NAiA2RQLLyl7CvlaEqam2 +xVq8KDiJTuHXXMPeUUCgIx/ZTwWyBGb3LuT38xUfli2xrJ5Aftr3jazD42yjF/Ha/ZtClJcb+E68 +yJg8T52GQrsui5AvKJpa0yyvBW07MSi1g5eGWRSuGxv5HUktxAZQVCzBHOXxtP3HcG5gQBer7Msv +4v20Wislt+eqPXux6m1RJ4iIQiifnqOnHL62GCB8zcMy62WsjGQHdmEbIanIvsA2Aci4BRqu9n6/ +/urblHg5MAvkChv7cjdvADX06T6IOoHHlfGsJHOeKI9GlORuwgC/B3pqDF4NPjw5en07soXBYMYd +JZF+mEyJfreAvldO7//LoNWt15wLnejyWYULL7HV5W9tKFUiJOiYD755zI5V2dBcrvzyXumnvMD2 +f2zaLvAB+BPLLWqv11pYU37NNlrrLUYZ5cQtIewupu5/WJmM8bYpujvdvqJNfN5AjdycezS1s2Nt +KWKADIP5GeKKg4+N7aSPnFJmGshrT6spFvrijBqeilBW3nf/13eLU2OAx53BcelXJzwtLHpUMyqj +1GuOU2jb84ak0lEk4S+SrTmv7CGnHjDIT+95JGVjl367X0C5wenza2lBL4nxoQVyv2Eqdi/op+mz +283REXpFYwSkzDUiTkJ2Fs/YbbXNtZnaN0/yGLOsIHSyL441+OXx6bRFtQGea16lZt4LAhFJ2NMW +XJ/DyvIGf+8szootT57C7Z0fIX8nN1eqKxHLthusjIcqj2PAR6lP8KMJrsDDCiww7BjaQpBf9W+9 +SGmAHwgQzNrZwqHRV6+6UP2f1i+6PhhVHKvdLVVflA/EM6Dqx0AngBVuSivPFX+nW8UpQgyB8ft8 +LqNRoqlVCmC8sBYH6OcV9gephfD67cJG11MR6qKx0Clr6K7haGOM/FtroWH4LiRERTxQD2Vt3iiD +G3LGgIGWZknh1g4olZgykpmzr5NRA3JfioATLo7yrAWbFlr1HxK41Jyf3nK6NVL/1asfXLGyJVKz +7eTsvOQuDNJEgDXtm3bnFtve1yq8zYvp9ywF0ltgk7JVx4Y1duVpjEVcHh2Be8qB6IiOwhWzJYbv +3SkCHf+10ksUThy43E/3l6X8raW51HSbkzC7pGNJ9zjJHkZPamO6Zll6e64ass7MYniDN2hhG8o+ +VUJS/eXBpYfapBP3wRhqa9tBnN4YvnqQnnbjjUna2s0EyBPrPkAGtxUo0nZ0YCAexc9V4zIMPvjp +p8QkfdbLy9+NUHvszPKDWgaNp+ZOtnpZW1TVMCN9KBoA1sxi9ygV5oEH1gTsMWMKnSNhd1//7iP6 +2kchGftpZFIMWnfXzT9tS1w4ZqNG9PydYLQ+DI0Y2ZkBWN0c5nRAFDUS0aS8+G/19MtRhB3VMI4x +3DkXv9qmQs6RG5HW8vLsZ4wLoxLSKkCBVSueowNmSV/pREEUazhsr7ZSSz1EetuuyF/GrweQocUt +eKZI+zooz552jbEhAdNQelZzXbXBVRzHLgQ/SmdqTOnABta97M+iy/oF7Msn+A8h3bSeaGUFMpkV ++r5+FuPz57bvzK62XZJLycidXMcSVSSTZKxlFE8B09kvgvM7Cj+0mjC2WBgQdpSnQhxTyMlKLCuz +pWTaVdJ9aivHpG3ay8bjFWX1Zos/84uvMQG+Haa6HU+LlY/LIMXeCy3LdmE1O5YfVSB392vXVCPn +DiwWCbfhdGnBwHYSRPt3xIjPe/xHlr5QMEDODgDHJZb/8TPuanoRqXfhGXxVgXvYU+m7DOiAQeE4 +6/GhV+ecjfROVkv4xur4NXN5KFS7aOus8EWLSXkurTS2Tujc8RTraoxwQ/6zRpCBa9+UAsdBLVee +SukQbx9wyLJmqrh1sgluWgo0j0HVwwgydL+dlUtyQCFYEgr5K3c4BBX+TnLXKbOqNgCK8NO7a9Qe +Ei+UC/tcGsrZW7CypszkgSIiwDBdajfwnAbqF8baF37zqSuN8CFa4gkm5H2USDU+7Ow4wIoafnf2 +a3tmfrgdNSD483ZGXBX3/t12523JX6e3BdVAbtCbH/VlCpOKV80Zl/eXXlYy/obUPcYbjpO0xCDo +fNyaB1sujTLJBoA/zG+5cjUICZ06scA2OR0/asEZ9ls3WcwbdfjH9noOQKYX74n0uUim1fkcT2jK +u0uKsxe+DcBxqtibgcMtKB3qvS9NEkvMXA52Io8wFzULfBs3QtjlxOceplq0Xe5lOrtWWDr1VxJ4 +6NXDTPURs8e0Ap469GP8cwaI518VvUeWvy39nZfOqtM1QN01fYIvUT5PnZhGYZ6CBShsnlvL1XGE +we+c2Kf2sBIaetsvC/gdgcUb/aDHN0jkrMlfoUgwSfzykmLvgtLRRJrW0VpgueTmp3G0OFTz+3hV +ym1dRC9dkqqd53ek1tijZHJK0bMHUa+uHt819lm6WZBiOqA6tF68/yE6WiTVeFqSiX3irAp4ZLfl +Rhqmg7Xay44ZYayA/R7WAEtlVt0Us/vidmp+3KKG9w7k8niZFEaeUliUPcHKlV4a1zUq77TapsB9 +T+4uQoO9JvWQtZV2g9uNAaKQ+98d+0D68GIjSoQzLhIqMCllvmnz7vD77WxWFFXA0DSIAuCb/DqY +WJEkjfK8F3uvK/+CSHtLP3yFn0AaLjr2sj0WMyVQC0JzMoNtwfSNfHREa8WPfSOsOC00lQrKLff7 +LN+T4NJ880rAYpUq5de/6RAk0HBf3uawZ4fRJYFY19A+9GTynNfrkcvXLVvLvKQW+fVUYUL49Zg4 +2OUEFmKJHWJNyLRADwgYkQ3CYZBki45J048xQJQasyIPT65EM8ltE7AmXMNIJapSea7rDJIh9kaM +KBtVVlYuV/llwI9BO73F1RmnLpfH6PbsprTj65EVPZ6Sy0ZLuMM1I4QNWreRjoQUiN5SbwzAs44o +E6dXafXRBjXT7DZurRnLXVpK8AE7tHR7i6sAV6kRFpGmlku8199M64sI/nndkWAcNQe6tGw3mzJ9 +D0yfHH8FnjEADK9BElm12+nVSnIVboBMhnmKvbi9FktQmKILJj3lbU+tuZb5T8l/avGVFt4Ppf3h +IgboH/CEeKysifqxuEiDEzQ275DGhBw4KwuJvse/29QJWn6ag0yuyGFcK7mPIuNH3p1JO6TrWJZv +n9PzAjdTolY3UDP4a15Id8ZLLHV4IIoJx2BLAmaW7q9sxCdCIcffhb+rV4Wp+KEnzvYkUDRSXC+5 +By9TF2p3ZeA446sfb9fnfLE6JP9hFJ6y2MoGt2Oej2cjy37yezi00R8BevNZg8iqzuLGBjd+D62G +QQMONc6ZXZof5XS75mFOqEd14Gak/jIGbmdDVBv7mdzUn6dsMzR4aYG5yk6M99MO0FrGCOx/P1M4 +CaYlK5jQBQBjggmT9pjWuIxivHPx7EA0B+VqJLvHUgrQ/VGQsTXIIvVq9NiY3IQSisBjVBtxEX9K +LMNWcAbhJXsccKEWIfoNpffHSODCWsLKmnYwnuEijEpABrUgVYilWA7mpqzqUi9wKsT7Z1coHuAO +yR+U04GhrgXv55yvLzeJvXXbmmvLfYoSFwQA3BBzyhfv+/5tDNpaCpJLt0YRGF7PUa9lgFd3FRx3 +oFNLpCPpAUJQyfTA5jNQuiMDVLKxOCz7FZVGyOdTjOQEVd3SmUld+RFzdbbP06pvMgtKuL2twHQL ++EHl6TUPaP8jOI9fsNJolw4BnBF1sIr5HIVOOwtftMQlvcMCmIqvDxqa/daMPolNmUjsRadqqKVw +w/qzBly/ZhdcPA091uOXiGZf1jM52XaxYq6n3SECiOMVCE99OrKYze8AXVKe9Q/N7BdnwMbJ8vY7 +Zp2nU/ABBphubXuhEqOBPUvCr6pclWoqvA5XNUQB+NO8U4sLc356sbh10uQn8AAKrl3r1e8QTHIN +ISc5wg4NhGw+MqLAxV2ba5Hyg0WWT7CeCkfJ7VDIBjxFKOZdD/1vfyY6kDY+blPCyDgpCvWcEQ4h +vwQOUyYweAZiHziYoWqPgRGD5X/P6jG/Hz+/X5sXIor1F7ltYLbMChE/mUGCL1ZAETLElfLzk1lN +7bl2aAcJ0kAFG9gB0SF6YRps6HOW5AN0YS40l1zSQKr/gx8OHiD7JMh8xFujiQaJn1HUBrTUOH4s +aKfKwWRviONPu8zFAOhl/vXJ+lBiwtJPmGJlgkf49P0i4ZKOt7t2n246vDEJKUoNwSjTjeHdwn64 +VEeTyfMKUJ9ykOxud+3aGNefgCWQ94oS/H37ErJqPrRBoOBeGHH4nvxyGY+fnpCK2U3DwT/ODImH +2ABXLm+TTWPjnA3bG3cqc299ZXfsmH35SjV2J5f5tq2czsmUYxeGVt3Rx0WKJtUPGCfFYteXDg2P +jmr2gFYQt4vMHTSvr8JeSj7Nu5SwF59v8eyMopurMho4O77xlgeoMGNgQtJVTVqWDW0DBAzvFHe7 +0VJ7jBQ+WiXdwoEWbqZ5KusbzmiA2+Lh/jxtcqPo1RNRDTOL9SX66grRaAEavYfaWcWs1HZMIlXq +lm7KQJbG+PGAC1zetYqWUUnNEJBOKDjBj9gGjB8ccyY7JRtWJUfWecd4OoR0d95AlDChTkjSKCE0 +9y+A4ulg871BIsEVB+gUXvtobRUbB3DwnYC6uFJ0e2Zv0XgOlwi4HR3iDUR0kNsN4Gp2rg7etCxn +agpEwl+2IdMhBu/ipZHybMgp8+i0pIIvQAmY2NkKQaEtlUyn0ahWuM3bV4xEng87hFgy9LpoeApU +kAl4/wTA9IBcbt1Zv4We3OZ82mUpmsiN9TAlHIR3X9qTndtyPV2hDlPAC0AJawIWqeX6b7RAypFN +OW2U+v91GLPN2xo0Kek0k4xtvbT0TaMFPxoBW8ehbu/iWA/pkD20q9bZ6vAzcFaK6K/Ie7Izm7aK +CrqTd4zo6aTKjcqGjhuRqDV24bKyb0bSeCeuYKBqlKhY4m32d3H3Rv9D/nSOWV8BH9dgMoAmkgp0 +iHoCd16I33oNHi6cnSpTUmdGeX70XX7GoCLKGuNi1MtIq2sJ97sspdn+0ZcNoqheyrJ+M9F7lAig +cWo+Qbs07via0jWC8F02CZUyNQHMZ9XFq40qtJR8c7JbdLA7BopV2d06wKNHVOrbwdKGn5OPHb82 +Aamzhtnb3yRFqzmofo3b4beZtlLN6Nh9hltHUXdZIXWMM3CXxmTnRyWhYwp55ymKoDD1rwTXBVbK +PmC3yDoE5pi/yozs4gXE60WZopBoSeeKOp8EoREXHFhrwIM4K2QsfwGaAASHPB0PVL45ZwI0Tyxr +6lARPUAJKeZ1iRN1/YgBvt4y/yztN6u56Asf48yYqiYB7uwQO5fbwZDaWe4VrzyvUFUV24+yXtXM +gjb9ZoewHgULiJU04dIGXCuB1XPepPyLzPJ7nhZWkWcfUtTf0i5d2Dv1k92uldwTHNDAznd5CGwT +pqQHVSaDh/s6Bd17bGz9FpOaJclJCqvxkAbmNFFygnzsBGhHa6y8PeereaPPeBBZuTGEcgbfAx0o +KH1tpBvxwUDDTRjmIbcdlocW0vJNpY9oL5CvjkVLhR7xBXyxjhahcfGxB9SmLAMbcXL8mnTIiaVA +uhMLSplL47erSaYdKrP3h/LtviKwcS2DCNnWpo+iSkheAOSn4zXdfQ1eGH6P60spS89gR1L6T6mC +xZHqU66GyV+gftgOSZcQjyGOBJ7wNVYi/Vg+/6uAqeQq5ucdBUp0ndRdLk7Rhmdt7uvqfGOagp9F +e69jhxLXV8phaQhpXVbY6du9uG3DKHELoPanHsblLp4+h2mFAb3Tclk2BDNCZqG8UEyTBEl5rIS5 +lGx5kQ4PN5AHvBkirr0IWiiD+Nk3RhCbwnSjRzCHX0Y+0ct5tq8FiLyVKfiqyNYFP5L8IxaQJDFm +b41n9JOjdhsdl7CRB4jBpcSNpx1sCMlhZXr+cFe/+Z9DHJA2BwN2yZGNLnLYENKi4gAH5IFPIxIb +M0zFoI09wvBQXsEMvqQMiiB/frtO6Ft/G4p5g00CyA8E4aaFA6Ryio7VxnkpL+m0mS4tCbgJzrx4 +9TimfM05DpFBKVUtuFC6kz8lh9kSgu5z6chjAHxwu0WnDaQcWDTxp7TW7ShAF1bp4BEKWY0Es/6T +W9RyVzOeLXNViZwFaaJbX7B3aT6C/ZPeuC11mS6MOdHoaXyQG779kuuMR8S66WL7iYXn/QVSFRxT +usIA4V3nCzUPYgBZqoch325ZUptI4p7c9sT50t9+YpRlOY/QXT5qnNYHLeoI+cPiGFI87selU00/ +eGYm8vIyR6ykEWscxRoDpl3+0JUaLMpNuyKccQP2NuudxQCRgqGma3t/e3BlItU6/8ckMrA9/Fre +Sucflhf2fV43uGjvE6S+1l5JEHIT3a0FNLPRN301cdV7J54FHZ9LrhLVs/2HLS19fra7WOBcMCMy +A1hK23RdHr2LrtkiZY2GFXfwa581h1vG8BKBDgSNSK7OqmDteZRRC7qk5kxvzTsp1cb8xfzv1x1Y +BvMV5VNfntL50uRwXhBw2vYPBJVPMFp/bkJePcqQy4lcNgb4gZd5rRd9tGHeugDiH05Bv+eMPq/a +fg//xByQWtt0a5t7wJScaWzuKH4sETd6Ed+GCvheS/dTELNV/iaARrJox+kmbhuqKC3JIVK7n5Ne +K13BSJVthwkjr/Ga7simkSriRMhYH4Zhionk2tbEwBb2fQpj/AuVc5nNgAqrd6B/TLjoa5CysjCB +2UhDl3BCrFUM9wHtrRKASgHap5Bz4DABblt2/vWTWVOlz9ex9aYHJkWvqYpVZ+zLfouIZ5LHKY2S +s/fAfUtY7EGDGsdKlVRbXx0u689SnOOMIoyKC02hj8yTaS2Ej+Yr5rl4MXxWD3+uvvUkMLZtSvls +stkTBV/E5z8YBcs1e/n4x+8E+J4jK+ebO46+3bR5NaCiGjMi+bZrLkFwtXtSRQ9WHiKAnT4dNwz5 +z+fN5At0nknvDWuscmySPSsMwP9iXx6YJYGhCw6Gc0KPj+Vo++5HZDIi1aPGYUPjxZqGdJjdgqgQ +bsAjHpvjUzFbP1zC8xA/a769MCoFD+eFAsNxXAsY4227FBuQH7rx0J1A0Ha9oKo12SfAra7w9e5T +wN1TERsgRciRpGqyAM0gArFurlZgeJTr3nzp2Xd5rZfeqtssSC4yWtulrL8VP9+ekr0AiidLXPqm +NcjD/hO+MtgeKacLmQrdtJO6raSAX7OwSS4DBBIrmrFazIimWINBF1Q0JDPHYfPjTB7Kzv4yBpVp +ul9rffO/896QUsCVVia+ErsRa+Rf/RZL4GqO8cGBlXu1ch1zbrUvZlyixPqo+fOYgJmAB7HE+9kw +TKBo04vpZL8ZhrRirnRl8O2bKG9OsxFr7OM4gebpkW5kd3ol8bxOBWpJmkV5OxekaFZZ0EFUsjNu +xgweBvb1UAd43xt/ULs0SAM1IIM/XZg16u6/DqiomxleKyTbgEpr7P1ACvrks7FjsospNXp4t2iG +lhGlPFmt2NHinKAtjtsEU6xXm4zQ9dxbDEZpP2uGqXacwmeuZNtqCU7LAMuFAIc4PSlyQ8FqTunV +qOTMYue5B0Wz7fuTkxDCwKklRErgxWQ0z/OnWjl6PCfXKKKEwvAYY6gh+0Bpn920e9ThUne7Gi+o +2NZ2XGhQem41Mq+UHQReoh8Ot1r5AXkWzLvn0bUR7uxV9bWhYqQA+P+lTMK1owUWPMyX6VtWuCeN +gKsyynEqQPZYVb4UOYT6QLI2amdmEarV0BsKx7zGA3B+gkUaBrvGZkrCE4eXIHl1KJ+tbm+46Vob +qI3cMeChLeyb9S4XFKAZpljQ+8UE+6R/Rc+xcmlXB78HGT19TQhItom4BgSZmKviykvAXGLuIBRh +S3rdGGLdP690ka5if7mk/l1Sk19y3C/WJ0xIdu+10PUTy8CfbRpLddnG8LVUwsPfjS8pst10qFl1 +FjF13pvzgRHPW8BvybFHDWiQby++ODBnYXMwZlp7mj5lOTVQZy4V7bbAQJlDJiNz2mI2KbppqPcT +KSC6oxrki16EQoH5dH4tUNXNu5+QxkJNRgq7mgrHDH42O9fjfvL4qLGHLuT9+DcgLBEnthCVTScm +exyqVbNdcC+zZXLcvDOh8OXRWPitWcLQ0O4aiv/jQQDNtNnTCbunmP80wGbt61WDr9kNwzAezMiZ +qErt2OTFyiqMMOfHqdiy5zpdo5gQeYhwGpHhhGrHlGtlNFE1CWWgEuemAlg7hQ56CDrXuHOZZD8+ +kQPeJfNJeQA9HqD8wDEcbxcZVB8+Gd7IVJ1e0StosGeH26boryq9KLE//rU3vQ6AtI57+m+PFbT/ +yyWafr7qK2VBCbIueaSTRjcMK/WtoSe4rkAx4/wyHDVN1SElNHdItzuXwfF46ubJ8qNm8TMmDtW6 +O2JN0f8ZuYWphN1nWS0hX67AwWwv6CS5NIwrtxHeSnw9oUFMHYQaOKzbpPSKLp3J7fOTkKDzPB9+ ++80WDA3MEzPPtY6Yw40PettQwTZ7Shc0JLOnzwhmryDOhI3HtPMQUm7+/TV6msMH1xwDAXY4Tn8q +6eZhplRfI53DUfxz9cPIuaVEx7e3PXNQexziymrvICpM5zZ9Fc5MKFmpefD7Eg01zxzrFCdXyr8L +Buy/r2+qFrnyM9HReg7BXixoNhXnpoEfj5udj2gpbMduPB5p+sXZ8tZ4X1CgkEymD+KTekoDOJX0 +zY8ueCuoxLDv40/njSnEer/SISmvPvAaBLmifcz+s0+xXZczI2fQs7QwcwKQ05UCVOdg1A7RMvNM +ohCYX5bOpdKdm0APZgU7qpygsbd6k5Zj5TXxyo014imoR2dn5XhFuJh0dg76PyR1Vz7cA60pepaJ +LBwwsRULSmBRULJ17Eyoh4s41qzYkZGywKyBag4Pr21yDENciT612yi/z+Pq4BLNM8Tm1iYeO7JQ +x50V/iF36B1gsJWJ4FMgU29ezHfBfhakB7VTu2m6nCJ8WRHP6pyaVuupv3QvtMFs9kttnylaOQTi +7DslTWMY4pYjT5BuBLmoORmoP+zhBrSFIU3TJy2dN56ICFfJdgHLTGf5swy3KLUPbSxqO7iGNe8t +vVnJXZ6sPwq90reV3TrLW6RPogKJsbk5rYqLUTuERmerZp3OiIjpriFiqtWQjr9TIRTRr2MHPJTI +nXB6IryZJJHscHZlQsDUSvWcKR+21P9hlztcrWl/uy+liGNj0hhwcMXdb38MKiP8fh2/I5UDa9Tj +rq1jKIX4VBsUhMpxof/hJ7YuS+z9gJKxG925dwR0sRDlpe04kTtn5HUxD9eOQ5+Hz4+R9KuPsdx8 +yEHiRyKe1GxcT0GO83Pf1HjjbmxwerG+BdkiDsWCF3kGsH8qgML62PkJN/Cp67r5C1Br5N3c7QsV +adiXxCr4lSG60clZRnB0fij8VFsw7n0X4cB10t+By+EA1HmKRt+LYIxnnhS0f8NivV8XNCJb7U6k +vP8oYX4GoqujKqNVFO4kQEe6BE43nDI+yPqzIOzJXdthQHtjBm/c3EkrLEy0ulu+hmlFPueGOp18 +b1F6TNqNJ6fRjPXcjk3xpD5UqUNtr/yD/t3oRPIqZw3XOifLUco/osn4P7YJMgus86btxj+fwPvo +a2Y7KopHSLsL0LWKq5UjsYp8UeldA5mqpFGf0lP2VchuTEJgBEMtcSTYktsCFJGU1ZLpz7DZhOGr +8xC8Yv3GAvPM9Ka3o2JflYHU5xG64zS5kj5BFuDDPkRcI+/nLxJthVZJhxv5AwMK72ExjVNrT3Mw +hrtBZEd7P21XQVa+NPycm3jjMnR7HqzJf1Ydkw9ad0jrJN0crxnJbag+PROfCo8/pJqE9El5LWCR +TzXMX2cCyxolzXreTC97uOtOr1N91e0lnFb/7n9vZWuBGxdEqXurVb2PcVCo6QLL4Wq42ODNX33c +KStbjQvoubqlfcO24arCEkxlGL16Gh2yd1ywt9d+idprSQD0I1+n1KfQLFDD8cbKRO+eMpWiXS7a +iJ5ZxJw5b3VXryThWCkX9Wo+KEWcdMxwpNxefyuOZ0GRz/BaXW+dIFVLCevdN0QV2jAneYp61VOX +XKrOCzOKemjgyI+wcrZ8kzRRRGHOXr12tRDgXcCG3G3SURvJhuWptvigWYDTQQa4Y/NYnECOF1E6 ++z23LfPeuAV1Rai0CF3WpmSlUdtm/o/78pOc8eMRs+WnxMeFn4RKrJ0XNO0gu44OO5Kl3EDDZtYb +HLNqEemUOLJpnIeXpFmRoKKYUY+sD1Qwn54xVIDn2EMz+iU1D53RPB+05WQZI0Tq/PwoTch4TYJJ +WbJAYbgx0IkfnwMgonar1P8wqmZLPAW2bO7Enqrb00IYd9n/csYHOrnbZKABJvU9xpIxEmVNqoOV +gQtk+ZNOxLRQzIuA5hSAnrGlIrmtuY2LQsE13tEMigBl5Dd5t4gdGr7wkZzwmE4lMZBdNg++X3mq +3HN9ckfa1zLd1DmuON//T3Y+kiQeXf9X0hISshulvmnnKG6/V0JAOFzrSL/Skdb7JnmXUPCCm/cW +povYwslrpa/WGqLcVu6J8VKBfjipjaG3f0q3Vl2mZMkT0sVkBFAwJmkAwxVlH0th1Am0Uq3OXYMx +7+EerIAWFlGjOM7PcAbuS1eme78uW0avPMbio/xXTLJO31RJ6dw4RxLR0JrQDFVZOUZANuU0PseT +k9TDPnEeS45EOFV6c8AR1GdYgtCM5fXbxsus0zNszbZklmlScB3AAEqONDoa6rHtaBNY/E2D1cWG +Gu8FCJJDTkYyvLD9Tz0kJ0UwBOPJC+o9OmON6J7uit7jKL+GhSM12IYo38xKdpSGVTf3mijqeTPj +pYGcLZpbWC3JaFA9d/4qu2BSgcRe2aB02rUXRBjdkiRWSCC7uYq2taBjfonjC6OHW99LVAVEFMAj +ywrobxL56q5JF5Ht7MxFs0jwn5dz8/JGX1mLtGl9bZuiO6GN37tDcVhRpr7l23h7NkYwIQ8pVgk3 +GG1J+3qs804PHRIDEm5xb5YfxK5aD/i71ueRJc2nEhtyjmZ0eAjWEwBgR5qEQAyh1MNRWILRwuSX +IS2praXFnIjGLjufHFX99px9J+HzWJvLpcv1v8RUxtiD3ojEWcPgna7L3wo1AhIVkARf9+uqt50Z +qbompfN/XXyy2HVFHFRjqGOS4atEEk6gDfyhBu3YkQozb4i7VQMH4ULcwF4tWoqjYUALVQ4ieKdb +ynU1EYAw/nRjDbHYBHnrZ53Q9cIMO6xR835e4j0jnAxfW1vKxhMCrsX1J8yX+D36I3AvZldzfK2I +/B5JFFDGEV+mvhXr8X6S1HGzb0omjXBeT6//+bUgNbbuIQHYh7TcbsZc2RVc1doMUvHeWWM03WU1 +ji84unpi9hzEgiKz/iG+SF69oLJPIsX5f8iASlfJslR0eJg5iqjT6UiynVbH2fhEiZObSZFOACJG +l/VnGWRsFZ/C2aBdODS2zBKzQO6igvt+1QXbP7GTbrwg7CH7rLZpztwe4+XHPsa78sTa2acDJg4u +MKFItY7ixEhSwcgyOz0mFrBaaHBcL/f7GZOA+c2C+lrJJt8Wn/TZD/bhkQUfH0syhKF0FyDFfzWx +GxoFr1KhIapTPdF8d5gpift85FGxA5ZFe26wWCwzbRl3PO92PrqswO4O80cT/C0QmqjySdZ57UIo +NRvZPC/NEF6W3q6VVT+AfV8uxEl/6Rhev7ehL1EIimwzLXqfdTUlECJd2gtn4+A+CISmtC8bAs7c +Ee9UTViyYJnzGVWuHdbFc+6mIMpoGITtAswNIeiwylHZq9fyoS0HPvXOfKIH7gKCehlAxxpqb3vm +LInbey+3qZ+TuTm2GZBHjLAlvToPZApbG6nzIa12FAlsw94+P2P931ytBFB7SGg797n51gxZphQZ +j09Ks9nu1h4GUD/UzPsdcyHlhoH9HsMEm0wpH4LeNQp3KpnIK9CCU8f40EzczJNwfuz7ixqBCnTq +7oHz+XwxwKKTUFdUmEjunMh0bUvrVoKZn48iiUNWcy/D5PqknA60JOeaOaVfIYpyfIzLk3u8QDKa +fGWKUSv9Qq8HWT6m1DkzYjO+0hqgCfVjkO7Luy0+StLuBVX3xFYKosRWpBU4TvZwhel0oamxYsZw +F8qK+cmGB7Kuyni379k3bhUrp2JF3NolhoFbVvZstw7Uc7/6QCho7r2nj3nAemOe0VRkUPSnzWfU +vU+pHy44fRR6oGaVgCtT0Mlq+eCp9AqxNPLpgoeIHDx4SloIChvPEgq2DFHBQUJjsF11DDB309Xo +Ix8DwWrW3aRulH6h78h2qwRi2OIfXLAv/ydB+yZV1ZZjomZIpmy2JF+hJdm/IDTFSc+8zvYuRMWo +bhc+RaM52TNbEskHvgOJyxvErOQmMP76iIN4o4wFiY0RpcK+CRjXNxtRxikvW7ne+u5HoFXa5pLD +jUeHFY462dEw+KOSDtkGGtRHaYp8WJMw8agdz2vMmtQXOizMouQJk5m0XMuFiFikvbqda6fkSwRP +p1sbDmQqJCa33lKYSAEjSdKrU32ABeicHnh6GyFXA68xZFmmiaBXEYPDOec0JuVjgwbX/NEoJgMk +z+sON4JvzQOJP/OJr6DZ1wZC2k9D2kOJ/7W/oIl7pR2OR1zQz8XlTZy94YMsQb9MzqNXWpi1F9rs +98/ZtxIQ15of8bw3qpfsFk7gwtcNAFa6kMolrKHortJUiAn1WAFJJllzlS+/QL4sK/gSDGfVlm+T +c6+30HjkH9y58W3LQC4q0ZM3TbEvDBW+L2Jb/3XjBXckX0HERPR1Ohhcurj/lP0Bi0AcFDVvz601 +JCpyJ3i18fzw+2cVD2bLOvNUU/s2sahzqKNbYIAgcW5NhbO2my1Tzaa7lhU+yl04jFmt50bLVHwi +jSXLG6+1fqnu7FzhzudfWLT0Kw/XLRU8q6lfQifZBkZk2B0C6WI4dZfGPSmgXjViSP0c3QDxvue5 +aIt4CuvjxyS8bukjKpHDZlamUcYvTSA0Dk1fnm9s+QOMKu7u0gvD8G+LIzBWNEGKqh2M/wMGfd6d +5x0xQfInE+jY0Y4nVM2K5bxL55ys1y2vM53F26gJxe9qpkiU8gy0cRY5kXdyHweJl+S/Vt15rYGP +uVWRPVOSfmH9ntrL9J9QDrBLSHV1j5EYZbVC6PjIp6/F/0dqtPo2oyyt+3CW1avMKyRBqp9CRlm1 +iSNmBKtn3yVzq9c7U6WPITB63e1fR0a0t9tdM2p2sjfW4cUNPadBz6I/O5KQCq/kDKXZkJ5/RcYC +X4WJno8hNlrOFWjaTrP0OZv/EgidT+esCEPt7zcqTSZFfXgQPByv5Qkkp+zrNIrSmWgZFoCTS7WI +klvnIcn4JLtYGI31IherV1wV2NUwAeWBsSP0+ypjHmezokkgqhn5cUVgMX2TaiYoh5d3v46+Emzj +Vr7P6DUvuvARzm4sbYk/2MYqzaGsbCpkXZ2dofjFrc3PrHcbnL+Z6XC245+obATNZbEsRa/cGSqD +q9bLdYUlveRm8CPtt50LkPuoPWZUiUV2OYiyWmkUfNbRKMFqB7dmRlrTu2VlGPBCCPA5klLOtDaO +Fvc6SgR/12KO9uJpktnaEcR81UjJNY56ifEXcVqOLT92A/d8M0FS1HFy253G1yCpWr36dhhQPHEk +Khs7qzsFOq64f3nfHL81dfqkKhJYylJTX81WumorffXHwuEtl1gXHeM3p4kt1rFt449hxgavBFU9 +O4nkEp/hCzJV44QTGQgCxLObUBY97mlnriJXmxYUCs8zjkMn/iyUQTjV6gVZMxTPyDtveEgRMLBG +YBx6qMQHNNxCF6L80y5223FeZiuxE79iEgR2bBhgZo0X75zOme/XW/CaL8c7sQL9v47eSqTzSCoF +w6YDX0VeyYw+lvA5YXrrgoGt/zGgjYTiTqHt7o23R+fHluFfuQSpIfiBOjbs0Eh1ll7Pmq2DfgAv +2QitVCK+vIinRFEZoDhmDD+B+8TC66LrCCz3Cd3q2uq6LPQ3TynTj2BEuqdzLy9dykFKBgRcji4X +SlohqLDKvo2jzMa3ACf2Q6DRZgltxTbyptP1i78cCyaqLdi4cQuAB2/oFvdVv7fcQeEMQgXzfstU +Uk4FmYe0iXW5osf6Y/K/xvb/YckGf1YiVdX+5NPZvk53bMBWw4xphVTXfbvRpZjH2unz+6ovwHFw +/1N54jCrqrlbuCBudKbaMcleO3AQ9ZBpYNEbgTwNKevaMdPGcGdrp4Xqm4HIPH6xMhJFNxfVndO6 +RR7lQ4uBgU6Ir5NA+xcfbobMqIBpxJU6EjzhxNkZi4WJpO5rxht88rsEvZqoLIaHIraRkM84pW2D +knEk3gNqyiW1inSgqXXj4rSbo4dXA3BPFoEGvp6IKf8MDYDrR2+9EV4J0dVn5GW11KmlwbIxXFiu +Trho+kSHY4DQMTLECt0Xlsa6kVpjVt7EYsoMICBVzwAiNJfGFcZCNOUKjkaum2LSHBo74eWkj2TR +PJvdxbyUxDeaYTOzqXfuwPrk5xwHJRWPnYus0h7Ej1TpIzot2aIMYs7AGMh5/AtmOTh0mFyVvecP +lVTQLvHcIIrVtNW9EM/s7+NTZfxgoXnp8gq56S1pStrdrWlI6o4Ask/AEIVKJX3YBZkBSV5KfeKj +vulcZUzrZjW6FufdHt2aA58CfiiV63b8B+7aPZoh0TtmDQEr4wDEGQrbZlkQwY/YEbe2OLirz8Lw +d2+NaDqhbRyfqYEk/4C1tcxvdm6ajOl6k20iIAfmWqxN87mPTDT69NJEWzBaKbU9NCHPIJKI10tM +ajKWBjCd7DDVnVp8mB0qGevunT0M0sNWGolA6PjWAiwwppzO5SaSUE2gTQFk8u9o3iYaElpeRzSt +kqNOV4/rU4QCXC9PXsEMplOQv30+0OrnN8dt2jvHENBkdN1wk+rzG0rrVz86VNL+sR6BY0X14xB5 +JLK3qZZgoLiJANFyuIVbOrajCxtSHVKrXK4Abefsl5NXwVqyzhmtvvLNSMmYYJ3ZentE9VyRJtIU +DTGk8hAG4CNyBOPzflQMmuAO4Jc3uM9/98C2Mv2quRFjI2yqwjMNQeg2ZoA17wDf6BChKTXXuq6s +Z1K/m8px17Oo6WhMAFJMbTMgBzwUSioJr9QQR7XNDliZgzeqLsVl2/sR1kZt9Ix25N5lmMULJ/bP +crEVbPrIDfDWy1UoVnN9FrlW5z2n8PCh3uzvnhATxxn9iq2BJ+e8hNVWAK0pR7K2QNHEyRQgaaz9 +osavZJHYUOLJHWnDHl6R7q0ImRpCSKDDsxehrsok7zQZ1k1eX8GQb2AScVJYdCPiEk9Q0MfhoYlU +VNFCs1tEftNnTTnxRzUZLhavkxFM98XGT808FmqT48HxtHKzf4XymDIxWJrkbVg+RSD4PQ/Ib0up +SAyIbmdGtS/usqoq8PG/Zl8YYoS7gEJa1Fu700oSGnu43WKuQVXprZxtw8FacZ2EpM1+HHw31mFw +Zg8OUD61THeablj1aSyU4wVo1S9FCnjIhXlCk7PtD/7iMoUnJzJnkLBNb3OkFMo6iZMvIbG6vOoo +eL9xN2kcHHZB65VMNtp/jedao+KT6jV2n3u4X7hmfJn120Vf0O7ZN16LPinWHyp9VCR2ltHSnL1g +GtgJrkZMfFfdpL5RaTg1lulB3F4N8y8oPvcoVCPBzmhDMfMzAZ42sWyiTFqQiQ+egPEOR5Gj4N7g +bfPQws7cIQRdQl6prQLR/rMXezbOWFdUlbmmurcISFoRMMWXRIUySsLY8AaJ4cTv7rKhFldPMzZD +cGm0h896ji9EEAEdEpQVoYGrlUz7RxW7kZskpHSRy0b1nSdwXMMLtY1kVT5iy1kWNOMvmnBi2dLy +oykBE5YoNbVzCM3h3MeFs1KRRUtfnQHI6o39MjP8rkDQcKcsoV7rXM5JHew/UE67Py8xXxhP+qOf +F9dUAUXbR/xCyYcQ/Q17ZQ90TS6s2kFnkbzzz3tV5CuNgvkRt2Ot5hCpU0BytBmK4fICCeyrHxxm +UuBZZ3MQ9QCQqIHqEn1AvsmpJ0L9onrGPJbU6UdqnAC7opZXo/haZanGz+rZOkGKiLDNX0gcQ/ZQ +SURgma8dUU6tuGXY31Bpm9yoS7+nuO3vf40admKKAf3JzZxD7Gsgt9dmtaWEt+z+U3plhcHNb/bS +29LfnMKCLl2wMoVRwNlDAAm7zKhXPdPjfSnz96ulpeRrb83J2ZdtxI7BA6OOukKXh7BfpQQdcDjv +OOBraoKgceZOvgp+yFAI4yN8o4A0B8lqSEM3gS/YovnRrJdY/TgsHr3WXaoTa3eETa4gRvRmwnx9 +ISvcsbuERTxvxf7fUVT5J6v03r5qORsrp5LnURT1uKBzcSOHD73qatnfgUYNXktRuJ9qHKZRQH9W +cS2z5MzjiwKCa2EaCNijKjHllVKto1gYEjbAcovImaAWYqj1HnVSJKl+8kmM6qevkKB67KJ5LVG2 +7wiKXmhKtjEOfn9YXx3t60wb9QWt3WlqGao7gM4xi3cbtfq/42EZzjTPvRyam/nJ8BcqwRHMyIRA +mMQfF7VU31rM7aGB2nx1HeXvdtuND/vzhvd/VRy3pYG3kC+apORg/+Xy4eUJ5z530VP0uYnhWPnC +xuSK29NOjmjK2aZ/3RBdRj5DF/mqoeaaIIhlgIliFfxYk6KfO8PaWagLVIB/3n2h/2Xm58EVu7cN +rpc5NPVBV4sur4hMUbmSafIXUic+jV7bJfyYwp66itzCi2GnF+bDST01X9e3RC92NjXitr/3DJSq +mjejfJKWYW68z1dL+4VvFilG48/nMWqPEg5ZHKWSL3PZqXK/pa+A3m3glg2zgAv1q3IVWbTo2p+v +Dr0Evi3f2qRhTRlLdZ+GbTeYp6q7Qw72ZNUNA0VqdaEmDfEIG9KzTVTi5dsAjWb2NxnWprcSRqkR +YukTI8igihLwl7lT0jwRnBhN+RZK+7c5/+3NvhIwovF/6z+wuqytxUJSHBgFEYacRdxqmrotwNJi +oYoNowTDLe3kz68EU6npu7IrFqTlDiCWbLE1/qAQr7U5DoSCCbbOvOFztYE35UnD6rZb8TIYTU25 +Tq2VMOA2DIizraux8HZR9BuRjVHMqnrVo1pmXn1yxpc84TP0SowNTqqCFJ0SwC2Zwrc6yuCaqh9C +B2h/6oH/KDIc/3OL5lrh3bSVwYDkJpBMaNP7qQFUGDm8OgJ92GQbKTUxPBw6ps6s3u8ydWOpVJeg +gsZGl3TIBgGKNL9KzRB2TVs+22lKY0E6rwK+ZoJscK/dHiH17NeTShasAOFK0Fa3jaUTrdEMuXQB +dmF4XBJHqKExhTFT9CT/imlHUxbQkwWPfxvQl8MKEaoX4Rl5yKbfiSreoUvPIQkk25qnW5VF5ZNL +gqnp2s3uCyX28DrdvnztAg/MSwIffbdP0Xm+LpYGD4uCBlCQSP4fwRO7evOHmIDyoPFKMTGEK3ac +Urlgb5QRRS969iTIAPu6Ef/lwuQUoEV1k+rfYI78Ax0PHsEBFFO4DsKLva5guKf2hfEWZAgBAFXs +rVLVc0Sd1vqDomuILP4Gc62LyC6fxkaPeuPTC0rQK4ek78N4O2WD4DEnsjAwMtghh5MvgpVzcvyo +H2U+XeBm4XSiT2xDzCyVH5RG53UR1q3edfJwKQsupM2OxE5If2CKe2HQO4wsdPqRoirEhcAVR+sl +3wNW1y4iOHljBVYNI8TXd92C9lXiXme6e8BxX2Q5qPbGiD6eIoP18M+VLgKXSdtZNhzMczUyMytt +uIvwRJ3yVpQwOIYjoAw8uZ+yJljLCka+hL0O7CfE3I7n3a9jd6xGg7aga1jOUMoIyi8rG7KXIGHc +80JqLRT3B9IIXRW0/UotMrqh9HYHQHwlE1q59qltEvyMjt0+j7j7bDaGDsgc1w4YJXqutkRwQpnI +LPE4EDsl0BhURKbp6Pf8e9icRvBdHPESPS45q1JPBxnrxDeDnEoLFGnvIoO+IlvANc4jYnbTYb1c +WfH28J904n40kT+itvnJGFGdILGtdGtnf4U2Lj3jUovnjJ5iBHQcq/Tu0rd8HGEu37yQTLwMJiPh +9KxXGOoFvpV22tV0aX0Gi3VMgAZfvNA0c6QO7iXryY11XeZjrmqB4yLYFj0HCyMU0Lg8iha16YpE +ntglGwwLV7peoiT1U3q/cEl6wPvOSajWUcWMnJkks+LolI1BNW67ZoRyU802YPomH+fZ4RwYk6c9 +aqtoJpPMgieCYkUDXQKT3PKU2mX0PJ3azuN7uneSHf3amZT25bSuAdeTjwE8tzfwm9p/1Hun3kt9 +fcvU0lHBM4Jf0F+BkKNSfq0hdgwp58BIeZTBuXWTwBBeqOUH4onxipBnh/9lkMZ2pRVBrTqpRpGO +1C1i+hri9ylbjcdHqRKmGFnIxMY2MuzPTUiwKdNX+zGrAz+HDFjng3cjyX7xn9LYQlCs9V51s/CH +upg7gvk/RLHaTE+B/IqjvqD88qlmJr6vCYM/iNk0cvwAAgSWcbPTniJkEKX01XSkFFon0CV5AcOy +UReFC/Ps5e1u1SvHF5yvrEGF5U5lu4nJK/0OEmro6A9lslqL8Qyv7BzbwkytCjKnsDgs5rAT+y/o +SFUS9B0mbDoZyompGnFpUrA8aXW+FPWW23yU2nsWz2F+Prwj9HMVKc5kZ9WBfjP4hAi2Wew0exET +AumCFqwioMfSNN+X0Fu1LyKuzfJRAtMqK6AtA5niKO+XgvQ1glyK9RoXz/KrJP1mwTogDodWRWst +6KA8kZL88YIW4gDB3KMBR1LDWZ/k1LmZeWQ/75i3Kd1s3/pityXOfSQ5gl5aLijV7Jfg3KtaHSgN +h03AEwvynQwRAgwqQuwF5w0O4FC0TDT1fhBIEiuw0cKG3kSVPl1+LATCCVdQUUXa/bveGgsyENZz +vzoOggCsNt7U8pzDlWJtSEuTmL2M4egPQoIBugteYcP/sUqlh4gxBjY2yldsVzKo02YakI51Xicz +9dbam6ekHB+k/MkbgzU8JSiz8WuL3vxZa+/QBIB1K6tgAnjvQ9fqgk8601XKV2pPR1i7UqrtMsk/ +SrNz7kLzTAXtqNHKfc1/wYfb/iHyLjGT2KzjhrFHidog+ABU4WMeqYox0XJ6Wom0rZ8xH9gD/5aG +s+Qexcvxv5dRYiBdCITClVXpwWPlvOW8XodrRMl2Gj/JJ7oSz9JVoQ2XruG4OrE3VdDNbpyIs53r +LYF0Hjvwo4ABHiF++/bO/ZAtmkFaaPHwDSZNsP4a3ZH8wYViAIex/dKWUEllmz9YaT5s0eDD11n/ +icNOoIfXR23Ha3ymzDR4IkDTPHRB4lw/yh4Uc6toPh5Vrwiv48uZWdbLiUWZO8hSAEoJARDKeF9c +SH/rDkLWVhp1tfJTYJBB+K+T5Df49sr8HvY9SNIv0OWtp+NmY9t2yXaBIxkrf3GcKS4heL5ao8cs +HRjXibUbvz4QX25nvnFdVaipYghO/cEfdgsBnK+1gm0EKouhZ04KRQRZ6kgxIZarLZzsiXi+CGcZ +5AUCwxuLYx2Gl8NhOuo8nB86vYVCgEVbf833PU0fCsJ9gDFlKfrMGWNwWWwJA8JaeP/K4KhRvfj1 +fjD2gqLcc1fzN9cmXTA8ROwmEliL6542cE5xWxn1oUOJdarEE8wScrc1XMTWGfqo4/A+PCoD3xZC +KKEYVjx25hf2xtTpOg5vXW1YQDeFUcwPEtC8Hu3VyQ67k+OefujuURrgY0ZBZg53SO6vn5xBYd2O +cDEBdF1zkkdY0v3dSijdPocrP753rVpvbxMBAVFrhm7X/9Morhv3E+2cRQ4AZMQ5CVndfCYRo1XR +sJ1701AQLif30aMnNEph8aA9P2YeIv0JhNfuYemUo+DMkLcmR9dHywYJMei9wQdMsiHfb9HHBMjg +eRCDTfv1NlAhu5Zej5H8t8GJx94VZspm/2PQZt3+sbyb7QGLhSupE9hjqf9BbslPqod4jEBYsd8i +C8jueI9EV6iNMBxrqPb5u6wbJkT9/q/pWxoOovOinle0Cld1iEae/01VZ3NpHCaBwgQiQImXdnHm +w5PDJ1kYDDlERjCifkpw7WRuMZ88sypS+buARYwfPKQIJaJIJLlwfRXyzDxfrn6FpumF66Pd0kw9 +IvzudMcIZfxzCTI2HioklxjAnScDdwzt0AfoTnLnw24jhcEKcV5iHO4AhGv0Gqi4hJX1VY+VoiG7 +Pl/G+5pgEGVBsPgFv6k7ZLAEgJvIEy4Y1gB/+XECXmxVA/vMKG73wkE7gZo7WlJ8cIG2UBoCkL0l +ekoTNbf2Q0lPlh8yH+N7haUvtWLmjxnFidwEiHKTzw8WPvJZzbK9nNyG9ZrS5F9qligs1VktC72a +ldTWwxYkBUIZgY80oHPY3hjtaCTsfYDPWx5N+jNu/kS1PfuahbJdqaCwKD7N9S8IoZ2fKdJ8HibD +mrQUhrqvUreQ9Jii/nKZd4+fZE7Jap9rl1rERwgEsyWiExoMaOMYLU+KzWjN3DVtzhouUhvEKnJp +s3TcCk+Kb4ljHvGmphVdwNP2UvHvzkwh+v9aU9VEhzuciHoMetOJa7//FeWHe+egjMKn7nEB1AUg +4pecB19R0HlG+H/kfozieCRFS2soucp2zVcRSxwDjn5RoCluI3wPAL3yuEpa3fFCE0Qvsm2/2cF7 +aK2O5EU/emqz+5RsRnpooCe+ayo/2Wn1ARUWHTdrN0Fb/KdVE1rNsH0ekswlTBnlkbq2xn9sPmJE +JjtX1UptEbi98m9mx6OrJ6eo2wGHvgGNb/gwazX70SIIQV0DmmPPsOCf5tc+Ec8WSQxMoU1Ycspi ++k/2PQdHszh84b3CULafaVjqmRcHYTqQ3YMswEHOcvgdPUcnigo3QazbJCTzXpJ1VylRb5Ncs2Jj +WY93nmxymMR6Hwj0wEtyXKDKNhaujvPnF6wwKfqKfj88PMa23erp6AkjNTXR2dApNDh/Vz54r8Ob +qoF3rmDkznqayZsVbLTcHa2hyakFyD3G/p62/xpsIr8yqtGEd8gtd2ufx0t3FnCR1d5NLgkOIYaF +RSgDJOgaCrrYqheEXO3B9z5gKFTDLKDdaNSzinabqDj57UECIWRLBhAOCNuvM+05U+dn+zSGTOIS +PxwQD51vooDjOuF+VzxGfBGiPqZHu8FTowu96NbZxazGNmNQNYEUzO1LoMFRIt1mdrQhv9dXNVfg +SOoJmhezN0Yyo1yKIBX23ikDF46w4qtGEAUBlPdQ2rfB7xlBkWoObTLLPSqLv0YaoEcar8asMAoI +//dw1gleZbnDeQjOSEhP1s6ilNpo/TpY41JVk1afObR/i6bxmVYBo1Glj0Ajti2F5LkE37jhS32b +DhTpTqWQZnqLx9jcfm2f8swolAAtd97ypl5elKxXi965UAXcVhEONzMFJVhmgIf7zFTUm92nKz0v +j1k4SlBkWZVoDeOxuZR5t89xAV/JdLf6cqVJ6Ym+TqWU+DdKCn9eJVkvmUSVcohIb3XHxfryiF0T +8Z2ri5uvZo6OkNIFgFP3WGO9Kqzeww4FPI4mM4VxcxOh9nL877N1pefYIm5FbMCndyMoAEGPRto4 +JAqd3S4ZDJ9N9HUcsv0ca6aVnHu/W3Hk58pqppXEGmE+SWSDLOIjlp++PrUZcntDezUs4BJvjNW4 +cYJ0/JJeT0lzJAzTsVnVbL7IlBqJ5Vg4sHQdwJ62//NFentJck/YmV+3LLD0jBMLQY1HArc0M9Qu +evuF6PPf3cMSOPP0mevy8unoVjUBm9IHJmx4jrYdexxZn3Tlupie/HJ9dN69sKXOnE6OnYx6wYjL +SnAI5R4lg5yef9LK6XppNMFw/534/X1ZbUvyiyJWrB7pmoInpFPBLI15e944jESyD5gHOZvNquzH +GE5gOOsMMEeB54q02yJt+w3jH1r082d0jpA0vBdLCi/RVr3kxmkNrYqouV61Mflf/zI35e+vbxDj +RD5thX4DTYVOIkHskNPLyHZPJ3xbsGHqWSu0ejpOfnluDqQFuv1kMN+N4oIhcPxJEI11pfw/i8TL +xvCtnLlxHM1jMtBFe+IIRYjONdad+GL6nHX6yZY9pNoMSnGiS6XOfKqw9a8g71gXGY0FjX9SNDni +yu0xwa1sTjsCF87kBGtVh08WpZT0DaoWRhpkvLiGhWQuTN429imYd4x+LOkdBlMpfOfv5glEgLv6 +5zeAiu6um2ybQB5V1v/TpGw8Gs8zFEYzq2Z64DOFRn/KCEILBvxxLCPAw4/NrNK+lUTKMx8GFvhe +AXX+Ploy5yoUi3W/dkzYMj08PyiELRYkg2dwnh8v/x0R0f1IU1j43O6bRb5sQFS6501KFQZ/Ut73 +VOyr+FUmGrOa3v140Tu2eDiR63K/clavZSXOsyIFGUBgM58DvWVPOVAyzDfRmGUcrLQWv7kOLapI +Ub9r5FezhKZjgD6qwA6uY/fAfCgSMaLbGXspb4+8s1HmsJc9r7ITAM/mYeVO13WFL3gbjVWE7X7L +hDjFNJualkfKSVFMcEPWj6nS6fNrdqFMDNBIzTezmgLVpzDHr5QbaCvffqtn5k0Jk2dbp5z3Siu9 +/m7cmyOnLmmcYaYA2SYEAW++HbYik7HOmal0NxMdmKm71UPWFVbrMyITUXEte4ZkReZFIiVR9Q9R +WjUv04q4oNI4v+bNfvkFGE8iqaHAq/7wzRRWNRihsWfjowXJDsD2MY+9G4uoO5Bo+ILSmOezxBvd +BB70B3uPRGviTSXBQ2XOWQlvX5HxFbOc+3TX+z6peqk0wZq8ykIvHP5QkJfZ40brCVFc3GYjkFaM +mkEx2bdMnoW5KJ3NNgwTVxJi8QXWbv9Il+reL90mLSDprE3fRwkbOX8wy43gbCMo4sHFpVCerzlf +z/9QmSy0rbuC5RJg+RsboVLIA4pwpXbNPQm8CCotNfAOHnuCZ2IXvveBf9O2KHbK3FtueKkq9oqc +FJEYV7WVirk0JlkGYbEnxb+s9sqhL+b9njV585dGY/gqXjbYXuo3D4j67Wywr/Dqmfj27GvmsI+n +XSRG8IkovCeHNPfJvTelBHE286QQRipji/VcZW/TXIlQElIzhJhTqJw3kYbiBpPoW5rEUSHmeVr5 +j4apmiYMRnUyG18g2IKBO0JTauALBFwMa7WLAfnOhzrEbDs8TNazGWQLHuWRgj5g+Se/pSv5ptxg +/x9jIkB6jt6wyMQb1NIy0WzLK/GjBaBhCShBc8EmPrUKVRF9o3X+Dty/afpVk7CiYO2KK6n6JcRD +GWSGoCoUoqqLLQZRp0S8Sq5mvTOYYgqZcQjVGDq+stjcbgPDhz8UOpS4fh7Vip+vY7WKPcFPWqZ5 +KldR2pEeUJTTC1lHPVXojGpwFU53VG/ofBEeWnv6ZdPcvMCJZHLmO1mGK65TULb2yCSYNGX0A2wX +4GYw/A/56qwH4S0AeD+dHITRKkAHGoIbB21IEdzSrKnhfrIauVjY7vUuxpMALUPcoFbQqE6tYfXR +pwGAQ1KzqpNLf+b13ykea5+VbJRF4Yt+8Z11yjeo2sFcsAVIVOA1MeSAHQWhmdQ0Ltr9sNcry/rV +HcXpzA3xCukyYXhbl938qIkXq8D65MTTJ8zIcNrshiQIoOdltVrtGNa3FwFss9Nwx3Gq5T9HX/8X +uQhgRUqi/L18/lLNoochr11x/CweF+U+7LHBG4TZtA03lZq0d3fbiIp4R4uBBbgcsmv1HSLX6MlR +CcpN+Ioe0zgHpZ40MIOd6MZ5d+Sk+kUncwsxzjC/ZzQTT3NtjBVzTxzNIacQxU5IAhrbNux2Q4F+ +iRtlg2W4YuUED6a8MfFyNCKSspIkWl+gXj+BEQB3dsSMUNJV4cO7NM1op1fdd9Pg94YJe2hxXYNx +kG4hjeEpzm/C0SE5iGSkPREUzu28yQkgv4+0Me7Nd5rY7cc+L2vUAxEc2s+IcwHabXYN04R66Yew +/1w4wGaGHgARd0PwtU2vXurR32q1XhVZiE6hKQgVyQBfpsMSyzktIXe69JDsV3rG9A4flTyV6Uaz +L8w8SI8QwIjjETWr/CJjyhFAJ3hyJ2DcrhiMjXY6EPyT/g8sm7kWJjEXGNWofMAARFHqXUn5CgtX +2eP7P1tr1CF1qcKAJfEhMSL8BTD4eyq8QL+Hy57me0AQN1drbVd09kT1t4sjMz/21pmUfuZbl6k6 +RBA6svb3Qn6Q3WX0SCmbHk6jCixFWd6D/5vc8rNCwZd677Nao4rQbuxfpOWWDwERWAycAy3lsL1i +7hg9wIY+lVJojKQEFS53nuNatP/yU5vRO7URlWxGcsuMtzm21J58GFzboZmnQJDcFuLveqCequuZ +3x5BQeOwufYaL+PjW3a6bEVclJl9+KZ3/Lykn4S2lojgUvaf1RATN8UpbbFFAt5ft4iLHXLxplNm +mRtAz9Lxv2HmV1Jb1gICa1hv28mjAVUcVh3kt88IXh4/7pXkSIOyOGvZ7LhbnH3vb+LWW1Lupnao ++WBB/axvOwf0Z3kK+xD/8G3Ii1zh8kIZDlh9+AoEEmAjzPXMdl1YIw4adpeJBmZ4h9QuTu5ij6dA +gZ2dJS3ot1382IvkUf/4WuvpsZU4WAFOthLDRBVTxB+MU9Hao76IR1TMAk66HYxlTA3C5ETMSzMk +ZUnAAdLOMvwHgCOPz5FmLT7+V2MCT5U0gxZOoByquvdhk/hoFh43IItTsQB6bhVxqXoXZrAu2Rec +KDHlvDc4pjkq/55+zh8xNpdSwhmqzND1VggL0SNDngAzEvj6L3ckVMkE9Ny3tbiATP6K1lGRWRKt +BAyLqUye+xzr/uMHcTd6ykyvaC1PLt/1NdGjcYCQclrxRZWHVCkxOJsVUECIhZOgYlcaGz3TRKAI +Gs7TQij0pLdEYPAm6g6BwIxq8yDGcIEOyjZQT1ChJOzLt5lT9Mxt2hA06P7M6VR1+LGB8MYEKiu5 +NX7UL22Uq1sK5zeN2kmT8kYHVVmqR0Mynzj4+NeDBgcMsfykYDThl/zfV4EVc8ryQ41pCEaEJowL +7D8b+IEGsK2AU5Al9QxkjOxRvdm0jlJBOQGenfKWYK2I8gUa6Zfws/pfKEw0fIRFsFXN+wwQyM5E +1t+wk3VxSYNCDr4Uegw0kG6xtp3OvSQnM4BowjERac9bnWqbg4DwzDMYtLMxBab93cP7OC1onssZ +v0MUKsUoxQxMXOXHtJSiwfLUNKckiJAZRHq+aePLVR7Bdi8QsT5/8WKoNrxmHY9LVh0V+XEYKKAv +BMR5jfxvlB/ui/DJTvSUKznLkcp0xtxpytJ+1bTBsqiyhacKxHbrAtW9SI3lNbjanCoGpY1P+M8j +69BBu7d1OdJ/cVcShRlhDN7rwrU0NY2lJxgqKiuEvKcdim5/oVG+06qunmunDeRQuiprmp+LWOuT +smFdcWmTozviLg8ZSkMKfjMMOCVmkdUH/+uACmX87atyi/bsSI4xpnBXlVqt58/JZp4aeeAS4lSR +GQp8skDHhdIb1N6146e5VnRTMmOUaMEK0XK53nSjF3ZLtOWO6RASRJJpL8ECOedSnEanNFpKrk4u +95Ez0Nra5dkawrkO5BrDUVwJ+Z6EHzRd+ofF5mc06nVwEFg2EXq+Zi6ccwKnhatxfdSTMrQ3O1UP +FnwdG3Qa7u8zUzqPa+nF17YI1X4WwV1tuo6bpqmHmZcASvkMd9Ro+2bILEfYvvnWvV0sO3AAebhU +UnU3J3Tsm5PrbD7BnPBH7A4rZ1WIdSDqQRu1bZmv7LojPx3p1ue7ikbgNlca6kBOGSJ9yj6CNtu6 +jM0Mv2pdCfnrla1ugQTqvtvI3M7lHTbzDqt8ynagZkUqC9zHoDEgQqRfzWknoWOy7MIwys9n8CJX +1KxInkEB45K6kAQ5/hemTQFKKg0TtK6zKTVAk3lxfNpApdFhrYi0zSdyl+s7O+F+cWQ83mHrv7QJ +d0VDLS8Y1zUIuvxbkqHA+ApXsDPs2ntQU4g4nGh5AAfhz+tVQFazeEE9GypHRPWy17DYRrR9sO+u +O7O5uljBRf3QUVocrEr8aFdbTvcA7LrEMWcB2NbP/BdFlTEj6h8MGDrODDri270VGF20pIvIrCfw +PO5oKPEbSKwHAgIITaXvLtYBm7zK3pZqPZJuwrg2BHZbPrSm+OeoyZTK0coF3Q29FXmC0fBaTgTa +rs8lfas/C7Czm3u/L/aGy++bGYKUQ5pEKtVnRH/9Ajz9wZuBsimgedj3/PAA+gMYG3H/38MO5Gr2 +WvvFNuZAaXBEbr82eYKDG1uV8tfqal6m9gmluDkSauvjc2Ujj/19K0/IyNgXwz4sghoCy8vbZTgY +jB86l+9U8ZkLzQUez8gse7W42knl64RMnRO3gtiCElqnzs2EtkwtfxTThFRV5HxllahNG2BFvkA9 +WRxhXzA72hW27M1mypPhv3YY/keTPJ/nPO2ieR2kAonpHgdABjW/pqtsfwjACPnxc3iCTxzYQrZy +oE2eShfrWlYlunTF1lFwbEHZIs22d7HCEvZNUp6rINCetjCztu14uZbp7UrTkd3KwFQ1V+psdpHo +KuP3shNUamXPPy1aTOj8NW4D3Jfp2rZJurCvQDlEV1G7BRiS7Q0m/SP6gRANNc3Oq2v6PKCQRei7 +R4oYYydqnqw0SaYIM9Nj68d3aqvhhx5NniNd492DZi5DmyrlEAoWrmoS0guxA7YwqC4zuzsP3srU +Y3pHZZoqYarRgAtNjjj2v1IIjXfZ7CCtW0odNAi0Jz8cPB92RNnzlTT23KdlKo5b68n9Se1qbTPd +rGZPjGK7ggDoDccXjon7duafJ7s7fmkGiO5EClgmgwFbc5z7OznMP/fLopr3FHxEEbTtd+pt+dfG +zDGTU/Asf603Lt4Xhhscm2gC8DwRluReuDnaG42z+j9nKE8Rzb/EoIgWG9t2IQdhtU+VYvmUmy4I +bhR7GJ6JVi+dpNEV3pdra5kLKL8/ZE6eRJCVnBlZC0V44BD0QeDfYqvybHJy8XhhG5BQ2hBZ8PoV +Vqye0YV/qKwhoZD81emyUHsy0tpjc51feUxYB9Zsqxq2AJVht83Bgu5KYy58dH8ef+h+JVt0fy1s +LQlTWsLbDs1+m8R95tIu+gkzeCOXD5GKL23yWVQCiXgBsQ8ikaWnyY5Ji85rwTxr3AKOuIdcQ4HA +Asmv0GPazeUOmSapSLqA1hAxndwbcjBnCNX7E3/Bc5XGu8nVH7fGKL/xsfYt6+GPyBgINC0wQ/H0 +F8PECl6T9qVrbGn7Gh2O7gxW1siZ689vDmhnxRpuDQAUcQXZwu/0HiB9cfrq64uZxytKm7idAM91 +S9YXBjP+7aBSR08RouvBixkKHZL2YrZXutqLadGDKKVmqaX31mUaews61wgVUxmEmIDRaKBKCgjS +UjU17Ee1Ro02uoXaz8Vv8jp514vAFNKMo/fxMsHRII195ln3MDpKpa/Uk3QoMK6+DPhLOszm7jEs +bPgEm7rsvb4sJiJWG67j9Ch7sQjpYd17prKnN82KqAefLHshTL3UoX9pDA4qZk1iPXkMptaXgyOK +r5plls+AL+5wfro9CKswx6smkVAOr8b24eD6JiSTcBgv7hC+b0L927RW0dHYSGDbZ7ZjhL5e6FUF +uVPzsRRyGrWggBJIp1EF7ahsNflm03DLx6hxm8/uC3bYfKg3c7VAhgClEh0jFmlAf84lvWwNkKNl +LNXSDfAX9CVrBKSgejXIpdpz1/FAGgFTsHPb/pM5sdN2JStRqjSLODcDjC7tvKWLlxxO/esgN7Pl +A+osC6Li/lFVqySsfy5+C56uBE8qxrHbXyL+juFKwBp/Clv/Ju8YOEzAhYkQ9QwUXgo6ex9cjpXu +/EvVXsABdaKu4mkx+lCJzPHl2IdLy2XDBKb7UO+Rt2BOhaWbiLIjd3AU/CobbT6Qzfx6X7t6x/h7 +KytE+mZprHzS5OMT1gX8wbX5+Nf54LGtg5pFJ5qaRFwb1Mi1KCiIc/kFZyUPKnurodUj35NKrvPb +eGOBCE2DR6QqxF5aKmEvdRDZM081dFlcHPF0KKelnW0/udTODoobZBs/1OiHjil0y+bHvjbSoL1L +vzcxgq9RfPjHhAP9MpW5lgz/hRHtd2nBzmHMhIKIDVsYMuZFLvnhY5KU25Xb/efB4VLoWOZVd36I +OzwonA7a0rgFTxozOwzYlfRYeXgsEvYKsKHp0lOCEL9g/oDBgY4g/BQGUEM2c7eE8wcTUHnuA4aH +eG80CW2byqHIcm4iMciT3wkOdEU6ESSnGGS1K2Cd07UGwmxLSYucsySFmsDL8odZAq3IpCGzdKag +zu5u65ADhoHjxMeZoRHPM3hXe3t9oMvQbYxdGmut8tSJuOW1eTlxUnaZCpR6o5lURvH6QruJwy0H +SL9/Srlo8SS6Z09H1LnmPstvTUw9So/w+AaUG0Fm3MaQ93QSVTW0RAeGHnMHPbyeotcdGQkoqB7U +/ut/1ITU48HCqpFHgM1W+Ix4sewPTqTYbg1dj1+M/dzBf5DD8bTtDK8i4WAGPykvo1WcZt0FxX4l +RbVQDdxnqcEyjs9UhKFuWVClWbenvMX8v33FcK8J9lhh4psIq17adNtgk197ibOzanZ7Vxy5seUY +boiMCio+G1NNtUmn50JI+UB6CL/4h1ZUmynuZRlqCGp2DvnCzDTVYimxz4gIT6hbJtSKqy3KoSBQ +H3syG1YB1vqdmErQv2quDYPjWQWxgEAq57CS0VVgMufSAIIokyIzYB08RR3DYjxiJCb/8sGVbkhN +eKsZ1dpsL9WM3r9hjSIYZg6lmnfyBHI1xVS6rREiNnpIvrrrjjscmvz0k+tFtP649xPFD7S08kQ3 +eJlAKvHcGo34EZs0lMdgxQMrdWW3ppy77YnG79hR9HUSMyNMdojv2DNbu43355OmZhJCKCZChOud +ruIpHlR4mnlA3jf6MAzb5JWwAuVWbUXOt1PVzJq9qDMFKUWXHLQrbGgAeiPzxZzLX0hJTllkQOpC +sEWxpbo38ug844nMy8OvCWfDPjo5RhETq8YMKE4TWIzhlbFgcY/350p8OwZw15nUTRs2dw/A9Ymg +IONg1EztEcVUkOhOBOB03RMVsIFM8gIzjcj2VcB3zASJkYqj1YeY3VffNqaeG5X8ZMf+6C7Sq5cC +jX/ksbTtgVxn6o8FpKK0AebVbFdUADiDYIZ8ybpELzxQEOrqE1WeyEaZe06ehqgMEYE+BEmMVLFQ +nEnQrkzGsEvnUjhrIfEPPsFV6IIFIGc/Th4lPg4ByqyfQb6yk+riSTWlPL7huYVuoMmhy7xJE0fM +nKsWPk83HH2anrR8V3ICS68CsLz/TVKDkSzTUZj3Me0lj/47WoIJSAWMO68HVJvlStWmi26dfnXn +mNs00zwh1q7Lj+kdBM8h2e2UpiW54hVx7r4cM4sS5vhnqA08cwT8VAyenAbHouX76UkJp1E7IkEa +jT0fgVzltp7xKA8vBRu8g7E020GRn9Kw9MSBfCaAYbnC6rc/TNCjJ0rxFxrejZBY8kdEEdpqGf5F +0EqivseQFsPOkbDGNDxqTHVGBpuNh50awuSDiT6QGW4sizPwFeHKbkghPFHCzXASRpywsxg4Hwwq +VPAOh6EQlN91W8FAat/ARr/TC/8V5Ll94ppS/pilfOMvjHZKGAalbwLxkI1J0hlbHsAf4xGsec3b +Tk0k6lPxt2nKba8mnPa2ytBr5Gt4cOUE/L3yEWLZa3XNoymaudioZKLp+hMAj7gg0rMa5DkrBq4Q +l9Vhm9tcyBtjSvsTOHgeUBvc2arlZ6XcBvAWx2BgtM/Km16EzrKROb4JcN2FJsIkC00mwe/dmUff +kGeTdgucRFXHXWroWsprsrMOKTS6hAHYzeoTtwNElH9laX8LnJBksRzFaJgbRfnW9r+vlvrZCLPU +JeeP14JIw9bUC5qldcZyn3Devz7fxnGchF3MNS8n5D9Z2F4haA9zPoY7Q3qLamOxVbWiFvfsqVpP +psqW2ARIQ+KqCRxlNt2aYXTVy5tRDAxZ/P/cLvOO8z0d6n7JTtljOt0UowmTh1wMx6p1ptwYS2CA +ECXwo5SQmhdIbHD0gn8QhC4n5saRUU18FyesYSe+KxWJxx09IWOxPp6cn/16zX3c9Jua9jqL+4gS +i/KZN+iIDuk4z6d+g/JX+F4Tnk+KxflfIPD7a7zXr+UYIxztT/ABoJC9MtVE88e14pWOhCnWse67 +teDoC939moXy27dB8e8FLIn6oT8Y/dOA3l6s8ESIQbzSzvEOMHxuYhZNEFr6JIbFQF9vaae8Jl+9 +d4FKl1zqer9wDckzz7FmU5GIwqDJ6OuIPmRuBtWvpryGkwlb713KkENjLoS7+l1TdfN4oGz+JgIN +9a4U3FIQ1OyLsmo8Fb15UZh4wgb3KqI+c/Xh7vGQlD8Jiic6sFTdCl6D0/MkCjHjMs8BVRKzPoe6 +Ahz9TbYRCZYIMpi/xHgHJ60aqZQSKyF7hRvhhdfjLOstGWTuzif/eHmNNY1HDegcp62Sqkkzs2JM +RhelpChhA9hr30k6usUkqFFUPWpTPgB6gYybtyarJjn3wFLFo6l5ev9nvOLlPt+UGCBypBRr46lQ +jDNUhxNsq2DDCeJWMDU/QI/WKX8mGBE7exmCJ0qe5vafkNfRhMcaf/C3GE5XH3yWnZ162l0QQ41L +gywFzAz9ZXQYIe6Qj0KttHemeh1c5Cjz9OX3mOmzGY6ROMk0jzYkcozn+oHK0gZYu2XoPpAVbCGW +O71sEjw9p17vaOMAgaUeI9aHMqqO9e9u0Omr1t3idd/NhRbEqkpU3M3D1K+PyZNYjuZ151ZfFTT9 +PRkCoNESwde79LLqL2bs7DwIYGP8rCt4S9lbgKFjYh7oY+CnFu4vRKio3FgX0elYxUPQUYz4hlRd +TxgAc9+G/f4v8LDMobyz4dSuyDe2JIzxJCkpHQQx/pEpsySYPAaF0VLcwL1Gjtsqf+dxWvHrwtt7 +htr/WqoUTRGKANtKEKZkqviyIi4y7Z5VjmQeFhi6FzAeXFUKEsAcqLj9+L9JpYGTlc0o43yrJ2X/ +lLM5vG29pKvWm8orZY9OUR1u+2vNyLtJPaKNur1+mF29PB0hF40dz4B7QksY+dlEbVqfQSSTCVBS +J8+I6mdsK2pquROja6QUlLg5fya1b98kYLwMD+uoBABS4T7IYNlyXQfOuYsOSxEiTcvmbgqLvmxX +xEz+V6ohBvs96+4aRPHOndMr/j5LmCH5FFyk7UZe5pB+VJ2va/ty0b4XbJYJePLab8Ib17j19MTh +CTAFmAUDejQfW5Ef8x35a4/+4D/h2lbhgIw62gC75c6igBVpeyU0ihmGkIrPqwpeWjb8HVWtXQwV +CFgLcmG3evkRP3OfVXNJQ5xaH4Q2VFytGU4fVYQVRGBJXrwkQEv1jMviCXLrfzdI8I7cNo/3vDzg +lmtHQqUPVqmyhtjb/AuocNqpb36ZSUFlguo569+9if1529p1qUKBBik9Zu1RK5AJx1KiKOfBb4/z +ZYQFlXrjzc2KE9dqm48lxmeanEKZseYX2BnVornCN15Jh9jmL9FN6Wu9qWw5DF48vYD7lZlLRp2l +cr9fOgSZGpSKDP6n1hUQErzpf2AqsQ+JMFQVJSZBAVmlboWy17pNHiZpap5FVycfdM8PP8p4kFoZ +4+juUBTPbWDMaiZBY7JQaKvqd0mo/VPm0qgh1seBAp/+5APFgL/Bik5fCe/0wpR7joz90g3KKXKE +svFn+/mVkl5SkkgVaSXGjnU5/njIkGzf/uDqykYDCsSzHD7sZFOfpO7NX3jGfEnlxmVkxqXtmoRR +IBM4CpsOzeChkI0bW1KNhd/sN8RyGD3MZgRzn/HCo7oCjC3Ru0nxWJcdLvLOGmfSxg1z2O6+OEHq +QTo0fwpfl3U2Hs3fpENholL98zp1GsAkrNLx7CLFousB4qKn65b0B78K6Ys5n8kkxHcGKytvG/Hm +3dRX1k7IfZO0//+MdVb2arTvAyNDX7RELbjwYWLIZpAMbTzkFV5yhZZ+d3TA7AFwUZ3Ynzwk7xLE +AEJvzpfUxyQwc/3hh73eTzInknkNteQtrB9JN6K1t2oirrYH+DaebwYoVLNcB0iZ+R0ECA8zeeQ7 +ZYQKBiieFyzuuXeAp/PLQNJDclqvenNKiyaxPRx9wJX4WvGgMr0x0I+Ivy55hKbXfs8eFS9KIAYa +oSGqKanx22u+ONZ9AoI0AdzqlYqBnyK+iipew19Mv3roLLa2xFYNYqF4/aviIH7OdxSIBu3vF1BP +TbKWFqYNnC+FFmq9g6TB5RB8L++X4jqgKtMGdZNK8dzC+nLgqDTi9ekLnI9wppFsm5iMCkDC9e1t +LwcCcRIH5WysPMq44mqp3OszOs0mjBbAE4JguYGZ2UcvB6vaPnLswwbUkcmtqJIwtuLs9nslwHwp +aAP2k2naTeObO4i8yNuHqaqa2Jyxm2lxgIYgSH6Hrxgo2Idz/Vi8oYBEUgLYuU3+3drTMXkzQk43 +8NMnuPzOgdmNHphEZqYDfrM7BaHP1WO/oMlP7YXXHhKy/78REwV7eVP4yL+YVeFuMOYQR/iXjA+i +elg7diqNoEIPX7Jh2abz69nAY2wx0mcerEtt2Yv4WbvSb18QaYN0gfzTczx8cQVONcaj/nuq81vf +sNhX/EQ/Pqji5mObXmqr0lDuS3ekVrMqrX95fJiJ3LjnEXE3fux04vjfZgPYAJxV6k8Ao7T/mleY +w7Hfw79xqoGRtnI0j0C8DPHmN9UF0JooS+xSMcTKuNqQC6XP8T3TJNLpepBHYiEthm7bx2qWwg/r +OMlfx40WaZwjvJFk1fQ9EHYGlFJT90kEwzMtHGLuFbGE/IwFRoqr/EY2lwS2DlfAmSkbdsQvR3/g +QDrfvRYtlbENeFr2pT4u7q7WeiVX0qwtvKT1y1urbB37pROHj5o4l91Au6tFQLn3quvWyLbKAovd +MKNX/994B8O8WfLfO1jAUSf4tVtU6yT39kgXvEHjykCHrHHtud2npP208bSz+emUgy165IHXdFnF +bYPtWHJHB2A8Milh7m3ncYUzmYWM26Bo0bje26h/J5Qw7Re1dpgu5N6kOZ17ud869bnNs1p69Ogz +sGE44RNtl1LHWpWeYmtForQjIByNUzkMM+QFL8A8LhMfZwC45z6Nk6FX6biCNM8Io0NjEamjI/S1 +WPOlo6UqeBfHxVsxShy0N8z1DPeAKLTfUinQiKJX5+im5zZBMKShJbZh4S/S4Zq3EQq3tz3INyys +1+1MNr5jmH1XRiwcQ8/AA0jzlDBRHrn4Dd2GqCXrkSjNQ2vJ7uQKtIeeRUNDB5NNmW3OFe1zOV3q ++lrN9N6ozDopvyb+e8D6j6ZYk6mUaen3O5O1KNQEi/18wvFw1Q2xi3h7k7KTtBWg9ye3mSY3nz0t +4v4RGJ5Ej8TxuaxxdPI1YpC7JlFcn98TXp8xiC2BsRtJD3I6pp8FTdRd5seiZEUGFoQoufJTawnR +pEnziIqu8CDAgwVhI9DHea9xexfn741JUBptk42ujBT0IYruQ4vMQDeelArwRfZbwnwN7H/nfe3b +rRkUjzrdUp+xGnqZpe7NYzEwj06Nsa4Ex8XlelNxGIpKQCFtoVjE1yX76YCfUd35aOV6YzE7Xyfs +8e++yejLNAZV/TJWSAskv5BxrpMHRGYs/DyKfwmKuz0z6iXsdPEXheUCHXWxdn+sBxLt5bJcsQmy +75xN0vx3OVpL8tFpqZf9eet1wOqsaJyNObTDV0rRUD+YQlXl0I35/IM5gOpQFRD5wNaol6Z4dfZ1 +Kga1wlaypF2orodeyC0Q2LEWVi76YOE7J5L3yT0532eQinQ+Tb4fqS6FopYj/8zKZL35Cedyx6Eo +FIZvExkEIyyuEJhcQB+6sFDcGKu81FOZtMEuxCarYRuAM6S5EmLn/z+/hY91EhrRXm9rUcVQqaMv +HzyK+3fvzCKoZ78OkVc+S4CQvVF7lbvak837eDEXv7R18kNa32OnJfQAK4y5wAPxIDQ2YlNlVH1e +qWbFH5LxCtCMMweB2qv0Z/ES4IRbboQqmaECUJnypT7divh5tLXAFMBOboYA5ceFrpgPkxfNxF6T +pWCtl/I4Beu00rkNPvWUqiy6nbqd0baMvCyspi0mmegEDV7uDUgOdnnSDkLUokZsix+JrEER0V5M +k5cD8j95RKhhgQOmNYCenohNHFqsGLGmBGDweAEQgMO1oR/oHOoByELKL3eq04ihyfut+/udOccv +v41pRJOzMMaqbpTY2DhVFSD4HgL7icidxSxECTS6hP3O+O1sOCQBpHeJ0w6rkvsuZC1qvZ6jxanr +OLPhQkWPIhWroxlhqzhTI1Io9DgdfFt4ph4uYC5ZtR2UM5a8zG3Qz/9wA7PZ0w8mvSGnL5psOFIQ +KdVdBAEDKaXhfL0PXKudHYLiiXWTrAU8i6i2mRNt4+NeJpy5aiYv9Pe07ZSHrQxQXZrwXUty8WP4 +h9e+RKlzc4b0woYvboIMzn+buGHrHwtBaCDwE4zJ4EVvUMtLOdMdHAbtgN9DkiM5yoSiN6LJVVTG +hc6VIX4VXlpXfTEshtGok+UqqBTOUfmTyiRU9UeHZ76hkyyoxLceAMNtrmlK9DbMrB5v/WhPoeH7 +uxocYoeRiQ9uPcLeQnIvrh25t2hV9VA7VlLl9i4gzh28cgPBg7Zf4OQQfrYkCyjJjrJ0eC9AIH5k +aKL9sf/Oo4SbSXe0w096v7VK4pIvR2VF//aWNUPcW2eYKrVnqbga3pD919ebjitQP2wgFXN0sCiT +NfufWxJpJiAZlbm5qeXZy2wTUrK66SHRtj3/WAf4GiH/QqT4QZ41ev2lXe/Alp89PTwFuGrFApIs +aGjdWIiCl9Adjmc/TPMUaSsXBDIVga/ULOieriIQ9x4DkaKXCKD0UFbKP2ZBouWt1ZMA0mb/3TPg +/4mnBSbMV/tEcUGVZzE9XHi73IZqfHnpkIx58++CRd0TH2F4tpdI/PkkD9BSTvQd+CNzkYC6mPks +baJqffKN21PZTpZk4R5nCat2iBLFFcDB57cyzPcjwPLC74Gp1irMtL2kfboVR2mU9vjsEJV2cDpw +wCW93q4iU4FP+PRHEfCFSii2DekBFnpHbeuS22XNHSeC9zVtkHrUDm4RIlYzdwZ/itqLdv5St3UO +sJ1az6s07pv7ENRj9SehmY815pWh3gY0wCAxKSVBml8aGY6HvHjjQ6VRzG/TOAZVxu2DutZg1YK7 +BOjRk/bF8XUPRJUU/psLnytAGyBX0srdE8EqQEMKBe/JgsJuqz45y3Ce0mpAe+78teTnvFPdBAMI +FY9/7TfAZ9Tg1OOy4Y36Z10eCMhGckwzSpKEknO7xTkO4k5bPpuaUmcqwYWfBhPBbo29i7lpX+Yj +VyyJJaMhaRPVQMAvgLQ2YWnyhHIeFbo7AjGkoQ7jqGyoY5so4e2w/Dyu9KOW45N15DeXYPrWU5Rx +Ry6qkx3nMHKiJqDdRck96QflpjuApHI/BDYD9aSnYyXcgaH4R8eLzLB7mMljPCSrMYio/GBjWEXX +z9aWqoJffZCBqSoP0PZoIfccK4mF/pyQnwpZJepv2Ix3tPjW98hdhT79bEGdDQK8do/0tML48Vmg +Aol8Aqj+jXRGGVudCNCNdyirM2odcZEdtDiuzWf7kCfS9hZYXL7moLmM1PZHwXn3o6+YmbTS/iXS +OwDrlXCQemk7AHJlRVPubmzq5XlTM5B0gWhrlbRIlG7MAbBvW0BhpghJEcctfOXo3ao/g46kWST6 +zCSc1Hqzt8aL8PLd7DKTGUU4OxQ9O1xOjk2zve21+iDe6CMFJOmJ70ZbBHm/yZdfaHA5BqqfBSel +fH1UrlFwKfgiKHhMgJo+poPpPwhatdqdJxlUd4AyCTCpFQvdhnOlD3s2KQqbraAjBoVP9Z/nANeG +IBn9Cq1ihtKeHhyFes50qxgGeWv+Un47+f4ClEsOlBDQOouTcbWWVMprHqV62jiZw0zFe2oOCHiV +1yu2DzYJGbme0ZsZFaqyRwuJ8GYQo22ZQ4F5nr5rhUMY5qEg0uddl6fLbhYlcVQs7hYF8sUrN6Rg +3pFjeGMcCiamI/LR7fIWoCBEFpfjnEdqstjY7CNPMiX7yQZkQWSJUctjH02KFHiQBec+DcDv3flM +MeQwqR+io+H7IUfjWCo2J/P+9pvn8QbKOU15l59KP67aY2HESjwGsy96VBNklKqsnIekixqj60Gi +C69/mYbHBpU0AXSwmO/902d1NGBO7/2NgjFpaYOWG0yCJvzRXhVx6/HNE4bPKkwJq6JzLoqpANRF +WWvkqMwZco1rpKqJKYhkXzMfTjv8vFqZRdSnNCbcigz7k99KQjUHgmE4PJ/iZUARrIV0Ajvr1mEU +f5C3WR0jFHXsGQp0PG0WRoBCKM9soNzYOK36CkpTCzjCpEFDBgfx/cXtsVPBuqUTcdcvsojcwTNY +ro5SVHUhG9OMiEGDgcws6rlEaU/7Z7inaCsPov5EYtRewQN90dQpgtP0qUeTQrCmztk0scBRCi/O +DEvZ+zWehGfI3jxwSmEwrf0BTbl2imxIjOUzuyQjy88m3Mn15N1wxECLn2q8LgEZKPZYgdSZiW9k +BjPBD6LlZ0luMs3x/2Xh6CSMRDHrNh3z6Y6G5EL8XdpZKIjDosYPTij+a0og3vHXedeJx4MwcwkG +CFWZR9fnkZRBQdS4y/c8Sg75VKTtP+27lV4t/9gan+oBMmrIyBTHeAhVAK9kXw3Gm0ykase124aG +Eh25lZosRpUrAARFMe3jxVJBfRJEc8VPAA3uv5gt2M1swFYZt4gyntgcl8z5YRAUG9OB67VT5OKA +sGijD/pr2n6RrPMOZ1Yc7RdbKMmx+yE400Y8PBxhV75Aa4cTjUxtVDFHrgcmF/Vbtg0QvtfYzQWq +HlpTLq+X1+LdPipw2J/fF5QrzeMNOsTopUPUd+VqLRliDY4VE6fQzhzrROvVkERJ7U6meOa7GXOL +qVWTPIkUUGTomf16Ro0BdzcM73o1XqYpbf/cJkLKSDTdHtaUpHZ/KKathjFihWFWJepsXGvzoVfF +63rbavUyf891ySf7+XC4qOPJQUgxIpIA1ZupKmZT19N20/A4/keXLgqrcE1pYINNjmt8fKUCpkuI +OgaBIzSLqZN/HuXqJRlGtQ1a8drtNnU0yqNr76c1V0jdusuOnXGMsh22rg4xVaACKkxnslAFYhO/ +tl7sknYceCzzveYPEuKoYPVonhndm5fzKXyhEUBn+avzE1pRN4opOOFTqgUDp984CGMmc1kW++RO +TkrTpnw5VMD0G3xVcYfXGa3EbylDoyy7hQqZgsULsiKmwKIVDCPhMMV0AEFFYcf43FP/K+qEvFhD +Ik5ghC+K2FdLCTs+iv0GPADiOlCpi6TY+KWnGOEKrathann+5WJ9tvKZzNmzjc79Mr95lNDOEIct +Vls52/L4zt70E3fTUdJmoCuve4M32qIsJyjbIFpQuRNPiBKzBszBrkCV7Sy5KdZuw8NWA4xeZG8Y +gqCi9gc5guqARSs8DPEIfHo+KeBue2LtrCGGwFKFuh+SpwaEPqvej+Qv2qR6qyVYVYMsXLsRETIg +1174/X+uuY6R3c/IVPryImSwwbjsexDHXbnmytTfeQjEcqHbIUO64qZHQyKS01pDVNwegEtaZuwd +k7dDfK9musRvvWOtn6PX32RChwsLyidHMQ99y69wqDOiX/10GHGRERL5N/dkT7Fy+MEGOK32CoCf +e58hlt/ETbkLuLriuFV+RvWAWqBF7bSUApKkNJzJ+0Y1y4Dw6CtR4IUKVmsDr8t8IL8uKrZJq2Sy +BDjeKuRwPoSLc8IDQBBdX4v2JIU97hQe1iPXdOpfHXQG08P1Y1QOv9nIaLbwZN4SmUkc97SYa95q +ogNnuZvjaUU7WhCIL03oXX9R6oDmrhOk4SmDZ7A+4flVPYlGTwXNdqKPU7Os6bM2XtAjwfrzYNYF +hKLOyMeGV4lPW9dMznVzooCoOd95SkVERm8xnSAmQICq6ad/1FHTy4FKGeeQXe/F44k0BrPXM5FB +hi1hDGbyOKLwxmjDrdxgVdR/EMq5UJWJGEiZvBY/JqRyLvjSO7YPiY7bsZxHQYGbIeLmuyB69/eb +c514VZ1Xal35P4XJWXlJwdMqG28XiHjI3zU9vABk//GRa0NqrdhgXIzMcdWSmVeszjF4m7RmhLqT +x4wAF3A4fWItcSa4Z/ma+xtIkBx8uBQH0f8//5y6/t09qmTUeeKfoZPXuayfW7wdCjo/0ZDq5ldv +b9VGWWj3UkK1YSYvltPi4i61LVpBjU1yJopt/umwRnod/luzeE4jFcaZBDTonBkJJE7aBGB3wRHv ++SBlcwTL8vP9xc7JEi6E4UymXxpe4Mf0wZYRGqJPMo2pn2t3VVoh0xfBgyutNnDns140jVn1A0Pq +4BqxE72JGa3DfcxQ+j5rY+nhgFkDkAdT01JBHgImPnJZbIYZUPuxswLktno9fIUqsK2bPQeslyGh +BmtFuSMlmpPLCGAjieqwL0WaYD9tPolprF+ja3TsixqlN8x84EIMvNJTfQf9TsiFF0vgczG9Qlnl +JgxaRT84OHCdAo0PJ3C2qmEJKX8XTyLSm3IaD9iy/Z9AkJcje5Ed0FMex++c8OkL3wRZXCCpBIPZ +Ujz2Izer9QPlVlZ8FqjKMDhP84VwSQo5ns5kUO4/M5Iy23Ohp0urPx4qvNF6h38aUQA8+3Dy/ZSt +7qDDdU6E6FxzcTX7OerI9N/VqJvgtIAQyIM+QbG7qCUT/zlBclHgrcCMG17DYX432taDbQKarFww +mdS2kOBOEcmXolzvNOTf9efjT7gYpt2gBUllwTxgEm32GH7+kY8Lr4CdHC7gw3f103hzGB+/B0X4 ++pMjbVnqV60kS62kAWysIM/9M8cbnrhlIppmIvpa6Cs0kXMKT7WKK0OkvSMQsKeCiT3i9sWwhysv +2Y5RRMOmqHj8PIIKmq/YuZFMokoJqum/HxSy3L1+wqjDvtxLwtpAIY9bvhH39+/o/dGJNOMZILYL +EkoInE9sqLKypNHgNikMMxFnH98TVTZywyMz0Zcx70w5IU5HHVGi1MhMtlMfM6yGFrEuwkuMadwc +O0vTQLncIGMCRG+V6trTCiv3vMTO4H2ZfoU9iIse21iHAdHGs7Vg72vb8vwktUcIrfWYmUBdAP3K +rhQbNrixUZCllJnuQB1qfSrhRdznIeoIje6ibu48G7ME9qEUvwYDBOS3Yc7Oi3p4MeXXNpG8oJ6B +NSYUZoj6bNw7YwpPbyXV/q1LNceJywd07szKC5x6XYMtF7op4PwtuGjfGkNhh86g3FU+fcJwtMN9 +83jY2SPphdrZyTBIceX+Vjl0/pRfk2cglgOOCWFstW3FndE9LY0uQDhVyY5x8TyQBwzYd1/TndKp +GCqsYsSYiHXoaw8TvNHqkaWMy8kDrKWG/UYoXcVFlHiRlVcDHANhaFbLFp/QItVI+HaMVv5VHnn6 +qyF8+Z6frwl1iruxZoTl6yXrm6AvmO2PeFVBu3Sig5+8nHHT2oiaVPBsN+KJhCMZhYUUVfHREwAi +0HUtWze0ffQq8pR9925ptVRCfPohZCv7uQ/VYCRG84GDDUSWSrtvECCOBc5num1bCOYqN/USgJFE +Y6ffqjXoRdTWmMS75GH1Ulf8vpOZ9/Vi8Ezv6GsIilIZTmsHF0yv2SpR9LUeiYclgahNib39XS04 +q4Crt8lBUsFFHzCaVDcyZ7PoucHwlU+LNLkNe7YywTxkcKgJXLZ0MjJWzmrORSRSk8xMmux5xZG9 +julvGNPOQ4MObXrvnEqmAgVUNGbSaLXjAghG4oM0uITKKdqBPAzKlGzu6xxBVhEPRntegLp478XF +CUmmAV39hCVZGct5ihs1h+ivUnJgOyDY9kseScRSwGlzmj3TyC8NB/OQvoWO6iOvKim7h7vko8Ye +KDrX98jMM8h3KwR2TOZOTg36Z8iDxRZuLMs1chyvP1c/EC8SYNLK0joJPFiJEq9bsEdX7f1X2NYp +BdPvRBHjkNRLPE4JIaOem3TornRKEprcvvDMVcRx2aCefMr1uVH9Q2BeM9B8LjtlkfPAa4V0qw8n +t0Y/3qqvjmFOfeV3r0HMtd+JrmgkK7u3mCimvOVwUtLsADx5pTN+tnY0Lq7YZEyIutBpmQ750UOL +cbyxtZoU0J0UO0sR10w/8b9Fw0KdGgcoNwNAdgjZ2B2V+WTSOyKFrvu+Apd07PzFm5j7BHoTAP3b +9wQoMOMUxQAcN6xg/q5JRNvRGhlO6ydiuEvzXfnQ/cl6XX316axjFN7AJ+K2+vg40ucLUFWLa4vS +j3XAVzbJFyrZcA7v8da4VaAGNHClmWUOt57IadaXLeCyUSlZnm2SGlncDYkU/5R6ZZU1SCKSsg8z +ssHLA41ySLDRnRlvUYRC3KED5/LrBpmJM1s42UprjLAGPGO/liJhnjadjacR0sUVfdmJs5uDfPjK +wKZhv40LLfLW0QjjPxaZTvER5XyJeCBtDmmMT81ug/q0vyeZnM18xSytOTIskOLjCRyYnV45uRIz +jcrQ9co3cT7k+EjEzjLmC46g2bowql7U97opgT5oyTy4YPunF9d9n0w7NkrLI74FFam7CSSRYJe+ +a8vo0+f6Bx6pQjsRK2oU7oBQlq53H0/5p7HIvB3HWZpNE8wa9SeXOfCReFx3lpYe3jy/qtM2u9Fq +tJEJVPlW2lBGETbcYmY6NYFU22p6cvjqsLHLCQNX3MXkUjB+y4dqZKt0GsXBPM+IC+gpOwIK+EZk +PC9VP5SDXi9HzdcItNlePjILUNTyrGYR4U1QYkDPD3h4IHj7V8q/mMDL71ua/70DpdcuFea0jevU +8wGcLmcqDqY4exEhZbZ3MHZFkNE5Ihfb4EWaVOasP+zUSuJLALyhIJUWitb/cSqoaPcByGNIixnA +W63mcq0wWh0aMPLw/jCjcM7/4S10dprDmbXsdx8GMBUc4ComA+xMNamr8Bg/kHtBxVih/HDwIxUw +FtuyAJrPlkbCveFy4amDtGPz/Or0F489E65orKV1o3krBYxOJrwNShCrbtlaeEdLNNZCH8gBgXqL +dd5CNuZiRv8TtLhDa6ampW+3XVznGYGOzK/lIUA2xKf4pS0lLHkbDiU8kB0tyJw9WkfogEoEqnu9 +lySYxrBiMVeVs6zh45TGana4ycy66nN90AwH0YfvoGhLtFq1jiPteqD2o60Sp+EjGSK1hlqfTW8L +DI+Y3Ub0BMI2An2pOx2qmDtuxGO50jiNd4DofpfsZrzDU5VdnoDCjTTEZe/KIdSoWaFRzgqsU79Q +f6RuBesctOIxv+exMfg4xqoK2jPjwZ6E09wsRN3ggqGGRpNxc0BMt7onYA8jMPuL1XUArOtl/P4h +8NsL6NHV+Vp8McWMQL98FsxSujYp8/jN5xzJKpfh5j7yexTbQo44meR3p1o6RFwllOxwGfNrV1LU +kiXTOAp+7AtcOR3c24WGQw4oV5qfpuu/5skA4fXpuZZ9XXWlrEufsR03cwqmCF+1DPguyOCYlPL/ +HDbal1N0s0rpa43BqeOMY7MVTnjOZeLjMSrkNmeqfzCMBhQ7ekeFsSyTsksqTQQOKxwLbKFvkrnQ +EcgFmjvCaU0WDPR6z4Os8sK6MlKNa6gVMeHpcRGE4SpNg+h7wiXlbHWQN+KASpczwsmpwhYO+Fwt +Bm7HlX8cV3KkYwSvSSOi9N71vGRmOkU6F6bEScZxih33wne4xvR3ERMioigX20tw1vYC+H24HdJ+ +SSegUlO3cRKvg3eTiAgMv7UAvvu/SgvTQnpaUiDVjvAIX1J9zVY4eCTJKy9zMcrk+NULNxmB2P45 +A62dQexx55P+HM7FNG/Xsq+Dge7EMgDrCBQfNk39yqf9LnupxsNmNIi67RVU1w0oEBoyby4jWrbQ +6TEBB2w49BgM0wPZWCSpMFCI3nd7YEa7oZM3bOyiBHCnJh6HyJtzA8/Wdi75IhFH/IUOsM9rY+Xu +oFnHeW0Lf84lvruPOCeS51lZnoasfHx/EtNMfhAsr5c/B045zrMR8WVo0SIyhI3l/DhAHw8mJro6 +ZhPY75oKHsSiAvPUJeBBBO2o4Ouf/eKtSHnz9iNEWIIh8amlaFJHjYn1MiJD3Ra/+kEIXSe2UI9B +hzp/2Z8g4DSF/A/+eTi+PerxyWB4I58BjRlT9QqKS3zqxTpXlV8LUfbxen16DoVfmR1AawVs8Kg2 +AQovC1aH32qJewlh39hel5uQmq5ZJgaw074svBMnMsHUQWnvqcUb6KMA0WMEVq6wIQ8opZJJ+dOL +fSj98qRxLo+bXHSRSWtGqIzeR7EnOa+npkND3NpzLE1Biy7ey9zEFt2bofCSqCkU0uX8fKOv+Rdt +tvnwgJvPzT6U0aDZp9wNQwBj/dpe1YNYyk5C0uQ4+SBXqRKdUsqXkUQkM0ewjn2ao6Zl/1j2xgNq +NOJfHyCouMVjoqVwbIjzuvpRz1JIwbt8Don3VrVHbdgIe31X0vTEASLyZOR/0E7M+i2GJfdF2HAj +dCYKa8JZ3gLl72LmgOYYpWauGl5FrifIbc7ObpmN/qG5qQdZAtsuSAF7Y75US2xKsXbQwmNrvqJt +KRhFOs2o61FY3zwgMW5SS+X3hoGmaOlluwD2tlLTam/AjzV7/erK2T8BbrW0KtqGVqdb8xK7YolG +YqDGIS0gItzqH+xe8tO5JlvYHqqUgxSC/zZw3d5K5gzL5/jETEDgSepamjiVx/jxqKySF9yOn9Md +zeI+XNjYacngnf7pjsGWh9Jl+PR2xLwKxCQtPZAbfqAFdlT2Akd7VvwXswGxYZOSrRIMckzW6Etl +HmWpU8mpYwHsw958FR5BAo0fxcqkdqOBTmkS+scgE+oXJ3d+yTgAVEI2fEztthLS02mFpmO5FSzG ++zt0IuUsqKh7MjlhVlJxTyPjr65Huny9710wmLV2cqEZr9hn51GS1YYa7CkxwizlAIWc3BRs+zuF +rVbkRDz4aOJQFHdyoJz97a/2SX8wEVh4+4qXzzEvg6bc2zR3lVNXPilszqQIuA8PyF0TvzRQ160Z ++8+jIEc7wTLFAC8hhTLCLFcW/AIYNPse9MXiYiGlhUtaMmLSA1KizCkhgppTJiOA5VNRaeWCWQ3l +Aa88iF0hAQhcwEb91n9SQQKZq8mYLUatJZ2EeXdaQ5Ll5PHNjB29oUDm//ZXBsiJlvKUwpbthGAW +OcNwvznLrntoW9WJLP8NBs0wJXF2z7EiL6rjpaKQBrWTPqsV/uv5tfKgoBwp5rsyPiAtubjA8C3F +ABoR9CQx5wSsMFsDS0YyAyEVC/oyjG4S29gyIwyF68NzUDSG+r5VpbynCSbjt0h+CFbnrZaOzHjS +ln7CRhGoXR5c8B65Sdv3EI6KCB8MI+0SGjxhuZjW0dGYjnLukAx9VFfhzx9dQ6TD0DdeeHzqp+KU +AsQf9CemxhIbAjmxJemNcusCE2BQBEkFeF4qDrUclgE80CMkyCO+JU2jh9uQ1D/ZWevD4wPlEsMi +QYU1tR1QG6aFKOh5nZT5a9uscCIFsxyMkVFwIBOQRmwT/InK2rtggOhXyCso9GJm6SWEeew26lZT +vwhZzeU5SFqNNbksHkwLWDnks1nqrCOEGuDRWBrNrZrXtZUWdGiJHIr4DA7Sg/QNcfoe5L9FmwoH +phVqG6wR8MZFOh9TwHoaJ8N1y4h2MNlVF9qxTgH6jMIapZfbfPY1ruhWZynfRtDxWG/QiuVxlEAM +D8pM/eaRTXaTTQATMDvLzOKMfFHkncxs8jOOfO8rxFgA3H4zuMFSygI3LuxaIxawpBl1T81E5m5t +CeaE4/eOPLEQ0KBAgNvLWc8QXrLawRBfO/OIgYfPmGjEkcaWs9J7mLBdf5c2wVq3Q9VLlGYuawiT +LdrbpZ0MyPNoPKOWM4A5/iHsOqahYDC0X/FrZZOZduAX1mc1Dxckud3sZeyCGoOhWbXRRJ8mw1hS +rikjXDjBcxbSoZeZN6MlQQ6wbuSnrkdD6AhauOKK9VJhcf9PFjHR8dc7YRPbQR6HFhc15l3+Ri/R +Gee8AA1AMg1Tjfl6NKi6V8u6iAdTUi50Hfn5T2aGpCniMsiGbttgW4neHNx8b6DQt5eIKKk4LtCa +UdR6+TCCYfAo4Vij8qBvuvV11dxHr0aZQuLRoguLJZkLASHSqwTdw/2xWple9W6FRUQS7vkPAMtv +ZmeCMqpteMT5jL6uonfqGkAq+3S41G9pkvH/bgXpqUB5lCVjDCezvsubMx5KQrqpGd//iaMOBLYm +D6RWTsGTBI5decDMeWbpX+r3Qhi/sjPkdUVwnLAVVUyi63DV71suakAOQHxOsXZ6pEnpxfpvTnh0 +dySuD9WhXfKS3qLEqsYVxtJFPzn6YcMyXe/2rChKQuzp3vmHxeLcAva8rWiAyIzcqveJ8naKfEHz +8LWZd4KFg4UrE4KxC8SjT51TSVtel5Pp99tS/xfu0YRVjvD8fPKkxSeCxpJJyKMt9n4I+7zzOVq3 +fRZIqhL5hEgiXTetddOkXA04iRQOvDP9qp4hYahITNxhFZg/50DSrp20TRon5Lo8vKugGcDeZit/ +QfNsnS/ATWNqSp9i+8V6I0+Q+feqa9VlGuXXtfZWasE9MoMXbckHh/UST7VpooOhreP7ioTx5vlc +rYFQ6/zYcWRRvnvCH6COOwLJbLWZ6tTYrhcNHpzRHPTGxAG7c4oCjQwUZuEbru80GL72ev2Ki0hq +wZgOUy5YGqk4mYpQYDdwFb3FrWQoeukiXXQ1WQbRH1tJc1yLaMEe6hJ/ZzuCp8nbIx16splX1Cp1 +ycqPIqd20OZ5mpsoGI6PrjV7Clzh64Mmpj7KAYpQcp0gFQAaRbGo1rifeG3Hq6YYdqOmA98VIbL3 +McmTHG0xnY7NNCIwD69OFNyAnKrBBtdvuNepWNpbpHs88pC+d6QhEjB5hz5LVgmkiPc4WcB5NvcP +1iK72chqMLqmZE9dzBtBrB+lfbvaYUyg1BbzJMux1yf28RZ3r1mdDdTUHiTAdswwQjzvy0OBlfWo +QKh7K6z2kPx3glXnmcaHBWVgmpD/Z8z3/G7wVPgW/R0h/3IhfYVHUawAMduyIJvYeAkhfJ7t5R+E +xvOTNmXtlhpnB0ZdvcnlEoqI6wSuLGzc0xM67gx2C/oh7eEyxLgZYBaIi89lf1zIeOr0zvMyVsVP +3mjppeqoQ/VjHKgI7cj7JIocNtsN4SzGx2d3hLExEMJxpLbzzEInEalZpXfUYnhmos+yhoy+Ahsr +gaUUShJLfHlTCUmh8YaPVtmeXWVXHDldRY7cMlgqNzU4p+QXrCwVRGgf/4TE4XgsiyBFhMH4leQW +jDVK6t/SIso324Yo2//FAg2oOqOqsCEjmfk7jYcN7j/UQTbyHrR6I9j/XSK3fJ9FM2uZpiydYUry +oiSiEzmDAEETyNH5xLCsZAascMUWq4oIqQp72heEawmAhQhbPslKLDjw/PFAWblsHNKgkh4Xd7gB +/1RlbZWlxnV9gDp9MBF1TUNoxErd0eYtiQU0WD+wAwTB8Lv9/ABxHud/eYqYxf5k+hEiOHUUWhQ2 ++x5uO4bZb5p+91gflqalhksQmBVoUdOUvjsoSoQFZ4IrT2Ek6qnDJ7D5IfXOI4jxEDmICDeOT2d2 +vWBJGmGdkDwcTVna815rPq78GPN6qRKTiJXtQypfcshIZ+VGEdqCV7sFzdUW+hiC+Gtz01AT+03A +dmh20SYr4OldSmoZhGxDDCo/seYBX8M7j+cWwkq8ERucYTVDBxaAddIyfoLiUSouPoWHmu02slv1 +NPNHOUImn0RtLzdFMLcIzjpo6tNWgIhTymlzFy/8PGUiiUEQ1ylG95oLi7IisoNP/6x2k4Rfc82l +1qERy/uqIQvtb6v5+BNbC2R7ey1IUSjF0WdFEIn/EAkQdTYlnYbzTE2KNm9QxiLk9DfEDr6HgK5x +BvPtAF1qVNkGKIkF49WDTvzqvr/lQ659ARpK+vumA2/qmB+M2HDPrYzD5SHfQLB1A4gMKTppppvG +zs/KnK5pb29G0pexLxa067DQQ6kyvOHb4ZVZD1o2nL2ohfxY74k4/dE4z6Q6wCaI4YK2ymoKX2Iz +RJ/OTnP6liqPLDrwqNWhOoOYxkuTOWndk30Jog54FJpAWfSc8+REHK3WA3i6h4V4dK2/ODZ2cgI8 +oDEkKAHQsBZrshjFHYZlcovDR4nxg19B+VJw5n3eG7Gf0/s/bZJFP+u85LBnDR2Pe2MuTevW8TFS +GwTog9Q8GRiHEOFLzjQsrxO6vveWscqY7GH+SnRkYDulGdsi8rfe5o1u7C8P1/G9cubpNNbKzVtY +3yTGwpJM48qHlSig0cmg4IXu/JSBQUEU4lkHEPUQF9O95ueQmoQZ44rfhzC0NimdupUv695VDcpY +QZRum/0DBLvTaRtd1QTSj2eLQL/njshGM9dZ9aRHq93ug1hPrs0fBqHr+gVWXI/hLb2zlCU80p7/ +CofpwK7s6nFvf4H7Gzj5YvCihL/Id/rhHf9tIV3TTBmGrx7N9b7MBS9XKKoAIivu2P/2TBM0a7B2 +tVHScrdFzckuC4pOGlg6Qg85drUW5+DIycDRyzFf7jKySRL11Hx7q+NaJC4mwquGuZC5B6k06AJz ++dt9bavgjSIQMWIfl4HOkDZGZGPf4UXUc1JxOYKd0/iSRDSmRAlqE5JAXrsWOQn4bwBymrEckBjq +Mim/z6LWNbNxN62EDjnLxTN9WHCvzf45dPm9f+8PTHPcdPXUK3CmCfWlKjwkwpnm+hFJ+A0taEQX +LVytllgB5RE7rJm0gMOFxUkyz/LvqmRnTpf58vzX9CFvJmmVY/NBvgGXbMvce1rcLEAvhkQvOsD2 +Zkzs2AXw7Q9YOZJiZyT2KOD4WRJmscO+1EQu0Abjr2NurIj4iZqQqiVc9a8lJBfIqfus3OT0sajL +hbZ1NF8dE94ItKt0BtlZv0uK/irPf/kEStmc6eKp4B1m1NUf2YMcsG6MC7B4fdMeyle56QAniEic +qc+KWWuNv/mRZTawzDm6gmaz+RSOQhqe8ZDElMtClSXu6X1gIU+ga4G4xTMKttxlGtTjORwMZgvm +/Xtm+xx7cnsowodOYpM8gL6Zl2wsr9NNniSNrulfblbmyd7wmUaQKM4wNrMlmFvqk2gRwdqc+CLI +Iua5n4Hq4OxcMT3rI+/uo43jddj530NoHETVMKE7fmqci44WEtaet7RxV/CsrxMjFjLFpHw2iTIa +OZ0msfKvCnhu+HT0H4dhRnmNgbeUKQCaedeNxqOR4b9xWKAI3jooqjqMOKhSVLpmfi/L4i/iY/dK +/mYaNrmmrvoZZoWutoLL5/jHWvnIdE5+wZR8AxByeG19RzGVd3rLQgwgsvzPXhITB2g0Xa/EV96D +IORBYEFHqm7QqWL/8c6+KTjOh/P4uVM8s3xPp0MaWDMEm3DmC8qBNDwDFdIy15Vhb3paCrXPtsDP +EPNA9W8GU4leiM+9u07Y6D2unoWqsA++yTkMXuflQD5/HWrOBMdmkMZZgsvUZ82BSHC6KEBtEh5O +SVJa3kqMygANQ90yhaE5EwNc94obfmMRvmoxLn6yHC/XJdG8zCrafDEktE1wxWYIJBd0+Ah85s+6 +YO453CxpLHDZs8rBN9U+T0cyZe8iLkilHxrVF9X2Du5t+QWcwlZLjJ45FQU908Bupl+Sp5lOaKYK +mKxJbAAMjsdcGuOIaE8JdhAHc2lUMfnf3Y0dv3QbiH9mwn9YctT3+K2PeWkzuhz98zeucoCP3SQW +RtfTE7RQqZjLHsXTRL8iMICipMX8iFnr9JBLjGETeQXdmF+WSKp6WXMNKR86tBfY8uU+vcFnZ5/K +gTU+k9MlLswBCQFUvgCyZJ4oPGnmQFX6GvLn9Kc5uviQJ/M0VBocFD4kmXHwX92ViMQiw2EsyfGr +DU7JIVa23Xi7URyrUhsWQGu1a4BelJz3cLnG+1uhJaAvAaYShFTN/RAcXeadZORQwnIo1OzI+RbH +DG0G3E1vlfZ+Sq52xQpkAaGx98p1l3WtB1DOMW2zsFffeyTNncKHjamyTODlhzTe0QqlNl08Y7VU +Rbvy2iMDk9pGJUtz+F7m9BoFTqpd5XZFK04EI/WORrXMVKoqwp/eNUYQUozVCV3oyK2Dz2DeZMll +fnyvIOtIExUemhR6B8vZV+3qYarTsViChOAe23bcCza6RAAO8anWFJhc2MCoiJ2UjnmQnd+sgtGi +ZVbRQmGBntH8yfqSPUltg3sw37k8MaG29hYyIf2t9PYiVEhBvVT90iGjXknw0bvic3sFam9KEfyw +6QkFfsEkeHIIXDKkw+AtVnt0K5l/3Yx/GaRJEkz6Xi3ExiH2SMWGRfN+fuomkbFglYj/fG8BI7Yw +CSx59oCHEhfsKQ5hWJ/Wi2hbW1205R9g2din/F6KMt+Dlze8hR/fxkpYz5gbyWeLTbh4nrp1LoAT +oSfMiGtuuuwPrwggN0JjicmK+JznsWoE5jmAV7++hVQKOISv+hegmza56P6OE+TIGRHErYip51Ot +217oUp2JOLylwPwS+xMyPbCOkM+uLbyWIFLwny6OR/HbjQcukCHYIveP20rN3G5OtF0T7zReUPq4 +FmRsAlvzwp93sU9c2W09Bv3JWbr5f8lFYsqMUGAmdJhR+8472I5LaOpeZcQ8XCJZU25sV0Hc046A ++Zfd9coM5e/iGBatgMct6G3cCcSO9aSo/8WNT3XZrfCZT79iTjI/5elsaQsuLGPU3AnuqHm3fUJX +8oOJnqC7oyTBdUl5cLZasKmOEsS0PE3kLf8Kr/S7GoNkEdMD1KSdGNjBIVhJZpysPpVzRC1rqhMm +5hKrEZvoh5yzqE95tYtfEsll+QVzPJ5MsFwfqMcm7SMiYB75Hi6pl9FP4DR5Mz2f2WFeIKYJt6L5 +FrxCVnC5JrV1hq+qhOwPAnGCecQpKBp2kCToLQBYwfASBR8glxhiJVUMx8s6viglGk5KKdffz7nS +kFQO49L25bsga7kTvP82vNpXHf7tUcbA60BwxnWfikqeXkSV/Mh+Jo0PPYMzZM88BQCb3sGZQt2w +mju+DYhRs7IEh/FJLNRdEZGFDMSh1JpLGWETjxqTqvO/jj9awso1ID++i/OUsuBe2ICF7F/qQg1K +jk0oiU2z5tZ/v3kYkzTrxRBtAqxFQqRiHXwmRgjDy+6rL96Ot5l+DKTwKdbhCRHOvQfULgzv32WQ +nLKhXp4T89TDE6a5rc0paZ9x2yIilkr1NDOlpKFKIoZFrayoSGinma4z9fNSgpHB0zozhjYwXzEY +fVz7v2A1B3H0IXG019issS+mcnzpYnEHtqfOXpW1LGQEnlokGJfIcibcWZuZBxHpYzfJC7Eh2U+h +66mSfoRWxdY2t3DrryaJgPFqqUUdj65SofaVL5TJac7VvtYGjK6NwmH0EUPxTIX6rfiLgFuvRpiE +GWfJLW8VP8DhqKuema7vnoXfSmxjhULqXQl0mV43TyQIZvEow8nudJY2+UsF2dJEWme0pVAkt2hi +Qo5iYTjtn9afv7SbfeiMi44XoNoycA1tZGDheO8bdmuNsBGluAkWAuQJkqGbGcWjrnXbGL8tPHpq +YknWBmaJA6zZ8zq4z3pSiVgslhpGqa1slaOXvh+88/Sk3JVZBpGaccgRJ3w0el4vTLFT3ICasCbK +CInOirUsL4KCOZzsVo7EROzgs+HkyilmgxJfjD1dEYsTvhpzr78eZhaFFGvCm7dgq5D3mPbg6Npg +EfvQDhkq/g9R/vI6g21TNOvj5XY/cBQTzs7NKflPGZmgSAJXL+9OgsCVKNRQGPMXbwncbICdvhyE +r1MKn8Yx/5olYyryYQ2wbPb/8N+zvBCgjfkkPFjBmuFqZo9OzjeDV9xNO++sAOKUWdEdlh4RxIdP +f0aFFjDywlb6DD6zIRO1qD141T30Dq3bMSIaqFEVuiK2bZvTuH2+80P9uova4kd/aig6KMlEEwvE +DGWnT1o3sogPN5dq+8x0ArMIezuR6dnwu445FtAlAx/v5vWiBY/KD2i5dCvfRuWU9nrcHXjLm3JB +A+Qg30js6zMbx/aE6/ONRuOJXd3w8i5oUs7wcAC88JwwDLVEzKPhbM6yWO5xeun1t2va/ZztXmY/ +oeYcsIgrM6lhSMp9hYJcYYn2V4CzzK6K91WlZG92ucmBBDSYtKWALrrM7pYDiz5r7Z2MeNo8G8P2 +9Mc8OFjPYqwh/yWknCMoS3LqBAxZOKLbbzDfZv54UXV+em1HCZmKOTnupa2F2GtmfOMh8mkuGt5e +uu1YuoqxyaJYxdFjuner+pIzjsJlGIp66CsnvFNhk5z9yZjGOm0MV2QFUFAFfzr8Z76WWuJR7wRj +w/Tfmz/Set8cwTASE7gTpm5lv7mEChXgUOJ28yIce7QW+kGWuEtTqlWldaCKkS5ZBRIEDO8PkSGZ +BE2nEUvItZfBsxXUXr0CEaHBY2L8r10ylnHPX5F5Kki00xD3gnwpx5WULALV9iofMGK14rS9RGdU +TV/78+0LELlmLPZSN+1ljSNPeLgpv8+aokkl64BxG5XbO23jz5km9WHnX46iPSzf+hiw+LD7/lBk +Q4IKQKMh3hYSwNsUnPGq3z4muBeNYR8AhOHYSMvmiOGz8B9mzY39DjTVEOgLm1gtpsvo0f47KakQ +hlsDXOHvF12y6kZGZzhXU+4xTl33WYRSsoKni3Sr8lo7J7CblkRL8rFFdr8NsXusFIZ1/wy8u5Fb +6XZMMjt0OeUXXF4JEXjxLIb8bXDQK2SXorJyZ1r+xwmWkOTRkS9dA6zY6hOcNVL0vJth0chdpRFm +OdUg8BZ3PMXmCVOntB31f/qF1QB4vy0WvlXasz2MTRmwt+tfA3JNDuxoZlKKlA/XrtbS7kS0JhR4 +h3KkPSEvSceBW9PCu7MbK2Ukv1L6gx4KshtTaRU4m7+8o97eR7WKkOKoPim3A0N9/zARiYFvcLd4 +UHtx5XUPGvEVceq5MgrwttxcNcUcenEpTCZRMP2Aq/0mpIqHCNvwcmC8E5+jxl6urjEOKP50PqiJ +gMI25hjiOpOf/0hep/USSLpd7X/mvcn3Y3FoOGZMBtbURfXOr1nrjcfdSr/+MkOGg8avyBawzWjj +iO4wg2xBvbJCrlxxmY/9nUigIRxTm3hXc8MrCBkjT8/CIx48/LIkltKvDulKPHrHWrcWuzKXJ9mY +Xji6siU3kcsMS1xMJxXyOUDqMOsUmzp+KUGiYuPxztLDdFPMF/XltTUUtdpsONZiej9pT3HfKYwn +MAO1TuHKTlQpX0SkI9NNlvD/0volXaCBe6jgmwnXxcgMZcXG7aoWInjySdPcx6wwBqvhzWpA97Yu +vxucJoZbcNQh8H6uqYeMhXSc+tkOcYybA0zzVAemgbddGuhU4OntSUpdzefudLKex02Cb/coBFkC +fcIombHpRgNd9K7ckzPq56BUz/azXDc3y5avO4XYTkcHPSzJPDXpHYrz4CAV2Y5HIa642o0nnsPf +pGOvxxApR4t6pH6NsmQqe/23yV34gHr5NLVVWvuiBMZi38gb4gjWFK7ECIXd5SpGey3NdbVN7TlX +5lCSEf1FLb9rtOOzaq4NP2LS3tfgLULgC8vFCjdbYKmf6egSxW68Zn74/jovk46fGCOmhPLrEsZ1 +NZCgVpYAO0BVCw9uvjsjGkamOw9uyYmp4PZBJDcEEo6kOj31TOpGVZFwhDjmK1evl1enSxh4lvIg +pba+UJicjvQILTEpWB5vLiC6GYYK1XJCCmT3aYb84OAovA6lMdak7J3WUneQEixEPep4Uon8BILI +oKKDpEz74YSr2mV65WxWom2f/QWnAV5QM7gFRP+8h6/LQVklelAbl5EuoRFCX+nloXt0Mde4pBef +pimMMDZy41JsSbF3odXWOmgOwK4asuRCbYT4LRhmhPGRPhgEjIMqB+I5QJsqrwHiMRJR56YG4wrD +VF2b6vM1MeQjvq/XD6wIbpj2sj7/uDG8gGYniU2+nSRr3TLiWM/S1kauXYAPI93HGExldn4K1Ids +bjbaqbWNHxnVa+ER8/p4S3sIkgraWdG8WwZQjOWwFdSca/iKOAYbtTA9pUiF1gcLcm9NMVrWqIG4 +mlMUN0ceaGEbegjhfjz3aCqSm6x8k+F9P7OdGLM1uOB+nNeTw9A8W1zOxVt1V3RfVKoldks0rrdg +J0AKflGk9OnzIe2UmrVCcgQlqRUCN+RGUVkm242U1DKkGmgfEzMoZMnn6lW9yaNPaf5h5jfYdKVg ++NOaDmmpg1QgOT8bbbuRtwe5d6UGLSFHeHrI8ggn0Esnvnv7hUpLFuzekPjw8l3YdORHAzreEG1T +v2sI4Uzemfv4CrOnhJ9RdWIW2fIztIuAvOpHT6xLMZnOXSSFED97k/Vngjuq3Ll3Ad+7yKaOgSpE +2wPwp9iIT7Z+SeXXm4LV7YWvTyfLfbILJIGMrfFC8eRGx7TtxS5rHNSmSouGSc6M7ZDdy8DjRhZe +yVETx2V9RnmfO7CjF1u6aWUlQk2/lgAlHkG0kal6jLDjlajYMDCqHGASnMIdXY5OsBhQ+K87dmbS +ahxW4G7RMfa/7fiACLlDUyqKdjOszLAYvWmrizcFq/S8ZVcEtr5Vfj8jtK+z4FNikX/jiRl/tRbb +2pSnGXgwa1GhU6RV+pevclmYR7cwbJ4F/HYjFOaPlQa9RPpPs+frq3nuAqTS67KvIo78pYJviGmJ +HeoGckxB+owtTG6FUOIphjBaCtlKHA+VvHF5JBQ1AfnVmsjba13RqG0hvVDRB+QWVf3if7LZShHH +mzmTaji9wDqfoQk19GhS0muU5Ity95kv79wsgbqsJ7LyejkZNSfOkGnCrnPdURgSSrnNiOuLRNbT +WFr2peo7nTfXbWB8WbhBBTl289XAkc741s7E56rm92Tt060zOO8hY1yIyOdoP8cGKwI33G3+9M4c +jpJx3gnZhPeKlH5djgNBbh5kVWm1Hq8siU3HBeRzGL645w5mWzIqmK0qiniZV1JmyAVs59fp0Ps0 +TL8TEcZTcXXciOC2Dn0ElErL1qPupYarJtX4aNkuy+nnIWWBC/GGNj8JibO3+s6MRA3gbrqNi4Aa +z6E0iWNI1jWoD2O1XCI35HSIfLqF99NUQPC1KhI725M8C52xAuoybKloxKF42TClzlNhkTM0QBqk +QDmf1bdPVyA+it+wabDzx3cQ1zzOgCofnW1DgH41umKaWyDQN2z5rnCb0M1QEce4sKOY/4lbZC+2 +CynmjKC/pfJo/XTOh5XV2GCVOtOs42f6BCr4JSzFNciAa6YUzx1SkLPbt1HQrPxktvJWkenoI/61 +LByS27YPiNQoKiYMw/5i7VoldRpOfMNMDi8LyAudUyrqmDd3hQIXymGaVboWVegHA9Sh9CBQO07Q +IZeU9fR400duzGcezhwmE5UycBHzUhzHnRL1EZERftHjeSGkRPQ7tlgGWHWTnScM6KpfriymOpng +2EaRSDhj3GuUbzGQHde6SXEvK4s6ssVqtw9qZWUXpM7lXYP0stXee72dCG4WB93f4eEkiYGMtgGs +nVm7xmhYs/tDpR/j9V6lCn6uvPkDlbnn7CZxWPpWtEK+tnYznCQ94OUZVXEn3mSEPx4Dl8Ax49/T +clyxgI2qxhxc1aoOl5WDGxlXC54RMCvi555ggXgx6UIJqaikLleP67A13oZTQt+JFI4bzk7M4EBf +4c90YHyzCeNVhTCR24TTpwVpUJkP26Mc1+vIO7KFGdLta5Oxp9DLlNTqJOUyd/CHlOfDLG/sWWLO +Dyj7qiEI1BLpDsWiI/dZmNh/Klk9BZxqXjbD1/pFc0sSoAvsDCvfUT71fEf836aOPu9rDD7CrXbA +f4ibYFifD92szYBxiBGM4eYTrcirImAB/dFxjnjeVYX6D6/9mKzOty6ITInX8J4VmmvA/tRBQoZl +c65Dt6CVdRHrWX5nKff8XPZYmkjtpmCMcPWiDA4E20Ul3ZmvWjGwq3prHF2hOeiPNABT+hwW7BP6 +M+6WyL67NB8WzzfoFW/XmZAoPufp48Aq5RZCMwiIq4PRuZIHVP+IX5TXmkAZj8r9wQI+U1e7xNCn +M/akxlSYDVq3NxSohmOFPKZknDKL7IMKGHaG6X+WpKLJF9Z/z3Lk+BIGKhQuyFy09minX1mn7wQZ +TSagYYLjEkPlPwRFTOdvw/HxZpOEiRlQS73u2cIgEDwvzQlooLAPZU+F0XNrOOi0AUNbQ3Wz7I3Y +Ro383WuZd3bHse54sjPtbPG1uhz88on6MfF+Q8DcrxnDTmG7yZIhlTmCfQBhlo8du9xgzPkL8cRB ++fBMfuS88aS4xnLNnIg8JLzhoqc0dPLSI4HDGjDwmsBRm54Cc6vDNhlwxozNPrkE6l53Sk2gZLfT +U+sZDfnOJpEzM1+VGuhmWnYdJo/5ahez+nxM8+IweVJn3HftMTJCt0uSttuqCTyLXUtWF4KXzSMm +X6bjVM20N3Jysv4KsKkwFYbyuqYmydWpaSSXeBONnDHsDFIIFe9fdZ0cioouaEOswG2jo3MCuAzY +qVKTBZOEa8yRM6igjsm068l3KCiraFpToU73E4EqYRhCwzSRQoo69VZlGwYYFVlnNwIYOR+2tZ2H +cokqmRGsnAn1bePVay6C7LA4ASjK7paeYcmnh5STBWF4cqxQb5tVnm2DNl2FpCbVUgRXnPYKcz0i +uMTJdR9BOTCR7TuCdHy47g/UQBPgaZgZtG9hBjQuqPGKdDVOkEG/4gUvSL+YKPd4Zj31lKJx9RaU +w4egnC4Xytwyae4vSd2KfK66Jtx8NMylNH5M68zeiy8i4/0us0XzLAikc426bAOQHYEeq5T1eL+J +enrdoOILa3Pc6IS9Y5F7F0xro/MAbSuWg6q1ilZ4JeqmEjE9w/e9Sx7iRGLSXJdoNXbTjIjwqpYJ ++vxSaZC7+HS/o50b3MLpVexwu1dWiokmJXZ8SLEbW00cCwK46YswB9T3E4QIJZifa28eKUybiTVi +MQqM13gC36FclkCVfWarRING6I/od1XkbMqLJWUSt1e19HJtPnFQM0h/36DtNSlc1Orl7uEeyJyD +k/9W7Sk1C4MVP5aFJsA0389L2mjbtyp9nv97LgMjNm0B26HJ0sKi80cJm6E/gPNrt6qPSHpLCu1R +iV8eqLeZ1vIhf4b6j/TLWbpT50F1TJ6stmoaTJig/hTS+jY+Gwedxkn/12tzIBsgBTofcIzb3klG +GxMka4GNZ8Fn6yoGZIG57e4yfwXb/B/fXg+PJRJtCDjXK1R9RtHcJJf4CYkahEKAsaZqUjpmwHfd +fwCniYMb9r7732K7PdDtNN8dSZAwZgWZUoGaL6u5FHpIyGX+1tbdtxpDNMa/x49kMp8CKDiop3LU +EdM4fQbKrqGY8AMRVxA7EPRmdz/eJGjnWMKwaHxJAh2kKPfq9AJkVu+3PaVBkUkRn/NcRZKoxMH2 +g9aKucp6LzH8YTMAeO7Y9KhdI3+pj0JtLOR5L4+Y0Svj8GwNHbN0TUK/Z4Ii2A5KioJiJXFFo1zt +exADAe8rrzHClSgTp31dnYr0kd7DjyXfBLLZtkOXJiR0ts6oo/0EzB8c93dIwzXEyd/Nh8Gw1v+U +Fh05pF807w3iX84SOV7XTrGyH1i+4KSsSOW7tQiWwvRYYy9XitSyd5VMDJp3DRR4e+n9w2atc47S +KGbZtAbABxYxLksOg3QR0o13fE67CITs/9xJzSNnFqADC+jQB2DbkBEloXmgIbU3AySJFCUqKalJ +XjVsqmJjv+i6GP2lUdEZ0cZYr6ImbPkJUY4shivScCsbbEXSKCPy/MO9JceuuTrhPa+OR92/UXbR +m0pNGYWnytNuWVyJfi7P7aa4RS3Fj/KWPJKfAf8smw/vrO9M5HYeRC8N0Mdajy284oT/OXVzLqm1 +X+QwjzUVvharnKgGmnWTsK/X2WOTtWJf+Tpp8nbCZc/Vn7WYbPYAXW0Qb1M5eesFQk8xOerZJGXS +6PV5j8HUehU4toT27itSZfr6X8Carhh3KAUUTKCr7lnBQk6Z9MwZ6u1DYU+mW7nZDYLtoyaBx/Go +ZrZ79l6edIZVm5MtcvigPey/mMeUSofq2WQ49ndwI33zY7vSni+feBcMTqvHZeH3VuE6OfMOhx05 +gppzzH92Z7ypJ3EYJyzDdS+2y9Gy4Ru18lJDEnB5kNh4L696C3bUR/Dc+mRIwmCUvVPfrqyeyOEf +jN+/jYkgReF0Nqh9407b1Rhy55UbQfK50ZgN/9DFKM5uVJ8KKWhpoEdQs+6Kto5zcBP2uyogM4tH +4VGllJCt+IZkTUCX0b/d0u90SbfRVdWyBAgCnpPVB8aOP/NB4sEMJJfv3geJomG2NObI2MxKkZqd +gzE7o18Lqh10/GOTwNE9qtqarfgIfhkNF7I67zi3FPbRDlYZTwK9GtZ4u00/GKnlVdW4kYWEAA5L +1X+rz+tSSC61s8uJBk/aTy0JL/D6M96sV84pUS0jLQyzsTQxpwvFRDDLby6eFLFep08EwrddF1R+ +HGHaffXy+6EiBIjYneFxo2H9XDgIDL1edLBzzB4bagoTM0H19CfYfOGXXm/4C+aR7odRvwuxYwZP +CxIg5P7nBz5rVL4QTVOSB9jR8Z+Fa91qJoIyZ4xVsFMFO42ewBY9mT/7f5frxTQugLcoTy5zptxV +rCBsneCHdpDWgjdSlEBT6QPlufLx/FsJyTJ9kZLzSlz4W2VI52F5SVPm9f4oRW75IaHQyYiIyA2S +WyDiOw/FpuXg9DtUxMC4kPhIT1JjJ1/sdy1JsRI4DNBodQU0+hR576ltFsi43+0Zy3/2lIKBp2J5 +Z0/luKoIn/vpo0OkB/m6PyAkoJ/kxRvPfyDED3pw95cnldIUJDlJnmXGQ3pORsdTrOXUkucgmD7E +yvIWTnR8pZS9mHZ3zGSM5n6KHxpu7ZKEXgwWS6vPjnzCgMFc6ObqPSdM0JutYuLkzD+kE7rtygOC +ySEXXA0UbLLUG9d16JvIWZ4lZLWMLH6dmFWi8pwxCHiEA2qcPH2cvIeEHnW95jB0fufp61CM039b +i6lb2tRlpYB3w7xXC1uDYg1uoOulF5gI2vrklRbXP6EkcYSrMcHntOTu/9Y37nLO1nCuqU/kbsrB +XFHTGG/0JLEWU7x/LOLVrfEH4JXOBJDS91ejV3HY5giXhK3OP2+6Tm6AqhSo3IKBmtSxfRYKUFCq +dwzoBxiWwEarG6V7AtfbjJPQkxWaAh8KjpEnTFT1UjeMgBs90xx4P+epnyDkZ/y+ziC1XCyM1LGq +B9D5XAuCRncka2hdHfLMc7YWAwC9pC2PLwajHiBeW1V9YaW7hIZVYVWpUDmkR3I2TYf7K4a6mV45 +jyrJt5XNI4VxGtn8E25wOoutOd6Vio6RhqHwctPTW5hip1uMALlqAsJzeze0PDCvqTgEe/8W8v8R +4ae+m+8LjGkovCKYS9Mo0FZ+58SfDvgiHp7uxYRRU66Ouykm+AWOFccjmOVaoX0FHFi5YD4/ClP7 +UG5/cZbPYK5xFjAM3L1ccmGrpa3KkWkJk1bRRAd1crq+Km+djZ83X4rCmv3p4aW05EpSvID9H0PF +/9kXxO9EqSTAF9eKjDN72G7fJ7u+cHzhhqb+7LuwxRBzF7w7O1bXVaMQEjFcRtpXE7LtYnf0lr35 +ysRful4Q3DVbWIkXOwzWJnhZuADs7V0juTMf/R8HmH+Z+VYjBn/VpkOwYdx1BzGTEKV6Td7zxEqF +Cq4GFySgwhu56lm+B3EG6KDtWxMHboA60roya158Ad/h9VEPuZSjKDMp4lGHrJHhrZa/kjYS8lFv +9oD3hQ6QGpGAycAma1GWCafljusqQeX4yUjXTAWIMxvqjjD48j417UUTpcgSJTc7NUTtSmvTtyWA +ZL0vTOOq3A66meKR8zcpndtvzQSlcyv1++DgWCKwoQYGBlRQFDTs4cUdx2d9xYjul8RD2/YA0oWC +EbE51xxBhl2DoPY4lwc7/rJ2PGpQI2X5/RLWzPtJNCnSglH3YtVZ1HKCryjBGI9R1pHeX9+HzG18 +CX413aVkusZRpGLLbdd7dbpo+NAHKk/p81KFU210Ih2c17c3JqqT/jTLvGLFavI3g9zyHXotUz5r +4YiRE/VOIVxdoeJciEr2H3TSkjfHv+omoPXHzsJZVzE9/W/bD4GgPL028LjQyMBlChRlJqxXktGO +Me7QI0K35I65wY6wO+8YWOrxHmh8guwUea9ohEiL+boBUkSdvdrrzIh5EKxmfsoRmXwWZjx0IHfV +uVNG2RB36d+1hY33PyjkwIStWI3f84Du8SPQT8cTrSE1datGmzv3QAXxrL0I1c/CxSdZgzgsNwrM +Z9DFA+lQQV+wo3jkfVloUOQHkSXR+f3g6o7e/THmyLwsS02Z9oJIoqBt0gB6VUdGmMyNad6mrOFL +vmo7i3R6UdY/CvXS/xyIjfoc8LMFLiVRJ4M9c5mMtMS1nCWk70Zww8Pn8RYaQ1b91+Xg5WNK8Va1 +MTMDzWd1LD55wPOH5PKsaRaCfJ4z7xMClMi7GoDJZ2QJC2dltXgihC2pgL4blEpV1rf8LY7/ysWk +2Io5MURs9RXIFDuRGbzKQg1NrabqQYebay4GLKONeo9RTvg1lIuL3GOtKzBisH/9hrrtvt3929vD +0drBWN7dt05l1iFmnflFHMAeQ7+7dS17KG2mh4fkVoQvebZd3BVm+7DMSzqQHnjFjflCNfT7kOwE +mozujd0EF2zG874X3B69+TmWJ+2j2W7GRPYOTO1oYdSF+boT+NUXW0h/jzsP3CjBX5u+bKbJaB8j +vEJB1t4TeTbNrEwE2orQrwTJ23/qJGMRvIQa9PvnTRbuB5+c2ZDRlJ29eJp1GtxY9eGFpx0w5S6e +QjFd5fcVClUyUMx9MEi2dUp8VWPb24YS6Lti4z1rxOP3A6lZ9Czkw+WAaYft+1vF0swzRA7W5wtl +DehvQBgAHPz2mBe/9S6puwvxT6DwkW3jXd/hXkMK86vpbaUhs2q/kT1FaJKR5i8sdAgCP9woXcPW +cO2gUwK8xmwFC83Dx1UGTyz1yFlg5Ca4S0UjOA2Y3XbEMvWA8xMpZNa0CzPSGszRbWd2OGRxJga6 +rlH99QkBDcby7b2B7UX5z0R1wMDr34AuotWFkNTVEPOCiTla95snwOJxsNPkvvhP5vFNMP5TH3sF +bPPDmZ/TDEPK67NLqumA+ZC2GqdFsBsoZ67wL3lxmg41rFLUZub2Iki58i3WHDvKdH/LEiAlOujt +zZz3Y6SXRJ0L751jum9qIOQZ7+th8pSl5Kz6A5suNe5HEm18yDi4wS/pHpJSQeH1NkV5DPSA9pt5 +IoREk2OI/xoFu/Izc84sRoRt9tHtX9COvz1lpnZPeKHe1mSMY6DNJEjccQ4C959Ux2t4iseRxCY+ +XpzGETo+3v201LemQ76Mn85yyesjraLqMkKKHmlx+PhjeMa0Q7p/KIWEnnQzBuzO1waiRGXpgCYB +LqRCZbXCV3QhyqK8nEV94biI4rMkqzzQHmAlRsl3yeGf+7cXnzqQSrA2cF7iA+WFRHtNTmecs8sE +1GpQikDGxkAuVUi+5ajTupg2Ssfx+bLwyVXWx98p+9GcyxIhnZYqVOl59vIk5dvInQc/HSux2jD/ +xKbXZBUy07mVr/JFiRWyYhur1DznUyGOQMhyxgFpaxZWJ7yHQx8azZOLQMfKtsja/C+wiNgj+Ksh +4aAbeZfTdKTJKEF3YNhMOs9CSEyzfBjOPcqx80GXG4Awl9344wjuKShmOmudJiQu3KDRvvz16BBO +wS5TUZikcSrmyEPbL8psnf7Bt0XjZgt+rMRO6vTSz5qQZFaDSZ4BQb5nucjqlatxLt2R/QpfgZ2D +SUY9tALgt1XYjGPIvSglx3f2keoXh5qEvwwwPeSSLLG2rHqpufkG0Ly8dFCmFYTysHlsl83ZVRdx +oznfpr50PN0IFbHf4wuz/eYSp8Sgl+TSpFoCJnvtykjLbpnrObTjXKxea2F8M85eM4hCejBB5K9k +E5PbfjmO1RDGvheVv4J18ICH+mlA/G8bv+EW7PseCXULCMGhBDi4q0gRiEznmrHh5ApmR5fxluPi +dA5lCQOuNCpSKDsTndptCq5I3meEBq7irabHJGGxJUoqOX+bav/D+1Gpo4R9LlmdfbW4nHC/ooDc ++IdB2PT5PjV3Moep8OpPipLokJeeQxqYzCedB1mDxFxkH0cF8bTNU9LtkGl+rvfncs/lvvZz2Ijm +XFQM5Z9m27c+aBqIw6tf9DA80mTzPo555aEeWDqrpF4YXM4IQFRM/JGxq8FXDji/v0y53mcxQiXj +NpkQGY/IJpPd6eY8fE6gJsPkO8BHVlFHu1AUexJLdb0PcJFDrw/IkBpMJTG90Jns5/U6RxXmsXwo +YGklABKjQt985X6rBlGp/7lDE/PsZV6+OkKZfBmy0Rc8o8RTECat+fEJmD2uFuStkRdRQttXcmUu +QgN1BCmEZcnDqY9OiKUNuJd6ZSl9jPyavrFIChDNda/0LdZ8xNjJ6XjOaFIZHbAG2vobSSIQoOfl +aW3lho1vb292IYMsOgG9fdyFk3vNi3EzhKSwYMlSdwgKBy1lyUeAs0LP3PKFBTVsGhp8UI8HvcRF +CZWrvrdhkNGsXeppt/hUjwuPUgqE3o3PA2MTSAIsehetTJKg5iJ+7dt7LsfcJOUr+ZYnqy0KfmyB +DldaVhbv4bz+TaK4dYlJensl7Z4Ijoq8ezo2ukSKYZMDN/tjHBWI0o2bTl1YcpEiflRPQITl0qLn +uPBaGUypbhGUKME7PceWowf/aRDXd+7LKmg84uNVN5bL4v4WCJiN0pA3FY8v9MuK/BoXiNp8MObo +9BvpdJRKk6Tok44IK3XIB8Hcz7jbCwi+gFPYUmnr4qzIEPG1gKAmZLYnlDSEIZ3KdhRjs4QwCawe +TpxgFoPE8iWWa95VCrMAHHdMyd/wIIP7YSgsAK32003JbWZWC/CuvOAHjVfKhi55q/oLdrn5PR9b +gvsASgPuHIz9Zw+kPHoc/8PMwa2hg5DrLauhn0V5Oh+MHKJYiJgFqhUTAx//qmKY3u8ktUG/We4Y +9jvDZN4rgi7jGxeGl8d56hFC143dsHJ0Zz7/g1j/Rt9xZgYyibSFJ885x1Bn9Dqm9nucVPVvk9IE +N3DySVUbHyiUxC+6sbR88OZOucKIGvK+Tq6cPBCkWTgVfr3LOSz9vduZGhszi5xwmKFA7vOmFf9h +iJUQrhcVGm8AZTi8d+FMmnvcAIXW39XTizJz17ZcSfJYH0DYQLKpsRarNdqcda8C2IwKO9nc2XXz +4Cptd2EASKbzDuq92zX8kAD6xD8HmDjPORjEF0fPd3k0FbeJ8KtqsmB1JhHsKrNZZMy3QIJprxg8 +3dztQrqXrrifWAT7MsRldLQHiAkj7V2g5UfGLeK71RCP3fWXYsdQVUSW4ZfQOQRWXV2kkIpu3Dv6 ++pHGvPQ/z6MtrZMphbRcio1w+HQg8j5nxegVDOg6iEV5aD1YI38+M3ws/H0Xx11GJicSJqPEN8RJ +Y3ElZiKPXEazYbAGo7BJIkSAQAJ4W6lHSJcvoBm5JVYYGVZLWnc7fMMeEdj1U4wudOE9DquycF62 +OM/IpdsxszYyf2Sk1/kjjQzK7LJRE7dlxbzOmEa+Ex+AKqZfjj9f+xJIBCsk9f6Hnl7YrmSwWeRk +NFA2S973g9OWY3U73rQahmJZnVj06ox4oJV0ds4mMOJAbWZXLEcMKrXN6Pw7IcC28CKR3Mm+mjZF +08ot9Rxvj6BcbGxzsIhEGeTlDVGNjjqF6gZcPBnB60hBdofqYQrE8apSuHiexHW7I8vtzc9Ln6UE +os8TijuZhoZYK/XXaD8/UvIPo8GaZMFsIHM3A2JEsYX7pyYEj6nuVLPiocILkvNOxSl3SDNW241/ +Rsn/ZHQ0S3iYQ69BbQz1CBlu+Aw+FEIgCZYej0ryBmFQKfqQH4w9QHZepRpkPsvmmGLEBRH13Htb +mHLpJa6m5HhdOm4TUkC+KF7FeBTfCH16zWTNH6LBlYQa2cRLojc2X5n+MHJdIhUIcElBM8gCdCj1 +ZotP3n//066y9XG5b3IvdNhqxbarkx3YhWX8ChdSEYDEGGrBbNxlljvM4icPCZ+xMawVfxxP6dgB +9D7auXb2kZ5UEN52xazbGo0ddyN+kCWOyPfYyJLJ6uBUIsd9PjfbkOg0WXDUop3BO1hUv3jwM0Pk +RUpJGEo9oPHSRP74efFgWuy9zF08BtNVv0B8vgwwoqh/u2LzeKzTOOdc8rLyIpofIq4vEP3aN3UK +9wx5XlOXGd+4NWgZbtr+mN8P6bME1pxJx2onP5t9+u0R+ysNo75q2QOUYMN466C54bY/oMzRN0EI +ARCP7rSy1R62sqrIaALhtYZiU7SGNXGmeGTJouX4UtPeSoMZKOdhnCqNAhky6o2GywgOHANe5Pdr +evCrRk1cILze3VjUZXY8l2ZrD9K8lOdd4lvp04C4XCtFpNKy87uajQgC9fgtW0BJy0cEaSY88GXu +o2y3Y27sbYKQQz1pjZGyrqI7g/Ye4zgNTwX+LR2jM2nszOvlMPdJnjZh07h9xMugoRSye9R7sMDE +dq5+20gO18+7uCxKNpkfCTlVOjGb13fBJ46Rj6xAwo7eLQIRG5umfEncf7bldgikEXF+bt7chHay +GKsRScRjAxW6pGEnT3Ilmujy5QKdJ4x3QSrPfvB1oItk/UQDSM05xbuNJAK3JGtSnT1vj8AwUAaO +uvFY0K6W2t48o6P6EUuM7BCUUUrz/5pxGXOkQ+rm3VyqWZ2PRs9iL7DxWoPgWxgOpmAyBIRYhyOg +4D+Lb4mDoO3s56agXOsSdwZEDk8ll+BPn5NCh0YSqkjJt5clpM1aBKWFYtzbZooQLMXzfm5XJrFg +DxbTS6XMLy+r0pCtfdUqkm84hIbjtuLxTzBhlI1q+uVHPIaFlYlf608maE7a+S34YvS8dfP9Gl82 +0UvFle52iI52ZoaA286gBAUCKfJMXZ9jIfuPrFODWunAtb8Gp4384Hi+Rrxb8sBdCl0kE8yeQMl0 +5hcjzEIKC8NKM1JnBl8qwY5MH04a+xUBe/sEwBV5Wivn8k0nHxl8/Ci3z3vCAU903TqobE1mRPEE +OmY836vLkaki1te7rBRTmDfWnI+SFspNZTR6ZIYgym33XYyTeD2cxfmqeTmB703+1bqLiutiXrd6 +DzA5FqoARROGjM6AkC2y59vktlrq5ljxNKZCi5aIxEc7fXAlU2yHVzqfSMar5h/9S5FiuyvnaEsf ++YMC6Rlg7R3b5eF3ciqNSAxiSTgJrarCz91bRoDK8qzRTIqEvroG/bX/dgOWa9SP4VHLgiiT++nr +EOfNDvZxD5Xg3OUAD8k4sMV7HKCpVxkjeTs5I6w8/+aXs1YWg1HAsJaqOCl2ZJmxhoWnYhg5MUqL +Qek6MDk9VuCi8LUkm7AORzQYBQu9e8S/WCC3DAwgyKBtQtworWCki4rqblZllCii62hMPHY8HpsS +WKEeGx3QsUA+T3adOnuqADu6DOmk47yvU5172pK0hEC8kTUXVZpE0Oo8B1lxZeInXVEvDds9+/RG +BMS/vzOFN7kL9HIX/YbuLkdliVrgTI2V50zH8C0B4JZrycq8FJZK5SQ8mi3/6ANg5DcMfLc3gbDw +6Y8lu53vW0NS/Xx7KQ0iw8QHLVHLUxa83GQRZNSvt0iEL71NdyKCZC/Iok7hOtHAa07q0K06k4PD +DOV3Ti0EahqLteJ1C3FbOvQ7acR1TvIt0wmD6tNHMf3BsU3+G70dKggcoq81ngbCJyIs5LM21wCj +Oaju0UjDlZHxqoLPpMnfZP9AVQKWKiV2uwIFhS9tikIuQyw8IggKAzsGCyggoE2TdjUxntgL0A2q +3ucrdGX1nOnEN4wxRB7V/K3+9AKIha3kSmDR8gunxblP1hXOkFIpVFCOD6t1xtHX1F3N+LGKmg/n +il6Io5m49o78l91h1Hfp8zwXR/UNtWf71fIPMB4tBmZkwCh9/lrxqfIwIkEk+VDTzQ78cLFKmEN1 +XKmhkeBzcASQoOvZTA+VhT+W0yqQjCbdbXhiH9/Q8Ry3RZl0cyk8o8fUMZsp43QaC8fPfXLnDuSc +YsQlxkx/Kpk4Cv0seluDj6Z2U20w01mQ2VrCofw2JngI+ZMXxKivrYep7SG0fdOPpEa1oho3FhYc +CH26UBW1I+HiSCHGaouOwYE2uEXTFIOMZzw3A2Ya7y4ds8CwxzOBv57C73hKW2qoNwENNQWewgN3 +vMDvnIqKs4cLFEgBnAbVoxRM1/ASdtWzuSiGI6xTGFem9V8xedny8oBmsXbe2VGxEp1xrgp/Ji4x ++vKzf996JHcB4La0ZfFVYF+T9jkIMVb1SBdOBA3sXXBbCW8jETqhnrr13zSk/CQmZVMy7JNjPbaE +htv6QH22KedKZ7PvD0omq7+nL+cAuLEQLloBxIjOclCRDx2wFl53QG1Cchhm7LKkChlbOHnav10y +GlbIHIcFUtGtYObme4F9TrWI4gIBYoQMM8iYlhiBeirzl3b0wOd0GLWbgxGs0b31J3uYIe6WEAo5 +FuHU8PQvKEJRKutqHfKi45bckuBUzHhh05BzhcmIYXHGVv6lHkxnk8FGFCESGoJx6DV1L5jY+t4u +CmHCEplObepzSE7FACyD2X9TR2gb14Ux2elB+2pvP7WknodEbdTtDGBpKtHYXdWodxjO0LhB2BAq +tYZFvUCLoqVxg1coMbSHwufN/1K7x7nLOTbAUkjbilVEhgeDO7M9+pAIi9tkKMgl+99E1kXmOnia +QlHCnTT2m3EjpPfHrngI0Umm88xthKb3eQE70b+fR/eLZY3/oZ1YPrjSFro8ZodCi9/ZaJHPt2xS +HAS99RknqIXZ91eE4Q99NYxY8EdEARpEj2Mp4AzKGdW9CzQBcJS4yEIGLEjLW0rajRHm0nLlluM+ +WVRBHQUol0/i6pR5o0m13boeDYNDQyp+ta+1PJwN3T6wvxG4rQRIyos/3mhZ+pa9WXj3THmzzTaX +YzRM82coLGupfMDL7ALF0NKTPwN5Bz3dB5HN4evERgh+O1tsmotT4UzE98xKBWT78FTtiUueb0xv +hiNsIME3h3+ac07Zgy8+zakmj3+6s0CeAXa+5T/GwqSGnrw8GSBf46XhKCMmLWx1UiN3WbJaKCo2 +vc+jMFsAZij9EJfGVVQMDrVo/fmtEUcTb7cb4cFA5dcBoZfyV35KaKwgfY7+vdDXfZi4cgI0jnvQ +w9pJ38UcNM3i/ASOpfyWARMQS+tE+6fJr0zy4Etyk1+nRDJAacBrCtajcK+CVdbI56jfWdLN4NEf +R/Pgww91ttBkCz1L7OyQcV56RaNLDAVb6mSTPKjxpV+QsdQ4lngRU8R9ftfSYSTKl5ZpLESWJ+Hp +le8hxu0OmxzBEnL5dait2ZLdW95Oh6J3ewOCddC2A6tKX+5aUaHPblB8bFgIoiqIShwgTmShxT4J +fTpPF8Jlw0OZInJ8qGEH0gRFYIvCbH93bSkrAy3Z7nb7VMBou/zORIOM2aYH0S/0WN5T+R3oNoFi +yqJ/hSHfwovZ4HCTBnkEV9Y++CQp+jcHd9tt0fcNU/ggL/IGmrlknZ890coumWhp+DuNDy2BFK6C +G0v9/lw8M9Y8Q+BfvIicWf2+Ka0+qdma1M8pTqczVCcNKmsLzDD973biMWc/aDZnmSlW9QK3KZhO +hQhFSeejncpx60xDvjmI1HGkycvUJb2VdFjNhxGjBPoPvIFv9hf7eTd4BNBEyl0SQ5L0HEr79HX1 +ZhImnWrWdv/fOqTRZWtssAjncK2Nd1kTprv5a8qcHjPWSuWrLdkdFSZ6S4XB2vYYqp3OcPxG/yHx +uIyptUTxccOM8gy7pTwABBC/4m3Hwe37MtWA+PAOllazn9u9f06DoH9Y0k3ysT9dKLRaPnOZwfcl +UcirowFodKuG+Rn3036oEpslX93LA5Sk4g83AXg6f0Ayy/Ra+bw37xzK4N75q2xAfSxA056jXwri +IT5dlTXrPo2ktK9nYT1AltW0mITV+eWOorKoBDE1l1cCO/imQC+AGpnjEWbRkk18Ug5jIR1YokW4 +OLeCU4Ng2LR+rp+qxX3bh2b2YeFW8seV2n+4h/SkVPVbN7QXvL+wUKpMHl8O9FYaTr3Ble2e1Uu4 +FyZvTIW8qPrHI52dnq7jB9ZVSrBIjkMeOobWVLaNN/KpITbBU+n1bqMM6w8Bnoux+Q5yVkosLyJw +F1y7muNVsWmqfuMVJhmjTL86Pa1TXIhZJ5RrhVTbojjNnQAjTqYC9zz9MZIdzwYp+3WXwriUbkpv +LoS6QL8NfLosbRE1Pl9mqbYNlAHRZVO7DZpvKVDDBlQPrgJflo5oG6xYKjtugex2mRu/P+nXEm+C +L9VRsZL3h4qfzokvCTeUgW44aOUiclXwJ+cGeWl8o3C8AjRTOdOsyWB5bYVghzAlld4jUy5X+6Lb +ofyl00SDpqBe53S68RyA8+Huae+W/BVo9aVPpzeqgful1x+4yfeAYa3fBamltd26tfcmKhYVpVOw +l2N4mBlAfH3GkBTlCflvm6ydCfofawRZA1zLNLuZ7Skaefjr/0AtXBKh6BPxzAy7cxIa9+2GoX3f +EhulOf7/4DaIc8O5KjSks4DSaOK3o+wEeE2blp+Tb5pitJ9RhYySSbnJ+SDEMFDHhWKldguoWfq0 +o4WqdpbWwqqbLsbIwZayJsj4syiqVmAIBCwDT5asPQE/8zM6xM10fytDr79koP2qxMqKrr686Qud +7PTeLugNTPejJKsP4KBK7n9P5jSNuOUgOyr4rCHwiFMD4xfGVL9s9/+aLdSR4MsyEHZVGZnSqz2x +LPiEjRdcjpT64Wmga6JlvXYd7CzCVFDUuWuDP0SD3p7gAPFepAwhRIFRRCE29PSYwVJVadd9ltBj +bUUbQDQWW14HddyEuk3qD2TFkM5MxQUwsN9VX5AzTF3xEkJqBbl3DNHqw/RHkrEZbIhu8eEw4Wwk +N6wTkvoQ0t4Vlff6jNKW8KpoZEbpJQkSPB40drEu4yIQSOE+wYJqDHZTVCqOJIRJYq6Ar8aTxS/m +W+HM8RqA0NuG8AkOlqS2Sys7Wa7DNzSwvJpbUE2sgqPsYcw7oJ515ScBL/zIW6TvvLQbjjxL/KtL +KaBygo5defyTVLGUtIFgQ5j0eurfdMNWBWLUswcHIi1UVzF1DXfuTWyvMTqiBBt841Q3lnYciVPD +YVqbcPmP83wd8KHm3DGB9iACzPdXPNYM/+5cjqkbxOqzluRJ+zxz5Xq5qb5gG9VLbBYWNSocBdpp +Y1ydQteYKTsfNnsdb2ivxUg8s6Eue+JuZEzA2WlNKfER9nZ6sgt35WfCidjQ6P3abau7JIbrH+sq +9lP7g20hvlhCDApLrNvDe/y4GEaQd7oQuqR/+S17pprHAEn44h1B/yb1dLGdsQEXMbkIW3Q/R07C +WBLwLxCtC98xsQCSnmC9hBL9dkyME8sr3scYTGYz5bkkSZyI0Y/Xt1IHkgn+2satwZPELprzHDEI +so0DZJrZFug5eKptuwvbhxVMDH0qT9NTYNRtGaD9QyVXp1YX51Ut95dYUjMKRCEWkVR7tQN0V0RC +Q83SHqCq7ZzqKW6LbcNmM5enX+bKl77HjKRrbrfA5h7sot9sA0xENPBiNP8X7DDoLDpfB8U+YdkI +VId2yU3w3/J3JG2T67JU+gylpf5xGOYrFDQJU336ySWzjBAE4Gb+UXiAiJ4nQi+Eji/LAgSPoD4R +/grS9PzLUGQCBopA4iUJ2GS0Uo+8sXLAgz3b2+E0TRriMUd2R4RYMKk3h07y+i6Wk/amw/8eC3wW +PHrPTIHwwyJsXtzQJpMZ1vQBKbwEiZEKudcUAxfuc3BDcyJSCy2hXtHYrww1LYKB3J003MdoLzSv +p0DF6DQrq3nsbVHBAxZiEWKEcZxbU8oGCQxdIXx5jzaTmZrf2dHwaWOcssCrOD7XeGuGYX7Aw6zp +WrUWfAVX8BRX9Us1J8S80k8iSh6Ueev0d215aBqefw+kgy9Fku+kZKtby51maPJFQmzBSbaDtD1a +X+e+XnKnbZU6B+56PIgPNbD+GBpIaNRPaAMbEX0xd77hRB0qz8jKGjo1wKCt81jatElM58u9ZPO1 +ucvHkYWp9Y1q+ZVDCzdfNYpOpHYL64WbJhQTdEtGr+2bVpYAJx5iNQuCtQc9lMxkBNig6NuWYSNA +ogWbGPJtO5FFGi6TnV/oR6+dggLKY+ZxN95Ag0uYHvidP0VCDiektDV/10MzzzpIxlnxlafSuUQZ +h0labUMLAJL1pPzcRACu7WwFIlfg+PK2c+4SD5Pbazn7w2n1vkTPnFJ7c9OkU11VoBfDWK353PNZ +FAUbSqsYBw5SzHqHhTvuFC7lAbfGNZUspt9w28rdtiujJAJ8S59TOQSidtvz2VL75GjOqrMmfhek +axHwyBlovzTRjA6kqYEiGTR6W0VIa5ERn9yESSUfmr+Q6zQEMp3HPxfCHe0wZMtNUaagH9n6VqGl +HJDclGcNBVHttvqIfmqqhwGb4kiBcBvNORAo0qEhguUYmUMMJ48McM+MSh0vA6XSYOCeg2FBtduh +Av+wKV1kxCnE2hdLqDxB/8DBwsrVkM21Ln9XGESlIjapcaJkP34KO0vbn2yx7ji3NoX8RZJQfdOX +oMROLk5zCHmKgqqYcT8+tnCSUHZueFJi4PZgJ3z/9Xeu4mmZtskbcGLUDa85h+jSxAKtW6Jh3alc +7rnxA36rh9lCkXhr1DvCLClDDyaEtyBZKJW9tCUvCawU6WpLuVafqg4oSueyg0+Rb6Y4ai5f86gE +cnDO0hxqlJ/HVOYpzmP/WS0DqvWNH5eTFDsdkBCzRST+zr5qJ6ieos6hJ7hhaktDYpUv6n/LHvmR +29SVfjldvP7uxOtUtaUvvyHm5dEycDIIjsLV4IZBX1Zi+F35V6dV8EoahIc4UxTNmJPrmtN29i+5 +6SUZvi7MkQTvMG22iTbmXGdJcHBY5N+toowp3X4aoBnS3nahgKMU5X5B/jJ8OVc3oMaijtjrkF8R +7bZrEmN6nWrJvKhPg+Eq8x24rwKOs0UEqKXtb9ODbwLf6mNXpwrtYasiF/+x+z3Gmp84uf5vxmaX +Ivk6H+xC7SAYG3FeS9wbPskssOWa7wEuW8sF9rgC1gS8hO1YdRwU/hffJrrZZ6wZ3JUhkJhpT/6L +u5OLrwB8SZ1xFPIPTMBvfSzcxdfBvt96zCj+EJVtdktxwU8NERRiYAOyc+aodhQN/T7OOtQv9BWQ +ZACQf3vrS61eEYy0G3u/g+AuBLG8fh9gGy2RVJa1kLk9Yhj51JBnWSGy9cW1mHlXIhN7QaxkCpsw +PpXzv9geVA2/jqPExvrNGCmEZoCStfq1TqDYAXb3g/mcdUHZ5t9CKTK1KL1YFmgtNx+38Bp3lj1P +0z9fdaOl9VS7VHEh+QRaVWZSRJYI6KuAjCBUpMzhq86ON45BHYsuROgY/hNboN0UBBqBwDHKr7fo +xCv0vJ566ii3rGABEdSIym0BQHbUL5ARQwShIKNMQdzG8BF0O9N6ipsonULdWNxNmLC0buMZXogW +HOzS99giYz39bV4ZyimNwOhzShpLity/W8I8V1qsQgXd9Fi98ORUyKIOIDxDucO8KDwypHIcPlXy +od+o76vWWsXcN8c45h1DrKa9STXax4TA5iSGO98ZDU60v1VLFg+CZ8SIz5cY4so5D9xLqpQG30tm +/MZRktI3fJmT3DNPuELPGFPYDNBnpMXw/mG7FpASlghTqy9j2a6dvYywwfONEkZ3o6iN61KvUNtQ +3f44Ys/5XXTwWa3JNiHVF0IGLUD9ZhkGeNS+1xXelan0ZrYsElm9stxs2RZnw1reuJWm1A1jx9Qf +5QmIU5XpK9TRp0oMQS0ZdGPHZnFdmlVn0EBgRJXLAiYi2v3XrlnXpjhXg4c8jDskjhYmFSvASjkW +FqimAixwunLpHdyuBynxNvg0O28l1Vhb1NNZP6IOMmbLPH+kAllIvDFT06iuBBIgtuU62cwS0HaD +zI0BJV68R5qbaVSmdhGz7Qpe6SI1VAQZ9+kLRnWXxfKE8CaIl2iamBmhuZbuLC6RhkkOosICU/2F +60/NogEcwsdxkJ84dyaYEOIrlym0HIpiZPLfVibQVup7k82oLZDiMvQ2wvyptyUsJD4PjBCNyc6w +O4/aNm0btzenhOWIAAaih33EW/pWl7N4yEtr65r4q9JZCoMDkAZD6YgNk+xi+f5xeDRejoErK96v +4u25pBXPBk1MMyqDWwq3e2/dZ935xF7l2kjoL0aZmPmMopqaLrnnX9ai6z3MKoVduRV//9fYWO1h +v/9VLwjioCB9k8WOT+CYpcPhmgALW7gFDIhIB/sE7PidZK1kwvgkWx8JAGpacU2aezKTjUaVc7nB +plpPMErPX7mEMUB/7koDHnziNUcGlU0Ku5lJtRnqvKu3MFM4f9N7Xpbs2GXNjZNpyBeT1q7IPmXl +80Z5gTKP6adqQf0KoOYvPF6eHLYhJLI87/mkZ4TxFsJRfZJPyP6F/7HIOeAutdY4iNgiTuQcoiHV +u40pS8mVqI3La0ayPTfW3rxoV6Cf8TJwIHileQElT3zgpMF9gHYXsHsukU1Lm2dI1Dk6bQWFyiT5 +shn43d6iOCVc8fOVXmYfzHadA6x0i41RFIsyFR0LwhFhEiioGU34ul4+Bf1SEE7SghE68jwbfetu +aJmLlc1i3KA7VxAW9h2a/rRq+jCgJmJN98/jSsD0WYIOg5pvby0d983C2dDgJk0Wi29eDNUJpIiH +yvJxK6ccQImurXlJkY3bj9vnKg18JHA6lPU2nZ8Qbim+r3IN9jYG2EOpw3nx9MGnabzxgD+hRiJg +z4hjfVpqoom2DBOSoVWZaxg5Uko7KpYFK7CwTAbSAqss4idlqs4QtWOOaunu44Un64UviDyHC51E +R3p0Jla4r5CWxq1ms7ZSVFn7XWd9ioZNCVSzUdHoB7GU4Jf65MwQ7CTUj9f6xZPb//kfRptP+03A +zXJsHB0U1HKyOkGdueLt+fn9O/HlWE5xdSHPEL96rmNLcaEzTSMxrkLyG6oonKSCezRHNAuKCLo7 +/a0UigoTimQ+AkF4Qn6iJlbp4y+DljNs7KHmMvxT6616LbTeUpqKc11Gma6QVnfpuhrVZnurNL5w +d+kKQkkRYkuTSK2keo9bDh4psN02m8uHF9tHM42MosIclx4HJP34JDysKFk+4iUDMazCZJqBjojW +b0WYBQeWMpuIc9YzI7/3G34N4C1hujQUngICCJorntkab8pQWfDc6xvxLF7+fpeqEDJYo7+0I7vU +Br3WcJ9CpSrYDRXAQFCE4ZGhqQpstBN876uH5FoCs6Yvs1Haorq2ulrkwuE808uCJe2YPo+Gsnct +fHLzAhaLsXx46Acc4YARe4ZHJleo3nI1oSX4IvhotgBpFaSYW5ANqXcy1vLmyzcEsWKE3hi/r0Zg +DNyGNPTniNjh017z0UsqQ/+oXL1BF/GYjEZ35CWtCFs+V/zen5wITGr0AxunZ5MdrCBGFeRuVMuq +h6pSGn+pz9VE8EsJcyYep2H2+HuqhqEHQIar0PG1N/zGX8zlcjubKwlz3FGEFwQ7TQou1VZsnYTK +ICEzAtZoqq5jv67wsD1J/jK574L17fS48bXAzD4ZvTGQohhSTdELT5aD8pIa36+1T81pssjHlhw2 +lVV5SphSWlXK6yr7Mr3C0dKmPaQ/0pPytM2oenQRalNQi6rf/3+QXKRfTFgi6xq1REpy8JF8t2Rf +/ha5QS02hm9jjqTPftMVkNPXicc2F6414Dk1fM9ssyV68vsjh29xMmS9UbQF2JhApaVLxBhcZvbK +rWIf1wjebsR9autDQ51Om4RVByaanAaLY5hjcV+IhtUHO3/1C0uZlg7igGnYP2WITEuvhIb87crW +O5XNDkhYuKqNAqMvWVpPV49Bfj5MtE4SxegpShsuxoomN/TuLU4RorD7H66Jlv57fk3ZLZeGzATO +nKsgFvKe1hKBaydnqVQ1As/2vrPB0U6Js2XKw2wfLR7lcZ0CCz/N3LzEZvv0Oy+RWPGWVZhw6dbB +5qFBwa0VXTvN0GO1c5knAXOmoctttLGjOiiqQXueaWsFUOIUGUlitHa3DZdqvWjOmyahaTIXHEQV +//Oz0Uj4ele/4r0g5LBMa5DkWii9lbPVuGjYo0t2u5WO41nontzNdSh0RSJQ5gAwcG0vVbGEzqe3 +EGUXryZreZkBfky3M4NGbSaE2XZsVtfWJYgTUVoeIJKyH9VhdoXAZqyyJtQOm5vY/zodzWFqh/v7 +VnUzayVcVaw+qF9bDfIqhMZcOt5TFnO/1drV0pjOYyOnzGMxU8HXJWRDsIKO+cMY8gmbC1B6UArI +DpBWKAqYSyE7araInYCbY/QwMCRxVR1HC9MtAKRiWZl2ojDqw7HaV0yyhrEEbmzB6DpWPLwx2s+0 +3Ik/wPlTzNR7BCGJk+MHdPuH6EHpFYSxiGdJMNDGh8H+8DtyEa1epk5GUA9IQXfIJOzIkx02GuZN +LxO031OCCdeaIf2WgJY26UMBzIhT92EupNzYamSAoUPmr+/8XY9tDXoE5DJS/z5oI+qbMSPRZsPE +kRLb5Ab25G8kyrz7XLP/KKha1wT+REig112x7EPxqGT7p2KBWuBuRos0a1jK2y8fp2LiNy/bllWm +DLLTqBV9XBzit0/nuHUkgvBrWMMy0S7WYU2iVIupBO1K2CLgKa/TaFaDpHUgKEk0oypu39XLRrKA +L8pjKvuTYHV5SloR13TutSTnUdqqaXwJVbwHV0xcsfrUnLVjvOhek3qDk/w2V5HuPRU+fAg/A86y +0art1sYTIqZJM4D+ebULCkJvIGR9voY4dKWmBz4bfgwZL5Y8kE4eyIflIbbxQyYpnmiC05+/eCYv +XYcG8dH5U0Dp8rmChRXk7KtO5QO9b0eK6jH6iwytS8BWWzRi6A2CKnZUEaP1ussfqFKEzOi//mya +oTW2H196D5avIWZqoSOw5oq/4jZRZKAWAYHsu1dpSx3JrnkWH8y6WfwW/IZZP8gbos1jF/vp4BBm +jFawL3aF7lEPPbcpBCM6AHrLpOYpaQXcDi9uZMxP0FxkP/qX1f0bv5gJ9sLDei5eWrZItHcPENUp +Rf21gNCz2oFhzUPnJxXQ2o9XBtCnFlUO0SNBTCAsm9yky7MU4tWW6lkUfjZXLbI89YqTR283tLud +yVzkWc5Z5j08LRqZxHFFsvyyp2r28AMrZ1Q3wmpBrYfAsNy+RArF9FQiHcT00bjHY4l2GHAka7pk +tpTJxE0pE3nEPRacWGQQ0pSjdOpAL/BKrjykEt+z9jXgsiOj2A/EOalNErytUd3rdN+CST18q9Em +Qas4QCICorC2I2q6RRCM3QrWDFP7E7NUOlvWYoQBrQapHXZJctKWyBJs4WkIw3DKou0ZY0s5BBlK +ewU6ssDIawn1dUAIvf3krdJTs/Ktvblnu/xn8b+/cSEjnxIVuqxgPrVfXP9RYRxYU8ppb0//oEZ8 +aqBnajpySN61IMmpg+JgAjRPnv8Z6UOk1vBow3zQOHvta/vZVSYyDm29h4xoZRMhfcGwHDEcA3/v +UwmxKNokC+SxhRtp/vPAJhzN5ZS0GUW4rvpDatJGEWd7NGBfzQ3rqYkZt4LRbAKLRMujjfJ2w/+1 +3qdAL98wt1Xdy8feTuV54DbXu2M/ONvCL5pFTWU5h19mlxEoRIFkD5mk3LHvNj2CTpeGqH7Tr/bP +VyZfSddUCEBmhdlJE5q5ThGb3MxYc7/OeCkZphSpvatHSw2JgUNfegeS0rs3qRwynve6drVjwfKw +CTL1mG79x4KOS1dPrrBAf4/cKuxMbejoRS1JIO8X7hl2LXySrqoQwpkrOQodyzwJpjWCMMLgioY9 +UmOxsr+QqRvI9p/9u/ltCuirQDCmf63Fs2yYZrjFe+0FWGuZ/E3UZNLTUJ1bjZqempTJ3p3l2br/ +neDT4OD1+6MSesPJqpGhdjYEt/eJEoXVk7+pLcFqftsiGsXAOnzKWvfl6x7QETMq++KxPUbi2wnp +jZ605MrEQqgYXhEE4Jo1GhjsRFgpwijISYqZ6fmVMWpDTanHoMOpgTkzfMoAQUqHyeD4aU2N1zf7 +KFfXkHDfSIJBCVuASgegxtCNnnIJ9bE9wLxdYkL5HlRIJcqYz1XU4hXN20z95U1V0Xerw4SMsu3V +/l58BVh+9O09ykF209kaYIkZAmBKwHpddZsEwnYix2tp8IL8QzNh4LkeUn9ZyaJVKx+ZIek0DGIw +6vQJTFKTb98oZH8XxBYe0NIUQFBGygbhHqUbyHX11OFt0/J+LazD5VGn13mYyARbbFKcGxyrHZV3 +jMUgLhb2LfxzQi6+vmCH3lhLCzTUNbd1iHMg6jhea5M6zhWuGMIgEX2zeGPBrV4yZChJAsquy3af +88fD26KHn5LkZAlKKKxfL+4xqU+hMteG8nWD7P91XtWFkEA4SP1D6oQr0hDDvsuTW82hsq18ysxW +57hC3yCta+VVu3M6FBDHPjiATvkle0sevav49277JB16NjW42z5C+U7fnudUjweJbHWF44UwgnZr +QWK/K8hym9zZIg/VysDIwS1YqqHpP3XZLf197wE/tNHVdkFzEiJvJlW6RPXD5ecuWQ7enulGwN2T +g4TPYjkwEFlGUwnclTUND2EC2RqG2Danpcm7P/LVu8GFGvZicZD7juI33Kwaa8LkHjfIWSpwSkgr +ZANr/PXXWnvtLLjieGYlpnassoPN7V/CwMB4fBt0C/GqAL1lN3A0HgmmuH5xzKDgNpZPcd0ilVsN +DdcU7M3wID6vmzO3Tc5jO7R4eRgzkUCSCT2K+amk5dZ41UfnHjfBuUVMUfifjkUy+lCYyLrY9kwq +sCt52kg7919nEtf6d8EgrBcOiUu2c6ypil98sBuDoD4Spv2aU0AKn6IJ7del2bbDnj6RgdSwAFtY +Zo2OeK4jqMfZMyvaYeZijiRJkZ6ect6Q3HFERq7QWkuPsYVtNhOkYdaRTMnUxtv8t90d1QUAYxFs +2tezJV5dondl8l2CosqxKeFR0hoyL8QNzASRmOE0fxyN7xTu8N3eTy7El7qBfIsqyGMROKmdH7ES +3iJkMQ+62DQ2+t6ACEO50RMGYTQriaDMAP9dp3ngJtg7vjGqEOIzRxO3obW7ahQTJ0ZFEmiERyLW +4u2s7PxZQjAXiL/xnvHfvbvpqRP24ZvcI+UMMrfvW0i1GsI5MM4jfBTe8Te1WP4KW7srfnDz3Yo0 +QVT4d8EQH93KRrHWL3XLp/Sy+VgkSd1HLrc5R4/LvYLlWzOtkOxFl+o9lzYDKMKIXXJYPVXp8s/6 +LwX9if3yb1Vf9l0pIoPThDsD712BTYH6fLV9tiplK5olTHYroSmCc+mZbsBP2i6QH+TX3uM3/zWl +arxiequhZ4jfxGIZAKmmfrCyrvx/ayPXCIZ9Im6J7oNNc8dpv6a2UqQhx8iyQdH8y08AHlQTPDN7 +AkCsNMI4+ZaA09hbTgpmZp9vHM//5NQxnXcWk2VP9Eb1pMuPosXyVpuT4ycxGREF2bF9xfKh8X7g +7TvAP6UVoW5V795k8JYVKZxPJIX+Xmi7K3i0YIKPvv4lCieR17bA/g6PW99K9+E/Ku61qZBIe86m +2j/9clCsbYVDjhVVaKUA5CG2b3AgeWlA4CAJ4Kpv7F969vgDu5zCzMbzt/4b1Jw2B94jC2pN3m1X +sFKdb7UiLcFPqkk1yyG3fS3ZinLcKuvQw6Bwre8zcyXg6FBisdi4ZwMcbo6b03X1BM7pgUlVLV3A +mGnG6lzLufg7iD8l0HdZ1uOIQ422ZWn7uyw6Hw/8mKfZbLj/jQelRwFPL/9aTqWRdq8VHNAaE5t0 +6/QyLX/rCq5u0AjT16DAE0POJu5wv1SrKXBD2ErsLO97DzR7jt5Ws5Y7L3uwXTdpLKDFKlwrVrE9 +2PO4mMnyraD7qQu+tsyuyeMnqMG0UCq20plEJ99dlE7WNR4kxDxeD34TLHDTB3DQmucTbjhJjaGp +ZaErYJgMiQs7Rq11RRDnD/u4oL3mVRlnB0lr6p0OkAfYsgyDc2BmRplvW7i3U7XN6aQzpDv/BVgk +hVwC/EiX5564u/d+1gpF/1WAABi9+026unt6zC7hRG6HC+BRS5naCHd0GuS7cMPQWbnO+8Lz3t1U +FomGiXn19E9I6zp6TXLzaBsa5MOVUVB9fJoEL5w3XqX0+wB4QhcYxcbzhL6v4jqIcdbd14k4ICoF +IQs2T9ZgrW7QOulvQPRjaPL+/hNfWeBdr6XVmN3PG5F/9NysC97V2nk0EAmfnJ1uVHDfJwwtuqTq +ktbfNSfib1R07EdiC2/5dkTLWczdOlTnU+wWvXm0r+IlTQ1D/TPTxWHNCcb200GNdRJDXaYd8DFS +2WrzMtHQ5Dsjt34Z9hI8di8Oih+4EfjoePU79lvH+nv5VPOpOrOE7eR1zL5M/Cj/oJz0zQg6B3QP +33sM1MIriHb/MHArMb5FtMIKPYiLiXsY+KjveL1Ot1+nCFDSeJ8YY07kI60zMFLewkPd4d0qQgCB +lGXwWGSAyoNOU+VSvXJWI5l+i//qg5LCINlJIfpGB0pnW/msVInPS6iWUcc55NnYzhi1eU4E+y0Z +RBUl8riew5e+mPmVA7e3sxO6dOsIAq1Pe9yUxP6ptrAUkjPdKdtlTiilRhIZaQMjtYvalVoRG819 +wvE+EWziwjqLywo/jbvNluvUjPWGThZ5c81Pclc6DZMGF0QVHPuwISzsxlJNS3rcPDbyc3x23uWq +rjjb31fSqXgIsUQ7ZGMxC2Ca9saJPGrnOkGIlJjGKVOUjT2qEOGK96Uc7snBGioPWVEM2Y7iqr82 +OcvPNi1E/d6bslPxN2ipoOVg+yAG1rnw9ZimdtCee/Ffiaq1JNGjFB50JPsUsjOt6Dg2udj8Syox +ej7YVtncB0h3h5qKnng0SXG/8xw0pwjFU/7Eecj2aiFJvkvTh/kVdWtwgwJJSsO3Ba+Cs9mNN9dU +6C9+/Le1yxxTr0FRtQ/cTNr6ks5xspiuhwIsmZCve2XFAClh6iPYQLvSfTWs937r9wZcdcdMFIu9 +zgQFz1e19AfDzQGPWx8v2xqYvt812W10T/b5QwExiH6cOSw2S3ZRjpfuphFa6uZfZL0hsVB/zZZi +YTieIB7nE3f1J1TUFWUNaysSwVN2G/Uu1JNiVTsuzOpSq02MowO6S2xkV/nLTO5Ma+4BTBcnyHpd +1RzZhUbaapZ0WrRtuIHXd8IN7LejgCZykT3q9IsBnbzuAY7WqJTOd2rePIdF9+EEv1ovrZbqZlVG +Cl+VcIb78Q3f3y2CwsLHUMuN5WFr81CpEnva3f+jyDNXl038yXoz94Otqh1n2o13EDJ1npHrOecm +94f0AfLfio2QDdlB1UwmD5rgKcVnQ2hSgJISewUlnwBVPT3kCP6x9Lw/3lXDbDzxfnRcWrBFiImo +KN+UzbQKAHnqPBzWHue2/5OR+Dssk7VafeBeocCKAKwy0vPgGJC/UVmZ/7R6iFNDdMhgCVLS2BHH +F4uY4HG3w35FA7uzL8OeFvUq3RKQmFBSTBbxp39CtmQooWXCDW/t2Vxv2B3ORO9ZFxVRXkGl0o5u +X+0hgg8R5AOSkeZ09q1ueigZhFLOpALPaTXFZKw1O9WLc4YM2mbLXQ21/EaTUL8SLcC//7xTEFCI +4nx5rZn7gtxRipg15gixYcEE7nXmLBgnO8MFwkNn2YycOI7un0MTvT+tmyQuVT9H7AOj736EIofF +pWzLRMT9jnO2nUBG7PV9ebi1jdONWdVHjdGgZFOHfRMBLbDKLZcpbcGO+Q7nCHaCXy3P4WfdHPLD +okRj4Rkk6br1496MVItcdFzTGAw1/hgBTQinvev8P5IyXrSb3HaSNb602jJ0JL+ufml/LxzuWm4F +Rg/m4oF0gR3nthsAZlhpCnd5LyxVJeC2b7NDa9f81ppXZ8ZyX3jrGUFMcMVod8QAe7lGhhWmFWH+ +sdJ4SuCIBU0xLv/AA+zBHN1OixM0K1wx+oDyE40iZDnuguQozAPdWZ46nC67neyLYJ1anoRCj1+U +UI6vcBRk2Xx0w5VW2wFRcQBxGsoyrwt1uWzgGxmmly5GV80OLuYyJfDeNzddkxixIMQugwrdAkLg +CeVLQhVKMuT+gCHLwmU3BePgUABNQs/SDCWh7wdPQs3kCpAcmoUWbsnx1TcHa9u1tPH3igTPGOdy ++nZHfdLF2NRMTGm9IU7UPKqWeEaGShjkNtJlUa2i2BtMU17mLqsoUIlOC+O4OlVSq+QiAXCVsHVM +YsvhGQMCBzx+ojBnjbDLj3q37ZszcGn/nJCgQrs9jNtzww2U9B26mjsTWe7pdeVs1BBNCBBzOph7 +JLzpvIfRvR2b5PIxbUZMzfYQCcVmSvjXPDYkEw3+za6EZWrWmZCq3nn5MVbu0eV5vBYSIEEB8pzH +yGP2egVwMTJjNSZG47KsRIC+oeHiPYQzGrzsUYgEviIqdP4MeDl30s019D0vi48AgXom+fKFmO4f +n31u0bffrvx6UnJ5hHcSyDGqrYt6jbG/XXGoPYAYhVD+Rlg4+6D8jnBmoMNNY44ViSs6JNOWyHvJ +U5fCTLHk3wUD0M+VzMykXno6EwXceNqtlGDu2gLYMv5NQ0SR271FfX5N4OXujl7HIE5Bxd/3ymIA +x/W8iT0VdZaa1kns5/xnUH44Qw5dvxjdeYi4UiOfrMe78HcalzX7BDrI1AXb1+hLoTP4RpEqK+0t +ZiYSSrEkplpynWcv79WCiD5p8lPOnMP6IoA5Sv5xIlV/P+kEJicsbjTj9k3dQgsE/AOQ6hSYglT5 +f1JMfE8bnaKH1jdu3C0VcXWWf7AS7XeJpOGFFEqyJc1PugLfLW9T7nQI1ubZ09o51rs1i3T04WtW +p2U4PM8c65faDhWll7f2xVaN4cuiUUUA67T7xfX7kHPQxXa1wivwWPi3C0b6Npkwg0bwcvY4SJOD +bZIEMPiZpGJ06IfqJgzwvM/oLbkKt2+TCBmjUZTUuKGthb920tjNIqcdcsKyVyiYpK+URF4lB2JX +g8f+oUJcoarzsOKvGi1i9FohI0i7Gf6Wnx1co6Dvll0Uk7z8r+rueOG2zrFn+pprjoOGpzQERb1B +iE5ArILRW9ILpDg+FaPNt8y8w/DFGNPiq+gE8Txmh0KyHqKZCU1HJV46ylCAS+FweauEGBpTWX+3 +nw74TWutKpCMbV5wNeA5uquDfXAkHA+zimtThYWezlX+pp9t7pGvAaX2OUYRkVAA5mMOlWLhGPlG +ilVZ/cRvBwjV+okc/1+T/ogwF2TKNLcsvwWKvwIBye+dYWD1ZOr7/h1mv51DzwTpE+muRYeMOz9p +/AT1dQyy9h5/7//FyvkWeZAyz3t62SOhrI6PsotGurfEDJp/MmEY228AxdHQytF12un9wpxmx7Xr +LFnA+p+UGvrlzI+7brv2jQW1MgKW66d4lnVbv1bTg+8LTye0lREhrn55qSIaOIsSorHLCfYDTO6/ +vzJkiCepV3w74UETkAERF4cxYD7+PID4pw/QiKfK2j3SAnklWY32EptUO8HPMy0H51aOFL2DsE3g ++WnnDhDGgSy9taPHFSdGsFceDFhXJBVruRl/0cV7DjmuPdOh0bwhDbmls2wtB26cTGiWVKuJ67vQ +Ii09AXHqyMtubq+w6WoFo//ykvvbppRO31EwKZnV5PnWj0Zlwexyc3xg4esyEzKfGEh5lcSIkVYO +EqjYJ/4QkZOtprzZmpL43QXCvDZxJ80XsgCgKfvyGPTl5MNE9OLLVeubBoKTKCiBG9jsbgRHQIep +7MJijkk/pNG3hL6PwLRFyhqasQVhfLNh/6tShpUyG2vEayacdcl5gFXFTZZPQ2AWvprA/+S2/VMV +pNpcj7NaqYKBjfXt1zPpOldoQ0w31Rj5fpNywNY4TGlYbA/+Q91V+iUjVjXsSF7+MosemHaFe2SI +vRLpx6sf4JsT8fUHkmjaxafXuhlcbyYIH7h9RdUD/5H27DJjsifwNn2I5gIxckWwZ7asJj+icuEQ +bw9Grl+oMU/ZUNLWv1NfMwBf0kbOYIbYZinszUKrUCWBz04JeQRgX6/QNldAvVgyR4Lo4Fr81pLo +zNOFNJyxehfDN5/MGCXNSXtFIddHpiLqBjfKdrox9gJUjCM0piLgxkLOe+51ckx8A963AW8acXkW +T3BOo8EKpl5DTPVazXQxEG6aDmIhfgD3EbDQeNcMOVKlIVXoWw3Bjlh/co28LDMRRbs8O75yXGxM +CB/pAlRrUswKT1B5yWAZ7WCUS1CrKRzZJLJdUqdWH2RTjIAHGqg24axX43JSkvxcZmrl3XrPktma +GHj98PXOpvCwENYoX1eBEYVl4T7n80y0CzAqlEmftDj3MLzIYpWt8TDEMQGh1WvHB69GQxUK7Exj +OWCCcn621G8rPzZ44gNNfMEkd0yf+N1ExrRcLSwR9FtS2WR7vs+rA9OB7N4B2EWiVhrsIxQIUGgO +MHMLBW7O96rH/UNMP1sxSVeFiQXFa10bivMUENgSMFzIacE5X64m/K2geAgwm1y0A/M+1HfZ6Fnz +06LONYdaoF9E3ZiBYoI58kuiCbGRwfcv7rDhZ2ZejYvSR/+WPtfYzNTIBGnhwYyqgQLw4o4uYa3j +50P5cNwhGmj6Bfb32TQ2+2wJe8W3o7LK8QMYQMnrs+D5TLXx2/hcjvyxbCCDS6zPQlUmMabZPZSX +90k2bZzr1WMXyd8DsGUpWxgcqv0OCHjm+i5Dz3C4KcYzpDEOToU25P9L0nspQtKhPVWzOJ+L5gQg +jwWkedNGmRazXKPoF1wPoVPy6Jq7LpvWMUUVew572z+I0YQSHqDNzCMSGLxciGKieD+np3bAhXSi +LHC99sRSC76f9wQdOpsOyVHi0rQAV/twXsbOAy9KxH6mAK+qvhZWm7/jwCBF5mT5d6iC2sxCbx+2 +sF67fdhreOjR0oCaqPzUociGByQJ0WW+ev6cm9s/d+ydJE5csmre3okXwT+GLNz0g3ClLtK0mhw2 +wyAsCR3MW5EnEtGssi4z3Do2Aaz/t536Ce/k9zPwMSIs+Agubf99Zij/aWyRXiIwiKjU1szBoNSV +RmXpuIpU7vLLjbY6ScuQDpceRStpVeubWpAXdw3AT86N7sdhcaw6dOWdiBMfG6HEryYlsAqQLUDg +k+M229LVtQv12ui+xsYiRiAC2WOaTA2Ue+mJVY+4CgMZY6WbvHLT9+k80Zqs5nWiYuQt4h+22MdL +w+B/++vQQ1I0Au8kEwbdvdqFXXV/2+3VFrSeFPITLIROZLTlNryScFmIGpxQqgUz4HE9IDLYY1y8 +n73wTVCMtNkPdFevWGvzoas+rxU9eh5nQpZsHQTyCsHZGZhH/SEKVTzoWYsZjp9QNP8ZmSmLHccA ++f0cD+28XDIu0g6RJ3zQG68N4pSx14h1xc4tx+L/I0zOt0d4I27BUNl4Y7298FGxEyuZKozg4iyH +5YZ20eS5MrK7irOc6UdgpXQtNyjAvdDKyasnV6TCq8Y8mI2urYGOYlbUkjHbk4SXt/VetQVao5Mc +9oSOS73UEcfVkBFPo+kDPezpM6saHRogZ66HVooYKwP8ByD/kqr8xuiRmQ5HCkrveKnKaw1Qzh1r +xNhXfBwd/tr1XZYM9wxA8dKfDheM4wwK6rF0DlyHqMOq395/HeeqwA+MBUXQb2DgNwCdyPKXA/Y0 +UHZOvalXNf4n0mvNFBe4i8VGicm3wLX94jy/LL5vfqN5hpwTD38/2wR35qss1ojuEd9kASEjSX6x +MOER12Czv4tFEWXXuaMjFoihyWKHVGWPAfZsCzAo0zAsPyRrkqUSYlmmj1LQX1VjIp5nWFwU13l/ +7ECCLGYcGCAQH6OeojwGjVYnhoDDUsnxIo8ff5bzHxpJJu2m5iubd2A0g/srCwwtqvSk7SkXU/YN +NzRv7iz5bAFuNBBBXKZ6eDMcxsSZo66Ka6u9L+JImQYaSyNzy9phs9QHKXr2k1yIN/G7J0ge8rCg +1RfnQ7EBJ8fZ4Bfbxc04RKgQenlnS26BLSjRflsv2U8S7MQz2hBxq5yzYZcBdPTrA95DjgBOemDh +PlGteYcaX2cryWbYrby70gGoxb9R49E3AKkCOCnueg0svUS8NivFOVyoJiFo3E8NSKrwhuWvOPrT +YB+GLVTQR3QlG1FyStYim+FeDDt8m3s4x1NUj/MJRN+AtkofrPwrpycju3VuXqfYKper1/hDHl0A +eJWIXb6ONJ6htkpEj02gsyDhktCsxZQRLQpGUDU9fZKoBZF/O8F4zw/6fvsHOkyaA03IT819F0zn +ox/+vxgfwCkjgLpvMFPPNfz3qbLFhqCTfuccTnbAlkm832jWMYxsWAXdb3VWYmGit5G8qgNslJrf +C65DbNmqAK0Fx4FmLvhShmVhEOFTo/49zZfrD2qwhGOP8DIYBLUmZvSOwBw+m2BMYvjdortLnOji +Qw39vdEZM0J0f4Oh05hlceu/nFVwtOzFc2Mfy8c7vjmVUA1oca0A4VY6uS7BSZEW1YrK7iZqBeou +3zhoUBPi5A2py0obt0mKsc6GIlGQGusEPCCSSlTecbeiFT2xSy4IpyspbDLUFT9Sn/uEonWeSbE7 +Ld4/4KHGUf5b2G6ux4uk6U0ZVvc2rYaPcfMlDkR5mnDWzfyFNQOeRZNR852B/RxlRBxRfl9YtqAc +b4rC9h08egRA1dGM0RMQkK90sS/SRykSt7xwXGaY7OeMxzppLRE8BjV1pXLxmu9T38ynumsKfYT2 +1mdaiUo7M32MiTAogmHut368TbxNm1UXOS3s5SRpWPV6LfYlFv2sos09Z9T3NPz5b6DPYnf604yz +cYU7tW6i0yXRxMvXLyCTDV6Km5LFNoGtM5dxiDQYVQqRJX+hW756P+ldHmWp3p0PKy2ILor7z1Kd +G5ILNCKlZaPuI4/zEjtKgThdtwCRbIyau6ManpPVWfvGdN/uB+dcULKuLIyTV3sDlw2gUl7UnaKF +xmwNJETOGkUbv3bRUjbh9su8dj3p6myz1Ksfc5RpxWibaY4JEyJG1IcIX9OnO0nOUAT+WlXjXrkG +/Hr9m5S5ZCpU4XhrXp0sfUFOyo+QdXxGbfX5w8pWBKJO0WYbMn/l7HFhgBxiPrNU/wn+SxZNOec3 +p+4S3IkCBNpW0e2dtxr+/0/m0ZwvYNnTpZQd/NGW783QL3nF8hxIQa3Rbx2oa9GFpxDqZ2icAduJ +82iLEfzFrvqjRb6tW9aAQBp+R66FySi+EaVYmiJ7jpjld7CzBJsmp86IxJTVWoSwQ39OiCFaFi1C +h6FoGrWTD7jtfNjePfzDTYvAJWtqXUZ1up5daan9MuziG/0wzq/gnMlT7FJ0A+1Knu6pbkkCi2iE +R22b+a8cwTNU+4a47K4aKvcOGW5ETHG4UzLnPRUK4RrPYYXCsImsE54/6miL7hrCcd+FIbKjxRi6 +hKQctBsFJdYGq7+wqJPfSJG+Yuai6cxLr9insBDRXbKHSksoOWnMPrP4sfQ7Z/lLqIxZg5bvdUox +4J/dlfeCvPwclDOL8cHWQedhB1sToRe/SMV/axtst7ieghQtqjajSpzayiMVRHktfW20KTVCIpnd +EhJfywJrCgRXlqt1883upzulfqQjqqdjy3NmeT5pHvuvyzwjEz5N6Znxnc9mBDnb4RxCkeP+iBBJ +UmumzHGfqOBwhT7BBnnP1CHFpog56RRGSfQxXHOBVWpwMvZk5Hs+dAO+YJU433dXbtBeGex86PZM +fidaHpF9FCZz1smzu0j0H76T+zWHE7eYL17TGJgAe1iIEqO9tUSKZLiT/73GSIoLmhsV/9kBu0L9 +1vF8eZ+MCtVG/Dy1ILAiwWVwnEZYxevZ37ls4aipqYEu3l+gttFoIJWd7SH9OrIDu4Kj9i7MlDAr +sJgnybRAxkXO8opIaaMK9M2ruD/X04FcknVHdwa/DCBLM1VsGETbWRD/CheXuFWk3THevETQg9a8 +Lsry4vLZflp9Uq4n6SlFjdrbjWFwfCJXBetsY8plOzMeDHJfoNbsO77S0ggQMQ7RQjslerpuWj3/ +YDksoLfyFRyalsPrPmEWYBd8BXV4e5kkGVtEqYUQuKdqQNPjtOmIDhFixz5qSjdlYyoi6wKT4umD +V9J6W0XpLZxC29vNsUtjtXNF3uaZxPPE1vDIWSP6s2+fEzkMv3znDY7yKIjlLIEd2tXez1G7EQRI +FBG+FVAwHEcSHYWAiHGExM3e0ywQhjXLMSUsQnlWPorBSjjm68A8DA/DtvIwuDjdJL7ZEudObRef +7plINkAILucu2CWwMcJI3rIiLS6GUpN2FyT1kc8MRkx5NZU94ixhn3rGNKJtz71KoYJDQf2zpDq6 +/Owu1tRT3KxUjCTTCf7Zo18RkB8xqGAkFStHQxr1heDS0xDshB5QBxaWeMAOy3Zl/dz+bV9f1Zln +t8peIwMF6WK8tReemI8aOGe2vFT3yT71Z0OO+KLu1TdOnzGADk8ixPYXZjiwa8Usamq/D1mvAXDS +PR7obS5yEBDAe8Sepi+D7qOP13b9631EXFGVejI+XKsHR/m618bcFKdXE0MblAMzpvu/cN4LXMTM +1/eefMKvgrW2q3YgqtrUGTGcvWFV8QDFrVbo841BT1tP73l8Lgqi+b5tTSgBmbSHpgDnaIka5kCF +Ntiqau14RTRLVayWoEnYMDs0a4yilKaq77sh2mKSfMMHonKqiJg6zZgFhPF1wY6myjAPeamacdJN +TiYD+ZmyxXVO1d4gHuyYWfnJWS4hUEfWQbjJjXyzRQ8M1ub61PAaVUjyyZJnUo0mX5vFpLbutySG +yBh7ovasdFqhgJEEN8nQvAEE897WFzQaRt6iLw4VXveMvDxznd08hmg18L/MwO8CiB6/1aVB+bX8 +tkTppzhdJhVA05yrsXACOC58h0oRPyNtGA/V9cyssbgjG1vbIsq3Vz8BkwPDkMl61iiXI2MLLzJI +vzNkDg3rAPtFt5HQ89z8T8wMqKY3etygkcdW3Avn2/MaUq17k/4WTyYldb1o+C9oYsvBb6DL0YAh +gcoIqZe9mSvVYZ/SozUqNR/pIIZyNT9N2OUQtKWz9zU4KuBxSMxD8ev9koYr2kz18gVpCeBkzVEB +IvvUCjJm3MhyOgyOAb34FTj1cOHzgYWm+ImhOL+LtwM/Tu/Pp0TyDtNLREZ/PmS+T3ZCuweNRebv +WOL+x0mNFbmizZq64wxCX3ylpkwKevenCjhtNBuwvmc15eRaXzgLbu1Zna9oVjFI69ftwl9TXw6f +EGYeiAQLFfQeIr5LKXe00uy6yCmFkL/X6yZlOpVpwarWRpci9WapRQtF62+iG+NGO0+Yf6+dv9Zu ++5wZlYIWcOMDXLvgZhbJuBY26jGDSKJIiJ4MDoru3ZXmydZlsU4mxdLnXm7HyF+/EpmSCbxkK+pe +Js4Z72XRLs+oK769NBwBchdMJ6VY94ppFNvwG5XUhWlE28FJfNquvaGaaLoH6JcKerJ9YRPWf3qV ++UKMhU0xrh9sA5fHi9LPKaRQ0yC4OaxKE6UGYB60kM1kmEok7Awj2fWUd6DSuc9J1ODoSLqMWsT1 +43yXFL7QcO1/bXjXhrh9GfrJgoeIvSBm8CDNMXKc1wdNvLkBueTk74EXD3FeLEJbd6jDelZstWjG +pWeLO1AMxqZ7JeY9O9sjiIgvuEoIU2htYuu828q222qFtiW5Ie2AegGOBdp7OSwqACHpgYMlE+Pf +5lutAwOQdKnvHzhJ8O8PEE1opVjH+RshbcJH2zsVdg8lUo4u1HlB49A8bmpMjIMyr8AFPWZ4xZoI +tzIKHLgbtVTKNL6B3eGjJU9zs+7Jv/aFHDx2r8/myhURUJH7wYkLh4MwPrAkm3q6wTICtOGE5Yem +nFEzlUbMAyxfR3ypnO1Z9XLqyyqjLV8hIL2GAK4qPoOo3Nu20m5NIBuvdEDkVJszwLo7YUke4H6+ +oJ40SxgATyeYiVK1PvgWZA2hW3RX2rL/9+UcH2e5F/8gwhypAuRap4Js1z8NcAwhYtyuL+DV0qaz +kVtgdBIORe4SReHG6Fhvq+8KLAvZ6VKb9CXk0QSYxYmeGpAaZNT7CZ3sLOgICZ3sVv40yrp0hfNo +NlVShAO3+B1ttdR5vrbAqKjzG8/CyDRigHrm7RyFHcVjkH5UjsHQ9znyqlBOeogrYpznWVAUCkiF +MTVsvUTE8OOp4m/5RlZh/f9eB+dzyMNSJuIWOLeN4jr/5tg1h2U8DW9SwPx8UxTMeMXBLlvJc3e+ +9xQ6moqqmVbfg8ZGQ8aAy8xmz/9U4PpYjuzpx0k3a7zm/hSqle0p3lAzrbTOr29vhMWSPUBy8eTq +mJGF8BtPDJP/0brOtiMwHuIhqCNDgEg5/IRTdkYoE52q5XCUy1ZE4Pur6OfgLeR+t5EX2p0hRGx2 +KdBZwUKZSwzyfcs18O2RY2hUERh/sHtgtLximkifC+8GJTnqVMbhi0tNjpip/jH4M0gcmD4a1oSS +vGt4MGak2wqtvRAiyd7mAYP1QXImqXCtTTg6MzkEGrrCBPJ9OXmZrvKycK99Kc++wqM00u7oElYx +N5ZA3qhfMfU4Nkeo3vpfVAWp9mhCQaZC7YYMg1bqng1Z24dpQF4Ai9c9wH7+9kDhxP0Gwbqkz+BX +mb3jvTrvQ67ZMgVJlQVb+SqUCjlvJkwBnKKtsj66Lq4pv3mJdWvt8js0fDUGRoDdaAqZHZct7Hlo +mSXw+VZdD8WdBuJD+U7e/jKyAuInEmUEuJK+w/KVHZnfwE3xI+KtNrwdTu82r5TbfpHtWd4TvOYh +mCbccG8JCGvCI0XMwsPB5wf2mtSdOcPkEVu1jk89yczwCLouojYm3syJVog+1iv0nKTNmR3CiI6A +eA9Igewte2YGdKSZrbyxsL5A0LE4xXosI8TMji9WjbiUm5EfIjFm4B3j9AvgdZ5BDse8t5hQAIKU +OXbd3HkO+3kAE/scHb2HSWCtelqYFPOmxOp+074jsqn8LfO5MSaCdjakDgK/yxDl6WexJguNbOi7 +Deq4z24TpI/ukAJenkw5txcvmQSdJh9l2/WjJ7tkGX6WDgrw9xU0W+9uJBdcTCW3XAW/NLuDWE3q +Cq1x69lkC12adbaxrLomH0l70WBjp9Un2iRbCUDWeXVqoIxLPm40qHkLXqptADIcl39iQ+dhfHS8 +iZAQymZnNumT4Xc++oCvIQ7MwF7eyDIvX8s65dUKt4ZfRNQSp5jGf8fO1Z6Voy/cJU/L3Y77ROSR +le0oPa5PYJHBR0CyITEZuXUS0dVR5JJvwSx8oaOj4MahulOitaqO2Xj5ix6kUJVQFJ1C6jO916S7 +669HBTCHPIApoSxWodeXbfyfCdr57/mj48aLkw9cpoVidhkl7fv8pD/DuBNbticixmRcVus1OYZn +IWByB96qRcNYPwiMqpK8imApzMF8NoAOB52aaumZKXnwx/liyFUQsKwZ07Cb0r2xPPsBo06V+xSM +QLkuaS8puUVmdArQ+31C3OCXRUuY3p/tEnaWzlvrCN5kcVfhBdeXKuQ+IwfB2sdFLUJExoyPyaaq +KveFsnUli0/mJa5gGNn0TUdsVfIitl9GQV+FxLNE3yxe+4DuTHtuNgRQYegBepsaO7zRUXMDCc/s +6wdtvkL9duUkRxVzElcWeQY1CC+Y2XKAFeo2nb9/fmHWpI8mM/lHbUV3LMcDfRVt3MLMsQGyycOU +9CiqQ+nmOiAKoZPPy7WTAaLNukDbrkG1xlUGf0sp7Wo+d1uY9CilUS8FUdX79NhN8C8LZc2X3yQK +p0PHAhkT/bTGasO5VpqULct+8y1kAzi119+wcNyNjAn12N/sXscFGxgp1wH1xYJakB6/Ge0ZHdsO +S5+gkMT9m5eqGR710u2dJAo/pcSrwD7ybvYZeQ+sN5q/NQrIssTgJNbzyJbrujNbHjDIGiEf208l ++JbWdOIsJBd4GDj9TqXpb0aIEgo4EFMxvJMmAxOHv6U+a54USRynX9ChuG7cfpf9PVRVCxzRXWkI +b6Pp1sTlFbC93LYXf02hTHTXY2z7moBXWaXEhiVRV0aBaV/jI56ThmNQ+jxC7+KOgUG4wVLfSXQ1 +SClcSmtq5/iYwA/Kv3wH4h4eGtmJev6IuhlksFmUQUksW8GAQphE39Du7CeabhcVA8RqPRyRdYTh +nLTbqo1YWSYjYxW8w1RRKUVfsKMMz5MNL65OvFPbpVd09NfpAryMlA/6G8qMrqUlj543vM6F0yT6 +IyJHox3FlVzUO5umk5NL5GF2c6YV7xueidRKIsuE+tcnoVtSeGtn8tw0c2S+j7OQ0X94v1UZRAmR +ZwsBuYjb1+WuamcD07XXg3ts39r3HvGUx8W2aBEQ9tIOB+/gHRxwB8RDYhtTmtkparAhuQ/uhsZ0 +9RJuTJxfvo6oj8XAJyf7Owk4jFRwNnC5A8SrrtXL0nMobYRXDekOCB6jcCVvsKjCMU2AnG98jx/S +MBFX66qwLklJ//eKRJhe6OtlPkKurhbfVmFq8exo/1+bG+X/YAKJACEBHH4Up7H1HaySaiEzfbmp +VONGBNRrFJcIb5YHOEJRMxHkrxIrHh6eH7dH2C0vdCyWS9wLAhUV5qOpm9AExMCaJufLKRDwnfiR +Lc49OlJ3phaNG+OwugjLLuOyDsifhsH6LWWLxd6VOeLHDjSCr/w+DiAarqgkRrnfcie2AIOFa+hi +ZBnR8crz6It4BPxjlMb7dgwUdwF98DkxsI/55HSGOhbE9wQ//eaK0Ulxm0AtYF34QqRuXi44Vxn0 +bM+5FdglutkvHx+jVOiZqplpG5w79N36P6kpOk8h8m668cc3C8jVKobM9WCz6DU+d1kufCzW/mxI +2k3J1N8OazlXcfZwtfgWgXuE7m8Bhn1DG7zuE//leq4jpFbXslJDyES6jAFdg3Oqx4oMEZ+eKw3+ +P/Hv4241TogxIIKs0plDpf1b15v9GIVq5OAYATxwgAnhF6UB3jt2IUw3qHEF+j6Dyrcns7Spk5xi +XOpD2jXIOqix4QYjKA7wKziX9bRFPNvwFxFrLqEkbXlxP0la/YKc7KrwLUlrny8zr3Dy35eOGytF +Q1uCtxxLRIAkxAplxLZ4OvkEuA4fqJjZ2skt94ytt3OfcqzweYKBNjlmnyJron3PjN2T+xvVSVFw +iXdDBtmAUM2iMDPNz91YhHkC7gdCDL375RPAj3Ingd1e2wkhfFUdBac7wtBN5PD+DltWKJPUZQEl +YbaI3Bp9cBqi079+Wxnxs0yrrcCddCk29f0QkEoac+xOLMUxFfhrAPbw6upI1npX/oN/xwiBLuvX +F0/SmhaCm3+0sP9tajp3Un0du8/t6RUNsispFnW4MrPpLAZzKoUFeIPGHOt27eDC9faTSUeUJlUC +AjiqhxR8/aJO6zgcanl5qGsUFYOu4tngPrIN7yHPEIyOUg8Rk1OIKwXPwyNNJRoow0fsTyfFwaOD +e+mo5UFOJCLegT/wmntBWwWRu02oLwNqZAP3EPs96MgIkwjlI/9P/wOm2bEm0IayR9jkU+ro/Whz +fRUZ+9oiQw1JxmYkdIjq/s5+wkIrQlvBrBNbo06X2CdhqjNTbEyffnsbYEEyVoidExBC/cku9xZZ ++zAWbdcxN5vty52C+2WGqJhTZ8Z9fUgPdSF7778G++CePpRJv2RKY52DLwVCqbjuEm5zRVXqosyG +hnvRpZu+Q8DHTTUs16/Yfto//KuNByevB63F90+bKvpwRTbtWeAQpSOSA3CWm+1tGAmHMclLhJVu +wqPBFr1Oe5XUiffkm6XOujvqbUcyG0iD6Uo74XIn5tSp5nqjr15jTsP0SG82ELgLOm1mW7b/GSJI +EBGulapdV9YpN4mVa/XeTmEP2zaP3rf92Ceedw/nor9JvgbBISTi5KRjtI4hKfmRVgCyc67fkfnE +Xs+UAgzjBsEX5qGO+RGMJEI+Ovm8RYBczmvHGdmA/QtVBHJjx0lZlS7/S+dei+S2RbXgTojm2Gel +W+1w+6l4+GwQnIn/GJE7+uWEfA2haGSrcw8Y+hc4NjlxVVg2lwYx6/h4rI2tsLD5Xggn5YlJkg1u +Qgl1bHvl1cAxiJTTh8dFxAc3wdR56aQWaEB7KI2xfEBcvsiKeSAy7/t8ONSiAUuzOKR47UEGzKZM +0cL01/OHM+wXowDsrMiMRKJnt6f1GDbr/AKJ1PgEwr/3oPOsTIGm9nTmTld718/MFN+TlK8it5eX +Yda4EF00cJTYeXNCgJG91nYdUcX+erMle2rq+kMHCtN+DPUo/rW7b2pzlD0DUdRHiRL196mMHPBs +Yrl9LffW+xqcUT4TQH5E9qYy5p2hKG5qpjVd0kCAYPxwl3dzWMtloIn99REulXRoczMlg7LegjBG +jk1Jc9S46GXvgP2n5ZZU137Cm8IdDhe589HGsnZSu/Sz2VvFIzrEclYzHOEC94kj3h29NsOke9tK +TVEz29EiQiS2MCuOK15quldQUFXOX0wDIuSgTCU4P9U3WVJjmlq4qQzJWlRfGfMeIbCY1shHTG8B +nb8aOeLGSWLhvV+ng8vfOrkIH6O4V+oJ78FwhvNG7QwMk8iwdJaEC50CwNkO6AImoFy+7DckqE7F +j1Vs2Vt/Uqyq6k54Md1bnaV3vCAfPCCMtm7djqgPNO2tAUzEFHIufd9ghNc6lQ750c/+/oqsq60F +J7TnSRJPt8eAYxi8ERF96AaaFRIreTqtHpMdZZcgFbUfCLmaDnxvM3k9/3BLKHPrbYmTlDL3MVIL +w9OW7oWv5yniSKcxUhkHoMZNhkFQpXfV2UzTCJhW+5dYj6Z3FdBHfbVJsre4XfgUIl2rEiL05ESk +JMwHgVwqR9p9O3xxnSng+8n4FeNFrzhEG4WLL20JZy8a1jc8A7/v64FyVOZYxnlfm6bV5Qub+hP5 +QQ+SErk3yhKhBnxDq16qlt/N0jFfgWWnlssviQgWZhL73hCPTbI45r0+V52Yl+kR0DPYuqsrSYSu +SX/KoOlptIunhSp4SRhAauE5Lr9LQoFDwfji4AJsbfBbxDf1UeeWRI6eMopqACmbp0vBtKUHtxab +OPWxQbrItSAGpnb2DZMrkdJI5+S2V1kuUup/KuIUOofOIa1lJn8Ki2yLr65Jcgs+1zmKq/cddbzy +5vGx2I+0E/fq+n3Ltasvk24HhMZ1u4U3aHEFw67EQpyyo8P+Yo/yb0i7gDII0ZELTXZC3ym1KgsT +CAgycGLHNFqvwSHycxqoBldp3bY9ljWDnfSJwjSstq6VKipYMbbh31euYy78RYhLtOw/jGO/0pID +kp0zsP0vc4KG0EXzg4mrPIENTeXb/u1aZiIciuG+FHEMnUtPBCXsoNIruqjBEMKGiYRP5YgPYDNo +oGjG34E2AbspHkn70YCKxn/cHnoup4Rn8pHJzth2p0Ropbo3ToZ73YbKQ+PI0+fyHblm66Z3khwY ++YBBa7dAsVt2w9oq7ihob7OaTfwucLMoN2F5PtKrDakYljvajE6dRVq7wcM0YBlLOs7qfoNYe1Zh +MCNDCQSYVc26xQO6W4duJqiDW31K6B12/0ROF83QNdWhNpRv/Mv1f61fqfpOiF4NHEH0FhxGlYXG +CVICylLRenoyNQNSDWFbwqJycB0FXrP/ZTy64W0SpWeAsMGeo/bLbj7CvnjZLpBrcfZTUcC4oAH5 ++ox16zjuSUF2qiSqpi1tt0/I1wvGil1gCJsJXNSl2Pi7bESc8k+5l9fRg8n1WmK+/ziZHOMtyxDQ +R8hk9cXASjD3J10nrRkLcsfOnDnm2Anmxwb50ByP9g8yxstTrdvOXoZiPHnx4FyXcs6h3WCQAmao +5TML4eEr/d6f7WxuWze4iJGZU8BzSGpmeH2v9XXhqTSSmqC1I8Zfwv1XkXd17VVOyqgpWVUMKKf1 +8/JGTIDUKQZP+kWWsJ0fHkut6Ak3EXTBYQGHHfJ9xmUWevtKtY12B/zj/ic7N4jOeGm0+3hM6bA0 +1KF5qQDIZIBrVKGgE1YgDa2mQOq3F0fpgioxCQPVB9uhpCh9QbWuw30ok7TlgCnbeeZjO/Ou7tJ3 +tUQpxD5MnK8fQ8TxGkzYDgE8Zt/fO648R45A4v44haWBdpFISAypgkO9V1vZ0XQQeYSEgzMb0+NE +g8BXQndq33tOJwbQzVS2dd0PHvApNZE9JZ2qKij4cUmQKJxL8GFtd+dVs4fYYWQHt6PO3rxad69o +5M8KKNcd68Cj0bbeAqd4gV/YhekYZd/Tl/9Y80zkb9gUWM/ycRAq88lQBRk33j2O6ByuYNpEzChz +bUtHDzXLIefF+xJEX0QoMSykqk1Ozf9eWtkVEjo7WF0vfsPPqnongZhrsKllqyxSLuWZfkjs648T +4IN/as8QXq9v/NMhSq4w6ifZQxhetkJxV2GpqQ1prwKRBDZNBfubtBWqWX18RNZURtWx2Sc3q+9k +MWEeoG2AgQvkGl9QtaJ9h3Up/9KfNpq2kdYdkgr/VmZ5PhUr11ZN4dAUeF8g9ysX78qk8HIMtp8P +twk/xgtaDd9I+ZnkZO2BSPirjs2MSQ1gI9OERPak7//GyR9pf2O8Dx4P7TYoICi6VvujpSYZhbHe +C5A+Htn7JpAYgwBmdRRDwKR26LRk/rQOQWllKLVOrFKRKM2B+IWbmkWDBykAs3FYF+m2KQNDBGeY +uVgrUluF1iPgq8s48nkwq7pDbvRdeRLymlrWDqFDwpqFcYHspdeyJJc/fqX2zq/EwhU5k0UF3E/5 +qDaq5Fn/x/ZxsKVuYJcLQECzUyUhouZksUUyQOeYmFLbkijl2irBAk8RfrjZizNehtEpC2dqjXD0 +vqfYBCY/KJG/ZAS9Mzfmi+yuVotI8hFs4l1soPg/rMoNlwzY90sSmZeVslB62PgXxX8fj2NRykq2 +RMfZm+tyQ/33BTmdKrtziiBocZBcQsrWpSXMH7EsMC6jx13B3tmO7qkhWQKRj8LAUlTruep7hZcM +6fYOAEXzLkLOz9YydNywcet72vf/NDy3PzBFOfI+Oe9644xf8Z5Hhu5mhzn8YvQtDXhrPo7vQkXK +Y4ycAZxl5lMqqoOrBYygOksKkj22fmmISWLscZZ8zXf0VTDSMWexSPPmU4+X2tHtcyHlZTuBa/72 +1B2nSizLz5LNRZY1MxcrlllHXH9XMNdRqiPwf1UiOBHVltR4h4cH1LwejEckXiS8U3KuyhhRKJIb +oP2gSWY3jyzieZ6/zO9RFeGhQx1oKnUUpbeEUGLOS9d3akTDMy3gackUBV4tMVJObfbdX+8f6uU3 +THEuA/Q2AUpCNGKhQnrArgsFFHxDkabrqH2AaiZs/SpmmY3Ay919vUdZ1vrPqrjrIGZazAtUL+yi +z6KUR6hxH6/yljEadnpxmZn8lQvbjQsNCW/kb/QWZSJJIqJ7zncpOJ5BjbKpcSUJeIPj8qjY+qxG +lNXkmVZAAYFWy8/VKzdFJCx3uPupgBJI9TQJth6Cu2haqh5sNrIY2p4bhRBQyGIyU4JLZPKk8j4S +q9Lsq3qW3IU7DW1zTstG31DaL9pdfsiul4IrcJUr5hYKHCN+hCzb9U0iy6hNcRkZCISRxG7fgYc8 +G/BGtcDnUvecu4yFzwrCJAxTQXNNZw8mERxP31/4bs5KbWGeLkZRcxmDa+R98H+yblz/Uk8Ja0E5 +HEj1sgN5E812Ifd+X19npkRbbBbmGjuA928ph0x2h611JeynrFMYvkj6uY37y65pw6j/lyukJVTm +/9aJu11qleSQpEEIxZ5l59VlPUWwiQEzrrPvZnvPOUek24D9l4+4GfdyZrfSKKAg4Bxrf3kJ4BK7 +j0APS3QCn/C1jLJBWlBbUZpvgVGaCJU+9hWWUuItoslNIWYlm2RmxFCy0wdcFsxiolEDfx/7jKDK +srfDzWaQPRSvC/9uCtR5s4nevJzpq5w9acJTLoXjPAxxzsDEHx9QNhDpPz4GqOGpT1UqF9zYyeTv +b3gtiFkK0u4I0cKHF8JbKLfN5VaMZuW9D8SS3UCXaaVgjL3e1YLBpJLEN1zi3gFuSAKv4MlhW/tP +XSRULYcnP4Ux6dCbxkgV/1C3mbQagXm+7iSJTjNTQZIDGZme8/uXo3dfEPzKuIrclfrViT4VTbwX +vdpAXa/RZF19VYbxlEi50C021GCSDJr/KpJOPLbTdCYeu1fDYjK8yYVnElcuam4DdyDG5CtbTSJW +c8/T6kB+kSFgGRdQ/0DFMZTOg4IJ3EXUOBcmArnfgu1+7D0NK+QnnIxxCFwUDEfGQef2D+ZmsKVp +TYAxD3UnjubgozWzUoV7c2nkFYNjXfm03VvwlnEah18TtG8nIAc87NxcdlUVToj32g/nUuYveYq1 +Mkon82pAsoE24GX+s/qWI6XrQIlEi8gwtGExhpzDU51o1yEuDKKXkeUl3UcT08z+4fthVbSgdiC9 +Sm/gro94o83roQjmrDsSK9MUK/8DYPDrKJ4y3xKN8mAuhTJfuBWXo60vJf2LR71rSxTy7HJ+SIhQ +CFx67a1dbwbkLvOTGRN9t0UEiM6OphtMuBoRR8XcdFg6gseBtrtazbOFyuJc+2TmjTRWOkeo2Nl7 +KjNXh9ZzPP5tX4YrCvsN/IBMKt0Zry2IG78dKHjIGjOfuzm6MoWivSObMst/e1vD2AyF8ZWlQRS7 +DFX+fQBqUR8DfqP1AY5UdvzcJDG4eWHpc2/NI1kSLYQY3I0v2zk7hhnIz9LZvuavbPYAhEs9khjc +XTSoLNbeLS18dx/pGqkfTbSJUTxqo36+50FYuwTAT5/+eNQKMhtLsDfMKaffVASJiCPmjMAdgGNX +RJj+WAB23zyoQlkTA41D9AhKy+qR5X0nXID6PTj+mW/ZrxGSDsifbAX51snTiLXew0PzGhhVRIdf +XxS8k/DfuvnSYJNl8bWPuYUiMp+5NCu4A7ROEFCfeV7AEWbAS4yfEOOdF1wMP+LMAzOu/QbPcjCe +1LVDuHip8AKMwrEZ6z7O1cGmb6jOacNzBsznw/mTws/uKuUqZhXN8rGtW0tXZyE5wBd15hHMG8AQ +aeyU2eLwJ8FWSyNN0KRvjCld6asWrqbUGC6m/vTKa4ee2osbLithU9lrh7UAW2QxJZ0+ASfanVgx +SvKLLfoPkIJkyviopr0+AZPxXVViKi1lMmvgsCubjpPgu9G7W9Y5hEcS+3oYf8aKl78z8qJt6zrc +KV/DeO2zop2uj2jcMP7uWFZsS2Afwmf+m+cqOzlYfu/Gp7D9UeYEPJMsQfvgoC5g02GRJUrrt8ZQ +Ig/ikf343iFpgiBQnK6vbutAxNUxUuN7+wmbLKG5vWn+oi9Q13cB8ZRxQZ5xsGbftUiZlBF8fC9V +P3/8qEM42rVBbGcrzT5WwdaSw5D2XgJa6u0ionUL67u5SnEZD6M6zZn6oDtJTjsTAYyS/42eLJdr +PBjGA6XfgR5GHYY7WcTycUKCvxHaOWj83VeIq2qdSSiT7vgxiJugc6CuMgLXp10SA3rt57YksaR3 +WuiK0FtnrUHSS6u26gxhGP8rCHA5zLnZBgK8mPL7FERWKRkFsq0nWv7Ugz6C6Rih7d7xJQOEVbGj +s4VI0Pp+TdNrEMSDRU1YCD41cyWrU7lqnLa8/mNbrPv2QVJeKo+sXzm9dVYGMJ4d/v8H4kSf7eJ/ +g7ZhU9MXgGzcq1ajOwEubitAferZswgXtdJyMNTqvI+QSerUuT6z0T1VfDUvLnYM9XIEaP0qaA1H +Bt+45WVcTd2/bOnsi1wSdLrKfpJrW5WRCsAURVSbhUv+B8ZXdmphrhpIcvQNqcZlQRwYir+1qjbu +G2yGkYEf/YLVVZenHkF5W6dXeMwTvyGqCjFWgyYqWbq1TNJyQwj/tXI835TwdVixVPl8IUXAb9wR +iXUyLsvZS98Gx/bDs1/D1o9Csf/mp+0rtJuDzK0UOK2lGgVf0JLaTuMPguINzRLw+eZPVj+185+9 +KObNCqmfWYeimIxd3qHIn32fOuTZzdffic79L2cn8sVOQrZv+rpWrSUpANQrwz1URXfNrr2Ts60V +70RCXuSfO7iTIKzfRoryVOon2Lr/9BxvbmFKaw4GwTWa7jcrs4RPbrFkW0lFUUMey9y8o/kW6b38 +LV+c4dgIt2jHaJ54ZwSHMDVfpJFQSYXS8sWAtjhbKdHNTY0L4m/2kKMkQR33vK7onkQ6d6Ry5ELc +1DquLH0zLrnqf/3/T7l0iqfG9qbRgADMLJERxwzF5HauNJLklm9cgKYp25GqOYRWXPPgnuQz0zHq +/fA3l2BZWNfu9+uuci6uhmUMHSRbFYPAAigKtSVYzMC52u+Cdxdi0JSjPrlrTyvBWR0Cmv+o6A8V +qQtNzIez8+IqpZyDFuHn/ikIhYAzLBkx93fx6LMHgXClJMQ/D2drUOjcVMiXgMGlYePvpS9PB7g2 +AWC/u6AW0E06cvnBi+5PH2EGTSxb2UYXnEck8ybPzQpZdGwVpvLgNeqtssp4ZoTIbK44PJ4hE/3u +Q2KjBpERVem0GsbP73OP5FmLck+1gyaQmOxMwCsnOKQnL0K6gzxwXirgoWpduwNkS5U2kvShXSbp +ossiZ8YgEbg/sn/dqYsBTo5EWb7HA2z5N0Z1gbSCdqJ3r7VWlY2nttvR/WJWIrKexktfpQJOnV1B +cXwtmPz3W/CcImDNKervejcMJGkEdKhJhOj8k5W7teM0ULA37+5okf7+2Gn/oo+OpEVdy7GZ30lq +AuZT8jiR5KAUHX6rzqxdurpzIydwvVMuEcgi0xQRbjatH4T5I5W8xUicf4sT2qXnvCsBVAEx0V/m +fR0YApaYNjaULCuzweR3DUAv9eLwyJAu1rx1pbFPG6vcH1U4VUMqh/8r0mRNRnDmsLo9nqqKc9Io +ST8ofrZK41E/tZjIlqtyIN+xlYx4wg4Q/U5Kth21UuNm1JIyhaOfJzOYgfqqJUhz2H1dL6Zbmvlo +lZvSW6MNZNNoKtDbsfpdLK2+J+XuJDXtJK1Vv0/cb6bGS4AO7sUPlQss1PNxfjRfbGq8U4rTPqN4 +GIhA6n8GFQY8BNEVdLwfXpeyjmDZ2QhU+0GVVqYteIX6uqX+isIf8rEdO/27HBhOj+66MmVdsbXr +O2IgKT8JUcjQyp8TEQn5xun9/0okCeYc7pSLRAnDWuKKUROYM1Sa/t4S04+VrlMejvQTNLvarrup +l0TIzKUQjaUwFdG9G2xnxw7O3famHfR9/nEvmgJ2HhQsqop/QfTt/BgRpudv2WY+o3EErsOhRgz3 +LswrnhowpftmKq4/AXodDNXlfv6XIIaas7IvQikAEj86szwPhSDxIxHACcv+1miGKx/lx5iOeKOy +vK1p/MVEhl7XFlV4uspP8yEw0+ZsC+AvatRnR9Jt5vrreGVZYyaKG9UnAe9ZjZ98pBKMTNOr+FsV +iPgkp+Hdqxe5oC3ef8L6KzXej2UU/ZX2KeHBASxQoYswM9CL7PyPZSDfdflxhJ6vU5ua1yoOdMD4 +Gdqn98dWqYuqUVwbjerRvUgRqjw0tcvpiyziOhYpRuuNw2WOmhE3+fePrr2wy3Y8OS6JEofaVN9B +ptCPdSSA1+T11dKE19PSYBa/XnrwHocdGhR65jU22pO+yhdGwHvkrZFrgegi65DHft1305qTA9AO +BTSZPHc7SMJ69eNXMmhwftjq+g9NPHvT/SLMU8OllGRQ5DUTJW3Q/ewaZgQy2ByczZ+fm02GAzTz +Bn5qjbpits5EA5ZQcXzKuOaEY+sYg7wlMrHqS35I2/c3slCGBR8XCU0l6V67xQoghYI7KHAYro8b +NBR+g8y28wcabClwueHmYdSRVaTDW5Wl/WFvM3FVCIFfMz4P7GJ2hV9ppw8Hvw/F3s3U80BQ9WWd +/BUs4r6dPmM2tv/H8qWFoYAb//LjglIYPxOWQ6jlnqWKsHM+GUnpmkufX33FuZ+uGWEknkPaKHrj +ZRkZhWr0zqmczdG3vrptACFaK8ciw3iuZeeLNiv5LJNnvoOc4nFeRytaPCZRKBsUqG5oM1qZyvMC +4RNByWdXWR21b7p42fUmkhrMT9Vh/49eAoxKuy+bRCQuCcycqRGIn63i3cHB8lD//gg7rKuxwnP1 +YA8pEGoh9sEgurgKV1x2gLRUSO8O7XRCOZwGMI89Psz6uddZOLP8wKF4J6B1Jr34KtQBXDpOPYbG +7e1G+OzzLBid9/iyMITHDVXYF+iXfEqP9hgmSHVl0gLw/sfFANfe+as+7c3tzwQj3kFqa3e2Tqtp +WJewp8u/MhHmmlzLPlEz1CKALnmXJB2bobGz2FwIwvQeMKNK7tW9Tm9l/EvyKeiEMipjAsLoLsDI +Q06AD/H9BIR4xmK/LD2WCbyMoZqnid1UBmG03ReFDEtSdlYKZLfTU7jZsCaV5BWfGu+4tPA9SioL +rjZJo7b7ftfL8zyXvPgZ9U3Iy8jowcT0Xit89HhLwra/smyVvwEGsY0Ktml47AxeofUYTypfD3dp +5J6wzwHIfbGFbrfjFBWUkVXvY9npe/3H3DOKVZvn27L5px1srsRFFQ6IDCJvCP32i+o87R+oxnnR +FlzuCaJaCZ3cG1lIjoeuNdTkyKLexiAkJ9y6YW4WIS5wwmt7lwJ6JNOXlTB7wpm6iGZh3pV9wW/9 +xRmZ8PoXtznpfhgzWc302/36/REfE2+qxQ+rhrMKgbnitKzcKmOPf1A7P45m6JmWojtf5JP7EP5i +TEyCdMrJQnocPVEXnWMqnRKv6jU5RnWgiy2w1iWPkL4yJMaXumBhU5AUvMuKp+ceZECdinObB8hP +qf02Ee8TOji0OodPJoLtsV//wDwb6FOJuGO4igP28t5DA/teX9dP3SSNOHhdqXdTEjSjw8VeT+sK +TzzhGrkfyNxsPSk+iF14OM61Hj0z/ZHaTgj5V1sUForF5LusJxtlLp/o1gzkRufNlEMom4kjTWqp +fcEdQdCIIcoxS/OTiWV96JoGXc81unmL/YFyb325XCxp6cSbeT6hkChiazsppCKW70HPNhhc4ORC +QhcXMOm10LKH2GgI9TT+dQlQFFzzhscOvQpdEUpZiIRiI6Syq9HixoUV4MVMTRE58Neh//Vxqh/n +1MYpxZB6jLFYEHtUCG2YBXo0+kQyOxXI5rxNQUcWeN/frmWD5zD3PhWfCrVeJnbaFozBi7I5GSTN +Kii8DxuQIhYnWwhYSBTWDoZWD8mUQVa5h6HMq4Beh6hXF4/76JgetkWVybefgu0M3ohdnypwG7ls +QBTjotS8NBy+GEBpwWmi5lScL0HfGBJxKRJ8TgAZV/kRlpaEo035RVtfaDL7vA5V2eGR73BBxT2W +fDmrPiMESHMdmQ6D7dbF3Kfatg9T/ZLBle3kgmKGH3BSxjNdhQQQylcZymKHgc1DbzebnhUhaotH +5QgYeQlkyL0+/SwyW3urBcmHev3TL3GPKDcOBQKuGNM/GAjh6deSzSG305fRRCUkeOoFeV1E/h2K +IeF77fajI32jHGGpSMo6gsnTtUSC4rBajgDlGLpgBiVYPwHjQAelTx++FfBNh8WgcKF8txNSANuL +3ccnMJciJ6E6MXGgGF/HHAv8KSd5APtQzNl4LIB/N7WdZy9fnO2n+qT0v1m3jRSzQB7H8Gvq7G6b +33e+NuRQ48imsMXklGX/2pIi9Iem4s+0YjW5a1fWiOydEh/6LFOWQQmhG4emsvJAMMVQbiYZz9vM +6XpOL40swv2I7LYl8lqxehvSKrGrplkMP9B1q6BHUIXUy57FcToiUBs/Klb4M/vhd0SptJNLj/7L +fe52EcovPQIJqWmRQEA7pYhKvd3ztIc6xcsrjIyrTWI9r7BpAvHS/tFoOUNdJjvXeImTr8dkdTcQ +0VJmFIGhO49OtpPIz/hyb/5oU58xGoLJGBh8/ywnvOHbHPYXoNA0UmuW1ycLDJDkrtH4u+rM8PZy +s7Fe6oWSAYqthJ2LQTWgRZmEZ8Y0eGdRtQJBHSXitFqVqO1gSDpbOPwNxZs6xSvx2qO40zqcAOr+ +2DgooQZiAQmgG9lKJFQv7ISu2YlwBmw2npLWVseYqI/4A4h/2T/HXX16843kwKCh2qCutnZdOw9z +z2JxMw7ltozvfeyi2089seOrdIwrE4GrLHONA4Iz486DJErhO/dKD5YDok226zrz8YYpjKvbUS9G +Bj/gdGIBPUmshFYYKmzzYFG83bTW2m4a4KBghJi8aslgEDfBd8WNTeI7AZ0jMpDEGkr3FzlJ1W/2 +6V2+oXet6jcUSy+Bi8MvJqdoRDMRpkcVl7DBVkoyHbHce73TKT64mOc4D1dbDxVhfti0tPeMzWem +FZZGx1BKuSurgOkOJOYQCRcbyIcHwsDOfusnglr4pOo8oVeAKci2IXpqE+qopsMTTfI1XEcBn45V +xUaTN0NnYRAQfKToNL0sTGyi1EeckseN0VAq7aO4JwDYk49PQuxfL1KBfhC/bv/8rshvTtcd6kYF +Y5BSPij6Bsv29EyqnrjlD6X8Ew6njH8kgo0QI1STdSAj8KAWY7GvEGsmL9OaDJ71VBrnzVvCW5Lo +SLzg0ifx/A03EuW7prCExtRlbCTwW30xasqY8QbepyMs7utdUMkq34+BZMGnwyOAKgakI3yqnzkv +02WGYoxxaHqYVy2CI4hZLVeVWBcARTlnELnkZAMapUw+VtJ9pYDYH7SGYEb7byS47+QmVH99uhc9 +/UACPAdqKZLcyd8J4xgE3K7lcpOgvy1mmo1CcmNe2afL8IdC7nmeHb+7UnC1rG8mvmJzwjciZ1gR +PBALVzsLEt0H72/UbkJPfbXBuSOM2/Fz0nWDdrlcXF3mFF0GaaUz1ZEqSTfdRnrBIzcdtWZ5Hbah +U51C/pWCK2IOsKEl406WyB41xY+gNmc0fA+lD92/ms0UHmdIV/KgNkjbCCvFgIspn9LuhyqqUPDF +t9QgtRC4FRJI4LMUeBHRafs2ZCHAysF8kF/BKFJx8prwhQk+XPl5htLg3dkhILZwZlF0Aa6Oj8Mj +Um2CKP7sdjyusZGJTcwR5o56sMa9Cre8qz8Yrk+8jvpQVBerr+cykGuctmNKJUnaYJg5XqBOPqS3 +qfxh4qoHBwNCIC0nI65ndlDG/iUQ9ZwLg35hPtqj+2YoMwE84WDHM+NR4dmfn1Dqjkd5VH7FSo1o +HpZt52IuYMU+vfvKrccTHI3k+qii4nxGqbbRKuA0/OjWE68vRRcY/foryCsXMNHX6c15qVtELxlI +qKW48fnnJwadYYdoT59bihZL76qN5X4fq/gftZyl4P1BuwX+apElZe50LmNBRfKM0wjDnBqGnoeA +tYhVwiGtmwSQQjqJ8I6hLVfIuLUyW7lnhCsrRTiXocX2CdeNDu2N+jOeHakUHxxTu7uH7O3PKJm5 +MSJ2pAGNcjhRL6uNBZ554r5U+qoESHRvaHnjifXLRonWLXDvHJTPKSVVC5/6HDFJkAhVEJgQk3E5 +xeHkas+n0U15LasZvI+DBEm605EUUoJHfF8J0h8Qdm4okrUfZLKPhxeIETQ9/i1WbAdqY1IgqWzz +5aN1jP4XZdLaenLI0JS0tF8oz9p4ogtrxkrWpI2uhmNnwWOMFYCm1zv/ftQz6Hf03IbbHStfnEWD +7EyrIzTqLGViGUmNDsb4WzM5cuK6T6AiA7VY6ZeIwRXIoxJaYpaEWbM9UR5TXyfVwPTncaBxDto9 +W6XS8ABUE0Nz6Ijx+iNrlzeTu8pocDQ5EW732qg49ZVyuYnpc+nGVP0qQIfcJwH9VgbhYCfjFt/s +3iHQZllobf81U0LPKly30pgv6SCgY2SPWDGflNKwlGUdf4xsNYCK3BnOPY2aLp8fahe2JOsMWd/x +xfuLDCittcm4dSQYHyvdX5UQhahZat9/XHO4IamkyO6mtBnsQFj6Wnv4MIc8XHzXLQ12PEzLjQ81 +1rc6Uq5LaA2vd7HpZk/VgPp9EqIx95b0cEDegvgvxENZLYAOHiziNm8BTbI954/BT6ZyjcRE0J0g +70uWYKJiAXzciqfadm5cqLboIzBMWMGiSFo+gEQuIIp5gir8vI+b0TCkT8Jgcico9zggf1YKeHVC +8HvPITW9cxPr8W4WpWkELDiOnauqsZjcR6M2QSRJLh5dlZRdOaiEPtnZQzWKWXqXznT7bzC2kJrk +QqEpx6Zs4jcfFM8h10rAljSNzKMk1XVf944w6yZZHHUwcbRL7S5GvGz3M7+hJ7En+rpAjo9DHw5R +4IuU7Smasl00J/fLW3zxmrurQ7eD9Gy6p5vE2R3ZFG4tbRZdC+rzOoW3C0KEwK7d+W1i6ITnPehG +jeEgNL5bZ3xKEWiTjUcXh0SCitGRF1g4UldNUe4drAIjffJAYGQeB6jHEeAuzdMC6N1lZ+v/Yl3z +ihpP9WN8oaObvKKbNRB+l+fRQ4xGMAsf+lB8f4uyrMI1Box0JSUAR6xhujt2+e2J5YgH9IHS6XFG +jzvhQesNCT5cSf5N1H3fIT5dS5IYjfjng0MM6h/qR6KtsUUs/bAlNhjXl+E97hlBaOU/2nQtdd6E +3QKhDpusGbdnzOuCXVxdGx8nRsXTqN6sqy62/UO8ogoMV7CiteGnDkf/9yz2YVOn5hzwGP3bOwwr +d8/p06T6qE6YwS25R0s0d0fJPX9eHT4RYTfUswghfKj6XWS/B25pUlWbVLHfNZbKJVOWAUkcHlF3 +zHi2/dsQ03c7Z3GUikAr/NgIPrkF1ugnpEudjKNTBPOoQHxB6Xb/IzL+BvfzPgex1/cok6R3r4Ty +ByePUJeLYLLayk0o4s4YLJGrOoXc2Rg1/7DtpflIscqCAGZhUjdm54qrY7ucXMeYUNhOUJit2x/R +zrF/kDKFWr7a1EAC9xgPGYXX023ry1KDvNbY102QpcGLxlkT+Yi1yVxHTpvT7beCBPV6TJ1PKxIz +YW9rnQwKAp8k53Gx81RF40k08U1QaBKyMxL8l9SPNJqLH5jDJnVcU8PFmljufQIo5tZeNQSmQ/8r +7kbIwjo/suQG5WByBp7dtrfAZUy5vwrF7dUGbrBPv0GEeracTwfIj/iRgZdkjzrChVrhVOs5xAwO +NhviVwMCzXAFlpKFF1NvLSu4rHZG5VOW+I4un/r6epZjdi8IH+JTnEBA3qh1HifVvHQx5h6mxvL2 +oRXwun72en2ktY+GQTmrU8g1qPKrQNYTgTgd1N/rOIO3yMuo6oZtguVgfRmB5tWuKcA5tQlRSEbX +GBc4Warm7l9OAK2o1DWqp9FWmD5+zBTConQ8EUknVejLpLRwV+Jy1kX7gfZjWI32OdlyZ/sg1G1o +GRw/9R3IsM1Y+r1/avtlF2q6agc26pnONLyYfJOb/q5aFnMJpqtIVVL2F7yBMtczjtPLqoQzWKqa +QVJDyXz1tb8BZH9lhyqdFFhZ18ivxQAaIvNNZYK4yzQDaTvvMhPLrGfGwZWfy58SGEUuer6GcouO +JBDIDQeG4t8MjCBqRkgGJZBN34Q+eQLBD5xOCBxaOk3KQryuxIwfsbNHzT+tjc1bAqvDfiEey5pB +5juzHihnVxSOORI/c4lpRzfA983i/Lja2pry5ueAUOy8zPMJTnFXgQdjynBTtu7XGOOhHtm7GvhN +AzrcIQ1a+jlKHYSdRDI1ri3VVFUqcueRhkQ+OCvS1CTARnbh+g3HHTxeghOpPkHng79eOW6drX5i +KPf/I/XNFMBFCTxcSmsw1UXRkWdpDZe6F+Axjp0TrcgTbv3iHA8krEonr1qHAc26+6sxcGHOptrX +45dNdHrPY5RJnkAWzw03m4EFke8HZYlBgRQw6+fyo0kLb8NJBsw16z3/++ir4KT2lbzu57yNkjxO +Xg36IJ8/Q5SP7DeyxWYGrqhuFkm78iSvXHHvzGMqA7S4WXBeqfoQqrFPO4dEdcYNa5+gYKF16A/B +JfsQ+ofsTcHTqTrdfssVWoYZALuIjr6k2zUpDrGd5fidzNN7JSvCdwLEBvCZUhD6ZyjxUe9Y6Rkz +2ZltJf/xaA53kNGLgQUdTgnFWMSLqX2USvgGSshB2lNU3OoBZCJSlYdY++SnZznFw1dYAwspWhiT +ViTd0vyQQnO3EJ930JyU5/xufsjykrrFTjLz7nMk45JCutV4DuQeXrHwhzHZA51VWdNhulNBMWGs ++nyC3zLuXglZs/aycy29Dz6VnVMOcUhiYS+1c3THNrXiirRCmV4ZCMoSdNVxUQI+J+MUm67vT3zv +nDuZMmUlOwxD5itBtA5CZLl9mJaW+Jo/lIqsZfE+vr2Jmxz4FgN65wVyXwTq6dJIdDtNx7ygoXE3 +rIQYOT7L4xDH2PvGIGYLmyOjHODZOHRk3B3K4X1ADUL0piM4TqZ9k4oTFCKoP5Sf54G+mvQZJgqk +VtTjpQA4GlE9otXoKD5pVlbBu/sUj12C64TXeQMfpKfKd/qnW6RD0JxcA7WI3BLeJPSEFUB2hB7o +v303nYTvuiaSWQHcF5ZLOLSVtRe9tT9Aaf+zF/WTio9KInIdOtQbciKMpyWPlPheVv4wYmo/wUKD +cQLw2+ENuC1Y5P47LknuuVZflUbq+/qco+vYNmpYVVOiSpdvU5Qkf3Sy2MQIcqdzDjbzxSqdYC7C +ip8M/FZHT7kckzK+WNzeGDlu5/MqQ8sTSx/yzZDx6lish+FEn2O7LS0e7An0uZvhDxl10BYv/a5k +HfYgbdpyliuoo0srZY3483ZTw9wvKnujcav72JPXMwbovfJ5aPJMYooKtsZPKBiotv70aIcDrERO +ZvCZzJkA97kOoRTlM+m9iOd7D/KTbcgmPmUwG9p9mNTgEoxXyf+BNUnEBUnNX8DPF0nKY0mgBA7o +D6Bij33uEsmGdcRnk4qJ29TPloGP6C/mX1nygbCWX6cUAn24S5Rg3RUtpW+xH4DsNhXcIcQkEuIk +LPlM9sJ8zmkQTNk3o37zra63jiazO8yfy5UUoMLTVgQNhsev0hSKWvvDbO8DuKUMw4kcJW3hFMCV +jirhfW+tWsFeYHFsLnnUjdKMpjFomYPXZHY5cVGj1TjzAFu/5icqLT9uw0MTtQQXHnuGaVF2LNTX +3n8zLvGPz5xhjGCJ7tIAkPDVkgAH8S+lnfmSpjDZv/jNBmGRECAb8Im2OwvSmckvZ9Vu8BDFJ9Sv +wdKUKXR5sYHhwgo8jXbdpSBnbLmtSl/Gd/XHtqdhnxMIAHov88LO9eNlk5rhiFIQPX29U503SAUd +pU9jPS6LPXUokFEV/a3qPRCPHS5RNkwuYlXhW9r3yJaWK+WX2pyGlforxo26xBe+71/b50sCnxVB +JbPz/5GXiJJpMspUpx9gXjMv4qD7H0mNVCPzF2JU8KD4cwmAtg/rNO5dYRCra/r6IkQkGguHfzzU +MeOeAQIhd4RY8OAVW+mch0hgJRrvkSSpWUhflDFBBEFyhpSpDsdoqqvpVekaAS+mUuBtVVmU0A8l +yGeQwC0yvishrnXs4U4o88ahckiWEAG4+TgS6cbDqCHBNs1LhtwhQ0kO2vbftJPsAsWNxeRV6sZ5 +mxCOx7g+ia/Qgox/QvyUxmI9xs8ElcbhU3qOOv694yFsR33teH3cCBVVSRw4YTbw980z1olFfDkb +c1pEgIT+Q9Y4VgL/FgjgZN+O2/SPOc1YNDGpJ8jvwMsDW2WrrJwGSB+KbOkVifD+8jej5tidAcTX +0yJ5G2GbraKHLgVdrgIU3gLSCbzUQ/01Qe36YGh035xocAV/79xYCaO9PfIWcMrO9OK8CiZNbmBo +M4WzJA9UUbS0o4lJ6l2bVtmQ0Hu0oMqRrTlfeRtl6uVF9Vtu4rNBBE7S0uay/KPvfsa3JGasOYXp +7V1gKBaSyn5vkDFn+fB59X0vr2ny+mwvcaOxLYqxOluGQZVuBZ+/9MW8JF6SV+BHm7ttdLzrGUPj +K6tbhhhbRiKny502k7Ijqku7lYLqPTXUbs1LPo92cPJlAgYg94CHZ8JHwNqyL+R12kjmWVuCEEkr +7GYwdcf4QbUhNY5CZNfMXTdKs5hLfe0Xzg5jjHWnPRUwdgv9xq3Ed02RzTHZa0gHlhU1e1tnfw4e +1/ngRA1z9rKUWWL1Iv/M1DXznYqsxB0ZTJdA4RptXn+yoOUK68DvP+usDd708/ldq2vUNM38NgGR +oy2u1h52nxpb6yYRNDYe8ac5A45JbD3TgDUD2zrniu7D6Oj1yFSKn9Y4O84Eb8bCtnzaZT/ixvcf +NPmZgwTEzRXlvtpsCYnykMB6Eh0QrGq2HPHn1Miz8UuKeaJjwR/HnrAGnwr+UmQgNoSfwGef3urk +UbBiRD43R/AdE5Jymt52z3IWNdWWgVeMKJThlnufAesQsvxd/RzS74tHEi6A1VSF4ktUG8Q11opf +f5Uec0ADoUOuRd3vye64pRbbPODguwftBXDjkDQOkQgfXf+DN29gLuloXejG4r/na3Qeh3Pu8P5I +aFtbC+BnmQBippGzEwx9oFpvIUQdO89MGDLKWzxvPeYOuxiTarNSaDRwg7dqcnTvU3cSHp+p/vJf +VBRq/xU5ME0DV7u/Yl0TVfK3B+3s1eZrYZwxxIIVXxFqMqS8sEdXgONN3FtRQA+4Br8De92aS2Eb +cd4YcxCxzrmq3iRPcpuV/lugQWDuIHCUhbKrK547M/MuCpzeXMlDXCHm2qmw6wbRCjlsIG5+v1y2 +qgnbz9njU8EbTCsUqG66KP/Z1OJ2PW/wbtzoEI8NRXH3321DAKROp5Qut+3DQ0a8ONHtJjjErk4F ++fFxaePhAqN7XPj7bsedVmYE/57vL3WICYUFy6Cgv7UPx6MRuegTcyufoDjcMuklOZucKXUxLtTK +OwdLKdMRm8XJQSEufuoeoXXpC5rPR5F0mCrHtUH/IjJS6Pq2dcQq4KOzd6UGvJRNpwuZwEhcQM6x +SU5cVe1uPTsSc7B5RcABOrAhYLg2101onKkoOj8sLPK2bvx4K/usYdgYTpAqZkNd4BdhtQxwFFDk +qGCwgUKKyuC+PY20kXr47+xoNQOcnR004BxCEPAqUsN5aUvL0jHitvVKD9+UusVDZkW8gaN5sy2p +XS3VSWtcAmtOntbp/6dGJuBgN+wXJVlOBJehc2SGYMBqzz3f1bJEuvqxbqgQU9NF+B6FoxIrkZQj +VW/wi8U7dAwvBOCwiztxzbqoPZJ4Co2bE0aOnlo6qs7hb/7VTvsWXVM0rH+xonu7Op0IrCDS/LGT +w6rVJSpy2ArgEnWFjsT0ULoVNIq4AqG8LJtg5J1GPHgsc174loy3LMAIiuVNWENPuV4yhCJuNGv1 +RDOW7W5Q63lIJYSVBG/JzrhbxWBAUZdFTdCb0VWLwsULwi11QGQxJpo/Dz8Z2CsijAhJwHr+lyk3 +73x6SWkvAnYI2aTHAVdWEAq/6KbNoqt4djuQeaDwMeVNTVpe1eKxmZippB++stImF0LOsI1HMsfQ +uZMhtw0lMJNQQmrNdFysNL5drcuV1JGBa8K82a6Gp4QpyAwZbtTbp3NBQeCxpcyG8MTQIjFdAsiJ +8fu9D+GhocoJmpvxjndime/9fl4hfbvtOII4wkW9eC1Fh3XIClF3WZeEjraPe3wwJqf373bktYTs +NpSVAWsbIiytZLn6hLgsrJAVzkZtyHFywM4cDnuw00YlUG7fBJxtnAobperYsukOkgxpAVToUOED +9cpa9eYOTjNNwi1N4r+ksqtrfRzo79BtHlYd1XCPHeF/bvBFTVsRXiNacPuQWCMKMHUpAIdEkMlQ +NLZmMqtKtzI9w7b77Z9b6YYw8ZG7ZJuyLYpWf/aJlPhf0wAZb97xlMTSkzl5AxGFvG9oC8MMNH8s +cXDYhqkz5ZUxZAWBXHYQw9LM9cZb8iw+VdRESU7csnYHA6xZ4Dgh/xDvNR+n1bew/d5GlxeMz5Lr +ROqcWYlAG3cmZdFYubyH35neQ4KGLxzmbnYayW9LOx59iNJ6mvNYb++lkXZzzn/+rywrRCf0u5hl +h/RHSlfH4Jj/0l+mDVTftgG61gofihwOhyonVFoxlZZ+PJd2uuc+Rus1u7awOsmab6VPidCKtFSm +dUnSexTG3wZHd4k+CaYPl69p5Xf0MKC3xH45GcS1vVZjsAWw3nh/bFO9NHmPcyCi7Wcmb1oecmr+ +lwtNtIj6dI8AEarM6MNUwH9jr3VNFG+o+hpgKtj1Ij4H/LdnYiKW7rrr+D24zS/WKBeyFyTJBa4l +cuLnFKZkhpai1JiW6hxKd6egbLFLFWlQYj0GrQV/W9q1MDcWMKDfZkvvkPqKI5TE/H/2NFFb++Wz +re6EmExzFaAlTjxS2VamZQFUa9PsMkoSe6FBktufCsFmb1L+LfunkYg2+NCc+TPVyYwwNdxibOZB +1vyVr+ASK8v0cH+4pb7EXQ+1cL9daiVO+lZE3rMBlGR/++PC7a68VZgFLvrOa3ipiFD6Q7WNco/u +04tg3WTiY+jCeszgpI/oiVhnEWd/6lV9kl1micOgFjHeCCaaDoFavHiUvxOv0K48RRFtdenZz1V1 +8pr0SNnP1m1EFSsXgrw44BmFjgRTElpIm9UVFu8HEsJJPeUyTW5x8/rlGKxNq/SZWEtX79QYh7+c +seppO3iqKRcDm17HgMNB7bJwvbKoqArpnbOnmxgzIty6THBEpPgoIy2Ahs5uHDU1l5w5ZZR2UXD/ +OhKSinakcHggLVj2q3G8GtOLaIUQrXR+0hLe9AC6hbLhju/VTLItukN+8CUOkq6DYF+mFjNklaWM +rjWqgXOWO5NmUFWx3NeGL+1JURwdf12481DMYs4KyX1nQOGNj7wSA0jBNSMRRzU1ABHbxPObMixf +i/id3ykhl+PFSrIlHHlSpBDxIqy4xR7LDeuZMKPktGNXdPC5n99nK5WJp/TrJ58i1qF8dyqYhvzl +K/BeWSHg8XxDRA3eC/8x1bdZFPE/RzVw9dqhpamvhIHld/W957gcl6mbDQ8RSaOw60D9rhAfdmnW +ztBYkCSDp4p3pcSUNacc61Am5I/SizGWug1GTeeSNSdUk7vot7XSPY3JPYtbt7k9oISfH5h8Kfrd +CVsDf6ZsLDtdT6DqfdMQvWe12LpZ5fAHtg0laFbTarKRZce/r6Qw0p5KlZJ7AQcA4x2/+OtD8kMr +y4TbzcUTcVLGY8fga9SVX2uEBkerkLUA1JL8vQC7oGbmzAcWUWqyOCc6wE97rbfG1fPzuTZsj0cd +/nZKS9jwkeZJZcK/DwiI5yoC88vfyjaG1rMdMHiWH3+0Aue3j3zHWPLh1xVDhCcZL3mpu8TGU3jh +Kv939X7/403pypm8fzToaiHmLBEkenx4oLJN/xL6i+eMyI649fAr3VrIdAZG14YSqTxU2AbLe8u/ +t4/Mpk1tsxiJ2DHgapLmBvElCQ9AQthVI+ZNd+fEDCxooNJd5xfchjW+wV8KlZIoB6HJsTS7J15m +U6yllVed0kvGRylD+FbPMb3E/aRsD/U4vXAESgbVWSKXOquF9wxh9KOOpyZenXobdxcZAZLs0JfO +IpUqMMvXacETb/YE1OyQoTOEX5glNz8o1Yd54oAeDwBQsSmBIrtqB7VCC71Lm91REu2g8+24VE+m +ljGmK3mNLYwZtW7crwqYTdexd8B2+7ykXUQYh1PGXI+X40v05hQYykne7zWhtTnjtDy+6Nryfjnn +uHM7CGjXy53VfHb0KtZ3LP8N/4ZUFeIb5SjU4ZoI3LPEKT7/jhOH7Te5mtY85gZPzkTPB31WTaZC +wNCViLHFLpiosJ8/N5NINTuGOJRLQXpILRT0jHDsT6sYirCVeFDQYDKlQYNdQAvdS6ETslHY1q/Y +GJYICGenrudjgrRIvHrxSSCLOLvlLpyNYa8gd6srQDf7DdX7GP21QWTJVMgoILN8x83twG2Z/87S +pQ1jcpNumG1gJnrmO4A4fmkVZ8EvZuHR+ihmoqaxqDjY8Z97eUU8C/J+Po8RZMMrhZEe7XMSl94W +wkG0fQxzAvy/t9OgXtVFh4z0QAO8RjtU4GbTUyV6ngedYl8ZAusntOTyVAZ6NFcnEjRRxcGfVJAE +gvGWgaFGkRdODOQBUW957Z0gWy1fmhLsXGB3sxArBzicTWDiKybOaDwZFp9jUO5MaXk/JVUGitM/ +NZVyKEukjqEwtjJZ/S7tByzpkJpj4Exk4aTEUfRtRSd/7ESyMoeej9Jw3eY5x5jPpCcKMtk4N4OX +1clAZAcFOE1ppBnIV66IWSitkKvrM31KvWoOR62IorGKEllj+Tt8RKrdpOreoOIn5Tn2E1VEwk9R +qiYroMCq4YuVoxv2IGLVSYSIomoVVZAog4wyAd66ntB3Ua3k35+/s4OjvcP39513yxaAljmCbkt5 +/ncC6RvMTRQ1x7vxbjt80hrVXZ+eoO5XX2wJoG/98I9I4Yt6Kd6iAZ6Oc+m3tgLBFap5OmMSoXUT +ne0PHcQX0WnI9MRUfW9Zt495/7B4QwbZLzErWzfxZEHMGUsIekFxe8n/SaF2RRakrSohQJIouD/a +CL9x8b+/2ruI04nywWL1yLAS1ThbCyDI++HOthkd5xkJA6ZGOBnY+A86ChCBP+ubsS3ZD3BTldy+ +6eCP2hcEt0PrPQ10TexasKSwkbMSAFUzMdmO+Z+EOmLO3S5UiNoI+yTsZBcerXjqZXiNMtW6pAIc +EgXR1IjB/Wmuok//FfhfXKADCLM1VbtYkYY3aZoAkf3za/wBL6s+Ke2kP0yfFh6LZTww1kL88Wea +zSH83RW4VdNRj+XYovnKDx5jAAXioHJpF0RJY5Q18DyUUbvkYJaFdPIzrRDMV+DxNPP/litzKXt/ +Q0TYW3Q/53kClHokxT2SyNtWcLciox7WsPkTGwmg9aLKfwj6UaFM2EaXG+wPA3b9URUn6Q5iupi/ +gSEdBKBR6Wz4ebZG20A/CkUt4mGAscVIsqUQJ+7rsK011mo8Mj1Ji0QHxE+EAop2E1V736Q/nwcS +EkgDYGUHA1jSmWOa5sIX5aZMSl847JOEySGT2pBVXuZHD/ekoI5hV3XmNXml5EBhmh3gCjwoH3FT +uWi4W1+7BduljajeH3D/WL/zEfb4TTpFGmrywaiVH2K9JQ34r79Jk5181xJi5nVTFsXPHfPCDQOV +VYhAOG0ciWX0nF0PZbCfLbd9e8Z4qw7ifVqm6VaDGgSVELCZS8InWRtUtflG5Hqlte+VZIzPo0h4 +DPoLN+tSgYmDETTr4w9aUH28PJcdN2Nh7Us2mYLGCX4Di0q6N4Ns88yIYgGUzI5fl17QkjF3eiLe +YQCB1gxGH6qvjlOkn1jFjI7CuncrDfbVTGo7BDSb0+eB+KLqxnw9XF6AkMkcng4df/IPpZdhPqrt +M9C0bwIimz0z2RDaslrq2nFQtObCXLYoz4hrYi3xhEAjZcJ9kHFhuhsJ4HeFaUPeyvsf3+rilRuC +IWcCALpaiTlsWa3Vwowracq4yHGbXneyvHoDCHP+eOMf7UUPVndRKkjXCSecWtGO/EncKhBSB9n9 +/qwLvyIbADJ34nftYFUVbBlnofrWuDTUquEWPGDQJxtfzSKoxmXDnr+DKDh0Qdq6ItD8GLoPsG6d +Qv347wFxoCYLNWDYDIxsjmMDpZBcpMGNLkUbZyMcc6UVWWzyF3NTEGej7tZc2awanIk+xssLu1BM +Rc8ZdJAO9xBwFM2t771XVcKZcKkV+48EKUEJAo/ooxpTRAPJ4slKZ9VwvKD6RIhqAa/efSIiM/ml +FlWgJd8D+iRBgNTpH9RF7oiLeRDYBOjkfj+I3UFOByc2jVGzbNXk0MNnniT20aaZc1zbdLSJcJs8 +9YYYl6hzUnP2VK13+lMpuXY/KLRnbPDFh0A0OH3ERlslxYbew0xtxn/cSHEx47LkKft8VtK0dg1P +XrJElhFkhRtBZXXOdIsn6z6Du0+q4gpiCYimI7HyaDwXwXSOm3kMJOTwFFokA5HsusV5xA/Q6Y89 +5a6nnZ0scwuFOu8xUNktfAJhYwaI8oAPSGq9rpvWmTtzvadjtUE0P+rGf9F4aU6VUkW+lMDNgimw +2ETIydjOVi0E0MK0mO9aoaWxUgWdwLWL/3I3Xlh9eVDW21lB8o/EZ9yoM7r1dGyj+eSe30VUnaJn +R9LR+SAzSy7dXGO0bblvT3Nz+ilIXxPoe8aWg9/8HfeDz9XJdzkSjgDTOXxCJSr/URjdQNroER4I +k4Vg5iknvw297aI3+HMrQkvNA8GIfc4rNjE4D7jIQkDx5Gs71KdGtXfZs45iqr7QjLiURAVJIf27 +ZAD2L+tFrK7k8nGQRo7RIbaKyiOuuCbgoaNuaeYSMcU1Z8rHG3dq9NFqtwFF3frHn67aLnoaui/g +uCVKNm/hktM75FXKWFPOtjLj1nCgp1aUk15VIkQg72BXSKnvmNxw2cM+OTbpMor+8zmRUApd0uyg +/qBzGTY9m4J0AlgSzbs2hJuT4L6Czc7kgd2zWeWfZicuoyqZdaNNEVxWVRRQiWO+plahf8ehAdqa +8beIL8IsdEyX2G8gleXfQ3hLKzg2V70jk1NjH9+lvcvebZIKzLUxFAoc6O6c3NsM4+gjVHvD+PE2 +KTSKa3e7ZIwRtnKIfHh3tgGXNtwEgANgeu5j/ClCkkNbx9It3+OQ+uvjMPlajfhRHGSbM+Sh3aLh +oyelRMQ1rd/WhCwHWXwGIpEF/2/zkZUg0La+JZu2MP670BR8v+bde0CjvnYfklmRxwFZt1nHbliN +3LlyesDNUiH2ABbfQgw64013vYkHHme66OxYfJLfd40L1DJ6TTyq0D9Dw94nHfUW6wnJr91P6BCn +bC13taHE8wTGqpLxO7z9HsR6Ce4f7D4XwuURcH7z2EkxNvnS4z6IfTwZRS++Ce/7phZy0fmkBTVM +RtdN0mOj0oSyKzNrzQctColBUe/0PoU4deYPyjkPSr5lvyU2Y0PlVDm8h1P0sRNsXwM7aoaYWmmq +7ZnjhnhQi+fZcSyNhF7z9NjrHY+SX+WdAHqJG+UuArIuXJm3wpBquq3zvrJ+kkHeJzQxpHvXsso6 +PixHNvYsWYv5/M5CTE+TT3afZWKDeQ8DNQ2Y0EL6qD+rQwwQ5Jyzj/NDW8Ju+neQKbyDatoK0uuy +QPR9Yrbl+CmXuhIQnZHRDnAsbpLEH/L0qLAiGUgxhGe+KbgqGPKNGWkl4F0WMfm1QmmDM/RqFGfV +avzAOxtb5x6D5bCnIktX5+NNeEovo9qzaO9IS05TkRvtlFA6m/w4rXwS7+cTqHSc8e/yhWCQWpYC +lhuJFPCXNupC2iyFABwZxS4NIz6rqVavKOWrLRz48mNQxMnIkxbHPxyzS02XjJAXfBMM0WG/hOMg +iPFczBf4OLpbLvJ0C2ZnjjmSJt+NxHlYWutTEIbgCTMhPF2jaSS5k6rNT0taJmU+0RziLI2LxeBk +eOE7MUfFqJ2p3jCBSDFsFjSKnEJsucFObM0JpcwxufuavDnpqYi5bX9i6f1Ei+RM+UK915gDpGYE +guu/NE+n4Uhi1brbh4IMy2aZLRKwcei0uxRZFY+qs+cifHEBivLw+y2oAZznvoD2FURG49qOq6ge +xxZ1CTTDNu49wig4xj1NStIxAY00yFQtaV+UoE7khY+TBG3y0S74sQqHIBjY4TPU/YcN+OuGRGOV +vkTdtH7HDPQsXyo+OMsLCZquqy9xOmRNFlqIxjGLwlqyvvI9FnLzG/VpNG4rNqointAstR5t05yC +fyJFZqjMPryDjlSgHaM4CO+SoxrCfhP/kufjJPXU7Vog4D0+GsB2SIiLeVKNML3eYASwGPImcmZ3 +NH+DtarHrCMyqelRTRElLcxgMfJ/UhbbWPJsiNsZJopf6v7vnH6A7AQKgciD+97jIw+SO0TKstoF +5iwOub1FcTxbSRpc4tLeRD3zMJG6mzlOGkRj1eeOwMwHrvv74C4KJGlCzxy1gEcp8Veq8oYPEqU9 +o9FMES1l3QSPv8cBKz3enSwitCrZunxi9/wtFvJtD5ppeEdCgecvC5UTmrDo/YgG54+t+T5vFWCo +YRi3o8XjjxZ3XEY3nRsg+RbD4YAIm/JnDoPFai5rJSz6mXVQ27+zLuOb2jAKcMBCV5s09bTyZmk5 +gHYspb3lCEJtg+lHe6FZ2cXfCbUtQ23L66+VnQ/K9tN+bm2AUAIU08Xbe6ocZy7MJJkglJrRmv3j +yu0oXB4WRqayHfF8cnIqlrGEhvI1xT98/KPKqk5yxtSaxMF/P88tpvjvQO057/Lkl+uWJIWHZwEp +Lt0k/hIZWJXaDmtGOWp8ZOBQqn0DuCthvzMdfNPLmkbSyZvRDaxWwS0PkxpEtxsa6hqGwU5wWwVN +x5CfpnSASnO9ZGJk2Hsz2/JCUJ/jzm+a+HaBPaAizXZ87tHg4v7a292QuECCdNzG2SopKT8x/QfA +PhHnFnPQ1I4AUPNLucqAzjdxgd9wrW6QtgdGExeliHDNPpYyeLAHwxRY7mEpeuwkjXRT5Xp0F+R7 +osf8Mi5Ye9eKQVUmHf8g/eKhRqcqMs/59ZXbu/rqM44mDa/RG/Viu5FNCS/RxIeoerq76lseVrGg +H0FFUk2DdsHoeJzNPtsQH3Ggsr+1plvEEAlUfHb2nlLvSGPid/QEJrOdz9kpH2uLKxc7PR3d9BfJ +YnNYHi3Elo3pYzSUaCfng3WDkXxl657cF9OOVcBVLfr8lj6ck68ydh11GauaNLy4ETXjZ+6uAXPq +vMRDbarq2GsfmZZOOCrW6wgJtlQTSN7ebEPr60/RlXFZ14etQSRW/uauJ1A4Ezzg5nvKRCIY+Nbs +0hkw+wCSpDIPGl4giRJJP3Pu7ZIxyOVRXcFQGXTEhtbYbAGIby5nknM4hQITtowA1hdWGz/AGr4G +NLS0AMjhEpFfMxKFrg6nyT0GAdavqoOxki2yCgukJNPAa7ljur3g2j95qJd6sLZnibbZbYLqlMF4 +ZY6UsZxp4IN981V/G+/UaztwB5jHhGoSL8UpdmGVqPRPu4y4tDBhPkmVn7F+1ShRQYjXB6m7VmqQ +c3XnS4axe+L6z87x19jSLDTESp8LGRHPuJi6qaQYPb+VIoPq641a0tinFK0dtosYEz/TI0X7kLSp +z3e0wgRSdFRTMB10CxJRFOJru5OA4KRJWQBG67ODN6Z/jEYQ1dkxsRE7zhun9sX8ztJgj/kFJ0ne +8urMLg+ft1O7wXlzV+d5P+weV/oHwrO/8etlF/1VIEBWlFAd1jplZLw1Vu++GmiM8jaXRjVBuuFr +aFMRdyds3Aie5QEzdXp7TzllFn0twSbMQ7Zi3afBeNFihzwCXBpEMIr1p3HA7qZwaKgaEk6nDwqN +FhrF5fXEDvrniSWHfDpcha4vq3ct2UjoA5ae1E/lMkjii2E31hcjLnSYfXkEghQAutW9EZeXG0rt +MDfojvPKWMN1xlE0mP2A2lPpq3tJNZ5VZskORhOkboWNU6FZABfRq1isF+DXI2UmGrfdBwfvJyeL +10c6TS0rCsQuWV01XQgh71R2XTN4QMijX2Z/P9AOQT59h7cbmg3IoZdYBtlZHTiX8GXrO/lxGLU8 +cPeb2izzIEiYw0X//6VSzqxncC7P+hWy4LogiLEe833EBDuk26uMBA+mzUBmk519ar/xi7ThAl9R +KV+PBXuLthuchDQxLL8eEqbgHMy78yvkGnjAxPAFjuhTG/OUxQv3ZyhmoSp0UQdU/J+uXuDIiWu3 +xXzmodYoWWVfMuYOMLNHY9E5PUXlqNPzuzn0DeHWaRzYkU/Rrk8yKVgEyJE4z4VsN+Mhq5McTv3y +PfLdEr56YZDsVwWnT4a28+GCiUEw+SxzJQvaMCmmDwzPveudrJIaHjrlgbG8hqLBR1OR5NXwAdo6 +iVFRwttLaR09xkdc1iROnDbTv9/KIRB3ElCU8UtfjhNHpu+ZV0ylteUmFp0dE0BbzR/bjuMuzcJO +lE6rZqqu+Jwq71sM/1ku66TNVaFsayXinTFH6ARX864hAsJsfsB5wQ5Isn2I9PcGtz1BczWf0xYN +f857xjrWwu+nCbc9VM02jXK05OoBRhroigHVg43LvyLHxpP7B7YGaiV27sE/xAvRmm8wAwIbJ9ee +xJrN3gP6I/UPCVx86QAdRsYrdaoe3LqHDytwyOZOoq7ImwYIaO00KESm914jRg5WEN+7uI/b34w2 +yAZKUr9v+5t7ciwIDmWin1HtO1xuoXyPSkTa9WQubnoe+Zz68CWOHgYBj8GNm7BVMTv8u5zY0KK5 +YRBuNCzKCq3fJWZUXFRIGs3gbibnYLI6GlQgdBg0z0VVblGFflHFhcA5a6YTe7Ulow6y2MozMA2u +YwIt5tuq3nzeydSDgX5gtffLwbD94n4SocLggfYZv288U6evfZiz5m7KslT4ukBSPkiA56exP/JY +uIMjjWSelvw5eFQVFl0mjUhtUP28bclFpnTukVnsFIPP433rMN8pV6aQ/ho/vZ5chFU7MFdYQexV +8FN6AmRsoekJYlUjXF+36WVZQ4PC6l83/SWlg+y7DI8YwvjIfo3DdfRW3r11Yqk9dw9VjutSPLkH +rdKuJb1zmEsZWJk79wDw8KMbBASFVYWI7T2E7z1rqt4eaCi1noO8idQJ6qTWnNe95mQQknk47cyT +sIIapKqyeAqLK+Zy2tEvvUI7pKwJhHEjKw97S2vfIteKA/5S7Iiyboyn149nEuPw+rCbJp1BpTL9 +qytayPjaVSuCDFnkRr9KBMcxbVr9Cuvu0ox76SnW7pUVMiglf5VbXv5sREdu+nWUhzGzsGk+RbcZ +7cxuIqTHlMwGVOZg2NwZuW6UNf1y4vwjEkWskJkk/XlBHISQa52q+VLY5lTFNsL1tdBFX0uGFho4 +AkB4p7+IDQpBrGzhnGLipMs4MjvF/box+rh5CTEsjGdDgGerHtKVPa5447zqJpZKeliOpRVOlyX9 +08CB7OXFchp+YNLUKXktGVmvMJzx1LQfQj/b3zsnuy3tsRIBTwgb3YTrB/fl/YOos9xg0U2zxOaL +9d8rUDw7hMFDxByYLEEWAgqwBQ6IVsfqqBEk2yQAOLp9Rms2SXSuqrNldwIOCLRXyl973sajrCtX +17eJy9ApQ3Woi4n1pvkggXjmRLXN+widkawFEoBkfr3tasZX4kAeuH2tFHIgvzlU2M363nM95lGt +X1Y4aR0CmO8oPRp8+T+5WqpV/D4u4q51aZGIKq0bujeZSRzbFcrVkh6cmk6RWcpt/+Gj6T8p/OVy +I19fXCg7xwpafK8sApU8TYeQRdUXI2mphW2U/m13juSqLh6x+W8bPB46kZLFfFDKCEH0wT5MeN1Y +sdINcGLy63BhWZUtNq9abqJ+sg8B+0jnZXSWniokaGYAmTcEHD15E7gaypa2W8BNFkJ1C5Xt21AZ +zJ1ALK43BxcMeQdSDd11YkCsLxGRq2NZAEX9Jz2jzwuQyJaaMdMdi3aDf8g3UlfGFkQD9uoso8OJ +TLO2vxXewJfnCF8yNehPh08ooI64DzXz++crxWbRB2kbIOuPgK96NoMa1jVhM4Z1hc2m/8B+JNHZ +Ww66tDNxJm56x79FgZrFOTu+k5ykmNue64X3BWYsFWpesg5MgqHGgZOLCrf6qnYTElY+Bu/6GJZk +eSV5GFfHqjbdxqQV0ONjb5usQ4oUHfgIWzw5MYnfCwXcD2R/TvgmorGJXZQ2qUlTCtW5OmdMgCSC +bU3LqHVkMrwSsVFQQui6TblLe3fidJkv0UN25pNaZ6Nkox8FElNEQJtd79nNimnDHtUuVT57cHX1 +xB82qyDgCSfPcwXsZxD/7+orJAGoDKUaVuEgvXNLoF355R/dyCgD3mjk6h+AgzMP59TB8ZkEvI59 +WWjkV0mjOG3kZ2pIThdrvTTIuKkQTKwelIXahvCLTsLe51Bb/DdWpUTVVOhI9oZNbVTSCFBLlJYJ +K3nPl4ATjbyEndr4WAQ48Rnbrk+ocybuXNLFdNrwVWwwPxjN41E6tBLE6kdDJGTRpJKWcW+N1Lt3 +54oeYjmfUXnTk4hx1OvZ0ZH2LlNZAAd+FY6JfzH0bzqf37kjoo4cCwVvMATJ6r3avmacw2Z1pC1f +YvvPkQiSb5MOwHnC4hYGNtXeRxIEv/Lz3uKRGl13QQ/zOMEp1IPVvtDzQMwCTu0KNUZh8U9W79Kj +Ys8Hv3+ni+hcClAuRuDpeTbSotjGhUkENQUTiLT0+AiqIt85o3rnzmXi5UY9LPBRBFJE6R3BiPWV +NfeZDYQXPogQ60Qv8ustueVw9NeSGvYL6+2dGYMmRQ0tFfqcj9R+BDJN3P5v5LLlItuPmsAFfXRN +xJ5LlM7Xz6RiOVidDdEby7R4uG9NQghSVRlF2CR41MysjD75iZSxtUh1PAVur8GDGagcqMbcTZPy +wSPsXZoVgIvJZ3uaKzaOz8fS0+1JOpvVnI2OyLf+NrPPCI+0jka9i51jRXGWQ40XurJuEfpzExTh +lwVEdC3sfiQVI9p6sxC70frO4aZ0tnVQOjD98XpI14eMZ7YeRDTtDI0JZoI6LoT963ptsnmalt5v +bgxYIN+1FFNGItN7ZzDbhVrOyGOZz52c3AAkiJiq4BWEsodk5OI545jnRZMB0j2AnBUr7EQarTDL +4yhHMVYKGkwHxoPnk6qF/BTcZkusgdh+aHEdYPsFArj3dD8pWT/NqfmgrbZmszsD84UCGMx2LkAA +oECMjLxPjC6+DKq9Som2qVrHdqOlchBapowUEWZpA80lbD/PKuSXD3g4K70kbae9eSJm+IoZ+XAI +adNJTnC2iQ5NNb1qrbBOfdxzzx11gXXsVSBI/pA0pmuq6iwzH+Ut1A26GR0eGkMuPSu7ommK8+Io +mbGHT5zSR0L62j0Gy3BMchYYgv+C4ycLIMhwWnoDNh5TjZ9n0zqll5kbIiednbWjdABJXYF7Jr8q +ZiQBryHv6/6wIduJAo5N+3BoXEjjY6QIf8BMe4KwlGJCMlh+Z9BxfmnSluhKDLG1cnYOkyCYA3BB +laSLVOqRF2R0mzrhnABjnekARvcSkGiPWTCTPbhAuo8ZIqM0+PhldY/LK43pi2t8M/IaNTAeUwPM +gAKCvcZrd6Lvbtc6gARxrMQcWyX3X2V7xIWa5x8qSpsqCgPxdh/WZyCtQ/5O8rYb6I3PAFQKRcMp +crQXHaI6SABFiEk3FemgNaUmfyTbwn1JSnzvl4cWucNM7O92rB66ZJLZu5Dmb4IP+07g2om9L53W +0TSYpMDmIeHYIjznSGidsFDZTjsZolIogU+q/2vVBwPjn92DMV2JAZt1YBKCA4QEj/ND80tbLINe +tKrFa/WqAsoROri6GOZUoGOtekOdPBlix1w7lDWcyDl4RCDjon2ZlT6PAcr1qZtKnxiKwtMvvQ1o ++n2kk3tn/BtALT8WtNGpLadWh8U16NnObWlrrB6fL2XLlHe2ENjxl2ZbnIIp5csg7jgJKzhi2bEG +ldB8QwMgdYcKh9jweKbv+k9OdJWkN44GC/9nI07zJroxF/DUIKcsrqSSUSvCHUi78uvGqQ7WtaoC +gEDbPKJPM3XkfrN/labNQSE0AOWxjTSUxmob+Bkd6CqfSuMxBpweaJRTSRLAiJix4u5v4SbrFzhz +LLI88zjSHXnvrG09i8HdoszvxjF7KcQqoMDux3nrVWWkF7VArJlc84DUJnoYhcml7k/4LjBJlaH0 +RlKOteO9tew58ai4h6MnYx0KUX9Q8BxQY8jTFix0jbVoNUZKq6dhQ4KBVdkDEMk0ZhchDMeddIPj +kgW6DrKanYAmzsX7TatJc6tuZI8Nip9DENAqYNDdOh0Sw/dPjEUyfHtvBUjnSUXAaSnNPSzsmpCN +PaPRmK/J6oMoL/yJZ91IubXW5Js+oXR2SLmtDeEqIL1Zxj1drNB9YCb7Yl87mUQUQP+Egivg2/UR +Zp/kpNuloPll8UIIq75iObV6a9kT3P4hA5GYvjEgah2Dvd9FgCGCiUx4PBJVb0bp0xR+IFV/pJdO +KRf8pxkcos+m4HI0fFJGiRm9j29cAgx1PrNaMzQQsBg+Ck+3VVn9GzHI8t0zL15xNmOdPsXCGsox +aCH+XlMsgHCBEcMGheuNxQbGLhKvO2eDnSnyzmavaYUgDmtH+PGiQm9M1FEGQ9Kzoizq7H68Y5G3 +CD+z34ghtj+ICXN4Csj+OhLjN5VdiWQGxF1QpOqQQVSY/+h1e8NoqD0XjlGrNWeDfZIHdqXk8iQP +KoRCH97gdAzgcAHQhttvFNbQP+ejOPZ2RAHqKgWIhKl3KqVEiwd8iy9tSkc9Mrf2sl5RLB+dhZRl +LRAYzY3xdfcqoC89C226X+7xUuTc36UURTeKBy3YV00bCnGUaf+VQsu71R8nwjmkxux22WAQJPQn +kV6rt+UEf9QoGRobDMXFS/5fQlIuHZsm0dm9NBu5L1Ymf03cOQyne7nuwCqIOYBxx6Buj3kiSobw +7d13XlCcVq3hBaIte8lWadx7izsSAoWdaakcSPJ1XBTB1hNMsfYbOmDz0AMGjcc/23I1lauz2rtR +6Fg6wRU/eSayYy2WksTfe4a4Pcg3amUrXVJ6XI1btH1yPPntXQRqCBr5Ns2LDe+yARI+3zkjQoks +ES1AbTP2NBoh3NHDLGFYxgYJMLg+L5WczhLtizSQQqpHWNdWhgWhHc72EuU82bTBHV/H6zm47v/z +XuDpNXmiXgwOehJFQk+ftqMoN0Bmx89UIBsMvtZ2Nin5plIQYMl8TiBUgt2ruRftQAAzAowrWDhE +yFGoSXVRZwJCgqHPfu5nc96txdhG9rzXtoMTBo4r//nkkw+uX9h7KZpy9Noet0vCQhzRG7zReo9z +yWHFKk9P7VjB0BnJIlfOZY2TLlJNV3OrjR3zI63Oml1lJAZu1pQKPDQEg7SggaBkofBRYEDZq6h9 +XCG2pdnmJSN8j28t2pYl9tjk44Nhrssflgeqb7YRrCNYdvhc7EjHSLuYpyKMdNbYSRQ/SDUzxEZR +uEDjumtRsrB5gqARnpocS/h/4wtQsENYPEkFPB9FEGMTC957ZpwcdE7NL0PTXBRw4R4wWH+U8YDO +p5FzoGtADb5vwT6+Ochr55NdT5BDwgQoQ6kvza8+umOpBoLcAA1vzHv2vUkuUNFkXU3KZyiAJLZ8 +hPa7hl/gyWEoStDJ3x891bD4ht78we4QBqhRuUBfIcUapP/sUTwX5em7mGemAq/ZU5utsaL8U0NH +PkyRHeNWERp0kI2PeY+Pyfz3sMCcxMGoTKrfO85wARVusqfe2A3j8e3+Wkrci+0/fVWZU1N+9TIr +lb1ZYGAMbaUlEihBySIJuqomIsMbOTffZEAb6579LP/2p/8Xy18U3Pe7xkmLZzE5G90w7BJJoPea +yf+xN0gu3xpUEeY9OBMDJreHdd83VbHkrQjbZhDLvyiMRNZUzsp/nmAJBtBPHnggMOHfLcXba/9r +iX3hm6SlUwFayD2yet9EDP9STRPLcMP0gsYu4B/KqoAngkWtl4pe62+tGWeEgGuk4UqCi3rC35JY +i7aOfuNn+WjTXQ1t1P8ThHgH0DIlXcTVhUFAJLdazRFc1YSykytgIGbLSCS1BfUgYN4TbwEl5R/B +59QFcgLRGNdyga/uCwyHM3N+9sJ4Z4Nsini0U/KGIrr8+Dozxb6JmDVORPUIBilOAz/dc7xQkMek +dir6phW1OhJAW983hywZmUebi6qRVdH0wGfVY2xl+93YS9CmYRzdp8BYYXUKvw7KYLi6h0W40Q07 +XmB3sjiS6Hn9R9UnqWHfw53w+8RjhZVPBagy12P1GH3gBCkO99e8NIBBnChkPDz7TTKtlBh4UBdT +ROa+WdTNU6ysy4mtVikmbxDeHYhm78/nGAj2StBKMy2D/LpoO32FLBIvWWJrRKwgetqhZCHh92eB +DCR2oYF8dpF0TcvSFbmibLFuoqFJJFs8UmeF4uIIwDU3m4iYa9U+Ccn1qVNxlcZYn+jzeZiJY47r +UI0ID5SrTTf+HsP6vRfgNOjjQyiJNgAfoG0FY5fLuyW122aqQstXPWm1SVryIVWVOdFYRDmM2KON +TXdu7i49Lty102TDMLBN4LIZb5RQFLYjdN1YNMfACZDCoUG/P4YNEmQPW5VbwhWAMlfovaiBTKt3 +VNrK4F0XvmUBlVY+m+7KM4thFQugEgjCYgm48nJHsQdPpTFBOWAvPkW1qFheVaj8L4itbb0HIjJb +ns6JUqLmWAU12PUko48MT5z1B29oKWXB/9J2yS2UpmDthAk8VrK+8JvW5e4JFi/HG+uuXte2cowh +/eEbE03LtoLTwrbwNKE9pxtsSo82QWDaVn3UL6ExR+I81CB9O+zZ5y456IoS2NpQoAh5JfvJmSeO +mWeWvRDa00RZ7ohRElq9WfkceDz7iV9b8XawFjNUCwNcElXJYcWPDtTjqLfnNuUpw7q8WsprM1Qj +HhMn6z9L75HcPaSWqhthBn+sOXwReuFLH8secfvdC9Wz0Ihl9onXLrl0sUMddPiowefFeYeji+UZ +nA2rFHAwtKGTqCu7zpAW0mphGB4fIepHC3YXAIcw0iEiD4VvvoRy47kAlAyZhQJgHA/7ySgFdHjz +j2azQGsxlNsGAhyvxJyX9oJTh90A0Kw2xrYaPNsIKsPvwHh+QdDk/yqFLb3r0mtbNiwo0Jq312tl +d8t3ExfqECbmBF14AOFaWYwZ0lswCTRwf83LLBGDsojdFiTdJrjFksiyrlCz90GTnO6UIPRjevoR +TtWRbiTAVfB1Hss26yJRsiYYs1BCbfm0iOcwHTQsTEoUGjkCsBCdM+mCrxH6MDb/osvcdIcw/qVc +cInC7M7h0CxNeN7mJcbNtbCOw21jIAT6AfEULZb+PTmK9ChX7iceZ71mwrru+YjjiKlxSr29zGcS +NyNyXq3ROieKzDn0XUBDIYN302QuOMZKRiFhACIPvu4kCe6tGx7Zn7OskJUQLqw+nkUWCYVN0LvD +EuLx/ujwO90ZDbAB+YSf96T5xWRX3p2yWnT8Aq7+yRQEwXx1zrg/3OoDDzBvqauuDCy20NG3Zj1b ++HKxI/LjYlhemWVSlQSGEpmR7pQGDH0ljmJEFrOYzP6iDzMd8Mka39syeh7w8KWyp/BzVXN252Lo +WUmPu+rLiZh3LEwufZ4I8Angim+lgwW8a2zVw6idAxJL37/3ftPuDycV08rmGmP2bL3cKM5Hpa9o +XGYoEeHqOE3cy8jPUGzXiWdTiDy6t5c4J+iXydOH4U04Iiak5L0R1ni5b+0tNMy35gmi9V0ETlLV +wzSt8iglomSDE38nPNTikYxAiCMe178UgGetFYbn+pTyFg4BPaot/Lk5cWgQDBeW7ULyTKgZy9C2 +XPiX6x78Lu9sZOLw8I6zOZUnGtQpGY/70vxmmabYYJJwZTI0RjMARsMVf9mzw8Vjff4GOfk5tok3 +FJBFqQNbUYDMmHeNzc9Zjza8ZMlGCfOZx53/lCT5W/APlgFwFUHXIeVnU8l6G1RekHHqHDo2pDx3 +kJDMoPvqvxfUubw9jZvShCShrnY4SDnTyTX1l6pf+q/gZe10g3JmMbdxpN4jpumXhny06sEPYCEZ +VdzbeaDYMLqRh/ew8Frurv/5YwhXd7qJO7PRvXxxg18wKBsZrtXj2JEy3z4Wzdny7ZuZahtJSNlN +6Cxh8qHf8HEXj+CybEoq6f1KuGW21CmOh7e1eaarklc7XE0I9CMZb5nxEvPvP3xuQsmAxRZEsmlF +bk9tgbfQfNvvOK8/iCu0B70C8/PWcKxjfeq13UyWZXYNlLfsGYnuvT9GNcBaAQziVrlASE7Vn1sn +CfCFakTBAeEwSVu/YT1J2DE/4nJ3rI06kBocP6ucAXxumsXbYND18kVKvcuo6gWKwXgFZIwTNbMa +bN6GxrZXmbOC2UOsS9sI4e35L6IOqvlpODT9VbVoWU7ZWS1GSbUdhA7Bsvjnp7eL88JXq7OVyLQK +HpRJSd8Bj5+NjK0rrFaKawg3z4x5zcxWMNiCJyZAm90W1dVTbDECcX02REIusBCQipTTmRfNgYup +w2u8PF4169NyNCIguUbC/Ch+IaQ1O7oNbL4WgRhycHVkUdAdCmsS4iACGuUXAavgU3IAdTcjjdQy +vPBXxVEX36IUiTDCAvjKcjOqJNjpI/MEm5na2J6EmuB2QR3mson4OJcGNqEGCIWDwb0mJ07fqzoh +ezYOuClY+8qE6kKeLDqXEiWlnh9lEqmfYCOpCobDsOGVu3QraVGsP1v0Tu/VyCvu9aUtPdMEyKV8 +ssIeFIj+6jkLpPfN8qIq6uwwe55UQER+D5KXe75ENscjn8Wn/3DeX5+t4uJFyReq1RgrCjRJAOKo +lUERmPaKnqlM6A7XVuenGkAzpxKMAK7vljSlJExVBB5ywItA20AJB7YoZB1aV5Q/4aQEOS4DAE2y +BKXfvzodUAcgP96fLj63KrRvVO3O5VKvp3LjYqzEmOo/yzOQAJpLyNK1IJ3GVeG/12HiX4n9RnrH +p1FnLR524yJLZaZcIgX+8pcsYHKChQlXGJjyjaMEfhX7skGf/cnkMPjXPIHNS0+/NbpDIgnA6GV9 +sLplBq+f6ujOzqHT/E4tnY3pF6fjwSmfs8kElmVKJMMay7fLl6rBYSYdrc6uWrzHVxGkBHUwRixN +9f1FJTu3L+yHj4B8nxeMGRaGwYAUU5D1weUE7f6jlcc412Dqe21V+H7zEefMDcLp889QP88e9iCc +KuLNgsbdI6KhnOp6ycP2ODvg2Z4YMojUlEBRbobT3ekxv/Um3x45hGHstTErElBtQYX4UMXO2vuG +wULg1Qifrm474TP1TKWkWQqRaeOHmdP5MzezKqUPnQK9ZdvS+GBn649KWrYa3xqyVSuA3wC7DeUG +4gaRkE8+46FmeHyJvgyIipBIjYnnrP5ViACiu/doc6atklSHAhGl3ZZ9b+MKngcsh8s1FfNg2pzF +JIAGvqq7rp2vChajwO0CYq0eD+GUQsHx5slfmRSLNmuoeq+3Hos+7F+Fp0E2sqQIX1SIpuUYdI1u +ItK1lbwtX/QHfEl+y9Fxb1XZYwCcIIuSgzXzPei6xt1SjsHGtD+IaAj1jAkcFmmWMqvXK/y9CSDr +vCZPmtkbrDdRWhzRBBtqXxAOu9zNgFBvPEvGAD/sFrqwbpuf7mph5LaIpiW/AdvdHYOZwltFsA+q +Tkr94itHK49kXVNlD4hy5Js8pLHSJTV4dUB/gCaOMQcXD+LV7/nticCzjPAhFpw22lKLqKQBuEHe +pIQTqt6QReWA6zXysUhyy7GEFjhDueTVsrwKJnJDgLztohXq+thz4ULGXP6oOElWKZOSbMXAo0xV +J3rrDvbsB9CZqtYz6AZEjowPWx3RJaRaEStSIwLj1AJtS0TbMvq46TR0XIj6hulroYVo6mIXn0I3 +ho59gkqMFNnpH/U6du0Zx2CAgweKphyXIa21B89spareA1DIp4Omxt7KsD5+EpgalNszx1A4wZwm +CKdafWNu//DCzNWh+tnAVuHWJO2c5LIjVHDpc9T3VYSSaYe5zNdhq/e8qmMBYvtJq0ZiAKdLW7lN +im0gMQx/Iclzrz3JrK5EQGuUS6QoZ81LMO9lZpFDgadgbq7GI7nFZ8x2NVZIE9MMfvmvENa0vNtJ +x1XJUNZEdxwO6vAxDzJ/HIi1CxEpTNIHDFyNzDmvGHpx6D5xgqzfvd0RMXZkrKVKgUBeAKB5HXtO +FaQ67DeGag4FQsin4W5gu91BVDi35K5vCkRBnfAyu3muAUJV3kB/EdSQ2+KSWkI5l51qsFh67x9y +bxbSCSlndtm7aES4Zbhj5ylLFvIFTiRRaFe1mVbaIxJbsRzvm8GLbYNTPayMYT5lAXZ6XkLeRj35 +7v1WS1oWYpH7i1gccTOk3kvrpJgD3TRKWJGBC2my+P8VTm3sb2+dYBH5f8q3G6zrHmRMEuHsYn1p +HATPGYRjeu+pWJYHYJneEmpa0WLqqAGT3BdJPEXuI58OiAoV3/jPEevInxYqai4ej0MsPJHsNKSq +pdtVQlRkndTG0+3cU2YB3fbgIC9TETGXryD8jAcA9gcmG7pg3DXqNsoj6LJWwKgd8a/9ctxm5dwd +n1FmTzLJcVULckjT9T0Y8hTsoJtji1UCYcyW4zzc7PP1rjHBFTS05/VG02F9zsDfpQUqy0IdEykK +M/CFsx/Bdgqka8fhT01n3qezOH0nI7oiSlBeuQaxQ7qz3U4ked6dZskMB5V+k8L9cNYxTuz9oNDl +BL4cafOc9QsOrthmiVifetycxV8/SPjxbJcc/C8gkpw34eJux3wTTQu5H+TObBnwduiNfqaZiPuA +BoBEtznv7EwXz33oPmGi8MS0r/XBZ/9YUyGAsZFYe+0fyD0ZtS7SiXLfwnhiF7Zjv8UX9iv3uINB +odNrWsgrt83g6P3uvFd8tDjeMUN8z2obDttgi/wufT07T2LLiMdm3A+vevgTisbd92kn7NTCA1HE +C/TYOr/QFKDwNOrS9NlNQoPu4EhUvQxduknXOIC1KE2JVpfIvAU91M6AopJowBoF37A2FpahP+lU +Xce2SmbDjeTtfvMKgh607rJ2m2xrzxBPhBjcG3RPzPSIN1jzgUlJCNZWnZ5XWbjYj3Bz44ZQNx/t +EQoBFOQySGhAdm+267zsvtcrp8QYvGyC2L85GpotHZ/6G5tQ6wXnoZY6OoSGyCHwhXPcz73Pn1BR +KjI6eNaM0yxE8fmtAL11I0c91iTgr+dZTaeArkfe+IlqNE/uTjvxlDeG8AWItUqu/rbbsKLoNiSB +AAfN+ORld3mGU1qwYpjZ9HWQrpF8kqM6te1byv3JmM17oWQzkR9dXTKDAs71NGSQXMLJJk2lzqiX +ZxNOStzejkKV19LOsnLyqBWmpK6oi6o7yuQu4vWtwmIOrsa84cnPMN4FWIDfqOgFpxN/v7aePlZa +CF4wTFZwe+JZvCXEDsFd2yxHYLY5zKCFuZ5eydC6t09ymIJfGJTJiwO1+eBi7m3BMNwoGjnKIFja +6iz6FLpEP+NxsyjjvIwmHm6mo//5f4XyUOayCvOJ47f7KocYdh+vGJt6Xp+YGN1kPkege6b9ZWSa +nnwr2G81QIzLs6v1qdHHDYbbYJh/T8CiFwtkO6kw3pIQQRjWDWO0yki1l1fQ3GAr0DHmP6EeKgYr +5bi51XVhgkdqajNGSxopM03zz5aidPqUeKYK6lF5j3JjmJrOAtBxnF9myN5F0ujBs//20Eg/8USr +RydRLXhagmdhCya9anKR4XGHDMsVAwwu5EBrkQLfLhNDFxBHkwN/EpQcUvL1ew6Nif8JKQ9Eb3FX +FJ3KlCE3ZrVx/FgQq+VPKAiC5RRzMO+/YiWhHtMWI/arD2EO0RJfdeRPnXuDXuC2z23vcO9hMnUr +6f8uDglF0kzcwWy7MJOnNcN/l9p0c5Q0IWjuncby/U9QJ0qWKxpsXR9M3z4jRkF7KQzKRWPf1HR7 +4Rp8U09aUVuanOB4jZXcPKFqDE9b+wzli8LHxctTJUhc1bb6nYD2o00oVFZ2H4OaBZdANy2PuY/U +2byIjJuJVel+I9/DgPd+8598BSE256TE0969Q1/Gosisnx6cQPtyTYVdbM1V6xRtE+bDluTkJLOn +aJruLF/vU2uxofEUl0BU58VdSFHybcGw/DWuQnZhfXN/1KW16DXv6k+uWRB8jPJsq2S8Uvpgen+N +LnnuZ8c+6geC+GYez6iiHnjg3K4eB4Y4VM9oXnXI8J+0f88pcvKqPpaSSn8Mm+MHCBYhTIqrdEUC +HXjA9LBEJXa7AlNvzJjSB8o6wMzjlSL1yflD6pNmymQfJBZSqdj/1UNujw5EPY4CUTLXZorCPFta +dalDVatoqjDT5I0MQ+TEhgFTguxrdFx8RoRrrRsU61PBr7U7fL38F94JHG4QprsvnMoUHe/N0IoN +YPkV7q9JLy3Z07xwYnA/gnviQdXwHhv6bj6KYaipEljrmHqAym+KRDHjtHBmOuGbdNA5/43vSARf +DuhN9GWDMsoFroUWTo/ky7KnAxcJ6PtcgOaCBxbpwsD728u6aTZ8SvqjnVY13eoidAGWGW7bwQTZ +5hfTeUzFJFEoL4OFLtQkXmkDo2uKTYywyOVrnd8z2l+f0Z+uKFUB54tptPYNkAgW5LLrAMrBFcPJ +G82JY7bWH3Ga1z34Pc9PTvahlhBQLYRYPVMBl0KhqD1MVmgEpTC+v6PdFbZmLxv6oK0TZmbbMfv3 +HAABgokAm3x+M6l9ZcmFYTKiS/r3VaY+gFGbnEFJxoeYBs/b+/cm0At8ZzQKXE+xGGGrn7Wdw0uk +jzacfT5tEMrUWJkxGsdjDpwgjNASjG4lsWdERIgSJLYB75ED0/3YKwLsiFhXKEhwSe13/vo1OArV +wm3pRRKIvN83UcfyQiuIzuIeq6Xf+qetnKvCCDxf2p/ZuAXYfhmPKdIxEjv9XUJgfDMR97IznSl0 +ekZyvL+BkgH0DPJl9iYdKiwQ+O61TvufVrh+XucLViO5R6+B3odIMSY/8tYAAFANoDmOzI1Q/nJd +UXf/nKAmebAmhO2M2SS+cBWh5aBwzocB/nlLvxX7ZYMJmCUcm2wWs8i+mPiUbV+05W5lSRHBpC+1 +japEZVPRXpzNW5ulJCFQFofKdHhWSuEuugojeddwHEzlY5UMId6k8sxTKKmMusVsO82qd7o79v/D +UwaLq88usYCyAkxksL1IVwfJhFbDT9vadhmVGBI5aQw+z5GRUMTGOJjWJ0udekNHOXOvM5y9ceSE +1VpUC9r76np/26vYQpyq4azeAwLxoPzHDoY2ee9fGDDS60bIzt4LczxZAwz5pqnx62ixP4LpuWoI +OPziIakY1Dd+1tttKQZbk4JONEI5z+wqKE5eBr9umLChNEikNbmWa3vXlGl18QOToP0NIDiSckB1 ++Tnj9W2xqrYVztoeAKkZeMNMmp8VuPqaMob+Eh6NLS3Cll8nPanUHHSFGL3zYTHdlw+pHQGXQwn3 ++rq5vHtE+U8z+zM2U/FKV4vMBwKpNYpzYsz3+zvSljnyjNIyn9KAFJjmemyjPgw04kI1g81y1v/u +9o8PFd3ZZ5UUWCN3KYsKtipbqtyTGYfpXCOyac0NmfD6VLM1XtmwV7D/oyk0L0uO6W370FClg6BS +Vpp+jOXVuEDuYmhUCUXX3+pJdnTV4yg+LBEJkb4m80pNVS84lZ9tZaiPQijuVSiL0YrCAZPWGLFu +keUQ30HHeFL0i9H8S1YCJkFRKOd2FDUuO+hI8r/Z+LUNpPler5wHW6hMrkjZEQVVEqpzND3fmqIs +o/PtyLRCRYK/bYi6Qtop+rNCqdUd0FpxKka+D4us3BTJCvuxEVaDfEyoi4G16QVdQqg/qTqTTH4Q +gw8t7Qy6JUqyBqEuoTDTo4ZlZRD3wRGfF+ZNnFjUIDXdRBP3P+SivK6QJHWMY9tWdtcn95EFVBY4 +qbWspMhwvF1MecG9bzZIEFW0sjm5dOpkta6/vWwhpEbXpyvw/eu+Gw1rc/4WCIqs272OJ4Wza8A1 +XPrG/ii8odmIkdlzMvTydmlXC6IOEit0GxxsjDH6AOZm0b9LjDhP46vP+a84AUXgq/chJXEwkG3/ +J1uHxPWkaQEJspXYahxSCENn/d8MwhRDiUofMYzRQYYoplXYLmiwaLHFmqNR6Rjwdr49+4XSGVB8 +LXo6EONUlEORGFoiOVXbnS1Tmb/46RiJQrXmHW793hqfvvXNBnndqz3HjGXIuUf1HabYZGe3qTu9 +HRscP6n5ITBZ4xxDZfQu3yM7XH1AsTzl7Viupz0cOcu9AmanjI43Ie098ZhgJNek9hWiu35PQuHY +FV2P6ZtUG8MsyJv4naOgisr7nl0nyB4+G/1U3yBngCUoT7vFK5RA3WIle6ubeVlUkpdbTRGJzoEc +s/KDYIBrf+I/XeN/nTcZRRIkoIRvO4ER0gCftIjR7wBbDm6Z/wY/FIHpYvo5qBf6RdTyeH4DJ1RO +Rqoln5U3/AnG3oNNeSj7t2JqdAGEc7eTE7y73tUY8jX2MzztXxzRAwaC788xOLL4J0KOpX0JC/dS +Nqc1IKFfFLIq0m83VtFKffUshBdBAKD6qMQh7tRSl37PbnDhLZ9+sTtBBFoeXTKa45HJosGoZ4eB +tYA4bhDFTas1TU0xRbYRno3sCyDi4l/est6OVE6i4V25PnHf5f5xylMO6EbuH0FNgECF6jl8flzj +OO4v793uA2azVxnyqTxw2dcu9mia8wL5F7QTZliMIh45jnAySUoBf/1/Em23PkMr3uZuRVl+K0JZ +Asf6lZfsSHPHIEyD7dfaLFzURr2GKysDE5n26CIyR4ATlaa8C75TzHv8Ft5MVNYn+XmOTrhcjUde +9LdgYlw04YXUB0okHEyjTKb8HwYtxXbxagQXBr9jPjtmjNMLxPClIp8t+WGQBTk7/KfMefGk7/N+ +WzKnQfWRIFn+GIPgusHnZnHHR4t46kqwjf+x8vJGi2lF7yWbL7ysGZKzjS/468G/JK0Qcxt9OzTt +3MYLElKI4DNU9//QxhvspdICJ1iLg6UIr97TiD1X4flSId52t0TLuy5TKzeFCxlJqvtJ35WhqYB8 +Bt+RTPhgsyQlRfjIf8FYyaz/1B8C0Rh4WqDjt1w9WEFmf8BvLSCp/akeWGYMWrpX7gXRGooYdnoY +RWtdk7eemDj/8awN6hfgEaAK2qUBp3wx+Fg/8+PdEpKhFhXCvXvS7xu7FQm6S7DgrLapdOuxfIk/ +6gfMvdnXaAjED9lLUCFegpPY+BPgghNLmn0nB+wvHZBodt7144hHy1h2nS5O9wh2Eamwk7qxJn+2 +5+wqKfQFyZTXWADfhxX91mBaqt5+dcQtAAMxqRFcZhhGoyO0gGz2ae5G9jcCf7fOnokB5kFmK30X +/V2ejVGNeap6hU60YNhUgqqoMN/Wxoo6ieokiFOGlDhJ57r5L8K/AJIVvQgV0Cu9OUKOzilKmO7x +oax+oDhpbxtgdmucaQD7RN0yGtTAiU1TfeHglkES99v4S0gMCq1CAW4TpX94N7Urc5CEkhwHp9gv +O5isQDVzMLvEZS+q0llO9bccL0AM11e4LOO4NvhmtvkdsBt8gEySJWWTx9kVcQMMqvA+PiKriwkv +TeA1gVeHBAgpQHFZ9YnXbgJm+DRauAKN/+qGkSH2eLMNQ1Ecy3xJe0uLsms358DyhhYCGM6cZTIQ +dA8eRuqs7dqvYjWVfmXscJe6ueGgtLSURl2r2V1cJZ8gE3P15QCMVBGvHckiKvFXVyGClRpGxFjb +VOH70ECAUVT4u+eT0fP+HSPY1xnHg+K5rqdkfE9HD0QBtHF8eRzh2g1oLK3xRQaBUjNV+xcAi+B7 +jFFKZXMejj3v9kCn9yNKWlAbsT+RQ2KaTSQlAwhpNAbT6ls1kQf2LYgs5ZAcXxFw8UeAo99ohKSR +afk4X4ue3F4Yr79AvnRalWe0htw7CmPtM79xwIWN3uJoyj22/DcM2fgkTa9urCnjMk/Xg4ukwjKg +ty0/QTgNr6uGefdXXadqidmbohNrI9ZrLHgsgc5zJU8WC0xMAlgtCQR+sGA0VdwGSma0mzE0GSJY +L8mhcXhPkkFVuT3cDVdguB6RT2cr6JXMolBYBfDd/xMhz1fqqEURHJbQw75A4/T4aGOMvhN/EV1a +Q+FptDPl7wOHcKKLLBekaLYAb6CpGHIgL9QCWFz/JSy/sPbwlaRjMumIwdjLHNit/GHlixQGCCeg +z5r4/FpWACP+9C6eBSsbeUx+SLuz2u3Ks8h0pyRfPuEcGs9fPCsIpQD7o1vOrB5wn8+wBWqlQiK6 +i2Jd1mkOBWXCVV8uKc58/37PudIiuRSY58JlfKGkA9XXWaYoFawkMolw6BABLW46lRYE41k9QMFN +2AG85du4lUvC2idl2H28bA8UfLl09FAVg6530aSdtMBm5Tn7bGI+JnoOP1l/PbIUMtLnqN2CWUMn +4VC5U+tbKlb4mWkh7TVgCmNgeRQ0QgGivMXsT57UV3wnoMgl5ieP1Yw4cjDA/qXT5FMI1dFRDNTE +fB1E30DrR+PqcyEm1KQsefd9FDiAF6fVs2zNyJpXoW+CN3aLmu9tomQFzGnfSej9ekMJb5A+CrLY +0syEAeDSMFyvY4wwm1ow07Bhpty/ngRaKHtHCUQFtKFHahGgQAjvh5dQ61NnFBVUNK7eeBUcqoQ1 +kxVOpYBBMt3jI7bg2Y1DOSYKQpmzuYgdFCLcFYFpbv+Pqwct4gxCAQldRl9bBWilhgcjpUbPqzM8 +hegeBjh+EkaMSjx6FOEKbqVAas+IbdQISRKJ3ADXakWijYzOeuQOeJhK5zyJdTtpx6CIFKlx/CfR +Yqo13w1sxh8h93QFigPW14FLx6v1MR8+QjViV+tsB0dSP79tLS6/iDAthZOlsimwF/8HnmXOYApM +St2KHky3pxpsaJGRUzYwRgbv9J6sJ1k9IqFrexSahEX7oKMtIe+i8bb9IsMRq7zN5Ovc6KoTDra9 +3ysNKyrOJTOC8l2qiI+bu2zlRQMZ4NF/arDmUWeVIWlysvGXuYbP/cpyNnJt/7uN9ai8hAWIwI66 +FeFJQ4e2PrWbojT//7BOQc8gQu02rnE4EYrsgsnm2VctWKHd1XVigfGiScUe8RjrlrfKkmY9M8Fg +bWDsiAUk5LBTHda8G4KTMk5uZ0K/uQDbolyfWUilbBdB2Yw30D7koq/lg15QtDmbxceUGssM5WVP +q0B+cpy/lbdJfH7pjYX08Gfp++Qw50TGEBEPxXbhba8+aG5VFgAN3cNlpssPfjSxbA+h3/1Ay2cP +Z/BUxVXj5mkDyNUp8O/XWRISpMUpdPvHIKrZf7LuaVGWEqXLcjCxkD2jgIBW+vd07Sep7O5eISiw +muS/FvEktSXw9pPsjxC0tKzDuKvXgNSBlxFcEeJbbZEiV6jgnvKceLEMkct+XsiUPBf1O/Ssh3ER +FpRQkWmZPLiX9an4NwjeFFLtXPqa1m70ZqhSVRinRLITFJVJ6sj2ytTrWOk96W4ZqJgZAZpszXz0 +Pk/+qJfhhx/uzImQXJ6sKufL2ZFDFbQX86UUko3UqJBFPOiWpLGIi696olOq4eFeAbB5i1DM4M15 +vu/0yLAdHSgLxE795Mw1R8cHPQ3GxU4QHewQ7DYqZqLNNiEPR6N37UGI9uNPOGAnlVpBmFqF3zx3 +kjODSZmDnT8hMUaWyaxMZd8lURNwl8kfxhh1hYQtkCUWUGcJGZXxa6e35MlIl/0dXKNEqh7Padt4 +QRMvrquLn8ucKRFG2v9K3YtXOeov2a1GZl5eqUbkhJWmEd6C4eltFF62hwMCioIhaLNNLuhyh9Ql +ftiWS+ywp4wmsVGRMJUd89T3zck90SCV5AcZL1NuJhZHSnJoSI/BmEyBWR/FIgv2l+3avsJLtL5E +gIS2UDUOWiRjx6EsHnXzMSmm+h4ZGq59IVXtXA/BDgCo6BFnaWNi0mNlVaOia8L+cjBMiZ80jwtt +W6ew4opklNxiguiSL/IF5hIOByU1DM48G9t8efZpHiPS/gg1NFFigRe3dEaASLgnskQobKv1CSeQ +VYVhwqxsB/8CtzHUeUe0uFQ+zT+udbEJil/HsdKk7lgP2/tskj726a9uSV1Ln0Jtra/mFw9cDq8R +hi1zQbsyTT1gvTqIFgrt9FYX23ooL8kEZSGo3TXo5qAJaZya5bohn2tTr+OiQ+UN3ZDiFxQRtvxW +oGSmrUXe2RvntQS3Qy6GP4pHnpqG4v0sNPGowaIdXrmmuC9bcfz2uBdYOF1KktQ65JzdgEmEH2Ss +889HM+os3NlFB1EC1oKk7dXI4k603VqwWNxWUdWYemfL1hAjccgHikmIFXum24n1xv0RDiQTl2cy +93a61MsNsRlQdNuIj/D+Za6MyZ+zL0mwd8E2id60/VbIbjVmSvEEV2zNRRvsPJYAJarvD4RSxAPq +u9RwbjrXm/a4hBn7sn0EholuvOYe8MdATPr5RBzKCJsutxX5n7drXPq3uuzWlkj+8PK4YPhISjDn +t+PMwjXEIaigpZC4yrkW4TzmD3rvgx+E2cV/mM8Cqrb/588/wxEbHgSpy74mg3LrbR/Xcrbw6WIh +Me47X8pjnPrj2yHDDAzBpkbfLjZ4i+h3FYxs15ZpzQ7FFlyFGleKpAUnmbY2YCE6yKBf29SgrOeY +HVX6gu4vSMv5T6iW7DutS2x9/+tSR3UY9KiFJUkky4x9sPt0ZgHC8qzXncLn265L+LqkSprm5GdC +ptx6g2my3HlqrZruK21OpPaohJTlwp8VRgaTQMh4iu2kuXf54sjUQPki/dyAji2buzNriZgXlZJB +k8zeLAKBpzXdN2TVu0mA6+DaU/7jynFacMTFZSirpQHponGaw5eg0l1Kh98WbJnk7asMJphSX2RY +YD6sdDqhiyK8YF51okrqFAt4P5lMFKNCO/MQBdL0lgefzQwumISZDNQdkZkN3QnQAT8CqcbkN8Az +js8mBaGvZPgTlu2MsgRWlCh4aHUaxKX+7M9OT1/mPZVllZpo+iZH76W/WqyxTxKNukAfdhVRC+3b +EtvRvlAeAMhA9IaVdqv4aMHytbPcpYu4RuMeKfZs5MvQl5/z3rpigzoUSkf9g6sUfV43LPzqyoi7 +P5HYQPu/b25yGUFw3csA1m2db0EL6cAwsy73H1elbG2zaQjWp83S0nnVUV2gzvgLV20mpKaXPUOy +xOAUyAK8VnJdRUvwWlmgNH7LoQdRwpAhJbVUc5iHzi5cX9ZvFSsSBKALhJdYi3JEvnktWYnO3kOk +Z8ZUnZT+oj1apHTzbDUwGYzuC0jj0fn8hd1Yhn0pNTHe7ysFS12zVjnCZmlSpG1dXdPfQCXXh2mg +LSsATXPiYdv8h/PJz7XLViwrn74E06RNXlwTXFG1pFSVIIiVFIh+xqikFNSjleBpRRvke/FCvYIz +Zizo2Ju9E42aCVZ1XLN9PRYyUi812hhgjrZuJ0QFX9ELimgDl1ghVak+NC5fZ+1s+BdRGRQdLcxh +k4C2jRVPFsfUkqQ+uITapgJutqucD4afFMtOlngFDNrzF/7zjk64XT4n4Trt0psgyQ6GkyCJ+en+ +9opH20R6EFWrCvFwIqINd/thXq0KDNR9SfdtW+MQZKVAEO+ROhZgQuz5J6MLDMRzs43xuw3WIHFn +Rrn3sjRAtADPske5bOAcYcSH5ZuE+jc0ip+hzSD5bo58XdniHAuiKPhRyE4hJIvu0SVoTFr7P612 ++0Gi1PKnyu1y+fRI/tiHlKfBxiG0r35EgOZFAosmjFeiyVXfB8e9av/FIFa4mRcRDirQRxzIHqmP +V9v8mYrW+AtEK0GBsFtQgZzk69CHEY/IBW8V/CYVo4bT4MvSRJPT0pMQ0L4K4UtOW9XlA3SKEFel +rtxLkvc3EEQYKygKTxqSnWPK6N6LmfBD6wpmPK+VZlt/JLO0Su0QTGcOiw1cDAxJmBlkCvaMiOrp +mMadjtVloorMlpatZUk8aF9CZWetZFojNo6X1IMHWaUP5ybDLUZwlUFqxC/RipIxcftoXhE/Y6ps +hY1ZElZQQFXn5B3vEGPEa6xufrV+/7xJPldprnx5wDfvFdW96XNqckLGvzhQGcFmKp6RU0jE4kxs +3u0/OeV2q8dsKZTYb8qJzVwxfXbskzkuBcJuNYE3iSbRb8gbc4YKKgnG8o78NJC/5QTzr8mDCXia +tVFm8Vdml+wla/WhOnMTiceJr8ZyGl1Fa3YiARPk4bd5Ex3mVPe0gYOWOowrIOamn6gK5LPCrXKZ +7UvbIZdvESkJa1uIPwwHSqTawWY01Ia3cwBgm6VH5gv1xfPKior538NkKtClCqjobXqM7F0KFuMg +dHz6SCyQn0y9evxW+7/t48YEGjmt4n5KUYt0vU9cHIw84xrEEm1hmk96TSzs/JdhtLVGf8dQ4Mb8 +aDCF4tTNiKE62SL9gVw/bOHHgETy7pEeLSmm82L68MNrSMtzWt7cHBC8ZhS6SrcvmsGOQyFdXErf +yqs/nYIBuJ0gBmDcsq5KYpRVZsOZaiMLbdjXkiVDcmuEOkiK6yBmxIfVph1ES3Y8jBF3qGKq2YAa +R2mA7OC/Avf6GRTZz08w+xyMLIcSCLn6YlmIu4KKto6lBU4bk8Fp0ECpvzezZLdiImKRfuXYurDO +JEsZHNCqqxmc1NHENVUFhj6IfEu4mAgpCdGqNqqcuUq2UQmmTOTBKktKN1t6g6YUPCqgWZ2Thq+T +EMH89gCb45BY2H1Y1uDBzzrHuhjcpW4IgXYF6fi9nBdwlsJdeqA/F+KJg3r16Ggn46ybRIGhA/8p +IPIti2R2N/Z35GcexsscYoWxURMLUGpVQc36JCauNKiQGnO8oX9dxRa2jnhlbVYEuwVgeMcEuT1P +3CQonIgZwD63V06BwMXjkGBPtiGt4f2wlVSW7eFuEZ3i0dBecOblnaVEGxWmVT9P9Q0tuq2pjPRr +1oullzlHFoT9BVIvWpYHzrCse2AIXYnxiDi1wn15gJlLQ64ME9bJ9CMBKg1gOVKZ+R6MfL+iLdeM +8uuA+Qel0o8z4PWhS0Rj1LXFJ3iXPwQxOP5tf7VgNH06mDakP83GsCSI/Q1Ll/NAYpMtrU069gpo +/RxOjtoVf5ZVpOMQjldiLfRu3d5S6W8937Rh5vh/+eWN8zzzs73hRwiaumP8T5yqLFhHDasL1CRF +7VdSXXtOWrn/FWozRQYHqrQnnwCgga73bMfWL+UUNjL0uv5JQRlnVbVXRK3qsaD9hTa3PpXICbCc +kWoJWNMApYaCJZQvPQyv/m34WRbMo9cwV5skQ2qY2wDDKA4TWNmI4m9SwoDNm61Rz5iw9Q06SF+F +VbEOHjkB2hxN7fFTSgTs1PQLRp+7OXNxYobRf4xloBoL+4UC0KmAaIhJz6DFYsTWh53PF24M35o2 +0KvJltKm+obR09L6bvVSl+LKalu3q4VyTGkBiYt/u7KaIAIz8pRXsWKjeKGTFYB3JPuycssI/HBY +yR+BEL8gnFyOvRJhRd4L8hWLSUzcLrxTp13yP1MjCwsG8yGX1BinoxcuF9Cx2pV2sy+A1A/fOPzW +BPjc135Q9DfHuHNo12KWJhCxsGTKkfbDhzMzRJxNO952Q9UKGZDEDsd8yAHKJnZ3ulmV1vTt/Ico +fpG3aUVYprtkW/LKiAVFeGxlH8dJ1gfLaKtAn3o98euyxq2ZPRcYep5BVsjqUJ7ow5iKTJJGxAIV +dWcWh6KgzZ12bvVDpBTdAZ2ZMWltOYsXw80NI3s57H5rTGzPWoSJ8+SUQQ4FA9tqdGLFKo9Y7QgX +45uEqUYsFdYfO17VLCZJCjLBqlZIZU0GzwRQlU+YRjbyAVhTE8patc6PdEKUIqHCv1naoLlJ0Tci +9uSrl/8C5P693lKnaRy/Auij/pO3yNu/SrxvBBP+sTZpaQ+O2KOjbJnfwBakTrxTtPp/+Ff5Kc/M +QQTlEZlTvgz2hkZfMoOGfhCY1Id/28v9nqWuqd7JkSmKnbkYusfRRV7om1NXbhcQGMZfjXMPNcdG +fswsKRGMs5epf4DDmE92WrsLLm2jRn6kjPQr6ZojaaVua+bfN4FyBBzC7emlu4PN2OZsAhGS9O/N +ippLuG/LFb2MwzZvJ79rsj73A4ZiphsZTlX9hNSlbZbog3Qpz5FF5s/JfmVuPBgkXzwwPFfQRnnS +rsfWntAHamcllZqKc+IzBDNG5rt6KrqSP11gkXVc1PzAarWnexQysBB4BEC4N6QzavX1FYlm7141 +/3+2XCWb4BnaCcuyppyWgLubwCHN2s3+St7F578j4+wx7CT9AxG+vd0zbyx/EQ2tKQouoEbCcaNM +phvZ1zZMht+zxXZVAtR/75XyyuJ3rP+6h9hWjakJfMzFoTc/d0CYnezGDiN6q4LR0aBHXvgGqhq3 +wCtxL2DXKkEyiqvHeFhktIMz0LJvfizlKPk9LO3JEKS93yLW6itvSR3z5lY9TCHtfjcOYEwSCEgm +5eT6TjrmUIumCKAgpKSePJu2bcZNEQC/7DKuvsmYcbfwmSRWEUmeuJphoos9t0CyEZQ8lEOgQwU5 +tWK1gM4ylpO2hDA+hfia4gOH4UCqkrdBQ7qFfMmM+PKYeWAGTdGguF5AlCWiQKPCInAMxgo42opp +pl8ukxrwOespULTU+S9eII0jotw6whJOnVAODwf8RkZG45iDVq0wtmR4y4QBwNAjDdQ4T6oF1Ddp +dnoXE+tgI/THyN2Fugb1iXCU8BE7eaZ9t5Q4DvOGvCMHZ8QzCSNH3KX5qju3IyHiZpFLcuDg/drt +4WrlEybIQcFz20fWZR7eArLYnOidowkzznmhrbORWW5EPqcdI+uVf5bcwfFCq7ZQKEbww2HBZK8C +3+VuCCwa8j2dFqReSjOubzwZNrVtkanxLSkHO4M14FROYZlwMqz5T8XOlAxUa9AmcsxuNQx+nKx4 +bL6EK2AExjyJxvJP2j2ypTXNnH1f1xuYSuaf0vWxObZjqbzW2Os5e+uSgPTMa2U0Tnr7ei9XTg+F +qv0h0K5OZpiqyN1LKtLwpiCRhjMgWh65hVFt9/hFK98e2eMf+amRTx3eq22g4Y/CtJAGUc3JBmcV +uVP3ArqaCX2zXfVKoLDtIX5kDswIKTFElcdQHiUHam+KMBGuCK1yNq3+42sS6a7795N6geUuDmcZ +2zClqt68VG/qgXtVBNE03HlCU8s0IoAoL/DmlJ8QebM5XosSAqYFdyVe8xvkl5xm9WeO3AjD3WpL +ouU2I7hNVgN75xp+upCXqjFDKbK+b5cOtRjEJ1SkeI4s20vnm+1dALBXz5v9uBM7+1nJV/tD5kHl +jZAhxU4tMoTxkjS5r8FRmKbjXKbv1+uUh1z0QMsjXjF+ry9W+Vox0O62ZKs47CSc5Q/rNA51sAda +5MOt6mWUJNpMSpFAgyVlKJjdy6Ceia2/Y3ikDJfgXpHL4i89UTlJ48tVkQebcHXfhTNURPT0zVsR +mCxwmZ3CKGf6W7IDeLHIGxg2kspHksx2kY32uPyzMyyYMKR7BIQKapUwxy0LNYpjCLUdPs6ehFIZ +uVOJEIBhVhlB1lofMcSimsmVtxZCTOIqn2bTAwSnXTARTIFgGJUOnhAnLGkSJPt6+vqcZr8sxr2z +UQgZq58BAXmTw6NO4tsqXfdexFWFh5kO0qD5qrODsOD04g2wOWXaCka3P5zWWQnYzITPsnsE7sUm +uLMvDwo+G9JdpvMqrioly52zOxs10ldXXLb9kHVn5Uao/+A/nq8l/oYk780AMBhc7zBPKG0aIx6i +3LZW6ZXWn+KaUcUOxVkYgBT5Uh4KkxEIkWThzu48nAUGw2swYA+THVzeVfKaBCWdksltIwpEZYVU +QgU8VJRL5wLAxKzOv0Bfz+kl/QtZvRFIEVPFoIUfrVtyQYGvhvubO0ZH+N9hZBT4ivRn1onP2CYf +h82pGcfXJGsnHREuYpJ8zoLDhJHY7CocryB0fuICl0CrM5Edc5lkRbSKz5hCGK3i7TMefuslreEa +iRtjOVQk6p07c8fTJFtUiF6NZMo49Wc+HQHCVAy7/jhk96AoKervf2OUbg6o7F3nzpO0ugmhI+Tu +sXsGNUP2/xS1NtwQsqGfVUrCW1k43rMTnYHQ4Laedty6oNTXOefQMl8lzRw1LI8zXnoh0onU/m+Z +XHc/dO25jyFZ+pNfk1H+PtnI2OPZ++axCK0hlPFMulnhxlbjsFYeunhyTg7FH1Dg15megd+1mzYB +uRfJZweZhMGDycAB79qkgy7Jk/cNKaX/EGmRYtJ5kSSIeSO3uVaumbCQYt9QUELHdLz5K5CdHBSM +BBY/3sMOUdNZo+bN/TSfOc8aT0q8We97EEEqtBj5Uikrz1O9PIKJKM0RvDFFuFbkvmz2O9m4YhOK +zvvcR+xWRqzxp0pc/o3VPh6gSBkIWJ2tbAcc+278PtPaaID5rUKWnhbrOQAUSX67f6VNoEwj29cF +oRagPsD2qnnWwWov4C3BVnq6JsSlWJ+NeYvC7Xj+4zLh7OA5K6gcwFFiwHuDxCFsIkb8MGkKrvXl +ZJThpNQg1NgcjO+Y0AslJA9yTBnz+VXBPgFGflMokF8fz0Xl6SAKnHD/lmx8uhFBDD49ssUKulKw +FFFtVlh1vngdjYebGn2UAn2Y17k5P7sIPBZ544YcluC+Vhcb/EqKsNiTut87UtXC/m1gznwD/xNT +xtoEpBIbkPRq5dkne1P9qXwb8YRqYGoyxDtWL3LZ0xPPJCyiVEubWW+HNOMx3tURgXofDs0nEiv8 +/QKDK8DzmwcBWZ+2Oc3054iXNMHR43dkoW0CWgrIJzDw/j0uprb2LjtuZv3LPPMxGHv5SmTXUegR +aZclmdNO0NRzbTLijIvlRetYoZQWHT+vicjq/RiCDmvWMAoHyGMt+vAX/iluMQFJNYBphU+OYOfc +yys+oQxG7q/qBiNYjC+8jF7blxc4Lck2anwN21GtuesgS6pAr0bLTWZWni8TUDf0jODgIGCJwZZB +MKyzHpElzHNjSOIURDfqiKcLGq+3BIfam4bOisS9bW0WdMEjKQI7YqmPu+uu4qlcrDpLjy69ghU7 +sPKvo9x6+aPGUWpqrVbV0VHyvhe8OATVp2TCLaYHZGs45OZvNpaBPpsJw38/ZIr8cP2muW9M+WzS +e0Rf0ID0t25FzD/8S9R78KqUFhRS/mEoX+MHA4/oFpeQuoiYTUQWKMjmJgq7ADe2DrMgH87vYKDS +4StAufSSuIi2b5zicuR8pqjcOsbv23/CPyPfaIWc2aaWxHbv90qHiY17deWR01dTwnUbQwIEB5I4 +jt+uQ+K21FIihad4VfnsgJjfCZXQiuRuR8Zt5afubZqGifoAD6aHOTYV4xDqbKJYOz2t6oFxbChS +8fxZUwq3/I3U15Ayh++PNMjjCdXBdovrxxVPJ4sYMfjj7LgWDtd2c4nw9yShruk/a2nRKLAHjNrH +gRLXoZOT1f75rP4QO3cQJQuIKAvs2ZAtW933LTChuSVMrYIqpGamkXrUKEO5gr3T4ZBFL0HZUPaW +9iT9pFMtajCdjihang0Jivk1p1oQLe2zONG83S10jnCBF37Wk+x80HJfmcXyyF85ymQP9OlSW0Ir +DmErQ9C9Mr/gp0FCfO9LObRp0qanqGwydFiuvDsSk9UJQnnzBsa7L98G0oJi2mmUpUUTfxqHybZz +w1g1RPfDaK6H4URI9K+/7HJ3wyLGPbSdoDEyFAuzjKWt4RKkQxcZbf6pRVKFgL5hliyOnn+TlWhN +DF8lKKAPEW3RfbVf8n7v4LTjIt+fiGiv7asRTqNw8OGSHNQde2exZtO2/KP8QCbq79C4HHo/5PbA +Lk/Y4ooBRoCRanMsBMmmOdx2EEKMiIroF0LOPMDQnl7ZyjlVxWxBrNEMk9IacZNPHPBf1qfel27Z +4FUL1y0mA2HlnkNTk682JOIHRzToSrBhdC96mVXTjb3chKkymGvNVViTfkJEd52ysirYZMeAhwYc +B/nMon9jrKb+fghwqoU0bO+eJzx3qR/jiGfK5QWobUGnSZO4vuFeUl8aLdH5BpcTJ+odr/uiv//X +w8Df94+n8QPjppX6ETAru7s/a/HL4EA5v9ooPSerqwu6tjY4X1B3Tk5g1EEWJBeRC5oIboYxjA6z +XOv91v/YvAGrGkIX2rcnN1m7nKp3g79W61mRztQIf1Xu6x0tz26Nbhbvl8JxxpQrBaG/NpM89op1 +aRce2YHcb2rvrptHDdjeBnK39Vpv3Gjl4MekH1O83v6jacphkqFzFaThW9ai+2zxzsUj6CWHiYMX +98LNAIj2BhcSwCsdgYIcR0V/b4w28uFtj5yaECLJUIlmbVtL63cbIvIS7yjQ+hOR9k7BVY/Snd1K +EFNG3vwQcr48qfnD3BFZuXenEDVwKaFQrNO1NrkaLHeFm/I53YpjXq7xSXh3a1ZYy38HPon7Q7Fs +1UkW70GPjpUiiYELvdr/lXRpXn1GP/hLxFTynHQDNMOyyFn+wS6Q8Lswj1WxX6P1fkU6egouWFOv +qVxzjOxPn3wSb0V84hxDL+ROnZCyrSY7UY9sC74imj+r5ZL09AgmyejLxi5M9hfA1LjrgEhgSx0l +TDqLph0u6nt+SmLgK4ei68++qs0X9eoyMqr5KzlA7xUPQ1ITExHdFofDUhZAKEqac84miuNAMr+3 +jdjTR/ekfeKaxatIc6/EG8CCPPAIyXZVrlq7Z/3BlTEdIdTd5n+xmHjW5KLTj8Yjl1aX96axQYF7 +J+Mn1mJPz/7dfEZh834eZTrt/6SeQ6l7SxS9HgAswKQJo+Kd7poWPW+Mf37G2UIyLVNz08APfQBV +I0N+n1KoW+5Elm2f2ih2MsMMN1eB9cl6+lZd/kwE+gyyQ7oQUBrEN2GHzN/GJ9nNcg4WazetSmTp +RMK9JfEfJtk7tiyNyZ1wkKwPUm8X6P2O9ieCwHCrDlkRpwNCAzwLKkjbUmHQcPOVxogz74dlXvgG +dBMAJvD4mW0IMjSq72XLPLyh+rS7CA0BCeS5+vdO36yveiv6NDVoEreMLNLmAG5IOXNPZBcFsYAt +cLXjQCCYMx/aF+7x+H6bfnk7NnEOrxwMbl91tvmt5B+WoOYs4cAPOaz+ycN2iXrexg6dsrhkUfae +xcuEcibXCBCTomZtT0fBearSCH+9UIC9r+7GGrqVlHZCWJsnw9bn3Mfj13AN9zRSUzcpmhOmY1LT +rR6glRwSmvEKacad9PAOoTqrQvukGkxeLBTY1vupuaogpjgB2wzU0JuzfFHm6fbFAN2/hnj1kjTZ +mLIBtr0EdNsPSblKHreWOnk86mQIsVS09/w2IhQtv27+dB0TxiQFhFLJCq+RXFSEe3Q/b5qzVvBi +SVGnA0KgqG6DIQ53Nxd8GDTUU9gqCA7Al+MtxYkvF6cDYrJ8EeekajV5O8GGky+H16fxpDZsSAZ7 +oux0EX/mUL4l36QPhXPUXmSNuh784obtzdm6W1Mp7AvbWOQFD8ioTHH/zCAPl1Bfh+h/u6RnB6Jd +Htu1ZkId7hMBFEx0jCrqImXKF7tEUplNqGHquLoWQGiGIoDavJqK6/MaRSzFx+CUeoXJimPGNZ9k +HcsrOSrL13OtBuPaeLVIcWNHtavMBURwN5+LqfEl3KdNVx4kPYYQncqRE5wSQQHtEF0A+1Gz5/O1 +zsVlZ9tp+T0UkPs8ZvNx3g2FR/yTPZ6RAm83wHXJljwiPyPDhIzaNHUU2N1LkIe0FPYsNvXr43p9 +gkyhiBu0s+p9VKWMa4R3APuzmbGABvDxuHqgHa3fmEybK6glX1svTgzy088Y0ILWtNCSt6UNfgq9 +qlOZ7UkyHtOikyqS119x9Lk5pp0sgK2/O3n0ujuWxf2C9PFkh4kD1g1gOqUh4olaOGymutxdyvlL +LfG5p4+o/kEQzp/rRuiy9L35vMrrDVDNqe3vb+yVbpo3TdUCdhVwFOU7T4Uywsu6FxbwgBFpB4S7 +emST6qa0bilwtRaTiuEAx7Pn7rybBPKdBjiNd9lb8E9Hwp0w0v8BmtAvQXd+5qOUqYBP4NHFHG/t +gcdorm5svXB1N9p7b5Cw0snJZeugH6+Bxq20KuaREFUDeG6nI00e0JbHYeMuYGrx7HX3is67S0XF +SPfXkGri5i+77Kh0VpYBjqadxrMzd1kKUqfCvin/ASEIYB2mbdrB5dn7Bp+Qf4mPJ9YtyTYGLB7V +1MwdkiA4AP0VKLA1YuFzOtkb+h3NFxJ9che1bNJd8KwShw+/cjbfpG7DC6MFA598DR04K1ScJfre +6wsN8nWq12g/FiJCSkzUdamiRqjpCRit8/HYP35rPezBLTaR8ZRbIzrDRMwcpwHLEgPMMh5RqO6h +yRcRdNHKPEcDyS4nUdp3zGN0MMUjs4juV0aQIZAwCRwc7wwxbpEExDy5UmdvCTDlqrvd92+qI74C +lLB6fYmbw455pkZ1Xf+rY/5HA9wtvwSX/pyQhLqXotYxD7/kv2YOsLUcux0X0soq/X4d+0da473S +HlRRdj9sivtLJiWetK8n2No5yJrNufbnBjL64bwaoqGJf/pJlnvinjdEM7sTlNxVuyPZP1LJ6xsA +pxu2Xh/N/NzoNb2/e2UCVLgRi4xwAJJoe5HqQaae7nxAvn+yulEIeK48iqrtIWvhD/De1bZpYjad +8yd/RMSCHqsTxOmHh1tEanV429k0Ua1v/VE/3O8RVPbcZ12SaG19LOUie3vJ+Sz2zrr9naGhtmk7 +YZ1TLtliV18Yev9an0yZWKr/eDKSU3/W5W/u4yg3IrQBwq+BZh5lD43ut1Ajm+MHLQcTRmMjYPOe +wl6qqdgGku+vUxvm1YKDfvh7nh9Wct7Jhj2q3vjKJidWVOX1kMEnmoH4fOUP/YsGI2MM+dZ/OFKz +uIZeA89kPvYRgA3sLXIp3vqYe/i8kal1zgIMpzqj9xg6BTE4LEH9r/LU1TGB32lER/88xXKJuW9q +VoVqQKWx4gASHv6Dmi3ljGVsSjiiuZxuWvwDLs9yKIbB+dEOA+MquilnGusB0j/SOxo8ehgOmYzN +/0ypxtBZbT9CGJeUJeN47wQX3LhpsRwc3REDD9GTcluax/phecr9p4v0lr7a3IpjZxUTJV5nR4OL +m+AJ5jvinnE6EHt6EXc+9czD8amPRbuuHFg8toF7xzq/dbZHUE5w+PEHoREMuZhgifT2S1E2fHF+ +GSOeLFcPc4SvVtIcWQ3ugYlDTbTIAs0tE/DXE2oo2BRpmG7ra2ALRCS9w0ImENoLHSCv485MN9ec +Xn50ZbTmmR0+8zDyQxq1oXqX1AHAdHzEfCVeWJoolZQAlm8W3/18f6APVXqXS+YzsvlL4iNgYHqC +UlY3Rxa0aDQVtWfWP/xNMApEYu4IKPp55UkfGLHGjW7VLly0WmTr8JZjx0/j5DRKvP1YhHDuH6HV +K7cPmSwtKH/xe9CLG5UPHYZK+2KMOvEcR7DKlkpC3bFU190mN84zjeZAV2McWP79fnogoJPJq9rk +Z0dBS5JQZfLqC9+810e+wdLEWV3+oo8eHFPIotQpQgsDPfvnZ23ydC2EZEAtN9YnBhvErfqE62tq +WLW1xpw7VmxEdF8ucqan8zJS4GHMWd9EKudwSyGFkLLl2bv3lyfws7S+Say+wtUMpUP/1xtN2OPz +GhGN+FarI1+G9bT3J7C7gIxZUyuCLFb65xM23hNpVMrJAnipMoXC03kq6VYU8XcvjzUTxOre+86T +v4SKI4QVwgfSjZvjp8tesp/nyevqESFhL1FcffJxrnT6QNApvkUrMIabcAKKqSWvpbdA2Nli8Tay +UN+519nZ7wUwE0Q9N6UBQ2HSOFn3/m/NfaeHPdAnAAhSnKtngGxFHBrsiDZDE0YW1Y9aD3Safn5w +yhmQzAS9Bn6+vwnLn3KtyTT2HprrAZ2oPYGmgIeJXbeKGt3WYdcOjaJiLSkzJrJ0SL3o1GSPAQsd +OSR8UiKdJ2NPWCbIaNa8xs9t2YGUzoIZNcPAqPWdGLZ71YBqbVFp8K50/3Poy1ujT6mVc141jOCD +BDraIi9nW/AffvzJx4DzPVo0KYjMiF2DkkXUzgB1NrizvbJbM0XAwozPJz2HuAairrwfso4cBzib +ghQWUFNhhJemSZ2bpbiDXK1sYUtRH5q9GGLMLDAPIyelp787wVGSlWZg2as/vbDzczoJyQnCEuZd +2I6gnLeRuMjznOGSSnRhNVWaBWxel+cnkYFzotJ2UyhmI6ECvH7yzbtW/oVnC5idfN6RrdmEgtBi +WzgZ9tEcWA8eLVMucITEn/nEp6S7Z/2PrFfGCDH/qkZNFBVFwHx7LnExzh1eZzs9L0jEnAJG472y +25EkiNEfI20Wb5sQ/TkSnsQNu/pZf3BQOo5aqNFFsROHEZPpR6JXGZNvsS0uadHuwhn/xr7bxBnZ +V0E7Kdq+kyUD58Ey1EI385XcadZNZ574IMn0TgDWSOb7nYMUoPPyoFghe4T3VAAhQ6ILMLh4sdYp +NvwvnUQj12DF9igoioOxZeh8R5DxS4uvtU2silPARAyQMW+cVvyZ/3zL9Ny86WSHA10byOfALM5I +APOHmbKGRvZzR6foTaQgOL6rtQt4F0wpYmzOLiI+76+ySw8O0EWVGmrQk/x4aQUhFO2Nuc82pMQq +CcSt9NFHYDDubuOrhYEgtgioAvtX6ObTRHDeLIGWMTJL0CReQwBBIfkgOmu261FE9N3mD9U504yx +2AcUFh3gc+reZLMpoE23ibqgCwwl/8GXY8u9IxzWiFtaPzwAEh4PbuL5Yb0ZpsO4+iyUIyBgUGen +StT43jzXD9VfaFD8K8hBo9HKqWFlSZGgCa3QTPKiG6JrhUHOdRUTsKw8Mm1FHEffBvfb0QJS+V2t +43dZXmOiNjAqMjc0cj8IywyXppWeoBIRJylI18c7aC9ryYjITDvZjpYU5VjTV6fekgM8QYt3XPnR +NKTpVlW4mvcP1ddaDkg2IEMTCiQ4I2sgQtlFn04vbp0XDDzbP1yCL7kpf1PVQ+/guvL1hlv0jDFy +IPRTjsz2TSR+XPAHRq1C6O/TNSTy/WshP2IdT0KJ6o7FuTiyVscRyj1cDXtkIDde2SD7058RqMHK +zqmfh+O7V/NdbsLtRT+RaD+eSUKu29nUeqlfOqpIQfsOJuK12/uhWvy3FTHbrM66ewmh0gpb/Dng +WgrgpyJReiNaGXwIQo8DyU1lMSZrH6rKQZYDhirHHh5pwcqGBtCwtgu4mh3mZRdPBiON8PsmXcrB +1Duf3JkN0dHULbtt7l5QuyJnS6f7dJaLraeGkzWg3x+dN53mKpEpH4Uf62dolXT/gdncnGaqDobR +OKT0Hi2CwuMmJ8MQBUjIPslXk/Alz0f9et+bbGeG5fyHxF1s0qwy9zMgkd8DfGQ04q68Akq0s7hV +J+S9fCjavb6PINnmKKV11uYQmSabp2bXplwDbo6yLRp5dmL4RsJ7OmllZBOwhg4byYuNWwJFeA5L +N6T0TNv7PKVjz7R2D3Bw0lWqe9i7CdC26inr1BWqgqm2Gx6Rb0J6HsuCYHMi6lSKQ2URgq0hpgvr +kEYmc7zopThxGkqkSTMjtrjgkc5sfa4k68ksarqSWrtzBILfSLfUvnAE6xd3kOwnhyewyUwLolI+ ++dcj3CgTXuEvNnUi86ycnt7MjuVisJ+1WshrkG1eseMIvkmzLRW3gg+GbqsHAZmEJo1dMae2MFCv +GzqGRVGwOJJNxQ88aw5hfbwuPgu3OEvglMahkqgMg/OYrxMoKnRe6AvDWJwKXS474AbO7fWBCDPt ++Jgx6vN1SqFpzp4lni2UaMzvOq5WeD0nfvvA3p62wfZrmxzlA71Kmv+kk2eqXhNIvPuIgM1V6iy8 +txsHh3yygGYwe/0EBuJ2uvIjmEOxXcQmIgbXiz3S3DDPOJ6x+4uG4ZCF3dZlyrzNZNkTw6oiIh9S +VVQ6IHvKFgWwI+/hhea4IoPUnlBrTBqTBZYrUG4FrpOagMfWj//ShbTmoXCJsLNSvx26bRa0Extg +b7wv2EmjNP1SvntuCTP+ePvdtwDsSs/MOThskhJeHZNSk8nzrPvhADGQvkeO0EFH+CRB/O2DQVLU +eOofJh6OR4nqK0QMvTsgfTKkSCxorMJeLjYGbtJ4S8Ik+JAcSDiRLuhAdMxlKX+24L5dth54BT3u +kc15y6wmAZrm3YC6U6NIDp1Nmwl1hne/mRF1ZZq93nmdzu1S3MO88Jsi0x0V3U7Smlxq8tFyEH5e +o+wv9xPgPfaslX6bYJkg6mO5mkZIt84APNlSBgTt8PM+wwSa/rHJKRW/H/ZqDp9sSEd6niU9vMdP +ebV/xsIJvJynNmS69rxWxu8JcGxMc2FZ5z46JyjOwp1wnk8+bXunqhvqOHIj+v437tqc5ESRujkU +u6emaxEoH8hQuPA/6c1pH1BqBT6NVU1fEnbLLapMxC1D5IzzWGIH3Wpanlgz34oaaWiaTi3wLjTl +FuQ4ze/xuVDHDYMjjqJEpLebGq6GGaidysbLZ+H1pUFooZ0EoA8GbFfZISrtfKtxQI03/YhhI1Xw +J5gNlJbfBstf1+qMuT7lXpGUeHfchYNxwi5V87K2Td3cyVn/iStovSry5aHZrrl2hjCv39T694y7 +RGZVi0DhZQEABDoyun9wGWqfyx23E7NqFjq5wC+o1pVCGYhXCysaVKVw91jX43mf06ciC8P99Pjk +vrtw0/NHcVCj9J1j6kEnzvy6hff6Hx07EmOxkpphCVCQR7bVd5RXFYtO68pCqrptMYT5E09HApca +Te9WPSdRYIy3Z6FqLU5sa4Q5GOgQfwJ0aXPlmeyajdaS9oFKNSQqU5ARjU4etPm6bBb2lOTyBlHd +g3ezvWvHb9AEcr7yDRu3ZuQfk2aS3zNTWvREov95TGWGrJN3JZeUbBKHAdSQc9cy9mKPoSoY+zqQ +kRF5IfK10pfX+YjrQukxZGJv9YL0reSyHfgquRvV3lvbaSNuePZv3AjFbtVXkmDNn6tjtE6Bpz4y +aaUVNlCV/wNcf6d71eE7UhQ5vE7lTJoWjWz5ktEG/uUDzdAB+tYcOtfegJh6Cdn5ucB0ggG2yO6w +BGNHRVjKEU3OtFPeiQALMBmjnRAG0XBLQboonJcI4zR9WrAdhJmHjVNmh+3rPO1ffyNJuuY+OGal +hLmWXA1DC8T2bOhBzZRGmo/F9xQu6MLfuMmztl5uHzWgDdIqqOCFB4+UjMtX5C63bM+BsSWQAMn1 +aBD2Y5TYOEgiqucFHpaahtHPGFbFfMBMMp+JaLH3DQR9CAeWdXJbK/hMHpIbuO3e89rG6gHzVgFf +QS2TlzY+LTlcK3PgtIfCJNpUMvxPmFSBceL5DkiQB4VYvpA9eXj33gQD9nCb7b/vvEGrLfbmN2Ih +g/z+FkStdlGQDwQ7aDAOyU1mSaVvB6tzqscLo0wckkmWoZtLfVQU5Uf5J+kALfpb4RyyvSAKpmYb +S/3OLS7uoKHEeCFfmARVvLFJEFOJCa/s+P2WcqNe0p7U8OyxAZ5oTTkWjaChePKQSbcnmYw7NPQ9 +m/J56rs3fBnvMkTdIGm+Honfvh2YZgK6VXvFLQvz2Quw+85SRwqbBIexBKOKBUjFh90OhCksoUGF +9Cv0nPuiirMkSCYtWbyGal5BxoJPWi4ts9zn8I+CEex4Qr6alOGxT4brbdILKBkrCjDhVJY+lCm9 +SFDRsCrzAKVDxf1CdEIokUpjS6pnWAvcaqFyvnUqnDS08d279UUCk2IcYRVyU1E0cEb72A2zEeR0 +cG1GN38WNqSza+NNBEBHX+UQh+UQNkJwj5ju3S+FPHzXpuTwDGoCqRaYn5wsrLm4u+3k4zqeEskf +LVfhqy4U9A/Oh27H/wtthKgmPlmf3EIxwWcH+KvO7DiJvnlljfcTzA+868FIajVL0SsWO77NGmiw +zh2vkYMgixRUQSatwYtatYfL9sK5fsAQceC3gi95glPP9yQXsukpi7GgZ4Zf98JKsLN5U8a4A1AS +NspgPhKwKXrBFngormcYQgzTUx06OtLxUcjqazlvY+FrUxFa0fjObWnCiOxFK8Q7Xl3dZG8Wvffj +E2GYW74/FaC4PVCzAyfZSgmpC+8G1BukS0t2xnrdFDCaob3bir6l5azAC2Dsccbn94z+8HjHpXSx +VB0Y5motZOestwSdUsD1vi8yZnJzJqLTld4SVCow+VVAntfTTK9mM0XQx78GFG5FnbhavvWlxrGe +2e2ErDIKQWlvW+03DGfbrxjT0lVqehBEXzdQeC7Q4Mk70Jl2D/nUs2uSWgrXnuNUumRW6Uv7x+Yv +248CUZOXTeL5CD4Owgq5zJACl9cyIGRKCgHiJlh+1UAOcOKyLfXeR6dHneBbUbFYUHvMSLgpjreD +sz9LgfVMur1HZgtrY6tUV4qTK4uttel9+ETf/Q/yAYX13Qf2SCtVoqOlYKt1yNqc5cdeK6DlKGU1 +Mei6SwrLOGuRS8okhWP/fDJ6MwNkpUtjRiy1/y6neSdCBkdHGPzAL+SfBc/AFjRKcyyX8yA/kQUc +3DGSrWmxnCRNDsnVKvl4aYlA95QmGune1N3XapbwbzRvI+D8QOPhUEbWjixQYHpg9x87I5JsHfOU +Ewey/reJy4ArNWMb8LdlrbTBB4edjB94gT98Yb4Zny9DGRBYtVhbOG2T03IxSO5YhFdFxCiHt7K/ +4tnSu/5JYRMWKr4n5qZfydyCJL9FEDins24/iYqGxHukgjz2Jd6CE329y6VdjZha1Zhqx1tUMl7d +RSzhoEeL32w+BXjryf93imn+kVoqRBdybFv/l9/d2AMPkcR86+Yxi7AOAKzTBbxOdEnpc+CL3ZIA +faSMyqzPEpvPYjCeXYQVbhBFMJoloz7F7OeQSsjKD1RUQaH++MmWVk/nNJju5TEHfpMIquUxwdm3 +K2NbVnHQseov9JUoqyegjXonUc6X3BbRFng1vUtNdS6D4xWbXQ4fsYIsgPFUIWihsH9VWG8uBG1K +omT1LLK0DSSC4MlYgnvY8myg5IeGjMS94eW6hSwQQ4evUhZRDdzLNpxqY+BLByzuFEBwl6bSdqGg +tvQBpk7TW2cOvvpCtrmARhhbabQGdnE6kn33w+FdbamCwL8Ime/U8FkYSoBmoAepHxWmHIDhfN2V +VI6UXAA0QBTZYYfr/E8/EQxYp+9hwjt54kRhmEyZRPJ5KWarqqeqg3De+hr+OmxErBS6/NgDjIPI +fVDJs8l6JprFbQHW02Qi0XCDX7EPdnHZKYHJ3bvSTlwAywiNuhbptl+L/0pHmlIGCtIVAUA/dB62 +Eg2K3hjC5pCiR6gvU9y4Y60jqkSeuVSYP/F8mK3r8m5LRv7L6dQqvTccVOQSHU8zGtSPbuT4ERYJ +yyVx9cz6ol6eMaP+xUBchErViJc/HDV2E4ZArlyvZLqZMKSpVi9SsqCBjx3sEx2oJ1PcIry2g3ui +qEmXrXfyc9l1w1m6J6jIKn2g2e/exTFhiwHka9J8ADEChOoFKsdqJmPiUdQ8+U+/aeLx41Zx2a9P +7fGnqtFPgX/i5lFJKsZQyI0E5/PGIVTF5r6PBmnCjYoy31yj1gBNb2Ugv8ln6sFafPvFfp6Rp2R2 +IBVPId/dgqlPnZSZnpYfgYQyI3RdQDmHKddOJb273Xgkm02TcMLptxkuzQLUkxaODP7HNpncWVEL +4pjjiAehPWH7nP5jhiZb80Ni4X81xAlhGSxEH0MVusNryCS1bkOxgBfIcX2+zB0RDN9dlPh40Ly+ +C29fCMX9kedJvGYVClYJxtuGmz8qpKBam8ZiQOpMU7bSz8QE1tRUs9dn9A9RYD0XmJvCjz5BrJio +zCa2uH6l3+wykTQwU/hzWEcQ0n695XCr3Qr9TsbTV4H2vWEZjBaKKl5yTn8rG0C1oW2FHzOfShED +JaaTobVNM2bu532PVK6eUnEaChkv/9YJYV7z56eNRoiPDkr3/Qbz6Ii88h3Pp6+QQYTxA4weKQVn +93AaovqyQ+rEdYUUiwdNe83igrrfXAGsyw7GtsCFoPc88lutBvpIXevH53s2Dk/8CbvMjs3NRa9t +9+LFHyJBvz0PSlr3vo/g4srIR4AeYMLczOHLHrHzdY3SEulBQbky/YtGvxW19ZIIipual382ArX2 +4QuMAgu31bTUuIoUxKuH1rVEMg0ahdrzMecbIET4UPQBez69ykCqM6dfZegtKRApOKKIkYfDRrR6 +LXQl9qAjBAO4rop7xsherhI3pqe35NSKdFrg4TI7Kx7bISzCNMiJG5k/oPg80fTUpVvdKYa3A6ro +tPHyBtBmRaAqZpBQk1jIE6TQqAtsvEqMylVvKP9R6RmYejF6hKUHfseuo/3Ei7ZyQV+FhCrft7n4 +vt/yK19bwB/poK3sWYdgy6UUmm7ZWnNpTxSPkcFgFtPK8G2LSTDTqoC6OLl4CPq3P1ENta7+iRby +xEk8kV1VqzX0TQvAbAgK7EQeatgfOFYM3GjG3sPQcoHwExEhLad1GaQDikcsz+BXdajsFo7nQTD7 +dZctxSVkjEWaGnz/ikujDxC/zV/s6SDvkNbn/GIKh8G3g8oGyUK+/3y62TOEbcPlgyAdZ4GvtdIh +fLcMNdl+P7JogLAhUnhXvYb8b+v5L95ijC4XiDAXtzbxTsO7znI7MNzHIgyJ6ofAJlT/eFdz9QX6 +BhN4tprIF2Oa2aNXSZJYBt7gYALnfwjfSVmc5tVhXsJlfM1Mk6Xcl1daTXboiMLgfoeP4v6SF6HV +FUx1XXJlrUXmg0YYETeYvbJpL1r+AA8fXQJhGQTd4skhfk1uatAa50RQ2pb1ZLR3jmfWoH+luKty +71Ih90ocsNxioszpT2kb6M1Hu+iP3jK+ddjMPmZdMC5vt2k0GsesllKNz1sUNCVPVk1s6XL/YVNJ +KJ/KsRlwSKVZ5yugo7FLdhxoZCjdLGHXj/pcHSFd/LaqiulwiyU0ZIYTcZ1jw1VK2s+0wyftzYDs +Qn+XqJbYTDFXsREhfgrJuDjZDTYJtrpZhPa6i+Ex1gHwKbor28j0kJK2bRIRLyuIRWUGHiIydc9O +lKAcQdBev9wuNzJsDCd8YKX385QscYVNarJfQO5MTbzkiER+9iDPeEUp03FZrjbWt7kMMqc5L/vB +5KFj2OtfqkfnVK7yHQ5bSI8Jy6S3ULXfaW9gJ358gTienZr0kb8jAoNtoLSC8F41lUtLDhS0swxK +7j5tRy0CfWdMDEGoc2A2ycfxdaKZ2npw2di567TUzrLlw54j8njqaoVkcAhMR4oOSQVh8FioZTXV +MNM9j1sZ7FvPR0tm6B7ryoHcMzH1Lt4/OXykXkVomJyqpZ2wHhrRk/5FOSDkzvci0XFm/qVE7GAo +e+aR/li9Oi5ycGST7C5+R2nqt4nVe63r1mnrAv2w5qugHzcamqqVWC7HmBydOUzBx+3RyJb39T6G +JFweuJBUPmTlNbaGdtYaee6o4Ysvk9RbHkgjOaOORFNUcp6eLT99wx87jirujh6saLwgq8QU8+za +n894UzHHcMly2Kv3UaZkFFcdM4oOBd3R3lhQSC53vS/dASZviI2E77Q+uuJJjC2Vn3OMvymX0AYi +5mbnwYQ/XYbr7q7vXRS4oCrQ6jyGf19IfWb/kqeeu5a2ri5YBVmyc5MLry51APiuGE8xAVD1mm9y +DG/1kp82sm9mLdepBT4R0OAkLv2ZTeh/nBPhEV5a0iz5dWTyccl9gZhj7KaduUPz0nWI4qKhMNV+ +9P7D6KXciYPqLXCzT02nWCws+qVGzPdjvEMO3OKPGdEv0Twqhuy5oB5xVEgo1MRJBWlTKRg2ABWR +PgXxTaEeW5BZUsXQvFkWKbweDHdORlwllLMMBC90y+wUSB5CpIM08s21+fhaw0U2Irx6AoAAyA4f +XcXZNuHjXJxzyDUINk1PoIwJumyp0lhB7Ib6nJUwfitPa9tfbueKqnGNb1CmtZ6APULEWHYPMxG7 +8cpzI3UImNGby0P81SoSfqrxaBU56cy2/TeDibp84TOheh2c3epakvhSAIt/oeZZAkPgRudZI8/a +uVrS2M2eDy3l4H7YlMSbeGYS2pIgDlqp+pOtuHDqdEBWhae0rtkspQFmNBZ91yZHa8ZwC4s76f/v +KJ08OE4vX4h7B6Z6NxgcdTqyqK7AimfgsGIe2sVetBnn+3uNrK5djGsicaMTngKuUwgzsrgqcn2I +elnXb57FNgobM/a6waJJSA56N4Ijn2j0w0XvGLlnZy1rinb1pMP+p0B/WVBhTMBVx7z+95NAOiUv +f3yvLe5/Jc+uWZuxAOW54429I6Gq61Iotm61xBeuFBcY/8mMbGqZT4bvsTT4e1CCFCrHf3nEKy4X +qHKzAj7BPtbjTRvT43YkJ/8lgDuynX2vXnpTpKTPDWecWAB0qOl905PhXEi24IFzvRrcnWP14Axk +kWaSsKVuSaKFPYQEpOgNRSbUA8HjPoLivRoxXZrsX8OcDQkTnRS9GsVs5jWd6fZrNZ1OkLXIVZz1 +jonuy1wsXrmycAMmHt8pnIAhm6bHJD9mCpbWvokmZukiuBmhPPN7BBxnvgiaY7M2EtTIN/tizTf+ +dNaHtO+3C7sCldEHZhM3vFZ3mZiTBoH90GydMUKe0RIuJ0HGAvWT48S9IzSydC9sJ3I0+STa4SHt +xD3rdlb9ukjjl0j88DDntVByua+8Ae//+9CGT2ZBT15x8zcrjGLQuM285Pe5gGngGemjUdJreTKw +HcCuamrRd7c3GgIKuoa3x1PieKy+l0DDd+mfFss5ncQ3ili0flB+CNZUlbbEeyUqomCYHA5a5idW +HDoiQ4L1bkJh5ZfMalX8HtSKljGyER6Zd8gfjPng5aR0ZpAufWAa2qcVjT1IFNl5ppZo+G4qkM5R +AKS9aaDxpI4gkdEDXFHRVxe5wuU5xv2HOS3l0+mJOaAA/z/p+MUT6gSr1U8FpvzbZeoQZsj5lIGX +oGpNwlTapbw+Yxhcl8CC0z4pFd71TQG3Xd7wqjrOggFQm0ltbzTPmR0xix3UE4rVLydi/PW6qw/0 +ObwGYyk/jgcHE3PptX4dceNoxcsb4iYT4bQz+CveQ6FkaatxqsCHWn9uG8wsIaTAxUd88G/UIFvj +4RoLSoDmN/LX2GsfWRutr5yuLlEpQZvtCUHlq5DU2T//2swl52Ei2rjhjL1+MhFUaZrH1alVOxTO +uwTqVGvkbeCCRjFaCmdaZ2TYmFkFngyReCOj4ZstjvL8QepbAKVelscRnpq9CGOuUrbaT7QFsMzE +yVkX+6eVPqqDWYNrsYxjhXvg9VABDXa+d13BHCxsU1d/nAZdcO8D5dVMSsU1g+v+reZBLOLWlWCG +DBXtl2Rbh2THR8tI/zSxiHOUdKUXrNhTVv2B5hY3/q4L95PB1wsXO19Ww1Qq5VqZm3e281FqDiuN +c0KQ5LAB/Pc5ry8KFbzAP+p4Zho7aFjLhsE1zdH4RmqHMjZ/gir0Izgds8fr+z/CtcNUCXq837gF +VoC2Vn7N72bDUAVT2yHAxoW8KWslIdxtvR7rBXaN5fQ4v2fLPN1YVmr4mxMcX+Ub7iEgshqHAvmy +RLfXPKbxDq61uis1R7zKNDmnvW3e+IYKb39taxQ0vIZFqO38JCzVURSCIm8iluubUCuvMsRl/eTv +MqEOR1ANEpCGtif/ddBYEcItVSrtEJuBKYSK/u5m7dVufVEedE1WxERbpOpqy1wgm2RN4S56i0QS +2meLtRyc6sRO3OVre1S2K60U2RrnSloaHl9FrxcpNVqChAdJrSqwX3/LNtmSUnwAWs6oYuXUOZRA +yIuCuaRB8SYYNyq4LbfjcaeSxSxKfFNn9vDBLxCiYBF1n6ElJ453KQ4NpGth2lOCfGLxnY7+gDw8 +6Cz/IrTYruHy4TUWwuBxI+8mmBDfFnEJPDTUdnBM6fuqYl0e2MhVDvmpUPP7pWpZ7JNWA7RHBePB +ONacXc4kmoYAxfN8znm8aS3D2hrS6J5lSskwYKhIL1vVPItB+I/GoRPTsuYsszIAh+iQ5kcbXxjr +ueqaEHSjQy/OFzhh2yomVkQS83Ig0SyxKT6vgdtUUYnS3KRe4UDLOireeiZQgy+775NyXhBvW0hO +32ZZiiI+mfPtriK4rmHfq4MmcHjmgI/2U5rtnbJr06Qx0fup7zABdqsHVWDVN4IMMWr2rQlr95pz +yi31hIyvSWibZDtn7BE+ty/hD73A5RUlIv0ErQG8Dp6xNUfMTsGPLOXKFqeF+/XGBiX5ISs9WEfy +tJz6smnHFezLca5MSUrSJMSolwMgFNH08hoLgy75LETcfRKdOyW2N0ulau+vZK4HHFCtnoSpT1Z/ +1idnq+YsjsZS6sLj4tlfM00ljE5mnq4j8teDCjgQyeK3uaZ4ZjSZ9KKhj9QN0Bx+TYUY+xAFahLp +wXkFknkv8L6WWwpPb+yIFvnpDKKv22VrU3lK+F1vHl8yvpIV+VpoUto1yN4+JIsAMg2V70NGv4NZ +lWDdIk9EZybjw2KBKjym3SN1gJhRRKXM4096UU3cAmEj+rDMdEEoVyW0hlMk8kU3dASnTiyVhYh6 +e5LnircxyddKYP2SYKkkp46klJIKILsorKOgLc3A5O/MA8EfzuEnPWWx9ij0ipPGEoqdS8FP9xVS +0aeKzFLZE9MtiCisBc/DxxCTNZZx8+25YY71DHb9VixFLbwh3pnzDzDf/9zPvDgujqJ4e4cN9Rhm +WnhM0fDMAh6YUdfV2Gc2glmYfaY/EyfDRpTLPgRMRLF8h587yYn8dGb0Y7Guw+YenTtGOdefwMnl +wevZKpn6fd09BDkiuf/WIqas39VBdSBow+rzs92MVW33DXVrTRRQBsKG/TPO8+1QcTqCM4aakRFx +RgmjWWHHqgEdKtqgKZPCg9OIRvKVd2G5A3fscup0WcKBGFoQ+jcYj8CPxnZiO9H23Hd5LK9VAppP +U3Esc2bhQ28EYwRVGIzxFhDROBKL7e9S2m3CCnOnc0mkG9c6Su2M8w6+01Q/l3tXFvDPVL0rXABL +fxNo6afJaV1Z5RTe95YPBB5ebxCMOmIHyotemjE+ol14SfpA4fQklKWXOwjMYENLByor7fYQYA2X +JB4ROfIXxrpRerbfUhLUc165Mvy+FuAyRza4HRH/OrP3o8ikOLBTG2g1oloBqJ+wUh1zCbGAb4gW +Cu2wyGxOr+PxsYlI/g/sY9nCislu9mxB4K8Xc037DwV+TIxV9W2C1Pm49So8/c1QT86U2r8qSqW+ +TSvrvhGPSksbs1j/YghoJBOCZMuEvVixOVDdT2cKlNVr3vr6eaaGb0+HQmZ/AOtDXrnGjauBw0FK +vSoDsVRj9lWX06r7l0iu/L9bMIb2WrIpsZHmJsbluS/xQwgoIW6fqFbb07/a9G5qSr+lBsiv+AwN +oK2IN6PvCC2K6vHpTljnJft7nVtmd5CxwLUToU3ZE3rL5uleYsgciY06VlKSBpSiVJUnEohuYocu +XwtqNYHVN+VbttfM91/EuUOUJzgvrLZwyTyMt8lLizlWsgeRgMO/1cSRdkCti0efzWKucdPJOhOv +0e0Kw8XfoBJEYyH4OCEV+5fneCK02hKRNt1kQwkO8/b3JUQ4gVpgIy4zVcS2gKgd/nZpJjrgnJ1g +t3mVgXjn8cqmQHrymaj2DrAC6RMrN6KHJptbzISpErMH0c8rwicgQjJA3+/nZm0sLMHxS7lNn9Fm +YENLg9IHv/YnOXivz9O/20U/qPY8RgW0nw6ib61nqvwO2r7wLI6n+lhrPEuQEdn3geHepSKAReki +6H7fI2a4UcuLdGBHP6uH75rub09JRCd7XlCjumkx0OzI9TAWbHJnvTjg/OBeXOQUFh66zfjcYlxp +NSie1Uuo1jPcB+aQkUZIySQgsg0qdXQzgKnyKwzB601lHkRZICPcUTLkR5sQgJsoNdPsl99qlebB +IJWkSl4bBa8tRvkewhHYWyuL8nEdwMNQh/EOeia/Gn2OcgxU+aldxPOS4oXh2xkQa6xVkj5dobU+ +SXzq8F/LTp6g5zFvzDEv2rzfEhPOiG4g9/Ed3RNiHkzwQpx/DHQEPApZVA1E+8GlRDFnvmo0eN3b +qUXzs1s27MMyFTszQIi3Jott3F4iZ1Ttxb08XtlKOe8RihU32k4Z7LEJG4wys0RAW1q8iF3QYXlB +UdEHv6EGeVYzuAytfdpq69bRIV6OjNxkmQpWiA7C/30JdC5wWP0VpJl++9G4fmnNWiML2mjjWLyt ++292sDJ0NJP6s3IjpWMKtwg6i9p5dHOGtsqHvRfsoHzaAwlnrGrmMYKgrggVqTlXS44jT2K/pInf +IVsrfCq+AzZ73TB38ZydVXtoJXszqGDR35JdB/lYeI6XLzPC8SIPs6GELO3dVsY9HYEE+HUrOqDI +fdNujb627uY2Et9ighIxwloSkyOMMmLEZ7JGvry6aqOwpHnustr6DYP1f9dnAeadu5iVXp8NQBQY +kTjqb1cZcXi2CQsKp0KFTDlCH707VL2JSwtgahdUIu1haxohB/nts4eopW3E6Kpzd2OG3UV8QK/C +Cs4One1rmQzIC9sD0XNuog2k/vWO4LvcDAbXfLBRSAOgfrhnmzijC15hDlfd9cpdjW0o6BUUspw4 +Xih14me6gHJQsTn/tQy3gJ6cauf4hcYPNuqeotm1KG4rgqcmlSvi37FsjvIhkIuQEnRW487cshkq +3UqVeTd2ND9WHQM4nMeeFAl6v65oNcx98MMg9oVHffeXUhljPIyhbhpAc+agSulBlpz0lOGk3r1j +XvDoRtcpNA/W926W7lguMesAQqSWAMvxfYovTZtqTjow/jmYTaDDjV9mD4dUsywqqKZbj+o2C/y1 +mzdY1uaXzFbeVDeRn5n5UQl2yrsxe9vMku9b7+qJNDlIdJoISqrgX3Wa65t72fHZv582eAgaVZZ2 +cb2uVtJYFgLI7szbFAQmvjmBnMwhlIKc3pF9SEET6TPiTUxbzIDjcvt1I2cH3StbMeeA3GtvojeN +8Hkz8HOS2FjH/6JjScg6Vfbxnb8ZqvmTXlmiTZSOK59kOOL5K3+4V8xUcDymBpz8xttYoCXloJrC +rzQWowTmiq4UVxC6Ajr50g3k+kbUHqctqgMnJokIg1CtX7A2UwM5QE/OPujHAgL/qM/iMnVjABDP +LiWfysBrNLvuNHpCoq3QPf5VryqGsYWV0oU6w/2ymg3o8i+jf5zFPbhd2CvdRcuiViwBK+F5EpW4 +bGhhMwQAZMwDU2b0/ekRHoLzx62LGTu9hcVonlO96ALzkdwyrP4YkeKdSzlDLVMw0iUDoWbA+tGT +zwRYd+jYMhT+cRTMhU6XEXFtoGo6b2QU7RqMJ/VQHQ5u9h4eEEFICILoZrW52OboqrCiGi9bvAwO +ZXWEMxuPtIYL8fFRKYrWMkxkADZOCuEiecN/jFY/boe0kVWgYx9fGN8140YE5dYs/nVdQG3Gqv5g +/kyxlp+aXiERNtRdVO1RcuUgxT2zCGPn6N9LztcnlYYVqtha0lnjCUNwAv8lpHRKKqm7mCMAVdn9 +kiWWM5H0cuCW7Ro2J/TUZJ3ml0qzzBLQUcKkmvWnKwxmEKUFdlkz0DsSWdsNgD1yEMUcYjRHcmCc +iAeeKPlFgHrGR2XjrKjkShVK+tyYnv1UZW87k3ZO2dGvtsiMADmKB0mcl3trzqCrhbL/F2EciJcv +LaYxopI3xLZR74r1+U/nITvScwP8Jsplfglj/r1ZQOb5miw5sJlcUQyl4SLfOpilGbOpyTtTsHyC +08zlUPKRZtTumrfnCpoqvtpeU/Z5YPFv2DAu1aDRbFebuZGZigehKXruhXtmnLmqRv9YqUevGg5C +zKCtcNLCba7PHd92oBjm6Qp1cn6h5aPznh35NATwGm0ML4/0UPmMGtUWkB1FPUAxnYi+WF02hrTR +halfOsZ6mvLH+ngLZ/BEAYjeKF3la2RoEhxXoVBVzZQTmD+ms5HQ508xuDYj2wyvQYX0pmffge4D +PygE/znA4C33SSbXrPpHJYAU1xN359d1YbrTxc7/7/pbtcMPtm6E2CzYbwzv4YK4wH995yhAl1Wm +ui7FpBM6IujytQbJ/tZiZYCEW9TJeV0miIb9nyhXPYRjdOmDGEsq2LeGRNxOvn7yPh/riXNoU8jg +MvKJPMCj/B1ye/uZmvWJNBsjDRVJXED+y5zgolmFuLNQRc6bmVixGA9L9cFTkoTV10l17AiN5uPM +aEoD4BFmu9GpBzWzrHT9ZKCs3rhZuc5Fr+LbQJ/rJjNx3FyFy7azUjx3x0UZEiKq7wWseC/448xY +eu9Di+WoT1N9eIcr2Ek8cCOayBOFqapF4QaCiYDLzEKP54TheAj87+Fax2Z6kV+a3cHP+3qJNMyr +2ZY1vHDMR04I7M3OnkrgQrtOEQ2xdIIb5jqvfklrXSY2MUQZsrOiyBLnHlCPPTIiI58BaVF4vEWO +655PsgzhLQqmpGtRgrrizmMLKkNuByQ2UiOIhryWGDH6kwdd97cACaznOWDKrcXPxreL1uTO0YQi +uV1Y6nLMVe/U/mNL8G48opLiyXXSjmP3ReF/o/vyW+YKCQkJveCguZYatcJACN7Wkl3Jfr7kNvoM +I7sNGzm1q3V65Zy0b5ap78teRxVOhj4yJ8fs9e2Kj6y9a4mfwJpC5AJ/dJfG6JI245NlS4OSzS5d +4fhuSxiHd1VPIrjO+pkuXmoZZa8qHYSy0Sf8wTPWhrgOaR0wjOKa/cmmZ1ugTrm0S1c+olpT/lRi +VMFw4Dy5mV58KqgnbOuf+xq2H7ZXSGz57EPL/1e3akaaSiRQMiVg19drGg0az5HzdzRj/Wv2HXo/ +LzOIR6+pbKGpghH6odQ1ZEPV4yjHCpnT62uS3Q0uo2ktiYiPtHIbASz+DmJJo7utgS71odJweBTG +1NHdjK0L2WQq4O/RoBDiPZloP28kPKn4AXsBoQbvno4s9EWNDKokHuy8V2ef0q3fYmDyPwLeEIfb +owgMi2ML/ueSvAC9TajotcHSdZCcwU2q18bc7ns/evmIEli4BDo2+Eu5wqBX1/H9rPItJUQxAUoE +XOfucUovq2oxksJO1UjWrX6YLUOGcGibv5/uKMthcVG/eIxjYdsaN6wkJe+blzc1WqYGMTvGsxnU +OEzQ9FTTLSnlpW5oN5I8hfeCmHCcIuP9ITNsDWQ5FMymRMMfm3PHyjcP1JbdCFyE5T/uJjccfD4u +WKs8cSTbUXCaEV8zu3YZtV/Vs2EBrCEaFG5gXUMbVKWuMEohR58X3PRfGKIvPYnEBJPZ0RnvXjEW +cinSe4vQeUPisRVsBnDaCiUIvZ4pGTsTGmN9aZ8tTQxfull4mVbVwwFNNWnpX68G0tGWBS93sxah +vq9YjBz84kUseGwir7zSFMpwoebanEZgUeom4Q3J0dJiMp3FNfdP1Q5Sp03jPhinoj/ebd5B03B0 +oOOKaScL8uPv0Cn1G7aUGCZ2Z+Jt8hItGF3eJXFhFzsaRwctbT77zqkx+3ZYHGxCPFtugIRDVLoY +jXLo8O5TLNl/ec7LMAA96UNDgB9jRfJVzyIN0NCl2xSYO0bKgTTHGbRPwYyDcH+nSrlIeRUdM663 +KLIP8ygeuMitjyyltw2GPurnFTa7b2DQQm+/3KSewru75OBwC9aRlCMrQHoG5gpXJfrNTxlxPRYn +YYK8rf6+5ztueOkAxlO4eGdktlA7uMXBa/EYM5iy78M9rcNQTfArAvoMAerC5k4a74i03als3koS +RUa9u2fyJ2Ah5JEG6rIeaL7EQlAvWsusfpDRekUSwvx9S1dyKlxNeowmmFx1OSzdr9AkRCujiaR4 +bx8dW4WMq0IJPRkkYHxMzaSfp8Mbs9sZjMFe1ovHgeCzyuunp0SxRIew5VToKBtrel3EActewe8h +x1n6B4ZuGId9b9FZVjbPJQE3qI9DYwgUI59viu2Nkui6fRVIbuli7+GFU1Fl9K9iEee57oe7582c +ZbBQOTjKvi450pOohxqaK3fWl1n+MFbI5n7qJMt0Lc3MVbHxz4zU267b4orHuUcLQ/Pus00PFuyo +txh0lNxqtEQ4BPbpNJd3PBwvK4qpguYvm8e5Akku/6bHLwB3YfxweRYTvCjFtRyOoao82VSYvFXh +6dvnAu9euVO7iL4Yg897/RmOWlndhF6bqkFzxwoBEuiP3o6kxDX+7Oi74JBIsVdugFFjBMpHhVbK +0ZesbXHCS8G7Gu6ZPw4m9AhmeFsI0aU5rQ/b7Yc2fiX2MgJZ7l+yeeMxUqombj6ZvVRQfVCVvOyg +hmJ216BSdmg430Al9PokITNy+FL4ZO0Mkz6GTNc1k2DLFUadrwK8lqWT8wM7KaDCgEbUDD/gDLry +1W0zN4KT+hDxD/DpEh4UTF8vbSHUk1f9XkEXplaHR8LrlRSMObxJKST2d9lwkXbA3FZ7Nhfa7l0s +rOciyd1TtaH7lG0IHdRVXfT9E03aNGU95YLxNHye1PoFRsVAxNyR+xKifdfMIW3NvBiTO6D80Yru +9G3rfNG/Gp1YaUtLvR6aU+A6VkcgDUB/p2SOMYDLOliLh0BxnGLTFXdseXXcGp1FZzW/XvlQCZeO +mwxXvmhDpLmrQli4LlIqZQnKOqH+M47zJKjJGqfwF20mYX6DNhVRzNxurukLo4CyrcMrrSKX8VrJ +gx9HXwcHeKZBrPjkKgo+aJrYSTWeSWTB+D0ma0EvsQtWwRaGLwRiiYWXXtwyuw7qqerclFqBKMKu +0pgMQy88koYhRQxRrrNKWn4Pw42F7Z2tqaBP3GOgzzpsqZp5K6lIhdss/nnIQaGK/HJYIWDqChvn +SHDDzj+WZBAhgU3VOKh9XL5cE4j7mWvU7hDV/hFPnYLyC3d1Q04vgBWdqflqNKtvs1sBksQwxRb9 +K0d1+9UOoCj+99ehenV7ntF9TAr4fiaFINqcqLJtyURrVmA9n92gvgoOXtd3nQn9J0CpvE2oDtPn +eOk7l9Z183/sR7gWLy2Nl2fIn258U2k2q+M5mX+GwapqRnS7MZbNsOy7/dj7x7EDKS2oB+0NuaRm +BkBszITO2cgOFPG9WNi/B1Pcmr73fh5BedU0vDtnc7UNjmfG6ay84kXMTMFO0BdmXzPPUuFhAR7i +z8wUtr2AFAUJfA3i30d4+fUL1AVbcW4oZXa9esu+a9Bf05asOKHlBbA490fm2kvii7NAfcwa55IS +ZbNtgHIUXJ7w+Y9CAHpO+UpHS97WrSzV2JKS9KE7LlEMDPSoYwh24rwlkyiYcyE2sAGO2h9yWpZJ +05QB3ReRcoSscacLEgWqO0KPq974gMMmKvX/8XReAnA1m8wsdn8Et6gtvhgsG/kjzjgqWsnw3FsU +iWAtWvXEHxEmJUm0vV6Zy+bGSrg20yWWQFfv9DPZWfhCyBAr4MwLDZvVcgyx2ApBcfATSfa/MWKe +qpyxzdHfRUpQ/HHKxXDcUSRxqLNzrnkzkaDLtTLyA7rT5PpmOGCN1ulghP7FRNadUpLRX/tDQo+O +TAvqcLp2kaips7g8XaERbd2JDVj74DhMSGVNtmqIxpoIC5Mvoj/b3uLMZd2hw/U5jftim0mb3yRM +iEdUcRZnNS11cD4Lkp/N/7qasB/nlAJIUB5apqhOlFIfxOq2MRZj+tN2BLqDAXv9mWVT9mNwx5sI +TfNHxpnC7tpD1taCQeWzuwZtBQ04tn7d6B7TR5L+VzsKtH6dDrM/ruesodYd3yGxKqN9d+xN5Nwz +55nVogT+cVNKktr5D1xCsgSFdizVlhb+tCYeRNywZHneHzDM4Z4KEspSpJdBU8ofoZkmgpdVXOHs +Me5MPIwoyLG/BUvoSpmXL/iQbKTzZzHOWcFNJuJkuNLrwT2xqrRY4pmsTvKII0EORA5C18sS2a4A +22fknhMyKakqBIOkabYLrput3wGSWTe8QzMPxrXMY/YHPfc2OeprXTHgu5UkuNmYibxc0retoTDG +sUlHRqwuNuMw3ExTH+Z8Pj779rw3diKu2o/svHPnh850GiaSrLKC3TdfnKSv0FtapQsyGGn4TqWh +uX/29EjXI/vU0kbjo5UBo7a01LEovVb3hE9xSdjl2cXRw23M+NjbB8+rpyBMCkm8DJvk4XyN8nLD +4X5iwh4L3PhqeBYrSzPd6eDXiFfNOO7dgMn84LnOEw5UA7PZbz/AOv6oXGDNXzNkaWHf6tfMAXwr +hqI3Bc42ai4AAPs28kG41QcIHpsH0oHr6JYdYAGytgjVClvQ/7iOKr+m1p/haS3I2X1vG84cW/vW +36FD0Dxi7V50/co77EfIiiXJF0YXbeMsujZWb4mRqG3VBAyRaFUUJxTX2bn9cQLh4wMnx+/P35Me +JMTFnAXKUhFjYzNTv31e+Ixd4lMe+HJrJR6eKtPXmJ9LpnLOmL6Ft/FIiDtBKXYVBbXSjOQvj/cW +tUAQhpAPnrdMpM96JOs3JesUx5pYwDEPoo264/sVSBFURbzIi/ZOuopd0zhhMYHobfShekq3zKhS +dULlJVGGejKeazXfgFXqKBbUatl7fSIIowSqCPrzmM+aCl0OQTlC7lLBQmBcG/HsozI5dSkbHZPs +acnWujug4Bnazo2Zybs7jyWGuYYa40JaOG9nMWabIIOreGq5RgixgHQj1f59A/jt4u98DgkocsE3 +owZZzEqZv1aM2+DwtK1WIwcC7zUyAdEvNexST7sKRiqIX3ppEe71LHKB1T4/5CHOTP/2nGa6HPi6 +UAVhCLgf895fTkaR9PfEUkC09S3qEfa9BZ3yaB6FURPR/JGySic/W5uLsENDzh30tqmWE43sDFcl ++AuQIitbhm7BTNaA80j2pQQseY7AQz+l1/5nmGIoIWPxWzo2qtvhYNwTrM78c/3WleDMUg/lBabn +vnybV5gTnov35APnsDRnLZ/HdlVkm431RlYOv1uPuyjMcXmAxk5PUDiKKxTq4nRaaoI3zOtoYRih +pCLWUfl+AsKzOegZraw4IFhHZRKXpVQ0qo8BYezP18Q4iXRAgnmxedT9gI8VIuW1QVVAotNso/Eb +8kA3Wa3Qwpcgi/Q/7C2p8My+SokPzK6+l24eX/jSPiEkAz9KQm6jItJem+aTF6chaXnNbTXwCaWO +BW8VUhKj1tiAvzurLyqDm+nxAnzZZyDxaRUEAyFxSPcccrJuiAMSio6IqtUZabV1We+3Jo6+quVq +/1PdcPUaFy3hBJVcmzeKRZQfKlliyz1d1a69+yFaglENPn8cqOG5YxHvQiETbQhG4NutYYhzsgJ2 +MDS1jTSoEPJ9YgcK35uSABmVL5K8f5csxitcvMoEn4uPaDsO5FvWZtwOVGmQ6U0fVVI8ic9HOf7G +zVr/nxRsPqc4fgLV6qEH3tV5bm70ad87e/aqzY85/+nSe1Gb0mxHKMqukasYLoDFCOxr6n5azsqr +mnQTGhZ0K2zJmWkm0aLxVqHnNn8pIyDO4x5B2B2e0vQ+yTMNUcgyJckaoxwC0ETg8UQ9oigZwHiA +v73bow/2OV/9EoYA8F2IdGcjL3Ph9F9UAJIlFMhdVmxEn8CUoRigojLEVfCyFuKCVw5DQfmZRXdF +r9bAGX5odoqsbHy/t5EQpK9ad8Xp3Ngt94dyiUhGPgEUePubRQ07PU9D19g2ml5YxNipIBfD3RGO +cEdBvtjRo1pSxIg0NOLc6y5ncIHKu6fzTwIFGLOTsTnH++ZEqKA9MEep6pwaHJH67vtRVKd8QDGf +K85FGVxzllJ0dEJPj+KK7/q+3YevFQaMnfDErivyfXX9RjTYIVs/HEkcCU1kYrS4M1rF9rWSKAte +DUhPutEx5fdMe4IeBaKqOheELCb8mCYX3SDVPRt18S8Xnv3S8yAby5tWVLojHlJ/bQnBMfmKSR5r +E7qNYU+aToiQcvAA0d/DTwGKdgIbk81Spto5eC6cVOmN5U0g+DLPruBvmgpiJWlyBSVQRo3vNIOe +YKtBRXnkjDtJYOdAtzEVTwudWAp4Q7DmkvLXYTSrRF/AReaYae5mPkuSWQDvMKG6PrvnErB0+5LU +IoGBce8fwn7xoQwriINYjTKJHZBqcdpIZRzqaSwjBBywjUwVnMN1p/ymoEaiSFzXbz7PJH8DGsS2 +G0HC3Pl3+eY+awcZnueinMDCTPH7RdHoOFkV3ccxvNAxLU6BiysxYORmYVFvvqR2eeW+S5+7AVaR +jwsFVm+CiL4xMQWF5DH4yzaKCVNXDpLoDonl9rZeNxhFtIV384L6uznozuPkxfN0ZYLuU5R3q4qI +VLK9TQ1KwtrngMQ5N/sIrYChWyymac5+p4aPFb9ai0ZCaMhU4UUiO+tSnAyy0xyBqoW5Wb+PhKUT +6SlH1KJH3UKGgtatDypjPFnKVxPXuAelmUC3m99wX3RDzAT/Q5g8lqUtJg0jEHG5EN+I6yEkjIok +5ZZxSB45j1xHfaUbWKchfTZQi23/7v7WleGqpP+StfN3FoTzUXkQjEhB3PKjvvcIq7qBXg3WV2t9 +vGXzffl2+JUGLhyCp/yqbfdwxWbse/5fdHgi6MYjcmu3h/n1q7yXz2r823VOmhU3HAB8zj/X+Fae +tds7xxNm2/n+h4s9J9wSXnLMOCMpVws+WncBej0Un2MqGCdSoS6WljxLGIyJaXVoxyG6W4OZRHyh +A4lZAHN4FlkGBLWh1WlAcK+pjL1wT1SRRx5fWb96m/wxWWGiEqWbf7aXx3w7/xgOJjBCBEs+DwwB +CFm1Gvtzo+BG13aeDax9yMbJ37ID+NyL4DNqIn1zmszxVgZZo6s0DZiAFCjQc8jMCN6rw5skqApg +Td7NrbO2Rn/bMwSYwkWQZlChpl9SvessdWUBPcQ9uF8VNuI7GoVFOnF+emEEKRZMk+nRMEI4scs4 +MFjZ2BiiyyKDoAEpRkmatJfMxblm4lxMEum3J4XF8h294gOzTlKC8xzuTNBGPNbnsxrtqf4UMQ4B +/zHrSp0e0BYdWPq1KKUJTekheFjHG6YQBofIfVIP3vWZ3EVHElf6S/YASRwm3ZdV6kPL0KBlOFDc +HENbYP7qYEDIZIeKTAAeBMUXqc4xqoinAtmioLcMltFmElUpTYGtQUvyP38dA02zBVdFmK3SpwMl +dtP9Firpt84KVm8Q2JssOnKaKLF55BfHfe/vcoIm+TbB1kXALEpI/0G7yT5FUo+8slS1VN8aAYaa +rTbmql1Lq86eV3XIWxd4CHMi65GJJJKvJBvY2lWlDgkKtcOJgXchDKe+raVsVe8WijtR8NGlxxTC +jP2UMucWAaGanxzb8stD7cJ121GNk7NQy/Ga0dNhLWdo0HUEFA6FGTWv++3LXOgxAPxVBd5Hldol +NTSLb2blQBeHTr2xg1JWraTTWXSDAM3DdGvmNDj6n9na0sHMPIWM0p/mwgKEuUFG/I5DKGfmwD+T +qvd80A8a7aIuuowsWyfJcCl3JB0NRzCt9gPbQtMLA7AWK2NSfjeHMap4OCKTAv7xW4CkKVEq7c9A +9R97WqRdVqBb1HVAxlZldrPTZsUxOaTwTj50KKQN9tUB9xmkDgydkgYA83k4oJnmMyJsvYz4RBrA +N4iFyxzMeSwOB21j83307MIOTpegiB70y9JNMt3Skvv1Yq9zA/lQxrSkc5f6zq8pPtmGmIlycaBN +ALFJsFdHQoFU15KC+vd65NvNmja81J0ylJQFyQt3kXuzk6OvmQGuQ9MpEpL3j9UVT9by3xJKuc25 +uELd/7xefI4eDbJEexGv73puOa7XdKL/q/jM1D4P5tNXR4USGXwgDoijVOnRU0xM8Wl6Vw6Bi012 +6+82l9msufrinvUuGGv9Hn8leQ/BCeB124/+rhhFJzKRNRhz4ZybjG0pA249a1KEUTAY01x1hGx5 +GfzaWUVHQokG1sABlnQo6WZHCnCjep7P0oJLCItnVHmlVNKWOuPFSCjyXWAOtxB99mgdgELBX1bv ++feEePZC2ALdbPne2n6YbjtjljeJhQmLVw0D8l3T3E9GvrzBZWfjP7P9OfbcT6V45FRBxFLanrOh +85793HJyGE7lBSpJKcblUmPWPTOtjZc7ej9EtKeIGc/hgG1pMyIrHie+2C5/yR7oP6RkHbcZd+Pt +nzeMqsYnBJfLPlbIVvjSKGxz6p6IsJSsIGvzi/vGFROTXBqJ8lFESnYb1jE66jJ003PTDjdgjZoD +fbC9+M69TENTNJ6lPKcD33ynR81hVl8jRO0PM6/LKKk/9e1/USd4EIcnJcn+OkG1sn4rMou/BcgR +YwSKZbT6IMzgUW9WeBnTDHlCd/J6iTO7NaGusp7zTyCZHx9zNemiaB29+MR0ncRLX6jh8oCz5EeQ +/pfBFycADXohm0JxOB4B+L3hWdX7PfGtLN79Sn06QbKoxDOiao22NKjBUVDqleKw94YsdTnP0VtJ +QHjZSHDwgNPv5jO52p2W/t2+b40RnM2oh9N21A78rTMQdA2of7VZhwJjBctl1qB4Ni0+J+9P4Mzi +bypdLD9DxCZhZmRaBYlLo+F8fRSI9zjtFU0xIPaVbJVGbaWu87LdEcxVVY6UB2t0VgpmqlWyXA58 +WuE4nsdfzrXfNbKpYnfYZWHlQhq1h3RKC1MBsqQTwCL/yfmxPalIsmaYtzto2EgRqwzet0wDfQHP +PCMnu7Xu5YfWpCg8wd6rc0O+H3I0itPDI3tHPPmRAduLlGiNfkYHvXAmUXrm32J7lFr6pzEQqnAT +6V+h2nbOfZYBCiCCiwYOrrrwOsv8ut4p/ZsIoB3Zwc2zppsdf0zXgs/iCAnEtUtfJoIuFkkmSVil +Q3TlWFmvL1VAPmSHeB1ye29/BGGUDasrGq07xzzcTyADTsEgOV40YmrynjsGaH8nAYzW0iiw0U8Z +O7SkEkNouIa193wK3M2jbNytESZ4ZmVKv1UOBP1thhqz8ubmG8/AmB42MrYF07wgu6U3Nj8ZalJo +STrkswmAGLiQAPYcuvbMDvcnPKnh26XatjaCTFZYgeEOk8nFlo426+7M1bLioW+T45jCUV7/5XBQ +1DVmii3JZXV6+gvP04P6jYpZEjf4Wmz8z1r80rvMXor8NjYC7VDNIz9rn9T8tuB5OMBsijmiXv8a +omfjrjSNvIGglUT6ejWFEtFpFukZJ3mhQKashGHVPiGmLXLnqRMcs8VWLt3BP39nMkrGJ35AzCx0 +bB/efHfjRNd20yFGVZ1zwxHc2X1hR+jasSMIbrdF82PaMOa1pUwPEvaaFJkIPNvtPcG7oXV5nmoi +Wj3Uufh74a5Ld7RjjDp02T6eZmxXzTOkmpkiK6l6cdbShzJBndR2uS9LyaIL0geZ1YCSuPPlE8Tb +vNKhWLnNHZGy6HhKCNNwyC5g9jwF6en1an6yY3jkIkdBSVdYtl3HI8TdgNj1e04Ekw6As2oAHNpn +NaO4ViJkXzAFry5L1061ORfwQ1hZUhL6xsN0RVQXxQCynlXBdAl6smp5MEUxZomG1k6Orv/c7mor +M6GCrmh5QIAL18TrZls+yn9s3zN4lsidLbHAMkFEkzNT2i5wbNFaVNiylhj1T16TZsp/hchmu0lG +soBm/LP0k195hiOzaGytnQADAEd8Zpw/pO/7qitc2jvskmerlEbgEZT5ARLcRClBezZSEmfc3cMY +VsmQ6iTmjWZy2jigYd2mltLvyt6TWogiARMkW757aOP1SsfS5+16l3SR8FPPj3w2TH2xM7mgYaTC ++DeztYS3iYqw4EtOajjz+S3+tMgWXkM68cOiPQknTBA9Dsu4gYQRSq6MqS35k/VBF8BR2cK876oY +nLE9cBacWlsFmPoukz994lX6WJj9a1AfXfhpigoNRhsOFjaQfvc07AcwcnVEHrHoI7MTo85/OaPS +3TDG9kEEomjqVUF7bbdsxX0S7reJL5Y4bMCjFuBHvmTlt67cJaMbXfF2mVpblT6bAVKE4xmCWoBY +1czrB0grLLRtZhyjbg5McO4RCgqm2hy1yKGmR0rJrnZ7RFUtIpuZL1jwWoPHvrYjwQ7GbtKKNYEt +Iie60XJqsG9logD/WVQN/KQnLL3q9QFIP4V8LRHIiergOiXVfHGlwb/FSlccgUSkaVtoQ7trUzQW +IpfhXF1ovTioX65pEaavQdSbxMDseuifZCS+Ast2sFm9cdBOB/kr99f+3vWtzeWlWjrwqzJlLAQ6 +e2TIV3CKFwcvyc7RRI6vmReyfjk/AiyCvfD/rlqlNI0nXpSr4uTCFaVglNO4cmM+lyrIWN+n4hCt +yAb/EZXCxC2kPZzq8q8sA8M0GVhb1YCnb/Ab9IUITfDS2ivvCT6Zd8l4HANjWdfVvDq8IEUYI8Is +TYA3voFOl3sHkFdHF/A8eaTWxu8kpMda6nAF7UrmZVo3joDs1Tn7mzpFQXIbQt2AAchVTOd7HAWa +QIAKfDVl5byhiOw6XsSW3ZP03VznS/c7atMO3RaK0tGiqe6ywG+L9MELya1rOnomvyNSxehHHU0m +gx1upPq+Tct2ep8qDI6PoRCOnV+TOW5wHrgfQkfLj03y1r2bpcrm1rfEC3qmg1gx7jla4wuOhh4x +Yl9NXhpIeMiBtYYcIV8m0fZqjsdWvjofBVx8MpOS58XSBesSVGCJIuCF8+C45ZJB/jJfJfQl32VR +IfZnrsSOw53ljh98+35fq72nM0AdVjnISidm0h0uh64vIMhq4RIikW8McNRmbuQvWvCn5r90V5oJ +2ePEyI9RWsS7ULzEM+hY8jNGiEvVP23qvHVO8m2NpYU8kTfsq2eqpS+GOx4Q6Lw2qTMzGhE76lFw +99RuGzyQRXplmRs8pvNZgYOokBck/4MYwxinQaO35pA7j5Vxj+P/IEeBzoEts1B4uQAmQWMcdRSb +7FjUlLcellctvW6zqjh311W5TjWCNg5IoshxbTVS+vgvn3MJjW44VOg9I/yZlfc84gfDiy8kUfg4 +hR3iC3YPJdOuu9M5atX7EzTeNZBu1Etv8AEHj57PwVItKwx80khCwqM1lk4aKoXps4ePlN0sfHW2 +WDuNbLMaEt4IfSD8AG7uOJJXF+lCx5Nl6UQhZ1Ic3EoRefEcx28tKeQJfJPMYsAQ/aZ21J85E7aY +fpoQOmSnk3dVbaLnPGsT8VjrwRguuQ/0EaDGFXPu+TEWBUDe0yrlb+JPYhSCDO3Qn4y3U02PdwG+ +J8Yv7Fpm8QD5vt0E3A7urNCWLYE3UdRY98Q4WV9CLz8l5d8t0TAEkK+kX7IP3/Ji3dTvxOQf2GsE +oonvx1HtoipwO9QTkXl3uq3Ym6yTbRbf+KdmntTxJySA1UgAB3Vj0Q+yh/H6EZXZ+Je5Eh86LdAP +fxhtdR/bN8wEd9VYKg/B41aoaJBJaouA20VQfKOMAI3/ywhskGVusb2zR3S9kuGf8Ny9xdzkbyMI +RkBcP5Uzul/jQlSH01Tn3WqknpnPv1W6cdJKCG2BrWRL5uLS6GdvKCyDMXmoH05WMuleSuWCeSXN +XBw/cr6mGUdwfhPyoBNyTeqlpwLV2+P/H/EXJfdHXDwgTk7OF4cz1uUY7ZbMXBQQK30aY7WmUnhd +Vnssp8fNfdHCTijoVdJE3B3vx0qBoPcP6WBvRuZe3UtoClHPKN793P1T8714ntUHTMSBwdxY8B+l +/DTZFBQPZ8Z1b9UCMzf8D6JKUo+oWs26+tMWShncITfOUxyK/HuBDl1L4Vs6nWIrm7pClhpNFyZY +kqqUKTazY+336cUXqtncowPzCP6FGeCY3mVPZo3E5LWNMGo9RpFDDxc1x/FCvY2/k4acGGkXf7Gp +EZGd4MC+V8awvQZc5byLEKnO13ITesZIrUD9NyQu59TOfGgim9GZBr1XaBwbJVkYeK/qs9VEFdXD +VXUUsriJrQd35GOK+Z/FFA6x2X+O7neBW74QgFln8YngPu3cmrKKi+37wK424qVbKuuzoP+XUy/O +Z3Qxj7UT1B0iihxm0dPgnmwYa+83RJEgdDCzXv0WHaOsK7sG6fNSbpkgH7Ekkl6Rl9TZQwAd8UXm +vRT9epI8e44Ml4/ssATwFhKngIijZoQuHK4hkpuYx4u+/m9KdE5WKVt8ArW7de9KzctP2+UO/5Mw +P312bESTT7a5nfEvXxzE2st0Xs77LY9k+patOAoxPNGUc7H7YTPPCfrmHR/+0mApYy9jDiuKVgRA +eRJgig5onq4tCMBMNlIZbJBC95I56NRHLClFYOPqcjRE0pkxxkSSHVLsXEcSJhU5qTV7hqAIPVZv +baxXFeWl9B8Q+B9h8+OoZiFr2C/QzRCovJGQJW+3DTHmKMTRIpG2Scw4oUiaMgKitsGnNwF7oRYp +sW7luULKttdn8H5EWN7FScSqq5rd9FdMyKmvN2JI+sVtSgOeqk3R+xs1rPpPx+UlvBz3Me52IGkM +Fl2hZG5xk8rb8szUU0Vw9jJqWlnVntgyaG1WGJs/LA/nJXVNsUG1XLYnhvIIEalYwoRj/iheiC12 +mKQ6SbswkKQH4KY2EFWNGommnqBXA3BtYrnM6gkz84+l4fTaCjnyyyq3vHAFsuTgFypo3LSk3mwv +MHLgprNkY5BlWZVDz5fEp9a2UKUQd2C1TksjJHrQZi5vzWgIbWP5Sgky1otv0RMNfIBUTtw72KXZ +9Hx43b/9S2Vm2NDqTJmjj/o8FVCVrBf481WTbOCY2AYobgf/5Z3bVpZBAWWW+azzRNKkJJAYpUdx +6unkdlVfRBj58i0Keqhtvuvyv++gZbR6CNYyI2QIOlYK3QHcZb2nG7st3Um+mxew9dKNmy6f4QAn +0oZuG+NY85eG8mlTg7sHVV6/TtKte2D0Fp/+RXwu0g32JZSWKhm1STb+VfFLaLs2FoW2uqF+YITg +nv/WxW0wiCqUrIdSrOPEoVdHkNX42dAjNq3ClxvGa7kfzuV4bgIMQyOgPN3eIgEz23IfjLrZoNQ8 +6HXTs0nXmI7ClLnjbuSkl+2H7Ps9yO9F87tSXZPvV7XvdmpJVNyGm8t7Tm5aK3QY+kNlkJAfNGyg +kXyp4EpqNZT6trLYjziwdrRKbvPdFZsHHgjmU0AgCYgwlI0B4sBantDtdxMy3I293NIPRRXLS84K +ccmUmI9IEOrX8vjn6x486mGmiif74+RJefIl1X3dyTzDemoruYnr6AUv7KUnQ9X/268V4yOBUmQg +nT+ez5F4NYBP72b/BxVSCYneTBi5wIwPXUsBW3GDCyWkK6wkP/9DocDxjErKu3BXpMG/WBZqzRJ0 +E0D5DTIFqQpDh+x5mKWOSyQrj4TBqgfW98XcjbtDpgSOJ7t3AYTF+8vbtCeN6IOB2P+qtlcFkumV +B4rLTBaQ1HkMTPaSurp9iZVdaUHytZ+UsCaN73P2i6IRd//FNCPDr0ZqgXbEjBNsZTxMIALGegrP +Z6AY1/KeEwmWBa63t7VpsdhIYR9UEPwVAz9fmOI4v4Z5NvbtJTeonoXTaPAMrFwZDFN2Ed8QvBHu +i63t8OVx4qZrweTpHmUdgvJsKvx8wcsdUGeiFvlYvejyrpAQdN6Er1w0eJMHpRZHE7Ne0pRGqdQl +g2suMQng0KMcx7lLZ/+Cby9yYnHxqe6D1uuxSJeiOelu09Xhp3/2TxAg/59RhQl/mUNgfXrvxfat +r0Cq79/fD3+6PX/RbkzJt409tN6w6mYeoxzXANVKJD1dO68VTOkFqV3/o9+dslQ8WuQYLyLaCD+s +zGPl0utQ/CAB0LYB+6RlrexQXpubgfuFkc+9yjB0zXTqYvz0RSV56kTVuhvhyCKujQpy1NFdt2A/ +77Gj9/HhkD34lls/kEzEE/yIBTWwGOQ27yvfJZWAKUtfpQnIlqgsa+2DuYuW1giaP1P99LWmLVDm +1eYSB/Ve1g7Ff8fQVTH4VgInb3wx7tXfsmKIPUSVHQCUNFKb3gJPMvUwyXDsB1x9Mgf3wj5RirO3 +wwzu8xvWTi5sda/LfXnZscYB8teW0hZ91bZnavzJdBdj8JclwU9yTGM8OGEDDqU3R3MUAh9w1HNg +l/O0CONfcPSSZ92B9JpJ/ZmYBQDIPz4Xv4ZB6jeVgNngGJIsM6HhuH7YWwrgKTxHmC9FF9RfTL3f +6TZQSkNqHzT5Z9yzH+wpcKgOrAojQUladIVWLjqJhXyFPNEJHI4pHWtG5wFwSMMM6sozhOS4ExUo +H1cSQ6/dE6UY8ruJk2x06AxcL42Z9M7SuT17nK11o7SM7LvqVnwulpwy+e3kF4qfoFDazfXEwC17 +U4BrKncvPCOtSy5w+A19z9oEb28ZoCSEB4Rkq+ALJP5Q7kvvuWdSzvEWWgrIuRiTUq8G8xetOict +4rc0Li/Iw89b4Qqhjnn/DlJyVjh1/TM6/xsx9wAvJ+2GvqE5+60QgdmRNGsJ8y+K8PRCEqhLJxcp +Nz1QC2INbmBkT0TN9xu1AXnd1Jg6OEkDQ+42R5OxnZ98KDFvnRWGSfEArSExBZeSus3o7GxUxQc7 +H8cButI0RIl8tQNTUjaG9uTfeSVv/M5UebRAij4zoGYw9MP/C/kvH1sNuh+5btGpUC2bFr1mXtGU +r5dAJbIxv5UnqpmMOYSjQ7X0prqhpYCjIDNKpopCIFvFRbyoxp/+JglyZpoSvrMS6Wq1I0QL32Ld +W45hBhwe/7Xfwc78jckxZ/rEDIup8jdcD5OIX0U3k74U+tGEpTdBJIgvrc29g10pEkf6vwGJD8FJ +qxrHv9UxCCwky86bxH4eNulw0HRz7lbpOSIW3z8BQVC9jEQbo4EHLPGr5FpF4aA5mXKJK5enbaTd +UTzLfHppCKOd37+5+CKgz+3TU8a6/Tk8yH09aTblWGj4JM1jmBB2IKGzfWxeM9qx0DFinbaAMGfK +RwwjOetY4h6GzED70XDiAXrpQG4kvfjExsPHaBeewPFxEWvVKYjgtEOzIIITb+zt8neyujemoHMC +u+RfdiW5UP81m3h6+CMKzIvBgYZQ3goiVy/MqnisJ9sTrj0XLRLFzl1V7F0tVq/qUqcnc2Qc8qVQ +EULiOtjPWBDTZx4EwCPq5sj0XpXfqq74IhST77YN6HQmDnxnwEx7neV5e8zNPuMZr38gR+G8IAE7 +iITlirAyXlxOk2Oab1wSx4Y2SYqlkLkIfCTiVbX8VEZ3asMnnAAr0PQJ/EDuOl0Ocrv7vXanA7zD +rqFO7l5l279hxiN+4cJtOXYkAk3Hi9qdXl/APwIVoWGTXttu694gar5QP7Gl519QUCqBoP62jOiG +jlOsdVG3pZT+avSii4yL1ip2ZI5crBHbK3+qa0Jf4JzyrugWaVuo9W9YOT5u6531MpivVEpAqM0y +laOtTXiWe9ORZwBP9WBonn5asRGB/yiwKqsIcCMo5sC0J0Gf294RejSQXkml4MZZcVmc1M5OtSy9 +xqolzhKK8LeS1wqKrgjT8vNvvTF9wOVa6RF9aJyU68478KFaZbBOqNhLxVmnQzQrRKOuXyTlp2ub +mDNH9qDsyANWbrKkeplnVmO5foffXpvYCl45D9jW19ZlZrFWXmmK0CgkHiHlsGGvlP8ZPlaWwVtw +VKg8gmpdc5Orod2+0a9Gud2EYSZF7CvyMCCnHmVtbdf1yR10GDyrcOA8tQfqFBpwTmbkrHgh4Jm2 +Nyr928p+5Q+DxvSb3f7QJnL0OgWYgLEeMgxzIkhLn/hrd++sAOMz3qWO8ozDuCoIEXGRECV7A8b6 +0wqQhzyRg9pau3q+RUWmO7KEa/aoN/zgk0yQHEwSDnozLmHRH6+QHtgpdDxMwW9utphybt1UdRaz +VO5aXFr9kZm8xmDghCNJ1qVaFrtbctZ2R5PhfafZXbRE7lJyGnB6+Itt3U7gqUcNk1P1+9WpnXO5 +ZM8gmcdwW4loRnG8N5MeRA5zizY8IlglCt5nZWRkdHVEFZ3ooYCvN0FsDyxWSPidXBBoOvq9UiLc +PeRlUv12QczKYYAndqnuXnruyr++h5Qf9JOExsOLk+6Mm92Gw4HKtC9qwFnURxI9rfZlL6gcv8g6 +TRv8Kk/eVUhDseh+7yP8QMb9Fbi7Nl/ysEFbyDuoLpHsqEa44m8jO0YorSlbgZTTdzsCBIkBOrRu +piqJ/osBoeHGRC3lE9jLkdqCtY07WfAEQpsr1PjI7WmnL6K11HCq2/UJ4dZBSqUwi1aZdXRNk3bZ +A6X13ASAZCQSBWKt18wTW16y1jxezZY7pB3ecR5/HW97mS78Hwbs2bqzp5qR2GmlSWwS+uM7ZJpY +h5tNhnA3CLpADFO/9GJIZ3j4DBMzGSULpiyNLU3EBGWcsTSO0AeF5thS4IeQAWZCyS/cdz0kGGLA +BibTEm97fhBbuCV2ilNJaXkt3RVyhJAAJz0Km8eaahoNWuqUm45r+4viCL5gF09EIYzl4mbxd0qN +ZLORyFwxLNz+/P5hnFOHOWCrhNzogZNRxvRAUlMNTAwc/SnoAdA1GAQqRrET8T4WvEu4DPRN/Fih +bcy/X+5PYxxop6Ec1dOdFZgZUidUnmadnGJqE/euvfUhR52KHcElgql4ALrN+bJK3JX+UtqRWiPp +g7cpY1RVVhSTITGGvuSiwlNokf6dRiDfdsn3T3MESnIKk1HpbSGMkjn6PHpqZm6vusc6SusjIYKM +UwnNqxIX0B4QaNpZJjn84ERl+rBMSZ8pR8wGYEYgjopWX39buiz5pYp3C9aX+WCKV084758vXKyK +8wzIV0wMSeh9pmojslNoTHww2cqNh29djp/CC8UgtPEOw1iGiZL1l1igzP9rPHH10TJpFVmVf5kH +bOVGp+V9zBGxyBZXABOL0wgIdFC8iO2qacQQm8alAWvdjwtQB9R+lc0matPpe/dsJAzwm5Ie5V5V +rH5WVgQXlTsEUH3GA7j9V/adoOv4DSomkVoSXdpKj8JIb1DgYWmHtht6RaynEZeEiYOVnszg0qfS +dEZYqYU6p7Ue6zS/mH6ni+OMS2rw6QcWyfUPLr0Kmef9FWy13x6wxkwo9UWv8VDE80Qt35N+qMvs +S6WXeUSZBDJH+xUpKiH98bNOdQ2NGSJzKWGh+ajkjIdCCBr9oN3Nj7xT6UydFdfPGfSHMaNKIGny +OYWnvdCh67Os5IV0Iowmr2c8TifuNxoYIoQLGeuvYcCoqn9dxYnMCAMFOkjhXaLyzwmeWZklCG/p +CD1NhkTBhTwCSRdTPrZNimJR7VQ5FaVu3f4zjoPCjRMwEhFBqNMoVm7BppWeH7PQL/smm82ypSnU +aSB8KFHhCrFriXCt6+74vItLGphO9MUjF3YWzdArrymMZzrKKn6glsKJ9Czea6lecSB1ucVAWKXt +GF2Lz51aBUnum8UcgddAzFEQaqbTxTKMGpdvjhOj5ZLOjQ8+9xCGByJH/d/5N/9Rr5b9udT8I0y6 +uuXcV6NdTyd655c1XIHhaJ5HviN5TdHyU4Nd4gcD5a5rM9Tx+0RylzGxYKgdXvQbskKevQ4q9tVy +cQHv2bs24mTvzOBLZe/Ny5Nm9XH82mleHkN7yDIIHVwusw9hv1ipcYCulCLu1k0hC+AfREHLtyku +e+0Ss7icEFN+v40cwvQPWV16nwgA4Yj44UEaV4fd5phGNczGaoCfY4/GecO9PBWKBO3REQYGU8Ap +YjZjaDbQ6hjeULYCuTsUhwa/VnusFajYThvYtj7+nGZ8Rivxdpfa3ylIRQWRovB7O+QIIfRiCSdq +f52UFVs5XpAtGXZAlLaywMGBdfdM4Z4gOknVvRJfYEuAn5Lu3zlEXPGO9Oh767Isoo9ssOekJbLu +ib93jqKLzOhNGFX/FLpIIzKGP4kIiyA1qbbgGSTQ52yw9F3bIFkYPqSNtAsvQJpTAXfRxcUczJ7j +oRmhMmgTsSVlwez4Zdwbj1vqqjRYMJcicGpexEfQcVflr4Ql343FIIiaKB7XuFS4/zeEqdqXxVNE +jn1LhvhCIj5mujXMCS3ZQjnZ7y+Z4B26EuMbAzCAv7K0ANgjR7vQU9tkmkcQZT+aq9LDlbB8mNQv +GhveIISaCTSDGf3AzXf5p1l7sMRHRdCB2UMSa3RlUrmVUxnEb1Yo3AGO1cANN4qUPPmXLv8V0vGm +Rl6ng6jsEV0pZ146YbFbiVRnPjzJOsHrJOXM7LlSFpJ78oskdGOcq8a2b3A85JdjWjGP0qZFZlik +lqYqkk6OT3m5bLrC/Bjm6tM3OsfVHsWfa1t16JTFvn8pCQ9BlltllDaZjY0GuKAZo5KPBQk3Z4Uj +HIj+w3kh0eNRx3JltxR5M6xN2rfPncB+wLuYXMceuucyQdWBLF8uDFkl6rfXBUfS/8td1S9Y+2z1 +kDh3V9go3X90EP/yvShAlqq+Ue+sZQWSvKMiQQwxWhyfxU3/nahkhqZkK925x2w59/M71/IcaUA6 +YS1sl/VRHEPyGH8hxs7kM+XpkjXXSj5Kpzd9uguDz/yC7yFcyI3bwfBQA+YqnXljZYiMX9ch9hLA +9T+2Toxg/hIry0x8E4hnpLusR8cAEwnzDH30GRQJS+oQs/vqEyFNe6C8L5teCLVaHh5j8DQZKGmh +KMUG7uxUoiw4ybHx4n0cH9fAtBQfWBkN4rBPyOv0iI0wHP4RdESiqKwPatXkK+CCA3FxlZ5qVCK4 +T5CKQtt6n9Mhd1naQ7x4RUgP9a8kfU0Lj8fRlFAMc1MrNiESuFRIe2zkWMMqT1hC4EY1VrMktkRg +18Nv3sIbBSJs+KQSOHQffZ6moJ4n6XfwrHdvACd3HSLk3UPIDn+aQQG1zpc7klNe/22QyiHckliA +LsSMqGtAlU71HYHkHd6n49z1Wjm4bdI229YhdEPQUVLQD7AuyYyJHQMo7vXnW0gq58fHQjc6EmCF +GTejmwj3BGfPKE5/e8ljLdW2UhNmJwhNV2Qxgy9EB3SmVkqzW652tSC0XOgjW7rCzp8ytTVMlmq4 +BNXw9vHu/JcGjObBis0PfovIqCb8CDrR9Z/DL+Y+Rj2fk/fJ8YJ3rbqt/56wE+kK1eDDDh4LF08P +91eYcdlq/oYgQfZT6bCBu2VisGZFOci8wjcj3pkwn+ynceLpNi7llTtllD+jShAIGSo4LrvEv7rb +uSkc+A5YNTN2dvaUp75rbcBlIUjxbLmQmd5J9wvR8YLPZqHuTWLZnALnZpoAEQWmcPPhVMo43D6O +s+xhw+9bYucd+35ZxifjfiR0S5/vQK6rx//Ay8SwS+5kXBuJF80AgrJIQ4JZt8O6MzXnt2u8UMTu +uj+/ephknfcDGyeTHah1GonJqFUPn9gNEsMdbF8wy979drDQFHd9IenC1WeMNCYFkt9sPZTnswQp +tA/d2gWYaUFHVQJYj7XSAya5jOoEBxYmTKUNEO3pF4qNYx9vrekAOAnWDyyLcIVbE5f8PT8NPkRE +Ye7C2kaNRqmI7N48dd+EsS3J6M7UE0iKGkWnbdG3kp3foKQ50IfEIC4HTtLHRdmjs2wGSIlLJwf1 +eILr/QlcJdXsQKFPcbLRFso+t4fC07iXK2PR++4QHVa3mPvD0aqCVjrAZD9MhZ3LOPIxtoJyeBpu +SlbXgKw0zQ9id067rcxZWMwGZ3z9tB9ShnGcAdf2fr21zC4M4OM4iaTOM8KF67cLIqZALvD7ZPk4 +nNr5NcLTQvXBzZ/h50K7+iTM8VZXc48dd0GZtTZOswsCs6tMohqL5/pBfm/PEuxAmwNnO/NHVzT3 +FJMSpbO1eBTltrxkOA7z8dRnauZRwWbydGWFDsMrwy3PQex/2tVu5qI4PLiVqaJ6NH8mGD3eV8Os +erZwhHhJ81/ndztRp26m3aQyScCCZsxVcxzp8kHwkhIJmec5svsmUEmxdL63YRJCm+SqywufLuBL +nHozyLhe3AFtYKpWlSwPYiJEmjBqFp/1qH+aJxn6rhIHLIfv6ETF7P0UxoHP57rC1N1mS28IcaJD +sl4iSlPkWjsHp8zNJtQACjmTHVOhtlIHq4qK+2hqWc0rGDdhRhYvnxvH/9MzcqUrjKM1ECxMppdN +NN/PGUIWRTGeoPsYdXmV8ybNX9zVjPfSfWj2wGtGrFjcH2hLQSDFYyMCEHLySzIjfL43WGtcbLGp +EcFYi61nh6AlQUJKvhEBSBu3iWuHoFcGEvWfxJ/hIyZCp3plPwr1S7qChI1UxWhfsVZ4zYvGnACr +DAMmKxiFtzibLfGHE6GLuBBhBdpecw+tB37iampuMecViEhO80K0594L55nXIhE4iDAIbezQZmbx +BcIv0nAg2Av/VFv+jzDNwJF8mKOG7+77KnSajmjeCX808rDgLe2dAR87drr5rFAjYIChCrXBh5mK +tJQ4gda6yRewOyjvbRlDqVIfUZvbjb/blJBgo7inbPEjj2wPFOsBSYbCSn/CeA/pBPCniNnG57ce +UCP/1f0rFPvIiKH0JGTZ9Bh+kyqawaeS/zHNrY6eno38hBeKLv+ci7CTYojKajpNqtcSTI79r7fe +JhUvZzfHnyGK2oO1/Delbj4tpoHklwGACy0uIlTuWPcV/Xcew+TB7fgBdBhB5+rb5nuS7F9E7ORG +gXkFEBFeoMW+rxzHtfh+RgH5oJcCn8wV1nBnRta0Ma/TwsRFyx8XI6W9owisDn1MjtTKaKfMXkk5 +wHZZsTfSBNnviFysVMzsCy8PBXflTKFqUFOvYBF+lR9lY7Wvf+7sbjkfuE7edH6ryPm9lbOA1AHV +A0ALFeLf8HNfoJyw9B97rXvGIjEJixrQK/8nXJTk/pVsxhzecnlvJSaTh5r5XsVztF5khf8DBWCg +pfjHO7xbLiO9YOohwLlOfDb0J2duUny98b5RebvDh/2AfYrGX2LjikbVIy/uD5NjctLN5uET7Yg5 +HuAE3Qxvhk4BiliiMsOsobMAn/OUwTS1FOL1o9Z4KQAIVR62FmfoVpCWjOEWoMQ9+RJH/XL6sYVx +qgJ/qGbUhFoickZ5rsmVTk9H1OTAvXWlkAs0El/GgX1DWCUmAMBTyifo1hRRsQIGoE/LgBnjI5Hz +yS1oknO0upgYX7DQQxw3PZTntYAf0GrQwWwkUG1ydCXF0tZrfJWN9yKbY++8rvXfAEn4EjaboCyq +iecvGS7fWbcnmonWP1ooRJfIkBENFFFPUqaO3Lm/eLIghi3zD91pR9a4HOql8UjamSgMVIjmTkWO +GV885fx3R9bnvndFPEd0rP7MieXgn0Z0hq+MFFoF9PajR8n9ZdnSGB7eNtYNdvMUIzRZtIgO25Dw +wNpXyXMNQpad32tBlr4lYSJcVlU7r+FoKMOZZXBKlNGXCRn/4pAUd0cP3ziT3Ao7xKj/F+1M1nrr +gDtPDHlLbzmKbAKBxDb5piTdurBfI7FHKU9eTFhriR/sIdzhFHMFzGBSgH7S+h3CwahDoDrCOyj2 +ivGstyiXbah4RpsFLozWdRM+FdQBG5RVV6Kud/kqM2jsSPKaRWKGZUwRB2g9qDtNdBWCKqKBOgib +laDlQUy0Qa2G2F0HYrS0KgCyKWRlsRSzoitC2zefAnN6gvOL/N00N/60DB7Zxl6cJz2EpelDpYDP +7Y0m/3w93sou4gnBNQa8nczZGQ00mNWHQDtHGbmowKVj9oL9yhnfCDaT28lgOJR8tLTcoGJXIiTx +7Jku48ZE72Gf4W68cFqh4NtXGOr4ME3owJadnYGMMmdLWOn1VBjQXOxsxwxASAaFogektFH6zHpC +cFfcKgEnv38ezVpWEWyjst2KSKkmtdC0k+7sXTG9uSCcXhvo0h86rq0qM9W8E1N+fdCV1Qq2iSEw +26o4PZ0OJXl03ikVeinm5Vce2vZUSTzYQJsgLrb6IsPGPJ205RgmugSAs0QWmk2Ty+FM2kPTtU3h +j/dve4Hvofamcf9tCJ28sNCRpwbnCBZ1lxkWJXrzINPOohhW6GBBKHsNMJSF9Ku3vehfuqAniuBX +jkhnjCUAib2Cwf4Z40HWAUaVVEWblP9qRY15+2ecgORcKEsHgm4m5dalKWXTiDeCqee/D2/FHBZg +uJYEDALbjxN+Lsdzdhq3/kFSbgMicj58fb2HCTtnVzdAa03h4QgmMMtYVSz2RBKIvdAfJsmZo6ZS +pljpS3T7Gbm99L/6izohL+LBYVesmUervCuAWy7vs8xR1m3x4Nzo0P7PMf+84x42hx3X2T1TeG0i +MAh1nTUUtjtKt+UNgJWJrjkbGKu9EgfMpS9pX4wILEvSxpjUSK6gxmFlacO27fvgPSWEX3zhYpaE +hU4S6MjMZn8jvV2QvaGvkhFM2jKBOhTfe0kdUi1e8KYoHZ7h0LiWY4ni8tOMHK4ZixEfq4UhgsR6 +rCRLsSBX8hlzFNPCDF+vWwzzCj2aM/ezQTAJwVauIB0JiuovV9ftKz3d7Oj6OsWn+C2FuddIK78I +uPm4OcguPskctFtR2iBOADUj22SE12//GrjuBjyszJnxuP7en2XgEgvEUjDFdiCoTtgXib78GjXP +WgcJU2bP+nnz2wIOqUKe3MAx5vIdLeKz54eruzvCPCI1EK2+cg/WE/oqwEAHJHaAeZDYXazZLKLT +GeKFaY2V5YmB98xyjFxPIPiSaxemUcrGlGvi1LooK5jyH6m+Z2O7X6WHcD6YXCfzYtzFEYD1bGmO +KiDA+BpN8yHMQnaRbsn3llm8wcIf0XYl7SZ4aqlLjSGMatZZiB/yxfMBknHuRMR8YQBET1a/pqg+ +QKR/rKWn+RKQxGbsCmWPFEcVuOIlHWGtey5xRSy4HU+gC7Zw+8kZEv22d2PGVpRk1g13iMJjzBpv +afFBtnb2emQfeBW9QpMY312yMdtXMIjEqZ+neu+IJr2ZB0Q+9WocPtT1Nhlc5LCqi5FaUeRaQNB2 +d1jnu8zRCfN6D75hlJIeTaIl1zuVTiPWg7dXD+Eh9upJ+LtN9dB06akO1sXu4xoBj46HPQqI24XK +y89wpIq03qOSIrVBHNzNphv/maimgTXmAI2r7DxT1mNHMgQNigwEvc+Wu5UT3S3Q22H+Uoku2HEX +YU4/f2G/zsIEFVnN8dtm29kz8KwQkv9qcYjmkgGpsds35QG+GlXQd55qRPIGKGKoNYzfBg1X8C46 +bId6PUzX9xWpPOpvtvSGsLO/V2qYm49CO+54qQzyeaEuGntBkELJzL3aa7YdjvR26CEaqq0SOGBN +FHvOGlKUBOc8SYhcNty4iiihNpsNIcr3W+O1IfeqtEGc9phP0F0BXphJDiTD+jJTaCa0TBJmZppf +uIGlPndn0gOUGBeQ4gCrrPbyTaf//SPpisyK4siZ3Xah0oKVYuldh56V6jTbDCU/oH8NMPAaLeLE +EcmySYZyO1JwDfdS8EOhjqrwCU/rUJAyo3WAjGjGwoQZcUJ8+bHS86UG8mqzR/YPXuROqbApa7bx +CZbVyqFeoNDswr6G1vtahwJ75UOfqx7rnCQalfYcqz5IXklCFiesJCSzfXsxYwD9B3a/Kfd3UAbn +I4Jq/xoR2It2YXaLaESci776Zi+0Le4kFZNRZj9EiBIpV3JrR1teYzSl2nrzN+g2QHZnXgmlYZDt +JOXeTRvgmcF8KGmyw+jV0Fjevycej3Ihiuyvivud8QaTYI++Qa02WdKVqSfJTVKydKd+HaIcR8XB +F/NxUU6DaPvEeCZUYZCUG9IvIppofCEWgP/lOvqQ33StM/EdNOFQdg1EefqbyB4D3NIBs4eUp4yd +svY+cKAs6rka7GOh8g4GfWdSR9q9Be5ngxKas7pjUKIAkJOc6bUQHBw65Ugz6xnDkwQFx92ae8E8 +cR7xbU8PF7MVOLxAEOuS95QN43TXZ1ojLWzRidl4EI61bazbxeMQx/7aylSQHaF4ypZWx+uGdMzS +yNUUCwtH6+3xcJ2+H4o3h6kPA75/YVfNw4nxbMkfa/rbG70kKuOotHGfeGe750RPmioPc56Pknh0 +Lk2rP/5slh731RFiR/SMVUVKyotm+JmWyUd0kZX8SkqDgo1XUfnglP9k4hmV1fPWmowY+NrdMr/X +mmucGH1ghVF73lZwgxjC/zEYQOgXykbW0RblLZSqTw3Tv2U9/rFT0CDHutOhPLj0aQMmGVfFumcS +W2T8SYcuPp1cWuUU/raQDU8/Ylj9LZzNCiIbTqLwIp2XU3+aMvwJ9cGNxHsjcf1R9Dpcjs4l6Yib +jjxk4O/IyIy06n+aLxMEOHwSeVkbT7WidgE7zIa3U/kazMN0+KAmDCDOwhzlZcbN7OMeK+KCoRCs +Dm/DqfNrs2bF2Yg4Q53sUqq7NhhMpxoTcZOMy0avrqsmXcw5KhyEu5HV35LXFEtZIz9oXPqd3/5X +Qhmw337ktvfnv7JtsST6nl+AP0t/PYRF1mwaLuHdWbQIoaTlWLpHfhge6M+RjiHSIK7tpA1WXK05 +DACBbTRwPfWv/tsRTXi119upBuBZh9c0jWRRXoqVUQYLKNAVBQP3D0LQjmW4OKsW6k7YHB4A/Bj2 +uQcs95KrJkxdvoTWtDHpWKCoH9vFnVjabYlUIfzzCGzoopkac1dbnwmkShFxUDNvoKO2OVzQomlf +7YZUwBePdUGcPar+eHe4qj1GmIlpUo/WNvHIXtsSUwO6rHalDgFJnduJu1TwA+MWFU+mdVeQlWU3 +mcJFaEguHjmM8LzOMRdXZ4T16EcKgwMoYjc9v4OGrIWevqSwZAkykvCkIr8kTus9Ox1kDKs9glLM +FJN50w+smCBhnayfsFWQ5sOzu6UtzC6HQb0q1g11DxNBsTvU14ec8E3BSHRNjVRwZGubFe8mS8l5 +FrYEl/m86vc2N0cSEX27JuOKFBksbItAq2ECZfuvEXjbv4hpBqZoqb94hEBAHVoZNyLzzhQ+MP2s +NBRL86oB3MXwYlyJvUQdSBalaEdiEUAdQd5LBA+kfOctXSn7HoNZkMiO9O6zZB3FWVfB369RdLFD +ucH4IBZucspgzqBYRPEUnpJ6o+bUYL69+hqs6VaL1jzlCZO411YsXE3VgI7+XWwJ6Lpx/COtjBx/ +qIcSeqT24a0eWOVSLsjMksvRcAM0XjfHaKbSp4BFcVMmzDn3A8lhFz61BDCxesqPCwFNPvIqD4ex +cD0kudZtmvrYAI6Y5iBoyIiEwWA+T3fbl9/2jjRUqT8y2Msuz9h8HIfTIjzdo9xtcZlwRgKOlvAX +vLdRT6p33Gmirp44wK0z4Q16GC4wHum9Pc5Vgf6dqW8i1fAESOopeDai5jF7MEWCH1S5LwKj95iP +RVgiLnujoxfk8HZlEXf7XQMv1FwOWo+EqntnmGhh/1C+ZETaBrQ9W982yWuYAbiG+kSRi4fH2y/s +UOICEBuryJ5XtSL/7OHI55SZIEhyTSjFqnB7S4mUVbz2rPi+zMj0fMIn+PLPxN2AES+7dST1ufYs +pyq2ntUr5lKk/BWF2FuVaQLcm+LLZdmSS2V19tkMDvSQXKIj3xyRAMqH3tFHABmcdb47Dk6BvjnP +Apq7fXkykO2yB0f7CQFTiMEhEBjDZ8rVmZ7b/krlSbCMLzFkLqDceGalGnJmQ7fn/aNi9IEmu0VM +MpdBsKl2TkaQT1jIgkbOdicL9hr25ALAzdaIfgUdnj3Yacw5qt+eEBkvOg1+UK6NHFbmzU1hqyli +cA35EfAp34XEvnwz8gGPk+kJkX5RHIbGa7Up4ZsFlJBSKBmWxWC3teHEItTSc2bbCZPZ/YG1uLI0 +P8DWevKc0aSkFK7mIZ96vVhf+AkTTfQIG/sZYSJPX58KqdI+sAsMzxhbU1sVWusXN8aDpO1lFXCS +LDYFNR/kEH1y4MXwFCJd/g07zdbSV8Mqzmp2ktXcBlRsH7/SHfwH9ENWbCeJcSMsvWh0OatFQaJs +7SMLr/nyNtQYHwqDz7uQmip8xTGGZ5vNobqDMNvxJPiEZwdVWPcxiFMjsCMmLUUIALKqrzvTa8/z +IJrsH4ioUG16HSF/Q4RkYQqETrXjd5Uk23E8iBS8xu56mjigveGxO0F5bFURQxtTtRp5CE53aR5f +rp9syT5EoxfR47uN3toxkvf+KvvgPnnWyybD6bRvee7ElbQDVvRjIfRNGbmLOtPNHMV4ld8nKhwK +WqrHwebpruy4GDnPGezeulFJUyYfg1TZDM60MLMnWtVe06iFDBd4FKeHgNoEw/GhmdYp38/ToO5Z +qEyrmXHtPz++zlPQCVJm2+fM61K5EwgHGORT3paSKTYie6tlpnir7dggoO9D+q7b/xbTFS2qccL7 +eJOEo8Go2pUwyhakLB7ttR66HLbQmdaYtwpJW9Tr1i3yrq1A/j+1Z9hUAp8MLqQaQGZu2Gt/ajGW +Z1Th99MZ1ijgcsv8nXAinn8hwXf+mlrn6p4vj+1FV7FSZ5qDO/8pHsbmmp3HS7XdDcNDf/VM+KkB +m9py6EHifSakJXodozW/rXqXq9EFqSJ0iLLGe8y9wbU8RIAVMzL53x7QdD5Ri8203coBWRCC+oxv +hW9bs/JVWWg/NTvtRrvly0pxtx5M0X8zk78WkSgqkh6YeIJoLIFTOitvklhYvHSXPum8rTdJyWDu +TxXp2bTaarcaHebTq0cMhPqMrC/aspUITTlfcdPASMPqZkp7k4ky7/mMeF8kbnGdLX2Wm2+YBR2k +FQM6kMOaioGGEUK63dh1RNsgoN7oYL2lBJBgffqoy11yRGisRGjNcax7fquRiJHxzIF05ywjs9Mu +1pe26IRk4W9FNCaCitAwCzDlPcHzZc2FN7xqdsIS6mmf6AcjsDcg1rEEUCmDenYM/hxgGx/KjhkX +iOCbZGsirvyif4cT5E+HG2+RR0bX/mTJD5peXBE3rV9TBpA3LEpIOKCOJn4JOy5fAuPnvEbfcYya +9lsXqs+5EgAjOn35mY5B7ZtNpZ8krjMhM8BYFNmEvMSbYbRFbdlAxxFyMqvfG9vgS4Ei84uxO5rV +sGcrwTP/cHKqWt4WhFoi7EliDPH/3s9HCY04Zvf/0T17sYNZXbJ+frGFu1idgPMB+CC5JUM5DMCH +LDP+7P+t8NHiKHXvEFHuAnA1qC+qLpAivu37+PBbRJ+SytO2DlslRRytE3EJSZ/2KptLosKbHjvJ +ULrQ+iExMvk/abngLfgFWbsR8YQEJwLmjzXG4JX9eeGEAXcy0FQRvqsBBkzhxZFDxwqe/XXE14bG +tiha//utZnfL8+EcbJ+DW/O+8orHOkbGm+HwHj9SYE1oLymFd33jWyFAwETxlGKh52YSDYakPF6x +BErdOLF2q90zAM7o4/vb9R8sLj1sPQU8EUjO3agcyqlvu+RYnv4iro1hUYzegcw88hR+gEXSH8k6 +3F4mcWb0CaPqMKnchdT3Gvy9jGzvARyF1LdRmnS/I7/P7gcEB9HuPOUsn+bOYedo0aAg+6nQxBfe +Nw/lqrwkZcNCtekwSxj1uM1OFsbxPrBZtwQYOAPK0hdJCW+bQZ5PO9zBH9JeZbkHgiSYcydz704Q +01R0YKbU+CB4lualWHwn4F1jYkoxFBEyC832ot04mvak7d5O1e6kNrTUm0jqgwCf/1ruNvGfVF2U +ouxUZy2h8ouEYp67Evzj/Ev+3aK5Ee4fz+mkXH8SBqMcQCgz0zAS/qoLbD01/RpbryTSJ4QKLR+o +uolBmXdPjWbVvLF2akQRnGaB36KaPmalQ8EQgFCJdlla2Vxtoq10GnGYfULZf30qAcJU2BRGqDPv +X9oxvsV+VJ/OlFUvJ2OigpBcHu9xl3j+JGoxiu0lE3i2zGMYc/KxYWVcmqxy7SPYgxpsvagnhlNG +V9xZ/OZHdsUpU3hm8UyCzzzssNlTBCxnKJTQAadYZq3YNDDWWLfSvsiqOCzFTMF6jKoujxeIlVSk +uGRUtoCeS/kbwAO+kWUwEtecmElM51Nct27XWwsWFuFehx0UsQAtezxGsqas09FaKRpTNW17pmWu +/ThLozQ3/bf08TvYfD8CjBumQFLlPPFADe0oFVglfrQO9FmWcOY7rYzBgEvh3B8Xf2ru0D32rHGz +vxIm4ALYQqpJbeX0u7KdJHRoQKWeyR2RPxZaiIOq2QD/DJGDj/Hw4hc1jimkZW3sxSAv4rRGO/kl +OgPYg/6CzuB0IEl2GOEZ//rZAtBjOPDl19slC2hX3PYel1gZaV6C7lidqVJO4p5CrCtuqwlb2xOb +fImqf2uNWnUMbB3VXLr5OZIUujLw9F7OjvF2ryBxdtwGT6cLCI30O5trqhWXz/b9BkzMjTOZvMV4 +YY+unOUxuzMxoXLriZoC5SPbQTV3zl+UQlQjFhpQpw71OHpAV25LWA7Fa6bD7iwJzy2mkzokBkDQ +TytRavD8RiJ1Zc2dCElNyTPeX0+Sqep+rgJ4Skbl2JYKmDo4YrPqphVe5DEuvdyTpYZGnqXbVIHH +4InZyn3K805h1SW9XlE93C+x5SQzyVmqWCcEm/deH6aDqhYaTqAvPKlWXUja8uqzFXn/qUBXA2SI +Mdn7nWbgNNxYb6OobTioEzL63ErOSJWVz2ux1gYCuKLZqjDm7I/yHZ+ECrz9v6UEt+szZr7GYpSJ +bqQx+CVKDlqQub83L6dg6KJunIkIGSyI6xRF7MIGiLePizY0Kea6nINojxxu9UioK8LQD+VbiiV2 +oDYSaLnr63+VPdA0H8LfHRJ4s4oavoTGz1TIxvbTordv5Rzcdv7/nexWVRUMOYNiWTxRDrRNDxca +hvWdS7omI1DWhiOUha5bZZZYCMb+aTMfLdsSCOSBGOJIDooLnbwTKQpCR/BWTO7TwCGIv+iIJGhO +hwsUdlmgt0we7TUHtzkIy2L2yg9dco3BxqvdlvYw/1mXukLM+c5CcfczYmWuBK5ZdrbCLCmpz5cP +YpLLIh5zNURK7ko5OR7ZQygL1lDA562sgLujJnFljAOzFzAUP5Lp1Fw/TrWvLoMbcStZbVU/kfaa +l6ljarbACtVoGfowXMalaGdwdPjPrER5Xic/R0xhu9UWX3Z3eGqUfAaGIZ23Aqd2ySVaBPyxXqiE +RHNORQPWMz3djbSotAaIkNJj8LUx4h9ods5Mcz331+jvC3FaNV8ouKzdPxcNPiXWya5xU4wEIRwc +3e9DVF/iHo7hrSKxNN/EPBvzgOa3uhJtB7j9Een/Vz1YdbKRyVNib2+Xm+qP0QRETvTF8+MZYCS9 +FpEroEBMqTdhTsYC9Q4kYflx11Es9QmqF6g9bMkN7l0VUzPi9kuPtw+ie8TnzQsrMCFk5evTrSZn +5+XiBhYD9B5MFSevznpz7HU59il3RrQu1ruH5NYhfE6XdIX316tqZ12VJ5Qqxz6L8k0jyx/pw2oi +p0r4ey3YRcJTNfrcIdhoqFn7qbyooJo8xDc5iyPFRFxwm7ERsBCT1rI7RZf4FP76z9eTBBiCLLcY +snAfMcY2EWJYa7WSh2KPXgT/OGTREKZHngWPJ/PDJMHGqmuAVhDEvp8KH5yflwpbvJhRVuS/esHE +zdGUT/qEGWJugZd6xCwgnXn/EnT4THytPC7Vwjlvb7FFX6Pk+r8f7m+i6/XILIamz3rx4Xto6Czw +A8fUlzS9X0qfDLcyXY53VE/kqFzYN9stNhQl3dV7SL7SwMWnqzImdk5INZNRlozqnh7YCC1uhelg +nEizCRxnRiG6GE1OOubWw/GhsgCzgRHipKWDcGSoyyFyVXmZZ2GguI1OotwTApM79nFi/1kXTMU9 +/leOR81MibCu+3OBSZ/1ISi5qlkix/W4l2RqIJcFkXEGr3Oo+Gi0KuIwtPvFqOiG2NnIZAeh0V+G +RxzHJJo0wXMzm2HMuYw7nuu80KYAlgkHpleEPNIxgzFv7R6WPN+MtKJQm9EqZVDUHDBMa0KYofyN +QbglQUW73iXNFXVqk7q0dqou0hsgmiYc9CQraN3TKHzdBU35reBNWVBev+5Mjm2Lze3ucigChtSs +RTwQd2nCX0LYhF6rC6zsh99u0IT8oydwPvZaYPue5duALArnBzaoaFqmdbKyHCzY4F2aePYuV2y7 +W4+b+kVYE62uuNjcCz0oj8mW6eir2BY3DNcRrqklhhpRMS9dxOLCirgGBI0wULwwSLiU1LMFrptz +dvJ3Ow6/oTd6Aghg2ZKvfV9VMduiMmG10bnQVaEeoC4S2MBSA1yFoS3o3lzBOIPVgDuP7zShvrL1 +8aJSGtTatpR9ufj0RqbGU3WpdaX6MBUI/TeF+JUHRDTJdiCXi9k+oDhmMnhfrPuSNOVC9Ofl0Snn +vnKhEHkiJjh74JaXKbqh1jYtaXEjWQNElbotmNpKxy5nzIOefPcGWC3eYE/u4/1uamhI+V9CakQ/ +egC7h99ykJAB/djOZ8xnRPCwgmRWvD2IFKi3zxal94ptHwl7xenUL5yiRAdD7JMqBie7ulR+TK1p +5nqCke2pEUeggA9a/9YOO1m8bEbxI5OnMDlZh5riS5ZJi2BVJlXSqjMED3HBL2woVBSPwsDbkYVb +kid6NaTzOjBcwa5E4ncq6f44TDYKuXmHMCXL8xOJ9bEOxZRCW/P4jIRB6IO0h41PI4vxAXH3o0Ve +JeXcqY/E5hD8XAjKJ0JI1eRx6xBgZJCcZHYyH1atYiU946VPmu3OPn2A3RMe/lxMdA85FloUqnzl +KHwwJc6AhSVDqBr8AZgeTK5YJl58SgxOjplLzd2uA5CCFpY3YQgI3cPtfT+NOqqfRdU+7wAV2CEY +2wjOuWcLonDtQq1tj9JdjaChircdKCbx9XpnMjPCoeTzY8if1C2Z71ak7zxbArX42KsLc4yqDGLD +HYok1iyd6VxVsMfNqLvpTWgPizBQOOLUgrdhKOSicq5R52PQY7Go/MqGWclZ9emvUQdcPxODPYTs +NxXDF4RJU5YuhpVjUxXra1Jj1SFH2L02TiyrAHJWeC/nRJajwiFSEqHhcNJA8QievP7Hw6uLdZEm +SqLXcCvm6YW8yu3tGbFdjZOHU1vvnMx72QW5FFUGYAGk5/IFnTxmkDXfsqgqyKnQHL2kef9IDIHG +YfO2WRwdcN169jHh/iDt4zwpDPj7V9zKavBfwIY/dGh4FuDI8NDAkthsQIEvr8UTy2703AGZfb1Q +438HpWF0T/nskgpKqASg1tMfMTQhfl3FNGlkaAyf8GriAEwtSB8iF8RosTTzKwRf/e/c6VcK3FKN +BTf8m1if/EJY6bJxDedeHiVnEg9lX51gqocNahNLxmX/wQQVt8Q/BpJW+itzFeZfiuEE1eIjyCAG +MbKVP7zY+2dQlqKJN7Alxg0FbRSi8aVJn16xS+VskYbHvic74u8u283OV9FFdS2pAWcTmQallKTK +wWzSV0cQNdaANvd+8TmrqJKllRRX97K+ySvD6FZsy4ArcH9SXNVg3v4kgA8MnwOowqc6de02JUo1 +QzEA+TxAWYwR0Rs62ve/kYIcSnB3MUyDZOVP0jqJt2wv8vOZ4/5YLWrLcHaLjjT96JvbcQzgX2L6 +EVA9IKBn2uRI21ustMOsjJVKrRg7ZNednrgh06utzlYYgVSlSz1M/q4jpp1GU5Etwn4FVovGTP/o +Ecs5fNzHxHAqrTbQGPm5Dxs7UpskIS9ZDoCuypFApTc3VK44pNLUJ1hG0s/RLBxNctfh8E5Tbdy9 +LRCKg92XyjKgplvkIwRWq3Jks+pm8oD14KWxadPDly0GhQoJ4sMHULpo4yRbsrRwqx2cS2qLMVkP +QVRFb8qnUB6P9RaIy4MAuqLeJUlCvKWbFseHOe7aSys1pksHA0QJ+fy8CYlTsuaAL/f2D+ARCi7i +swLnVapMk3LRmWcM/+uAG5tBlYfjFtD++SnSS5YBvzcoCx0hwo1p8zCA+WLCqJ2aBJimkG6/BC0a +O73HGKpsq+Kh2YefK6ZyAji4DiEMCaqWbZSk9Cc2m4n0X8fGX+HYqIlnl4KE1ra9HerlsEmLRNod +ekO6ZbhdBZMFDznQSnGoUNqmyuOGTkrTbz81dEHkPm8cxvPT0CIAvFocKe6HB90O1OZYJMl+szp4 +Hxm8kK/q4zeRnHlcZ43z16BJkjZU3k+3oGOQ/2NrKICfPhKjxLaTHcru6CNv5JEH+ePT8QYXSdDo +7/Zemna96ASBfF1Z9rD4fv74Y2VAL2QwRc+VJcw0TN/uqstsJj0KuvE7qlgdhliiJaIcs21FTGoS +tc5VWYUUt45zUUq2BQauipv34ZQmpT8ljVjPrOEJqOzGQdWmkeuUyxfzut8sbpUEYyzKXiikZW1B +KpyBxCrRJM0yC6JWjBy9htp8//4sYCcHHiPcTRchsy3LTNejd3clVrDfOxaTWZmPCQV1eYljS+xs +42FqWbtRoQWA6NUZJBK6qwARjTdPdk2BBPDHfE4H91apJOeI3MQA4Kzgdsz9ZT/kfLr3ChO/ocmY +PKRBNyvMlkiHgfVOCWDRv/Xe0hYQqHv+m58z/8KhQcpKfX34KEHTyDg4RE+A6SMDdrL1AL3zC15J +GgjlLw6dQsJg+jpCDORTlgqLayVBCMJlT1WjbGZYDz4oC6HhhWKw4a6Wgw4TfvLgWrUwhyQIpvdS +18SX65a8EoT0G8WcJRg4Cqz3d3Dp3qJhXg/3NB+d5hFQ1v7PZoI0kd9QDAQnvlpQlAg/2FhzsQBY +7Z3yh5i7qvuoSlihbALHrvZWMF56behcIdgOQzh/dgr/D4joH91S1/vpYx5V9JTcyneeIETrXps7 +DBZ6NYeyOuR1PkWKmVDoNlWG2VA2W+vldD4cMmoKFxOyZc3pwaqiPaxJs0VCqoBEClbVQVJUsi9t +Mu8HG7IhW/x9zsB9U+gQhr96q7oezhqyaWGaydDAkEpsTfCOhHxN/W9z1L7qsJd7GToQI0v0DGz/ +jCoHQAVxvitExD4kfw7u7TsQ3lozuyfrqlsZKjRAj+shZTv7PrYmer6ELQ/AjWeBzkH+WuITRvix +6oCrLMNo9A05mW61+5IkwkqOJnOHCUjc29q1MYKZfNWV4ojIu4BUypWYmKG9Rwhca5a/di+6o6Vg +RPRIVwZMiHzx7XB6L8jHK3q1t3G5pwV9B230iDWLLQiSS0h6YgSYCrG/xIIQ64QKUBKUY9haFYfy +BOxWGdQxrXTkKl+04Dovux3ZaMzdmZ/gys1HmrCdaoNbS7AglO8KK6x7rIU8hjdAtGN88scrCTqr +IQq8Edlxp2oPWg4lRgjKjTEsaxgM0QCv42qKipsXyesTE+kvpIQ15HSDTgQXst2pSPl/miRKQvp6 +lQ4U+Y5Nvy+2DMPDCJZ6Y0RNN1I9b6peNKS592TYUQxEZlmjXEpuCa7jtM1rMBZLvAE7ozJAeucW +WYlaPCFY0a/ng4FPk4cikw1oeL4wY85RpTCyh+/NuJMOlvUslBfOJMXHIeHpS6BxmM70qA4HpSMh +uRMiHhCNjlIdTQw9sex2zMyoKxLuJg1UVf0DwrvqJEAKe5WuShnctmdg4jVO8Rlku8jrF4txRPyv +xcML98ZIGG4J/IA3JvkEO7ZGrDEb7KxZmjQllwcciKlpkt+MfTRkNNlAym84N26HcxE01rLW+7Xk +WjPRzUUaMx1HJ35c+LkmsLXzgY5Di5Epw11tKEXq4gW5AEM8ARvbU1rBtI844i7T7ZqGt1xqfmtk +HsFUwqy7NHLXjm6L4hlF72VYATbj7+Bj3SRxYYriRPrmcbz9XWE3f9K7YTrvlXdpVU0UlP/Rcx9j +uuOJhE2SGHnmvKRV/IKNS+zkkkI26/i6NkX44XGUWSRZMtDaB4Bd1m9tXVCYNPI/CtYMnF8iGysz +TCzkYMeygrQBm069o70hWHe/qKT4ZO7aI6rTPMc7T69BngALjskADlo9pyeBTnhpA/LpgqXW+uWj +TX/jiutdxLOfYgKKNjQPwejLBLFpDsw/0Bm5mXBSZNSIh7M+5dmYPIgqyUai8TUduSPaEINcQ5fC +x+Zhc9JU6DmbHQP/bYeTwt4bYPazv69vqOjpzszIWEjnn3ZNhZdRlDRm/Nd5Dfl/VX62nuibyua1 +W0gA5Hidgj21DUu7yEe2ATZhWfzNRI3K/lReGdToTKokPnIzA3zK8wA0Uu4q9EhAUmb46pwIPB2Z +opxPcPD7xWNjdnqaVjLAn2Zd/8wn9XBAV0X8lO+WyHHOEpgV6BL3rjOv7QTYEh9q11YZ/x3C6A4x +NkcoB4+7S/+ohuNg5A5fS7b8J423sCI/hqZdi3QOtAulHNvWG/5698z9HIrwIiDsW/i6lz0/i4NT +YyQ9hStBb7m6zimpV3KGycpVLbgnoZjCzDRPNnuHz6hOUmB5TcBafk/h77ELb/9BI3TTdAsHDlGR +eo8WuLHOj/u2BEM0KvNrC+IzDxXON3Cilau9j7w0QBRTyau8K6f8lafDGyUc1Deyl8mQSV8KURzD +Y9e0T7Ujx1klRucewI/sE/HI2yXoMr9ydU6U9NU5Zu+dSd501RhBT+svAnNDTbrS7tsAvKwREiNi +C3wBgo9ebDFdsf7TgSMG3J2sH+Vhk/ztCiG11L2y/nk9lw4eJW+yrSrqc0YhafYg6+uCWcxQcblL +NeOGiWmBucDYeijxlD7wUiFrmhGTWJhIhUOmk4hTk7uTEmpOUpso8+3rNVzeQraBnqJsvds2iAp6 +cPFR3s7J737tJlPbrP2oN4D9Ss82cBXMTWQUelmNYyPM3cZ1CXZE4LRQuLh35IAMbtyC6/kBz8xI +gBdp4PrwMQY33SCXf2C1fM5F9+6e3s8poeqffFsIFkR8VauTC1+S1dgpYfpTih+mgzcDdHFsp+8S +PXXNYu5J47z21CnSq6V3SaZ7yRe1n1fzqVclL2EhiMMIX5xgGP2nFUclJ4pnBMrcsYjatGerytYD +y33WicNOKef/AUeCKAJIA/+kmCdyn0EdxfLoqsgb/4JKqUhBl1nuS4p91dc+ta1p9j7Ak+8grYp6 +JeBNmycywp9enmeUKSJURSNja0FwbYmF8j73Pw89TDkCwlHTEN6QYvKFI/cLDLYJlDxxJqKjZBx7 +bavWCN0DuDUhcS49Ftt/k2HLnhP7vV5qdta6+M8v22e99XVe1Io+Zm/dYEYT7ZcllHMam2Bmdq7g +1cmW/13hveSfU+JNZyEG9tvLfHmk9wpGhwxGzXMwfAHSVHV96y7ds0EWaOTm7N+do+wJ3qSvT+1k +TSKZq5nlYfTvEWw6dMSxwOIIQkJfI4ZjsEhgVS0/PTzRhBEl9FC2Z3Sr8saayIYdkRBwHoyosKu5 +oBxwH1U19DFcDEAauH5YyXsVKtXYw6lT5sUdmabDKOj3EDPi9MT/ffOjU5ODck95DL7onI98jA9p +bebLX22HUkXCsd9rQS9p+fVYtq2ZTyZdV4+z6PHB02qhkLtYRPInGKyjSZJmG1nkS0YMliCVy4kB +SO0TH126I9nkAVYYU5MHbpHIrgqQ5SJ3z8dQ4+OFv8+Z2IQ0wDIkDD7/QMek342FcOZejlG33yAh +U8OefSJGwdH/M8m3xmZO+hmAO/ymb4hWMz24z/G3dN9vU/fwAlCmg7sNY4iH9n2QRtko1FHwv/OI +3dlpkujmkNMqnFiO4cpLqMObKsDWp2GjOWPAzPO8s5nzK+0XZPksmcQlajZCs+9UJY7QhTW26TL5 +LtB5sWmyhyBaOuxnW0/gedke2RRlaW4VaP+0lGuPuMYpPoKJFkDHoz6SdRd6Kq/tEw1NqOsYN+Kp +ZMTeI/sovZYk/fThrL6Lu7F9QIJ2cWYILRI5RMhiZ2YDBfOw9mp2QsoHVwHtibdP4OGjt0t8E9D0 +FZrzS4pdYbJSwF13Hl2o6Ewh8nZMKhEcGX1la0rmaChBwlxYiZl4ArT0sgszZLkljULeTR3IXBZN +Cxlgpb+kwvheyjieioc2p5toTX8b+FZuQrf/JWhgWIKXaxrZRHUnvq+359S7hErZ/05CU7ChDrU7 +49SurcM5Z9AIaOd1ifWwB1xnFbDQ0mYpPCjNMVRDnMYAQRRlpYDKHV7u3m6vKLipEKyQcYoM+6JO +PCGAQ5wDa035B9Tk2UJuMrGuvbvBB7HGjEWWi86FVbNRxRAbodYUwiW32tf1qvOLUryMLWPlExHJ +opX97cdgmGfOtHy4Bw82X1OFaBCb663qMoeL7Dj4L/elK6OdfqvafWWwClenTP4UmqjiPJ/tihhL +WKBV7oot85ypz+EEANsYV2BKfABpfcdRTLlHtnmnNYWLNFs1wsfolmVejkUb7EcILzvR5mWJ9M8p +aAEOO4y8fn5vHQlVjc4M5zh3/VA3TqT8SQNX93YdI/G8N4QuWy8XjExtVmi26uf63eNhIuOFMp96 +uu+HhKMzu/R0jc1Sz52WdUWZEZ5lXTWgUPNxY4Zk6litRxS8cBPJb7kULhjHglf71MY4zPSoY5ij +mUHuNY77VbwGlDH+GKMCL5YoCu/j4Xy9L/VYVJ2BhLJlQJWkUXoHOmAMo7+0LgkG792P+auEswGe +YPdRs6wB1jOjyLWZQhyugG58vtFrho39NZjnZlKbLGPQ1RA9qy26clTwQ0uq0ruoqfD1hLJ3eNX1 +dSHI4R60Vtje51oSWpZnUUN3Ob+MZYNtxw6bD3Y9WbK3yC1EjIDM+qQ76FdXAkKrqJmRL+KQHzSO +B5n8qSp7qtjZkQ3REVNW14C7s0xzBjuTaN3u/PmwraNuIXGBrVE6K0jmc+rhok3Zn+1SjCqwjCrX +2zwHM4vxyZvzY4eJR5LjbBGStwpucZyq9SLNF663KDrSkGWDmILB14QtL7qp+FKCLcKELkiNcKw2 +Y80/YTcuzvwGi1q9j5ly03Z3lK9XiwJGBZ088LnbulrHxIaDrhm16GCnVEk7T8sz3wT3KlEEtsVw +zeEQ4p9NAdzHzeacEexkZ6LtKbTwVbXuYqAPgDuwBRsIbpKGJwHmq9jwl9G5iwh/aPOzD7Yk2aAt +tPcHBtLE6aDq/Z0yGheg9pc4Y7VmKm5aQUqkKeZoGrOBgTs8XxpS+UsjElDy+ymMSYxkf/xr1H5M +nSXaI3v1hLCJShnlVYo7hTLFB16gYJu3JTS23DHh/Tgp1oA99+e23N8UL/gm8djBBavWc0J8zwy6 +FnJPq6VY67WRxG62Q7K3++mctiY2kZzeyt//ooAmBxGg+I0GhptiZmf88zPLSCIUO2B+jWQYJv2q +hNKaMWkycFJIUcPj6oxn9u9VdW+Vk9iXX9s5HUcen+Qd6Ydk+cYu8x+iCweQg+Sam/MFE/3pEEaW +k7XjyyYMOxM7tEKrMkQiKlEe1+rEiuZzAXAQV59Jv1eKUDOIsMXHMPSJW2gfDvvS1hcvOexSB48W +btHZ3EWgVHze4pzIoBT/c66qmTYvpFFZsse49kH4FtMG7BjDaNmuav4lOgyVLen0f4spU+KKzYkP +j4pyWvhfB7raF5+8qDGVcc8fGgrWZ6GiZ3Z8xYaw2pPJ4sjmwt1EARdH+gQzKFxgtT0+Hh2dc1/A +t2pqgcLviCtdXw/sv7tKAUGUkYv+jSzeKgBbP+3wqJz7twll9SeexvO2lKHPr1nJLUwwLs5TKk8i +1sZhNKvUkVZN46VkIQb0LaaavTMIOimC1a65J6lDo1va81bn5eMRefVSCzHb/UINQhu+v94vk8Ph +dwaZFYKL64953XX232QudJLSNYxEydfP8pjyihPvQ3QcbB63Hjt5934jvOlOxwVpD9m1LaHt3qq/ +MXcnlH0axzowXVAMRB/zIyM1GNQuGuQrNbNfhmyNAah/ng2ZSSYbqsw08dWH3RkheAKtF/bjIArb +niM/1qc8qHCJiOGAJeK/2Q+Sv4K13XmReNNN9HFhPO4QVJKCcZwsYhx9QhVPT5BAB17oan0mRwm5 +C3pARQjZNKmarf0/gffG2lzRPjlQljb14V6g746IFyHGXkZcCTuoUHrGIYkjb1QTAMvceMCe4BRw +FnuiK7gxfgMsj01FSJzuEA5l8dSsQDTMIblIvLH3+2KFXBIWBHJpt2KxpicFwVwUaQxmD2PeWxY8 +0zXhSyMr94y1mIxGqMQLqIF1sBhd6SRW4EeROH6TmSoKQI1DFBrmEVpjlsjDL0k83Wz8ME6VUUDn +EAJJ810Fqtl7KF9D+z8T3TJ514gnAqcfR1GtrlYfqsAFVWpfQ0HCBfXi9172mXC6+z5ylvg8ZrkA +zwo8Fzwi/jkLg8YtBfumLrJVG+iyYBChvfbACvwJwVLABMDBBXPFXSf31iyUiMlZkGhVh7J5i3Aj +pdOI6MPC20kuiecP1lQ7OZXbXiN0VAnrU/vMvmgIvZzuk/bmvXANF4KxTGdjSHq/iQzJqVo/2OTB +MWoe4wCQ1M5wDJYDEMfn+yZUFBGdYTt8BZChsb3b2YV7ZgIvQmGqlnZY4Nj1C9iHFZvakOia6the +csW0oT+MPH2AA0KDKz7ZvIVc1izwxWB2OKCptF7mYnfD66+uQVsMTcdNbZxwLJcWHn+CWHaG+pzu +i31woZxRiK6F5GO0D2dItU/uQnkRvfS8gEzCvQd+4rBP9E/Akd7VJhu0E/ewSvl/n5c9pvaQtjMR +cF5Ed7nGqC9xOY/+fkW1Xi5QmOzgrs59LjBO5J4HKlwaExN2Pwu4hwR3xwe59cPJMfQqKcGiqQFr +OSNJklwdkhpBEZRujb2a5nOOHar8Wh9gEV3oHkZMLKHoALYtKrze4GMUiLKmVBtnJTzQSMfTFFFH +7D1oizBSy9T/ZIGu+7yMzlir3Zo44i+Q9vruQt4n2cWJxOPqgbK1Km8L2+jtdXyFtQEYgsWHSVIG +SmnEe1jZLUy5lbK6xDpvRIYGmgeZ/08Jv6JnPqDAWLlmRu3HJv3l7Ss4p2JHb+mWu5cfv/TxzAXU +Z/2/r/RBR+wFYq65Uj1HPde3R9x7u3l67jixdwIGI6rwV08rLWBr7Nmpb9NE3GKwfsCCfdQMT/51 +gLV9/+bhewDMlebuUcsho/jR55B8nXhKPoMc1yrmFMmV3VF2SxSSQMu59Sif2H4naD5W9v1lQI3E +IDYwSBV59EWiUGa9NglmIXWmcjzsZHfNW8ozuA19uQkCiYbVODvpckulvtT+xGxjD0F7i3clEXRO +NAqnEpOQvZWiIvoaGFtrT+mLC0XJFAeOPCoRw9N6Sq/YH3jPVnNZgQ5240MAK500aruLN96aXdvR +WTbeGiwU3bCznAiI55DvWo7mP0GzzxW3woa6ZP5pnDXYx4Ba4bYTvjdFlWvA8zo3iY8SIuiSN6iz +ouXOQHTI8q0n72u3sxAkomSnLSnpE5dJ2fqmH3GXs1wnG+orURUvwsxa+ieGX56vZoWNLzUmQ/hf +W3W6pDZ9i1BetkHgtVzRVu7ze9RmSHN2dDXCY+VKHnci6v0Lsiaet+Lefj+i6s1IvfyzUrdhBDLC +enoRLhJREly/8sdgwcibGNwpfqMsNLpvXm1u5TJSd8QH7m4RReun7zaqYhtUGWNTN279ZmjArA52 +bAjZFA5nUn4VpD2Z98LZBFAKI7eHF1RoS0WZxqO11a1nydO1nnHxsfoZGai5uB/GN2T7Gef9p3f2 +G+ImKAjiKKm1frnVo3hqfalvqvRGHUktF8/v0lObT1+1Q0fZT8oh0xz6YdJ68PonnSXdNl8UM/Yv +u7mW88Yt8vqb0FAWwroBvTNyug5zLPMM9RTVwOOAMappiFxRd7iNPRpSQNk+1nHfxMWa1l8pNE6e +1gvf6MpwvwZoVbD9zl/u21DiH7noHvy6/7o5tPeK1Scbdar+Giqbj3WUFJmRZnXsjVqK8wMevoDD +3g4mONbBTavBnZI0qIrAb/FG0mhPrnXhoVl5HkeIFhnIna0eH0+1pCjP6UK2jZ702LXCTSMiIkgO +cdHhx06B552QuQ5PZSv+8EytTyElY/Bi/+o54IMlic8kyUTfA9w/4dEl+PoZG/AkK0PMHACYjXdc +D7Es8H4JWTwyMnCRTmhREb7s34QJySyxgPWG/2b7FcNwAzvzyqiDTN3E+jPCzW8pblTOAffJFUcj +7rRikgkvRszuALtnhSitbtnW6C1udcI+M0i4rrtah9VK5/3rnr5yAOqkO3yicVgDqoZwcAEEKGrK +EuozfogctQK5r3xfV6Bt/10dppzk1aeyfgXF1M/1x3FeIjVfJwzPOsU6JhTobH124eZrdPsI7V9k +9SDorScj1BudvlpZ4fIX3/V6NWY34psyKS4mlFDcqfpSr8Fyn9gRmUAgIzCYg3GFUqnR4DcEPl9j +5Wg2pb9HXQr+eJ0DWAyk5GgJ0mp2z2R1X38FqYMkbBDJzVd08jsZ4+5hyqZhWMZOrgDwuJEKN5Sj +KZaqVzFC0WULiI271ycLkTK7uhg78hW17K1X1UCJF3iwuHvOIEolTPkxv2PI63TFrmJnN56GUxtT +JT0+hu+f/6AeQ79ecY0LyyEWD33XB53CO0QHAAbIdUtMawYHzup+uYRbEyEUlB/+ksWxvyQfHbr+ +zrXBGi5thmaaVwSFVn+KKldFTOoMW2wKM0Vzjv0c05u0fCYbVmouFTPg5cNm3NRq5Agh+xfUFjpu +KOzzjOgoRahk4j0t2O8r/NQqJbNk0F9QSCh9PUV1e+kFncbC4xCNMHYc+5Dhbq1ZrJl2AfsjiMpO +6M9S5c23yGYDRIJLN0LoX/AM8w4Tnro4nf2WBtKK4c36leA7UUSq6LCfiTu0F4gz9I9bcq1z6Ued +mnA2AS0TuNMCnSdwJ1CBqWEC2PEAl7phtX4Sa2ZloNFyn+Nzy9ksrS30pFcS06oiMYrZxWRwpOcM +X1KL+fwxSJKeAGDqXYeJqNCBxyLzSOsT1n83y4dwaoIPF9qShT8+iTe45+XLXKp/kGD+LfwG5BtR +YdD6zNxr8g1hut7b+3AboVd0pnpsbxs0UltnQRdm8xqdYSlpW0mqDnmpHeskzcS6vAGR2CO28JFG +5xRMQ325pLOaiEMY0ySbOF0gNEDv0Jfk+WoHZGHkizzeJ5vzf0mhiy5k5hnzCU0um9OOMYz+J6/Z +DeEPyqj5E85twM5RyDkvNWTmDo1indhbBN7y3D65OtdcJgZFbn0ngyNIcXSSn36kMSZDHBzx1LEq +RPNhGt2jquq7n2lqyXaeVFJatQ+tzzKzLlo8hk7vXpqc+FUshg7ol84dzgRyYPOILHCVYc0yQFZS +cgyKi5YPoGY+hwpDvth4G9VhNmvOoH3yemKTCEAjKCI6JZIPORWSd0ku/XlShzxrBjy2KqPXGZ7i +OfcEGhbJtbi1A0mhpjZ2XBIsV5wCgZ9GSQicpOi2CA+w411mTHEuPmqjWIsU1nCpjs63GqLd79c3 +BzfEKKiXRtzA/q5GWv6QXSexLka0R9onB21VEJCf2erfssefZz5oj1t5U9iPMWwJn6oZ8lTCHp9T +/7CHjfzRULquAfq4HIlVeHafnkLBzwImul9yGQOTKwtlqW0RQ6+NjO2mYMpgklppZqV1WOpqn6E5 +yRKURm085Y4wZNOM+XohKO9e6tuEFRv784m5hiw5zMrJnI+xATS5Mu7+A4IqsX1Ja6ENvDdTeI5t +dA+75P5pCPi/2fC0Kw1izLY5Zfm34uwgV35CNOC8qpboWPqNpdHyqsouswYthft+L6le9yacGxod +pxQ+YUh6T5sJPcu+uGVXNB5PSBaiieJHIBeaMncqryaZW1vY1Wyw8a/9JhGjc/7DIym1eohVBM9A +RgEuyukT5Yt0kIW2Vm/I9zV1mTxOTiokedUynDELV8ptXb+l9TyKLs5iMqt9C3ByTeg6WT4ERk03 +hYFaIKMLU5BLmhTpLLIDJrfawaI9cmZZeGWtOyHBJfjv9lgTJi9IRgMSF9QKlVfxSMdbVRlfangN +stXVolbMJyAaVIqY7igBDUsgGsSRGaB1AT+3dh9zfcfEkNbtouiIGu1b4uJimpIvkOq6h4j/UAu8 +970W1Q8IrRFt74yNNNNunhwzeFRIyPbUQf5afQTY4YCsc28iOVkm2zv0u5KWA2bnjUrKm9w53QvY +vPXhQP2jIXtJjVVWBC0IazdU+HKaNyNkIIR371zFKgFvB1ZgzNTcNtazk9tQpI3JpduBo+9KVS7v +w5u550vmbFiwACcFuI8VvqM3lMzrwtABGRtEoqi8cLMSOvpwvorRjS+GGSodM+LHyHszd0ZcQQcB +LqsmO+6nP5f+rfu7119RvkxbtI8GSM4GDgtie9J75HkaIgNubxErgEcNrWEgwgLg0tSMxWtdo6I4 +poTaqNIZYHSzFbtKukDW0t+9KTMv4h5P8AQV1YwzAGEkamCNn2Y5n9OSqD3hwEX9eW+fase9/s4C +wWV/39UJWAn9kPh4QqbrryDVUjNMnA579DfEriiKyV7+mh7kw1jiSTASoYxEFX8ZQd8aN1sshuZ9 +M93d+/Wc22sVTN8XVtRMXOKEMqsp6S1WQwQ7TBG3FVKC8WdlninMX3YsgD2wiifRH1qWHyQ0z4Sw +gqV6lJKKYk0PZWbgzPu+P8pu50iz3/esBO3ASvAdMSNFQSJKBbLA1P3V0NKwJqjNoZz3z0fMLRip +1T9Ikup42mGS0RaUC59NXJuYvivsFpAaRRNTmGyVz2C9r6IfMP0wL4OAp5sgn5ITXsmv5PvMqPkO +bw08e19XD6C4vI4EHogbfboaBX4xPcOBE+kwtrdbjJO0Ejnhp3GyPwJnSzp4NBxsJktwALOuWUXQ +WPBojO9VykcO9jRe4FjlsDxCC/2yGDbcDsihyM3S0XsiglP2G6YS4BghEweXdYLJIrHXMY/IWU8a +Li64Rsv0l30DdQBugFrvRpmrOXjD6Gw2UoZV28SIRLhW4c9M0z8pL1Jo60b3YvuudFEFNghpkAA5 +9RAMhlQGeCjq8e3PeJxb45TC6bJ2bvFWYiP/fNZ6/3rm/YLss6yzDDMqVZTYyRgp7ZesP94+zFbk +VxN1aRtHzctx2ypLIyI4DwwmTza0++bykQ4UBbzvDnEqk8e8bt0SEWIHhAXnj8cKC2lrORj4sNC9 +qO1n7IVYEP2hdwnTerCh6st2VJYkhiBXYH95iwMV0sRuNrofuJ2ak3w84kpajc6xud26GBlcCiIs +a8VKwj0gN9KSP4l1J8PjDdmhaGWkwbrso+Th30XE/vK2trdVfa1a0ESTMR6jVmW8l3Akk7shlO9z +GYCHLVTNrUUqu+BGr/bPwXlTh+0QbNo8QLwHZ03DIACA4peUz8yhJ/QdVCxctQcuwLNmdNvAmNJs +4yjxlAfDt9UvTQ5yE52S8fzlXmNawGfcvNNn3EfNYwSR06AuRbCYik271e12flmcYTXvf+90YnEQ +mnX9qeZiiCOkrIqVETaQ5hV6mOreML4BTnuCo5f0IzBK1+QsURryEZ9qTMuk2wh8G0J+Jfhlf0iY +Eb3KZRSCRq8ZXjp5pog+IGa82TzqRHJkpFf7zZhRNT0c+z1MrEnfj9GxZGWLxwM1VGHVXDAhPXUZ +Jyc7o97Zxj4BACNp8XznVekOS4Zzx6ZyVaw813JTXz7E2IGigB9RomGnDkLKhYTHjIQZHLYOxQpa +T9Cqo1Xjv+eMmX27DvZiCgpkZI51dU9qH8AHfnTGr4Aqp9wgCNgF/bCeHB6g0pTW78YWHbrApk/1 +pOuILI6WiT+DJ4genJMfxWri2iInFK1RAcbOAOCTkzwRweBiyvWEUktepbqbxr++Lb12nYFfLkPr +23MvLzGD39VyBmC9y9hnh7kRvGTNq5yJctoxYh6aVnWM4OIN02HNkLOSI2hIzYGqpVFd4Q0M6tcq +a/eRTJBZR/o10ZNDeOCMxB4QW0o3DLZSPbEeqngcpQlAdln9ihukw05jfNm6RtKbIuaF9qFg8R0x +tH4n8e5QHatez6bIAC8bF14SHR1Ij2xf/sOYBBUuauNa0ETdyzKSOTIN/wwhAkLUOkz5Hw/24jVM +EnFiBUDjIiczfqpCDBV8jFnKCrgByHKkUpsQ4Al8Ntev0QeyEW3TjktVGNsSscMuu0fo0wttbtic ++aMp1rcGf5lHDrrMj5nT+5+6vESGA196k8JS20MH6nCt9X7F47otq2QCQFk2xxckuc4F0sNZ7w0p +begoQY2WABvsVkP2Gpp1hiwyMjBTvmt+C4xTpFuxeEYfK/+fnZsobkqlq5bck+PCwJN8s/9XuxYx +EFb6SHAYcyBXCxqgTxjbYLUveBNEVqNPCwCJrACQadURH9BprlS43sHgJXthMPucjcfnGmUPFsuh +1LBPmkv0ukyEFpO4enFt2lvl1xdsbKFi671FtAWImiWSCccDKouyVOQ3802B0FKRhCvEcKHne5BT +Cgtn1VuukoQziOXuLEzqzf4tVfm9t4p1Jsm7xplC2VxAGBnLo6DnBViiqj7GmuZxkGlHIKP+AiMO +dBAcg6UI0gSDP1txX7FO191Y6kk24tr6YC0rAdPxooO6Zk0jo8q3CZ8urM2+V8fRxP4Xdo5OzFRl ++W06d0PPsC8+Lwb0FfXJsNXgEwGx8c+Bz3jzVJn/Oeh6PGjOMh4Je2O32/Tp93yc/EHOLAZGA3un +odpgiCfiYL8ZBoVeQpI7SSfCCNl/6EBzlanLTchYAsMfeXs89l+3SU2IVJtKfFFz4pJDo+5wfkNd +Fn3SB3PmbkLN9f58qjSOxNh+n3qpEV1O7yav/8dq61IawW9QC4h1miMh7A/JJ/QEZG7UhT+r9Jbq +jklVwCNEZEga1EtE2l8k2Pv+VvhAjyYcgmsBlOA9TEA03uPMTmz+TL/n30fAMU9zmFvhZA2XeeHU +IEM6wA+8hOj/d+D8rf0wVwMhPnut9lwlpTcBQn5xjF04tnT/r3b4vMizgQ4Lk0VqfPUNMfksSjvo +vXIi++rZzba/ICIY9dqcJqS3oLMFgI/l2E5/P7uLtyKRU/TCbab1mLbRxiV4It9uZhVO4bf9QdOk +vxFE31vLhvlMg8rFSol38NpQ+m3RVOS4lZtzwFAASbzyz9qTmbLLdxzY3uJ/11lTsaDAEBVcYk6K +gbmHthT/dTaNxbSSkATnb+oUkALPd9dROFDVlTIVs+7C/LWiu5Xl1QbL3trf5fYlcNL+1iAlX9gD +opn7HqFNEA309zUtc4Vy0NglkZqmUaa8y2eh9/iJe/qGp7GecEt35E6y5IyGlOA1xcaexvNxqsuK +upbTSp/6LMQGESdcHMnC7YHhE+Cum48ZDrA9QnarhH4KOOlVN58BofftqOM0tCYyS4RvGZNjph+v +tJjpwdSrDhSwUDqUVLpmzo9HraCVrFX5HejfRlgDDNEp2FVQ5e3b9WjsFaJrGtfgG7LC1VdnI14W +siwW3JwDhZoku5jWgNljjuwsyS79RbPqbRzyxO0Xnk5P2ffXTYyZbJ7x7egBU5QnXaxxDmHKcWU1 +28D+0rFkAqD8bpS6+xq9lnsCRfNk42FMqx87jZ9k1WjPv5JcFC1+3FgorI+txzo75JzIFieTxhNc +14kjyTm3eTM5mTeH/vX3XKLWiDL96diDDWJtBkCsqau4f+r+Tau2umTwPYYcgMB+oGeis6XGhXvh +/wwtL40R6vfIhCfbwSH+tr2B6VsMzhh7L5GMfcdfxLCGJDWQjpzThueUT3Uk2y90EMua3RzOIHPf +7bDzQ40ZtkbfyGPppIB/6oTa8yhFrHofLFTz7LXsWe4buSPIjIfNLvnyH4+ts1KEuhfX9bfWTVig +8AYTG2ixK/1ycAyhl2C0trte1rKMX3RTJchGNJbahNanIM/7CZbhDG3jyLXTGCiF2mI9KlJXC2eF +olSkK5poFQqwEib0210smdUs24m4BqWUC8ShCeUD9O6H44z1BcC1utWIGrwhozuDWzsg1UQxWERx +fa/cuRWQ5kTdLYlcdOpEQce11UpDjXzfxShEw0aGC0tsa082SCEJPKfKF6VTZF1A3BIBZl0Nvc1n +/RfkyIWrgl0jjNG9Abwtkb3MKA2/SbxnXLJLqYHPnIkh2Zf3I2Ai3FfRN1kIKCAkGQhMQ4PpUJu6 +uiDOo6IiWstNyZGWM8cdtl15QjCWpZwUdERfH721wAOJG6s+1pFxTSbWMiyljxv5ZMX88KXfJG4I +aLxbvnabktyzsmlVuzuQBPim2OsrYz/5WiazCz/0vZlRMy2masIrEcDQawMf8MhyGw4ej13714qY +AfWO6TxcapmoK65OSqzsOiXvz9pTRUCK6OjTDISvxGGUc4qdbkK+3Bcbrbv2DUsyXq3HkzqS5PAi +eeoILZkFs3rolJ1BRVfqJrWOIgDEudxrD1ON5iFysOKove/ZdVcNr8TsQFQk1hfnoNVY2t0BkDNe +DeFSEwDmbThWE/D/ZlDNx973fIiCuQe0NezPF+sKyU25gDbJcpwQ2dV6ir116LVRt7fjkOR3Rzy1 +oHt4WDrJ8PQOPk0imzb7uDpFBlV1CntBRvTxuDU55gpxifzEzUVIR8ewh7icy/e5B8BQCqbLQnZA +Lru3LSQMjPHRdj//1OTR+AFSE4VrWkmZgI1GMDDJJFEXEjIU7OXmPFuweGTv/viFzRLtimUNzpb9 +jhdFkTaBFrHOCOnRRvHPAUW7aPpFtyzN1x+uOhVMaYooqufJYB/A06G+AOW9kXImaXhe9HLe1/lN +duLJwhlm5ZcgiaAKx6dLLmDuyBNbHMQ1kxr/uC1N2v2k+Gj77VB/9nvYZjxoN+6wKSoTHZGzq4f3 +dWw/vOvP00dAHLsz+AKrB9FpBLSFAG7By8Bbv6mLtz/wyupMWgRSB/ewKlgtvXBFeHLu6x34XPKf +TsZZ4FY+YWuUKTGQuJ6WAEHRy5h2m0jec2mtZoPoK7TEs48+dRYgEKNUkNwpyQydhrcme8Pw1MCR +4FvP/f15mn7+FaE0MLVp/2qFo7OI7zG7pI1/pM39E8ZQu6GfSVoxpesYXC/WV4nwe3VCTLBGY9EM +k9A9z6l3u36VfMlsb8mKtebEUHXFAJifNd4XlWEqhC6wN7F00BmU3AoDXq7FBEu358L5nb+P8Gtv +oNIZnYwBcOhTIZOWUJLlK8/dQBbTSXZMO4yIMZM+b+tCI1jjDOqKJ2dwlPySGZ4DuyXVodOXqqvQ +lySP1k/JqLxbkvlnjEuYMyDAcjB1N5qYRPNNeoaLYlQYBcgcY23HJbCXstDaeLfQTQUlsI+BybtG +yw0ivXVplc9H9W/NeRQ9H77o6y4Ax3bknZLG51gPF2brBhCv55bO0Obcp1PGLU2jBBnx+pUSByMt +BokAHdXLmUu1NAE2jiK/TON2e6Hd/1bHHeeUop2moGhIzvldEcXRqC/qC+aMVvIYGFQU8DA2MZB8 +VPpDnWILeCc6awcXlLL4fcCRZ2039XiIrqeunkafwcCBFoIzfKoAfZ3p1IauJQP44Dgy3p6wVB/i +B/k90jN5bJSgs55ZUO2Dz9PqCBZHAsITw6Ak6k5XMRnSVYP31JAvW5T9uCNlQ6L3HXe1qoc2MAg+ +yy2zAw+93+uFEdBiTuoCYIUYJ5Mg8ZmrfD+ccxm9mqCUq+vLJI1FwYRzl0Vwrz+O2S5lIKVJqmyk +6PqnrrmdPlq5oHyEJS/vhxX0dbUPoKE+512JD+2cBc1TcVQr95Hud2Nv3epwDCb3QKDCSXBFX6op +N1IOa6XGVNInMfquzkjiYuRCmhwczsQ/f/XdwufsSVu/K4rd+QDR0je49NMWhzesDw6FLzTUMXDI +XORsCAbrmvmzQ4vzP/yKSdsDVky78tPHkwr6SmV2dEVFznasxvEv6tWe4MaYvYFCEf7le4uOcZEH +zMic1R0yhr3AiJH1Ta/GyzCR0Q78kFM2kEdU/0Q/RcGtq0vsZZ4dvi6IZ7At0CaV3ZslgGUWmBaT +lIZphj90Yx9luE+Pkgz10uPdysskxY0nSmmU6nXOBJRsSujORCznou2L1Gm+HB+1yjp+J5b9fqns +MrfEhRtsKI9aBjbvlvBYOqplnaMvfdm+nmyi/ZMh+ssS2Is6EWFU8I01udry1LlUFU86MG1uVGz8 +XdNxzAZUP4Y/lCtF0WuCoI2b/8KHsYnoSEwAsno/zA+OQRrD2cSrgwDCW2KSDGqYxhvmJhmgyFCG +Pm0xQUeXdTMk9crxrW4NDnumNTopi1GyV4ZuS6U+TCIgEMwF1kAzysY/iUa+vZVnJss17J+NAELX ++zw+qRBTvF78TMDTFAMkQM42wkPIQrknUAeOOVHI4r5ymPTWbkF7tb35XiRvHX0qQoN51XF+43nF +n8n6+6P0BiE7uqLrsmcRoLcL7Q4g+qhE2gdnVCxo0GJY1y7RGKJ4WPbtCs3DBgGC+otcozgbIVcV +dIteiCwc9cQhIyWvh054LH/uXkQ6y/NsmQZSBOYtRU9CD1E77h1xGEu4HSmo8z10gL9sYGFaJq1H +Bxj+SgZtP7zsYBQ7jIlGcon9WpOU/XI7spmQ2E1ifAMNiWQZg5m9cFy8CUCm2+57g4Eu19D7gEJz +TEqij0F2Ybla9ywEAh3Fvan+qD7Ytys1pK1m8LJ8BNIPUnodm2fxNJrfDZQzlqAFr1hALp+S1+Fw +b4ztJomitYjiN3uPkJxDNT6HmYxCbhjxXGnTn7m65+0hxxyrljLDt21mr7Yu0Hfl6O59Diwoz++q +hHF9HpPSk8snHgN8NLtFGu6MbmDQCzn4wbaateVVuT9Hv0qCm3F01qNIU8rjlgWlsO+VBs8phpND ++vlfZjErEZMf1d17T7MRS23HukJD/ntBCstVMgQs4SqK4IyGq1plY2+N3PZAHKIF52IhsU1JDkws +ZGivFjZscLwy0jTLyb9AS0B3XVhXWTS6XhtOIzJad5S91SgCIQZIoIRVjEW5/ii1TbVPA87UAMME +YkD4LY3BVOZSVuZh2Sr0w23oZD/bvM88/sUhmPhiIWljebECG7WEmEyTGYXnQEziGsFhmZZnUEOI +cvN6B3WrceBieWET8dN44QGBabdx/mWETrmx/cd1Bwx2p2iZw4OICxWd0H7hx8nM1nH0fYSfrilI +zcB1Vz06y76g0YPSpKRz9QyBs64yKqlldliFLVp8lrlwCLQzf7BG8Asj/8yQIuK4fp3VbSioo4oj +rYlTEUHISanEhqDhheyshH6Bq5pOReDN8HigBqiE1VwGfxX475PMA5dNdPF/BjB9bCyYibcK2ks4 +PVrfBUWZ/aZ6Ub0jJt1cwbeUg2PeBAC8Lj21QujMnrVETGmOCw+Fr2B/4Qa+I84CZXCVOy6sr3pk +NqpZwMejk1GQ55/I19TeYLYIDjsnTCjLu6tFKq+2izquo6EBxVb709Htc5xHVx1a0VySCNrWlqMP +kiq/IU+jEolJNCPRotV7h2eBnaLzDEp843TLwJkgpA9++UXj6N8uD/MwY9qRtVy1pMYk5c5vW1iE +GBPN3EWChTHr94hx/bfN0ITNIm97HzRK57/KKa4MXKt4+N/lV4LUbCF2Wn2+myrx5G2WG0p/e9ZK +Im7ti3OP+qlJt8pZO0gw36Jo2KMMqxeKbfO+tF64DVBlqARnTFndDodB7yeHVQ3uAbQduYmYMo2d +HO9pz3GO5b8RTROimzZVZMAxjEaC92sPj+dWIysAbwOw+a6IfeLLRmXVkwLoz3dOgvzQ91l48jYy +umtFbM1bFiy9xvzLLf0SQAVXD3xkc2sZcT3QN9g9awQCFCw9NwBISNHam0tUdX9C1P/yvpT29nI+ +pRQ1nn2ZZL6BI7BDKB6GHYyETsXowZO8n9E16tMALSto6o1ZiGyD+472bdVjqZaJfSAcl/bF0Oyy +s/tpDCltPbkds208oTsF7Q/noucXbJ0Cyjhz1sY/1qy6OK/KEJ3hcXFh0r/z4gEAxrw0Oi3cTmWx +5y2fbHK9XzG+ZAd/BZwkPqCFFSdL2UuMFobBUN/+gqR+rWf+zkYzB4h21BekzbN6msYgFuBWY4aJ +M3bbNlOKLEC1ecb28PEgsDIuUCG+XHnMt11KQJCbXvsH1vNhIoSRFtD4tfHkMyAOUh+ayju89N34 +rd425WbMSVmQ7kiPo9pW+hEgamd1WsRXRuFKzeSvjOgkXQkoLSxBrOtPJbPaK7pSzUT3wbdoA8tB +nY19RMdQkWH+1Q2TQSBpNlkuly7lf8rFJgROyR6CsfnoEF94mO3tBKLxjhGZAjcT5hEToiGQZX+3 +ig+9yPQdcIAFTgenqwSFiErgEdkbkvrUV3Ivs105a8ReDkT9Lig/ZRpHYSwtlwcTe38GYbwhevrQ +yhzq7QLpSrJjZZU/7+XTHbEAehBsVAP7dYTYxE786vx9riMpfIezo+QHbO5UKUSaTyUAabCMyyYn +J99Df2OgS5OFVmFU+63RoFfsyKp6ZUtxqv9I+II/3zujqW01zMiZb977FPQq8c3+Gtl24sUypjHP +mbam8Zkv20Sw3JfaXebd4NUZiHh6Kf4hqoGSnLA2szt/txjkutqGT8Ad40qFYcLfcgun93o5JrDW +Tc2VynzJo9QrLBghglRP31eAL8JbIKAxD4dcYP2j4A+QkRfPRXCcT34KJGNbvboiKQcpih4pIvPI +x+gjNLRc9WMYVXB3tJH5fExG2/dioZupx8g8SsaJe8kH71R17yHXLUmRIdUoiMfF2EaX1+8VKKtp +pGx3Bzc5KyLQabKkLQsV2nxYSLJrhGHMCBugFcTk9TjawkV8bd0NttvFJTsJcYTdr3hvGBdh2RmQ +6CabM2JhN9QUTiV72m0lWORQaqoIc5qhBczmO9D25KYSexmya9mWrpI9WRwov8FZLXkTMiTQBV08 +JRiyGBWGAwhnkJSjnFR8xKPcNd6IgciYOFO7P+8P4sln4Oc5pTZ2al4i0NyFuEDUlfTXwuzFYokz +W7/1wPHSlTUGb5vdKIIA8JCBbtB1h/zzlEmY2bqw/Xpr2cs6bRgswtkfhZ0SjcVwlzTTurxyd3b5 +6PcWiRBe/rg4i4B6DvR4E4MgsiWNdJARCs2nYnH98fOs/EbIBI3q91X5YDKIaCnEbAlyYMghJ3uL +7XALuFXRQ8nfbN+59cj2EVsZ0pCN0cU3AdrhdpAieCw8K3pQwKl1kWOp2D4DTwYS+Bax072bhHrW +8zc9alUHw7AZahLLJYvfiF5IJn+rm3PIT4Aih2nihfG/71f2RvK/Gs48U83N6t5KntXkCWw3G25L +UgURpmbyHQ7fFaUqn4tz8wUP0mwvvmguq4Cy0rvta7r0hTcoH5IZeEXPLrsK9/j2Trc27lF3Oo4w +vkHIU89C6C3Kv0eoWjiF/d7mg/7aZUQtYScBzFFXA+U/9hIQW9IWneWpW9PSsWevL/rXKta1a93l +5IYsTLqINNB0To83lr4HTv2uldbxLkEcb6N1n2duTWfv1uQxtJiKFhOGUgZGOMqsqrQihAGDnYNw +VYJIy8lVqwUUmk2fDJQjvWTnyIAcTLhkou65xTQXUWgEQaLk0rWXpnQK3ntTecEJ/BsKWuVQHxkz ++uMmD1P3MmgYS21aKC0LrPVm0pdkZ6nY/2qPe46MRCE+c0OufI2kQaqdccY1DAYSP//whQKuUpzq +VQiwNPclIrD3WbDYNRjwTgVbDMCIEkynHvTxC1BNVPQVYf8LBSCY2fS8tSARI7eh7AG/AGY+YAcn +Vsy46g4jr6cFCb/fQu0RZr8ZcyPpTiGvh1JU4OkJ7FDpYV9DVtmRuKQlerDGlANzDoGisKUyC3CW +yT5VJdLc4kjNqA3HHJ3TrpK+VABUqVBPUq+TpkKqymdDMIAU76pzoUhbt/Om2AuaFHDT7cEI/kIW +ZM6KORr/m4oE7zwckxuLWUPuMxMdhmu/7bmo2ZDBjKbt1PCq1iMgYkhM29kTexMzb+i4SLEMlx3E +TNaO1ZP1QtD/5paCtL9K4m/pB4Nsgmo0UbXbvUF6nSIgGtW9ABa9KG/o0Rmd9VDTY7q+Nrj79NBe +3MEEFYP2RpTdULd3tFtEhpNDtWW2Veyp5/fz4UGiT7qiUHmCeMfsClFPVkQTQaO+YmrmOoaFeFdR +BV4CUL9vLEQTgbDNfvj+84xXr2NAidtOD0WYf0RKUYwAwoS20agEotC/wgj8juA8LUvkKobc/cOu +IK9Eu3ZJ8DwNipyiWirGbOpJT2C2syBkLn6CPCKKgaPBzNwX/68FaG1V1xbxZPA9T3jGEu69eW/G +IeI5ZAxQ6G5L8gGIC5O488f9YkVuBcvXUSIe0DQtVbkL3YSG4FyPaAXQOLivO5eD5/d3MZ4A9lfO +uDLujecSU+sScOHRys7qYIsg+sCDVht96dnCQuqgeQhFtaV54LJaMXdXjMQTFjX6Aqeopkon5Ab+ ++pCHf7HZOemZCxaZzssRJ6dGDs3gfYQqfBiZhpbenJDVqPsi8eBfkW/bAWWVuJgDycRBWyA+KlA3 +HWQuc34LYlwSMCvbf4K4vo+Pvw/ofLP9bsh3YqxjgTrf8324JrchTiIkj4eiUaXkgEex6dXusCHh +z4luSDRRbqelrZsHeLVpDR1no4VLBIpIhg8B2KvjY8dDRmF43FEuN9eHnVmF8hH5B0X6fVBhsSIE +4ojwoQmAVHCIbFUE5y5EMLCIt+JV7vnnFS7+LJ/pBcUh3q2H7zdAER3uL1lI0hOSw/rlwIVikhlb +7nUBDoDnmUhcxkqkFAUWm33HhaOy12g8dV7bXEctp2Zx5WoxMqdnsSPSB97zN2zPhfaq9UgSJYZz +OznxkYZjgNhav2SQndS6AEYxRacPeZUYjq2bgydkt+TpCQYZ7FK0+TOzjtAedBdp4tb2bxKrkB1A +7JlnInpKtz2LkHecsr3R0BMrdNPFrc2FnR99AQIERu3ZE3rPUd1SndMgLckrCjKBkBPQcvGYfBfy +llPYrsx4mGrFXsx32f02beUm7aNztrqpriLaUHYfhWuAtSolQHtBkwU/lTwIJ2EvPjQtNfQ7LQat +pcEAIWQv4baK/FMu51ZXvKVkjme2q9dKa1v1c1COBcn6b8YW1QxPvocNDf5lyWisceV1cF9qC3qM +s/5BoEBtMckoYg7osBKroRkp0wi0QuWtbz2KYtEbIXwL/Lb75oi4QaQsTfepKBNyCWTowATYRf/w +7hNkA5kyW3/cGN+I8CGay3zY3tonQT0HrhzQnzQ7Qm92BIcYfwJJbjvzyP4DtcSAg9OxKB+yyGju +r9MP4EHAMmUHP2b8kO9CrgOIm9a/bRzSOz7WmmkHXozftZN6sm8RWJD82eWIdrlAu+MadTYjJIjt +LX09hxeSwa471u13Q0NrzSdwHAFCdRABkoGUMzggcXdYtIUrduoYxc/azePu0GB37gvoTG57Dtem +nAj/YayNE5KSZGNlnXf8zvWqW0dUPnEl9n7PsVPYXj9S5899Xwbp0eYUfNBLvhbJmUtGYn8cIzuS +Hh+93WfgJytA0YervlAfAmZDKY/LEJ2AVbQp6EidkjYnxbzCSvELuoqQk5ppwDWpg3JECzwMSpDZ +pu7nRgUBXqd0k16B5cqBcteNz7Als39GLIGntpuJMHJ0LJnSIyGk1yD0bsd6c1loIUksrM+nVoFy +HFtPshncvWdgBfXYBHwg6KKvoRzzBLrFnSDVCnZ5HKPc/e1Vqa7xwRCjYsMIao0x3SXrbPk7tdVN +zRCFZbnX6lyqq6t5JT2sLXnMfMUpP666oM7Q6CprGsayDnJPBZd/DRUYCcnydl1XeO+zC4ug4/MJ +GsT+Pr6MHyJ8ANMMY+kWlrr93hn68EpOWi8I7qe0GqPJAeA1RBD6ch677kXV6LOGCfs2oXgQixH+ +5AN3yZuQ0QzijiLpYnJQjb/Wt1wVdlmh2nkeKLRbrqraFmLBsYpNTsKYilGdk89MehAaaE8IGLqF +gYTr/VwCsbt76H/ny1abnQpbJ8ejvpEcAqbJ7CG6d/9iBtA9oCvTrjYBiFeOkA/WFRORusEQkMUU +bsRpJCeCrsjjyEJVYLY0JBbMI/x7jxzFn5ZEmLk3ApvMOJsVOzCvlGFMXkNNif/FutWhgzQL1jjW +EBjA/CisrDcByJ37jsr/SNLzdZYjO8BLUlOryXwemlS6NJtdLVIQnnCpG0OXZ/mlZN2B9MLn7jKl +De7DMmFs92uEsWbuKgkJ79gW7+6by4eHy0YOJN3v8nfc14v2/fh+vsG9H75QvOCnId9qdWf8F//T +hEtuIm9s2SbXUvXqZULcJ7ggA3mZTZkK7j7Ld5fXyfnj4/5IuKATqZGGD4SlzcEaamYpxhMw3cpo +DhhiHAhXnijPriJ7RbA540MdPmOgiQO1nnO5HJRUAX/6RdhusAJd7R653qN6BbUCHJ2sqnFiuksg +MGIAXvChc3X/Fti3nOTDmjDF5OkxMmWa+H9Ikj06ORR2qZafCc13H5x40LZgjSwv0QdHOkYTK9oj +Wl9wY6GwNcPKuGVt37fgV0hy4uvueMMjYvJx1hG7DsUtUW61scbHSXO3XOf2bwGEp3i41VImDRBN +FcfTqeiw9KGJiNlZ2FI4WbubvFao6KiF8WNowIQjKOVtSbNUQkXZC4jxcq5gDORXmx0A64FN0Ijv +71RQp305XrWlLczQrvtgNXZOU5Jmq222uT6X4axqcTW0Ji3zIYM+P85cdsD4ZwXP68UIFYPD9kw3 +0gKQL75lek6Kl3PeZu6/Ktsrp7homhnl1gybP2Fxy/xMZI7oHbDyKJmnmT2RnuKspA48bl9id8Yx +k8B6KGOKRFxqvAACeRgoKUUAh87DVU8U5vMfHYaKYwGqPGeQzpLY01pu4HgAhwEEg/ha7LIWuR0F +vcx5xBAoZjvrSOWsYVSY0BHLj+fFg+S2J9V2QTrMcUbAa220mUFvf5QwhvdohFNeA8G3J7MSUxfz +PqrLwWjMjDCY2o5hfBYweKjggayPjBoeVmvLpG5mo/FA6Mi6lNXYg5YBAd4t1AhVbZiG8BXJgu71 +Qa16azzEmAS/EyJtQIlCqUat1gplriCEb8sxt2O5erZpZ4iWNzWFK8I2C/WwE/l+yasYNBVI2Ibg ++7hSTb48nwdGlxiiBEsVhZAU7eZOyGgk8RT3qT1UxjUt5Y7UXtTPodBUFALMcKtSy5jbUYyxEFn8 +2uY7oID7v3GQcPBVSx8r+na/imTD3cQTq0fK5EKgSe1AQSGCCLOmP/h9FhyB5aK+g11lkdltP30Z +wq7sr7wne6wc/U1vGJWyoPNkpG3KiJ6yMcBmN3tkogo8vHKL7korfVebKi7xlCCshOL0u/HJf3jv +FR6To6YsEAjsmN3dbw7GiS44Mzap5CsAZ0hNZvXw9usRcBkWSBl8zv9yQTWa2Ow0hCDK4+cAbiOr +In5oR1zQJTLAtWq/cOYFUfD84z3cF93BcDkgikrvF2uKB4fjnwQcwwiYNxp/ekFVEyJfSAafMOYR +x6j3QIJG7XqxbcVgEcUNpJfOLFP+yGk4ldE/OoxlZPm9ukXl/NqEAMgSI5Xt4WTXgRttb8uu6AVd +YuhvWuoBmdkQvKGCZk3UAHJgde3A5MjrRlJfyooAHfE4NL5ZmjtQYtt5FNdQIdAS1ec6gNZXUmVG +7m3sXvvG6hOGzrBI2zgTa1OiIb9vk/9pwoHk7IjCvIl/+l7gj51SZdX89RRBY6G7xdhDAX4I3xDt +98uXovW5O32QG65hDP1pzvOtJRxGJ38/oSmjDuzOLDejFGOqm1aFP22oGtv0xxGs62XlxImEk9TF +TQ6xFWOXXibhA96yDOGsaaYh0QPR6MbxeE+Mx7qW5nHkWcmXmCc9YF3FUu6D1WfvUJ2Mc0Eql5P8 +Bl6u21i9TmV1Hc1TWB2KCdGZYk0Ebckrpxdnaz44gDCccwjTgjNam1NkB6ZTSN6aajPf6LOkqbHS +OKjEDO171H2VSSO+xsfPz4EKUKbiQqgNZBMfjoLJkn9WgM/zJhrmR+otfwwS7tBlN147dpiAdRPN +jyElXwE/J8XL20eV2VXfLnyXQAT3sjMPSxkvXow/ENUcFGaJ7hAhtR8OB0WrNd0dfUwu/Od4LkjK +l/sbVhe0QYCUWNW3OjTxKbBxhAa6zz0JUqMXbCtS5ob56ogNuX30LvnKbBTuGFXkhUmX6tnXf5QP +NanYRQUXAOIDYoXdJcBdi1s8PuWv9HyCezvYz0fyAIIThIivmsAGkXVOZaCvjf1uySrQndbf3DUE +u7/AuKdXDFAEVmdxhfMh4M4v/p+nhZu88X5TjzFCP7Ph8eT73nCwfg8FsIB/2rlt+RrSXOVGqNQ8 +b3DDF474F1CokiPwI67hTcxz6QT6720hwLdYIiGkY1dFqXR6bJmdW748smC1jSoLnGOXYwoVlSmV +Lg/kP+9Ee4F8sz2gJjQEFAyKa2ATwo2T+0qP53bZ7uqiyTKiYhVBMR8NH2Sc4OQQ5wt4FiqSINl4 +L6KKrpaSos1tnNf6G7mDySBsjzNlZahWV7bMdtXKJRTnCWuQqLZ2HPfTWAgNqczY2wc2vLUvnJof +fl0FHJx0osinC30J5HwqE0M47BJy/FQplid4Os+InIHWN6yCCqYCYj8in5SYscvvVyLsPZgCQnx1 +3sXQq0LO/gJ53Nl0Q1LgCCRfetFo/74dnq9+eAmPNK8R9qtAWaqE3rjkOkMZJSa+g6NPZaMzYMRO +d3rJNXQR5IP3S7zgKSAkEfPKSFU5ZC2TsQyGgsIQtbw2eO3s1ThkHQrudsycU5JteE4I47SGOYVj +3o6lmaDrMCa97Q/MLipzj9fqeIzZ8aCWfwlUOqObg4qt1I9F0wgSsG2KDKaQyDSV+qfucR+7uXiL +Y9A+yjRncDWz46ZbNQrjRKb4G+GxQhRD81TJ+v/9wB8PXCg5r3Zhn8Yq/cDSad/m4GEo8ido4hec +PWmvftuSVyccp/pe+7wc5GyDmIp1696JyCB18TARIMwA6B4Ey+oy72m7sY9HKXy03NivtDNE021W +hiVWzDSZo0WJ3Oi9UJpq2BMHX5qlqB74WOgFJoZ5oJ0Ujz+JsL7g/584zvBpmoxDtLbkSIymYCX4 +iw3FBxq33DCPpZfWRFFLWwRL5T5STi6HGm/DeGpEK+jLgdwDmnupRSesxC95D2DD94MOcXZoKt8u +/dZ5FMa6Ey8rG0Wfryp2+AFBYtldDHPp3NUyzetmUhHShody6UxqXRzB8TIDLkjm7BO6C9NGVlBI +u11M19JI7koxmvPThQnYt7kgEDH9oCIWAl2dFmfirGXMSWuzqH0YQaI/mDtZWbc3E0cwnCeTL7lX +Xa3pGyIb8yvSAqukbI7VdV4ffzBDMPo4cB5Tghg3gQsVPTBAIRysuXwMaPVcXkV3aXyco8atS0rO +upnJZdvWnNxKjPdlDu0joOY+cwSLvM8MwOBymCOQH+7bKpqs+MNHvih6kqogFic+DMs52s/K3GOy +lZtnWry2Pi4WQw9Yl4YgfXNUNMs7eE4V14coR68RgHk8X0KV7DnCB0l1NmWrbtI6Wlgj9zqZLmTd +nTN69hZaYyL8MVw0FLzghA7cqibLj4o7YKuqprVVkHtVWd14BvfU4vneemaVgwC1qx3rza2xXLGY +3qjbIVEjB5pEWQoFsZ81zwtLS98B7cHFnx8hNOsFZ/tDX+69+UK9CdNdnDq/esKW+OG2uRwRpDIK +sx8XoRMz/hBvoZ+ZvHytJYweuKXsS5awNVAsgaTxP9m8HrVA/JBXb9C/+u2pS67DohBRKikvuq2Y +G99yiIMX6JSWMt/zdeP3vWW3OBd0ncS5Gujk+4HF9VqwwnyP/IAg0pTGQThjjSxz0gQK+zGLJW6X +1zhtE1xXBeLgd5QHFyL8pqFHbTdlRRGfylw3lMG4KG7OrLTwo7f3yhcpRHTQ2Ct0XTLVs40dEf6t +oLiYgtkNzTEFcQXchs28mg1cWpmhK07P6WA1VAEfuQKeLSfNEhonDiXEPbFXh2OSxJlLYgjO4Hry ++C+8241vEulKorQst/KJWk1o2pPr4Nwkwe1iu7AGLj2mKEZDH9XEyfD7mVLHka7x9tCJDLWhtJZU +gcrFyuG9P23kQk/wGvrfIdKEKGjKV8BtZo/tHRrj6xl+dQaG4+DIgxSpB0+yyv7X5xYpAd9V+Hea +tdsgFCNBf+KTUofrm5ddGxds5spfPLZikomxeL75IOmY+VKECQs0jC9FCfQCOliM6IvWWTrBa7Ph +NzMWld2weGtv04qdJabaOxM8pqnFO8wwQpVd1B6vXlahwxH0CZcC4kUmuw98LV0toLKRpLyYEpV+ +FSSs1gIfaIzW4338PcjlauzlSOlsO74IjROEEJipFM5aq732c75ETVSk0uov/T8w6F5lVZsfwzaj +lG0bpbOyBX3nPmxfwv9qsvwdqAbj4m4FXeJUEnngrdb+uZENoWya/9yyKGAjengK05V8RXNbBZp4 +++HnFytqGCT5R/r+a1y8ZmZbiavDBrZzjgeExs9a9sBnbdMW6AnPkbJwwE9Oe8xFezYu2zSfkoWD +YjnYLCi3nBn1ihr+WXsgFZrA2fssTrHWohcahUfY80WmRZ6y+II95S3qA+A3hU/WHQL7SJ8kYFDE +IFyedwTGBzr8YFBbI79/ylvm0h5WljSlBShR610UcO/av4ER+iJI+hZkRh1M6Esp8ue3JjhcDVSd +hadUHLqK+eM5uLGJ/leANDnj+XatArTCykZci+tvnUzwBnLd4EXlccqugLmBYqTVtVA6J5vU4ky+ +kQS8lt8GXSgsCWBvXKCUUpuojKr5uAPbCP97kTXSov24prKwdMN1RzrZPkYgggT89+lHC022lQhs ++ndw35txOocm3yUXRD2raQ0Loqs9ye4okuBvSAyLXgvCzfyCjf0EQTAPuSvbe+0vRbopJNWIqbfF +957WWjeCCrh6VkkC6Zj25ZR5X6ND+b0oKbFLXQMuNYNhYtL2Y1HZlV1mVeXjZE4bK9pOtYJmr1gO +fhfYNBKrmS1tWZouRjL9rvfA/1XyMp7UMGyckVRAImkLAlwIpnO5OMG8kTgGDz8ml8qR3G0uC+eE +ALX+OZ1kbOtDsItnVnrCYWTcADRC5jr49MZJHZoGfNoPAoAtMK1thjsb8y1/MvGnYZqgMAQosm7X +y8Lsjr7aHpLh3RHHCw/WMicHykcNIlD0o1U9vXlN3Pv3qu5nZVvv/gpmwuvh2n+VmwHMBkWcBtqn +tAAgM0TSphd5FvKmvM0Mf6b4CcL4Pu6AqaDgywodXbM1mqHBPDSr9wvoKEPFjVBhk2r2MYMDz1oi +mvehdP3D4K9pctsJHFCxt9dGitMhEuw0Ba7Ni/ADr6m4navksJ3CNpYssJVXt/nc7YrPcfpEl/xa +3SpDFcvQbMsPShhjU1t4olIeOOmVbrbHiuP/SZIoPGjRCa8z/CQY1SmBEzXBs+2kpqgQ0O/UB1G7 +iyIKdzLpuSRp9jg9E0Fg8kqXOQvYrJHIyuUyzZZDA5fw3yrMWl+t+W9uqSDozzcl3KSYOJIjbCxl +2Rqds/5hnxRQR9CUaBztid9/GEvrewdINhGfoaxFii7vR/l+SnzyA+TCYAdd8w+vtOoToR70+KIt +L9Ius1D/kyj0L09AKweDUDIwlNJy/GaPvXUwSK/GTsewdY8S9rKT42DxmuLpeXzj67MN7GEVLPlN +6ghYpQiDlGtmHqneEifS/g9gtN18HLgEbb1qD+ysVdlOkB1MYrl21aoR4tCVZctVRrh8BmceR5l3 +NYafjzbKSKukOFNYQiLrEyHu9ZR4wi1vTQxpOP4AcSSRehzJ2kRqAmqgTqq1gLCJLG6sn124daPJ +rMGqb7yfTDiFYHj0VGgOdgFQH0vSevnlNk/WwssxrUBJ8Idmc0BfiYJH8d9lWhMPFR3NRP6bt7Yl +RsL83y6yTx224G8KIDzh3zKyQ3/fs0j+X1zQqs00B6D9u8ESRiLOXkVkSC6M9m4nw6sboJfjjgKe +sQbjbHEOatNMbUnNCIknO3/CZowOtCDwsYvuklqyQNtcXnffROPBNxF6YXUCXu0F1n4EzRRp6MYx ++bLd6/Xo2L5PffaeeuB6/N5P3qHHDbGamqNR/v4bAymDLP6hCkX0p69vxeJsmV25me1fTYVbbBuW +HqAq3YwlSOZhZfi9ll2aDwNyc7zdyh9eyQk+WL3mcRd6svfoDzhRFxtIqLxNYoZn0ZYLbP3srInI +MwEjDvm7WvBwYoxxI+U3AQC/XUJmXdOtNrjbtz5wz54ylmfGIIEvpuQm+mAHjP5nraFn3UsbcrYp +Dy6lEo/KeDPs+H1YQdtA5M3h+fxzsCQpzM/KZdIh8INr8Gx0xARApkAF70AXOhTeSW/8U4aqfkBZ +JNv2Qbtc9v0HnT7KZuP8/yVY+Rimjg6xtg5q6P6607GV3h4rlre5V/e9l67scAeG2idcbYMJhX4R +WkUt4XYyrscihje3RQJ+nSM8bqFyOFYYejdr3Q3EQPt5e3oY84FrjitEYLwYjFU4uT1+XD0b2FVI +imuw0A0LLbTPGOsxIJXiESEwG7W/P1tV0t2r8GDz8s70ZhG/QOjMyqxTmp/m/TM8pNuHHolPGs14 +JB+Aq5xFBmJqFlhneTAwkNIL0yWRR2LJ+aDCSM3ItxCVwXaBsXx80cWZUNLhfnhJMMmPbP2FrtLm +nOnp/jAdBlP9LFDqBXa2l0hqH+g/gEiWB6GtGYhjUv16QNPVPLqE+S4lJT7nOUW7mxZBoITWTQB8 +e6Ao7d83Df9+do2Ej5/cC9KybzpPkQxbIX5EL5iqYjnTI8mUvPUUVdrEScJQ8NCRg/Cc72DvnPeV +p8+azH94w3u3Ay+xJMfN9PAeV4yez3pTzgzj2JuLQCCWTEpULRAoztHbmcZeNbvufQ4Qt8o66UWd +/yaoNsabnkIrrwhrQMg1ssOSHR6tLoo+kYi7H0jzAUUOvxSF91GAwam7eUlk4Oyl4RqH7DEUIGTw +CmYfGtRSjTmHlGQJ5u8KfxkvllAj0CroKwdzPo4dtJgyqj9ftRrDCy9WuPh7ZeJ9H1ETO8l4VtPu +yKDeVO2Qq4XvStAjsk7lCPG29Y6oYocU2ltNFX8S+y5VyOQ/AX8J0VfO5lEcq76sfszYf9UyPB8H +P0NQce8h2AV4/2Ym/FISqDANfTuS0WAaqMXk+YG7Ov6iuhV19+jjuYXhMvsYLw4zJZIa2r4/4Xbw +XHW0dbQu590EYWOA5QA4OE/u2An9bdaqCUGc6haz/77Neimc8xLZikLSmf7Tu3kHQXVJMRZ256lf +FoKtzISZI0Wh4vRTycrxmsTi+IosBpiZcyGWgzqRsEmDwULh3W6Bcpy/TIVsI1tkjg/fscZsPyEp +0nNCbY3L/gf12GUkTmlyBqzKuUmPmbK0HXa6pgqf9cH4ggSjY0ZNd370h11yGTRx0C1Vl/L/kn8R +4B+N+tumWEPWqQeGLDJTpNPdQyOs7a16WnxfX5YZjh7b0N0CQyxfTUSXNh/z2Ozflg8T4dXZJu2K +xagzBEAkREvWVvTR2z2Cfy2jw8LC+fL3f16OBLt2ROAycUhAhZHytKrfykawQ+6m6cN1JjAM2Pmf +zrg0V2vAYizX34KJxEP0FoY7DV9iIdExzPXztfzGYcgEkVFfdo5knxDr5iyRfXA/fZE3WaHZD7KH +28L3yhm0auyqnzhk10TIAWkHoUi+QuNI73z1AMbIC3DUE54wzUWQQBagZKLO0fp6+8HL16ZBMzVC +GO7fMfUAxzakZcMvSekCXv2AZDFfupzA1KvAQPh+iT/5LfO4iUoagvG/fyGKA9HIDT3d5r/4aB8k +VuOn+vNJfeIIOdiGcE2IUDT/Z5BAWKocOsRPkupo91x9Z/BKMRekm4OBgRHZubOQS7RORH8OygGv +61iCts3fvFt/GbXUqUWdYbWt2S3SL0K75NUYRfRm5eW/5F59dSlcOVBG0u5pT0pGr799NDi5zmOE +RlRxEkP/AmJHhNZektZPI/doGsd3HSU9MRLRrXevuVPbTDiCpVuIAqi0M8WoOOOxa78x5koFLaRl +iWWxuUtdU5AQs+x05j07wyvMO2Y0WCyOImiF/L1N11egJiuvHII6ALO9bsGFCDGOFl4niT6H8bVt +JCvR4HezDF2HyEcROhZCYhfAfi5DuJ0Y7PovorVFYSOizbYzr8cIVfdu+ORN87LUZQMitTvPU4NP +o3TKtTS75o7RMDwvKOF3e6yf7NG0Hl80gWwQZxhR/qm3cQAkenkJMYMBfyJEXV8td2kEx6+M2vVa +baWAj9alLGao5BnUpqQbhCRs28RCJpFgiGguBqaIsLhMzA1QEHjJBpX9YXAY1A9cS3J+m9n4shbn +OJCUdqnfdUGErYbmJjpFokdZ7Rf51NNVYJTX0MNzcSLVRKrsubTI1rgVEKk9DJSUqWbXa95BiUh9 +TINdlACcgrbVHHN702dTwCvTZ36K1V8EbtdaFkS3xtLuA1MNMX/0EXHiE/G0MZXC4dObflT8lMCJ +QICGUsgghGqiypZgdBBU5OqSu91EzWTkchGAEIIwnTLEMz/2H1BJjAtoqjd3UraG4KYyfgNzk1m1 +YWC/ifbBzAHNoabBCuXB1gchCJWybO57heo3qoPhHvD4YJwwvE0Mv0Ce9ZjSujZowUCqk6dyGhfi +StDxZR9dcFdTOyvCKgrt4p29ps3fnF0sB0FYdA8p+ccprhgKIFhwcPTNCUqnMe5WK69PknCCwy4m +cXXyoMaSPcXP9S/9jYg5o6jg5GdtPpK2yta5um+bY8bitSa56m8rLmsMr0k+Z9NXFBDEuKPu5NXk +MtWRxNc+qG0ZOozuKMq9A3S5asuqCGsUTBHgFsrlFuTOAc8/Iyxu1qba+tImEr37PWuQuaRwzlOz +Jmt1ttXyY/GUpCYspi4dqd9SMapGJ5zxGGpJ/fdsGeFNMCRylISg03tRMO6aGBeIBcyWn0QRCuyT +ZKxJIdeFu9/k13BxauYV9EOuEJ22wgONFhjtF8olPgA9HoUUCFghvgQUeEaSYINNnbsDVa87KkSf +xuJJ1JPkUAbZicB7UV52TI0hWR0DDn5E8hfOz38e6HMkkpF+QhR8/bvIXYaGWGt5Yc25i0mJeL3c +vF4rmxSBY8+NXTCsch6Z9OCgfdGA+++q3iBLfYpfINmCWxD184uNR/LdLHcq2ngUJWIM7O2p82d0 +SS7AsoXzirRKCBnOrFoyYyuPDBycy7CLDZXn8vDfcRzLVUrqWPmLgNQe/tBt+55r47LpXe0pKBpo +5t9BgunEn/FXTScZ5wd7IqkzXLTssmFHlxv2qEohTw+mk/vFL8zr2IO84JQunBu2MIBY5yM1DDbM +xLeh1yqQO0HmS8TPwxrpIu/3YdgFM3/bAwn/hS9bDa2UyYiK4jdn1YQLNV6D5Ke13mzGcAvjectC +btdhkB5Ghc1AGCVoylABLeYx0MT3/fRfa2l9h+32nDsluTJeJhFqNLE7a0BXpEJqfhbJqZa49yEc +I0xP4m/YqiM2qR58cD1wrVMMv5y7uTyM4Sq68riYSKNQT3f9wn15w1R788Jwrxnw92RMJhsUKDvl +1xCQIiw9qL/vAuggx8cHw6IkVc2d50GnGeRgwV7XiMrhB42ykvF7hibWqV52Z+wfnNvAaMYSrwga +VPAlJrSe1drunHEXj3fA920I9Gre07nvLuNbXGkt92VAIShWEwrWiR6kOkcZmlIhFytoSqBa1rMC +/A6hCH0iovndae03wabpr9/ai2a6hs6QzWRlzUx1NaQs5OspV8wB5jJxboVRdyYjW9J58BIYf8dL +fbgbQ0u0CNlXxw8yra46RKvtDM5DgfH6Ir8EoJ8H5TRJji3BvRJCGnHqapuqvDhvAZxRP4TR/9XH +L0xUwjcX4bpRvUeRh2jEG3Dt2NbR5fLXXb44yj381O983ThTJ60aZO5XlP8rzfFeP6e603SJu4Lc +5tAYme/OZwaRbWhr9gwBdUEnjOTyHl3WdJUxbXT/kVEUFtvg4ifXiWwTbAeaFXCzBplvZsofLS21 +DBp/jIsS/QoETNvM0B3xZpk4Zq7WSzrERU8SW+RVvd2l/w5OULjmsrv8QdRZEed0ne34YLxzeI3W +tGULBtI6Ij/cDRHVRpUlqbFDLyaUf4CWdhST8pb0KSGLtk4CdTvbeukrnhVRyu/Wbv4qTaNZCEi/ +QhKkNH1H4VxkUunvMjLbfgC0p5kebN8ZOMcAVKU9q3EIQmQLoB6cKl92uFla9551iBzmpYPAinjU +4Ueo0tsFRhgfEPu0JjTfrlbQHjU1oN1E4vvdomTNXkjhi75J7Chc0vg8JNQZpWwaZcZzFbg1UMqd +NCkXKQAq/DvQFarJfQPjCpjpr+hNi8rKtvWFuc/WAx2mapBlysFRUg5NESPeNETLow+sUaRd7l6S +zN+hb53NSZjouGrx76owTSjMmPrbwhGTFkDufOdLgKF6GLGIimQKRVhGv2yDp8jytIPKRcS6/0rC +SYtAQGRy8PomJA6Jd+fcNOORNxKT9R18hyigqLJ5IqzDJyKsQ/XwIAciZE1Wzm4a9c2vv5cwi0bx +OMVx90qBSYEDQHa/QHO9wKhd1DBaGuhnOa0zSSjWMFKm7OxZJ/pdvwSgIZeWZEpQgwxSsxZuIQYe +J6XGaTCUI2/fcmnOLLim8UHx3Dq6k+x4ujB8oYjiqRZmSRodQ+cbpZ6QEWBfqNYJZWpFLc2fi1qk +ONilUzH88OpPk9Tih/7uFaEjSyObXO5rs/3nvR2/R25TCZ/59yxSHYULrDQhbUuVL9bCL60FHVQH +gmiAHDVZUIRP5N5a5tmZ7vVUEHnOZ1/aeX6i7BldwNS3CbFejzId/XAoQ49Bspin+JlwXBRSrnub +KnpeXCBima8e7ctZ3SSwCUclz42Pw0gAT++8EaiPkJ6llJjKhUrP7j4kaa5Ujn/pEwf7IP15Yq91 +PvWz3vBixxbUwTYb1aokKNAEBqkUkMMYY47ysOzdBBa89uRSHcoEzXlAbczpVKhJoNp8PECkrYTE +IPbq/ty1rC3+5mv7rs14uj5e8Ot7GCrvV/P14L0K745dlGmM2GyMPNV7X5+9AdFxQvfDVY+/hS3Q +ld4VlhDfsMJJYIUcXkDv0gUxTvweUKXl8rK4F57m8Hm17JAHaeJ5AEqjg5Pkzs4D26m0lxxOdn+c +GJ/9fdQzkTEwz7FleqBMv3uhHHNG2YkXusPY+ul624MMvHamzms+YH2ZZeFMskywiPnHCQNiixvf +1cJ8axmDlE9wJsD9Um5XHejYv1xGoHdp4j7ntdeiBz2nhrVDFORw8kMkIjbx7TYOcWcSA45c+CrF +rBr7bSBDQdoLyEZIZrmrJIm/Wtq3UmRkWVLWaRnjtc3xDck68oaU04lUUVCQqlYFkQofulmMkuhy +F3FTUtohxj7QSPX9VmGxlNOqJ8GUI+vJli8RAWTsZZu9ank1bnul5ReXkhhTbKc7ly++2qs8+Y4+ +PYP7jzYeDEh8Ahvi1ucnwZQeSCMF57Ye/wN+Y4SamAvo0FWicpCmGBdyz66hvFVaYUQt+fLvliFr +oVnZ+F0pSRnEQHb+kkVaihxLU/2t0oKKGC9mr3DrmbD2X1RQ+h+OoVCe/9xLWeaStWmyLGC257BC +5/p86xIztwTUVz9KXhFVRaieXkjEyaa7UJQ5SewB2CK3dkwslfuDEmJnMOcqmVeNG1L+yECx5xjA +k6YB6r+2rpIxzebSMpsLQ5tHtzPf4XnamHcD4TPkL+VZBwvINYrIhjYEQQGFFtObKgAduFJECy2k +rg7b6lV0d43f6j9APasWR7Wu0PwPgk4rbjueXyHS8rc5DkQYx89oxniSX2c4xD03L6Q/CMCUK+GG +utRe6HCYhwknnIt3cbDdEbgqdFyMkdoiQol/ILnKRal7RL9J3WQ3D1+dWbysJ229D7E97KQqoHPS +pE4yIk5/fmWMjIXLUj86nYDPNA8v1OBTx/WO1sIKMrLHMQ5uj+ZNTaCC4XJMgS5jhRhMH5sz3Vyl +DLo5Sg0JFaGtlJ/RhL2aKwnZMkNN6ZvpQKj7aey12x1eGkOL0cm1VdPNZmgI98EDxyiTpVgb5vDL +kpaNK76xdx7saEHHcGnCC39MNMqmGx3weAsIyl0Ri86jTFE++K+Bi60Pmq6MBbhs8SjpCh/lPwcL +qlO2/iMdy2rY11k68BOrjNf9/x1RKVdgndgiiDD17mLd5cipF2bt/j/PABJ6/RCM7coTCCIOUetv +n5SkxWHtoYkrik6xn3eYUibA5A0EfusGk0r4ovhOL6ULn77oxG4o9UnybMcwfufHgUzs6DcsJ2Hv +tMwZRwDsXrM2Qb4kYBunBnn8Tn8RAkEzAYNn7HskHRw8kMgluFRFPSIj1UHYu4eoOPfi+whlasfb +6Jvk1ef8AgGgZzOfRlJcVP5ya1YtXb9p5lYDCHjwvy2nb3Nc9ISEfqsIF0YfIc+RmkeMBdIJjycf +ll9OTRZkfQzWMaGB6JbAcNt4BXuecBWAZa15RkgEuge77oxq86YiKT4OgT78rX2QAx++TH7JZPGh +LIQKo9QWiYfoExTDPdCr3ZgVnMAF4gAnUlJ2lXnJVQsnO4Rimi+B57zJxm53y3L96F9QXO+06moJ +jS6bZCU6n9MKWQC3dF1f91hb09lQwuX6ZInMWSSWeHw7VwVi6g+i9VE87kldfx++Ivc/aSTLVqJn +MdNFJhRhMwgiXp3ARKUKBZc/FiLiJ069c6eLZdDz/lkdjikLGsbJZEVEjfZUKtOXOoqj2SX516V5 +Punuhdl4gQZpWcjlJaN8Djh5ti0CsHlmrZAdN0Oqocshg9A3PRlVJOA5h2eOqjETt1DC+X2ve5J0 ++KaXjbW52TqVDcJwDT1GZqaMnx9+o2in4By9vleW5lEa3H/PY+dF0oJ1q9o/DDkKwzBS7Tv1OD5/ +989hTWA9WS73NH7SwRET22X8q0e/WkjfbAT0E3Sm8QXf2qq7md28ePoPkkpSa2VceI+1GYtSvAjU +ZVzlrLMIBYMFiUlK7WtgV5Hd4qC/uYIOL1wfNZzPfEUbIjS+KDjy3igU+rka+06f4WUyxwXiTkgK +Ec4e8P691sM9tEfp6/ZwZAg9M3cv/s8qcULMemS5U/LwsswNDLphnF92j61I64A1JeskbIRvZWNM +WHsIMCTGj14rrmzFfDkXG0wboHw4hXY/8b/XwmEpAViVh/xzTHtv1A967v7AdXgtWBNR5dfU82xf +DJ0OJKmKXj/kyroNIRscbJJXY+N548+aMMaEObPW+x9UEO9t0CwAEY9gUmFPfvmT/GvAjuVnJMVt +e87PfFlZyFSx0g+AcuLCKpRdVncQVns8GRaAh3I9xQGbt8xFqI3m6CjFZxYmVbJe+urXKINo9Sn0 +Ai7R4WEb5pSyfIZYIeM79ZjDpIiGyxE3C/bAHlkw6XkkEDjp2Pdsxe7TYN1SpnDzULN7ZVlvMIAi +416xU1FShlNXvUdvrGDE7QgfW3r/YML1XxXLjGQaJtUC2L8KEc/5AHw/yUdmDhWue0Nq70YNXrvi +JnapHQQpu9ZATovyW70oJCozDNzTwdJ93V+jKQGl5U4czxwJEoTg/YDbGiYkqb+80TsPVOtC3KJG +TTjeEunk2Ocpsr/FTjlZp0w2/L/3Yw9XNjP6pM6KWF/xMHzP4+V2+wRFAUoQ6hQ8ula2ggInVCO/ +kPABjpYK4Nl9Wp+tPlG2Ax9IR3dtsbvXsZ/W35EFM7OttRetG83V/pYoDZBo7mrytSITlUfoTjqK +RkdpXaZZzab8RIgbUDWWjnKEUje1Uglq1RwlvHebOWFpTaLqjA0fOTtkbQk5ZSlLqFpjmoolkUff +NUwPDvDyLQvyXaHvNV5iVEL6SiAuPu+0FDRnXddZXpC5fCFTFzWMKcn3XCn4X0kXCOmZETc3amU7 +EID9Nb6sfedXZJOAri5bhOwkrTLx+YrvZOX42L/NHpBdlTglGGjODkMoUoWcgM6zTqEnKKrHIoJ8 +VB1904mryXInFlY0vz6MHCsG6uSXFrs/+eImkA9Qctqa6wavWHPFPJdNYwxnEdE4kJYrGRWcncWv +iSwvGgI5U15HfPBOk7TBg0O356b1GFw2UKAsQtpNQ5axBEqSodbQKeDccZQmHOHjrU53tyPYyKXU +ph/UTdK/tOouIED93/M3Ma/REt4cEnhqYqf+5r+IA8mAiVjeETH73DaiJIXX5I7hU7tYgrorIHf0 +wnvjM9eMheGntpWHLRVLMaO6yUpfBKMeK20mjSKNwEK64LOLGwH9NCxoBUAuwaDpY+uerut+hZrj +SE5uYr6B9yAfgcFFOTqAn65RYQ3SN9wLZ4uxCR5z9bIc1Ce7GKNa87hxo6SpR4MHGIfgKOuN0tPQ +lByrgNPiekL1EXxfNud2xRnKjjMViqnQiJ0pQ07nl9NVtHHRpdvB03Azseu2aSjNX6hcZIPkd9UK +rzmW2dqRb9OLozAtrTHurIpxNkU1Nit8BSjQ+iCppZSkM0eHqt9JvGR1D0mc+DN3XN4AmM7Mv/M1 +M9z2Ff+bsoItIRDG8mQ9LuO+uAxF/lbtwdbUIHFa0tNPiX199WOGqU+IYpjjjwJX5vQJA5fPp2ve +nXXvUfDGeF8u1iWyDn3+5oNBr1E2GHAU6g6mlHPVNimh7n61hnQrNK6ZG3EDURcEToHONJA8ztLg +nDF2Cin6lH+Rck9Hk//HTIlex0wxRcX25fDSC3PRPuhg7zut7p0T6tNFwgDyEFygn/gJvXDEMimM +9R8MobE2zkwd2aZ7RdRZjx48lfoyqJex5lUQl33rRYBC2BmtJGwuWf8HRN+JRQbNHpxNQ6eGjcZS +nMbv1s+u0g2IJbXoxRtKkjrd9EInRrsz/YTPH5gmhWplPtdnoc9UL4PaaFT+iNpXeP2VJ2tveHbf +pJhSTBr5FdtJ12nkLWRlOPZVs7TlIodgQEAgR9n0mX9UaiXTC/4pcfmse7eJH+q6vYX/kSz00GwK +cDnSqgRgC7ZEiAsQryUa/F3plvShu/U7YLKD45vJXbQ2W/df4mQhRBMIKEx3FjFfbnOGflzfY0OM +DKx4etVj8hUv4R2NEpR3yMfJWPVvMFvPLAAtLBXL56N/D1Jjyh/CRxezRCnEcP9VV1y6xHasc3F1 +iIQEicgIjQFKH+/BpJF928Ybc7ZQOWAcFCdvqwvWrQrfddK4EdRaMSAEcUOy+4m081hdmkFUKTnu +Aidlb/jpS8RmBAPkC0oSYtj83yAiHmwrRxThz5kWqr5TG4eZzrILHR89GtNEALxHSzuDEGAqtGvD +NfSuhKZUhQ2Qc90BqEPVhw0KPa0lQ3X2d6nG5aDd94ciqOFxU5P5E/191Lz+bT8rIJAa0jaeqJ1a +I2FuyFXOBUREZHrxG5OPddZtGWJ7iuMttrlC3z3BVrtwThgBGY39nnNKHSHYdbYkoZO0joKLZ5KC +nmtMe60HpMqgo2s4j/2Bgq25HpKDn6rjDuFbp8yv5ApIT4J/8HMz9LQy/GQLpDM/KlH9KpKiollS +k8VC05ZGafFSuQlupK2iHc9TADEyBT97hZVKXut8nnF4g5olDuznxH40wvOGBCimNXzRemke/U1S +v6aSjGjSNv5gNUStcOPGJUR532uFgwsN7K5C2+H66Es49ag+NcaYH6N5tUrAKA9yolOKYKE+2b5k +EdVVYdw5kUXDxGOeehOYVv/IxyOWoqzRZIQOBcQBh75xBM5OZJ0C3dPy1MQUvUhcW3wmRUuxBD5r +vmJDCsCY5f4VGDgDf+W90LUzaszCpbNHb1Q5TW85Zsg1D7sgZjwoEszd+0PsPGFe/kiDwdohsGMF +M2efCWKo+4orllGmuqYR2cD8kl2nuzKrJH3Gs88pjV+fpAUlzvSmTnwObrrjXjVmjAtvFtjY/aWJ +xcoWz/2LJhufM6dZFjj34iW1w/8RinfgEtbxPS5dcibc0CsqgCtXTQ5/x05YnKqV8j5SwkB5pK/k +1Q1xK2vdBw2HH3x6EYg4pTPa/hyYDBKd71YLIIy2JNVxqEB2VzJ4VBzc1nx46fc0Xpiy/GRuc8RR +SAq9D03p1KVkSbPqUFkbRsRyP4SSCc1sc2EF6g0dtesG65fkdAoAkzDgbdRzp1J+DAqEkXydQfvs +ws+qD166oPvRmHCNPrULhkT5Zqtlnqy99X1WljI85ZcH9y96FrXd1szDdmq4yXh3qsdS+N839JBs +9bcmpv+AN3j4IxcibRAlg67enmMtVOxOzlLF94fj8GP9ork8jSneXB4wQBJYy/Ok4YWTJWaQzcG1 +ST2E6b8pQBhD0Mn4G+lpqtBk3BLvw21m2w+Po3YT5kaQGC+oaw6SSTZz6pvytQpefcx46wFQw2qS +Asb84Vz0V87Ygap7NpjHe6WGFV+iQvia4VYU1TcjGBG00v9wHzOePRn6UQq9bX9HUHDpf4evzYfA +ILYUk1jUVcSA3WhaSrrPrTJ1hKm6lICzos+vTwls2kvZ0PvnihMFEphEx+YiFVNog1aIsjVP4jJ+ +uN01s9TJSxZbmcFKdl76wN55ipyKPc17Qq+d/fVvL19Qpe6SVICKdL2UxUnrAvTVIGdh/G1b8BUg +n/jxooqir7TKrok5AJocgBCYp4g9dIv4wVAoH9W+wUNbXvWB1mAUYe96eOlI+a7a1PGlJW0Efonh +lNi/JV8rGZpiV0ahalO3PgONAx/visa1QCNGy72cNn+4bomjd+XH0t2+yCNhuu1kD1DIVZoSU2HI +ANBVwzJF9BA4VJ48/x2t61dHPvhothc/VDVVgfqunx43N9v6YLoZxr9WIqgIMZi1JyUzMHJv5f5+ +fJqWAqwNwSRsSHxtyXY4EYJgzMORxN/VrCn4+zT8nC5gO99ywhpd6Rbhc7HPMYmhatOKMCRJQTO4 +h0Abx7KjNF6Zxv2l7xzQWz83WcEpdc4rUcNIYjl9kqmXaxjYxCcdYxPHFR3ljmevzYBW0cOwsHA7 +bgv8J6rTlPmZR5C2BIw9/omRvx5JomlJlhgeWututpyEfQuHOKhbGl931jtpm7rcZTNfS6v6ePHx +yfMJ9xT7ew5tBKQNJvKVM5wPFapMqDJQ9ExcFubDqe0gN+MgtOFYd2Vl4g5TsfOztZNJyIj8ipvr +90tAE2ut2C8sch9+KDeXgrFmlcjJG83hm1v5unHP7C3e/kXomN6D9WP6HQ55bH8pC5TvQ+DcKsCn +NXTbmEc5x4uxPJnn8OPkBHc9vE1kNtyxojMXACy7XHJlVJBAf5wKNWfEdoW9WlQKRaCj1LTEZLb2 +oCVo6Wn4glEGbT1gfpxkTJM3ac/spYLqPlz1+vy4o8PC4jngMMYCMLtY+TFA3UbPoHhBCPy7POzw +XgnnT0CNiDrkSWU/CfPmxxaabRYHOghQAzkNN7SaAWAY24hc6vFwen9HK0WpPRNY0uk+b2sQUFQd +xKxkY2zbdGB5WlDYvhoJfsfEs1HQ+DjQDOcaVLuFwtt2MxNlQH9gRzp69jPnSOO0AWN7mDbZeNfV +lzNmiHqvds7VizlSLuUoDdyj0rcOCdFipxWL5VuGeIPKjQooGX8ikR56JXokn8dywMhRKV7gmpOz +cPaa0XNONjIHodW3V3zhUhV9nTorfZHMSupqOUIZduowLr5LGyvghBwpO4ZqzPfHNuYwl3Y6p9EP +g1iFcD6dNeOfFRbhxxkAGUneIXwXhoq5qKkOViTnD7GoV3xvnQzrDWEhVy2PONyLd1Gso4bCOESY +DjVyFhe/g/Pn3VLEJyT7sRs7Gswtxn/HYI33gF0r5Bztq1jXhEbNEHSo5vLYdzXct7nW1o1xdE3G +MmQZ2GC+Q7UqrZIMhL2aS4JS4MQsGLW2wiNd7Asoq874Wx6bcZtw1bQqOHJRvCjVUQAxaiVmBcvv +LSzSGv1U3WB8oYDUp/IMwIa4CcnYMT8t1hj/LRLD5TNaYa26VQheRiX21vrcqgEjQ4tCDF8rrl9I +ectFLokeYK1sDsfpoROh4OZQwUwd1HuSftCC0b/EivPODR9H+NZbTMNNmOf3t0wi19WPykSBH8IP +Wir4x0gF8nXWl+q5J9sV+fZn6CxyQxUyxurpjmhMeEJmGuFT4em7gcZdGjHxpNE2A7AL66zEn/fo +qXQ7nov0Z8cWC7M9aCFH1CTw0mpoL4w/4VpXeO7G2knleLXs1t3E6Kg8jYwIZjRbjRdGjwD//Z7W +8+DkSPPXAWRICSwb2oDr535JtUxfspQP8pKQ2fj+KkRjoqd8XM9y7WB6K3XuZelIIHFLjvjUJcj4 +Wk74qPjW8ND/ZyJdoV6dx3kJHcNj4WqIGdXv9wwtR8aQcbt0lPcR/9Ece6P1uHP50lzgrN74HDqW +4I9WUfGK8VKe/p0JXxez1JvutYsBjdTXojxiVp+jXMJQYtCc+5zgj2x1xyYWd1eoVZC6BVnE4gXB +kdjriBnHaSYA6yOCk8BIjGJG0CTkmPsGh4rxdRfv0j0KGJfOzKnMJewr/EhwktofjKWCci0T3DB1 +GS9AQ3gLodlCLPkvskdAdwx17IqWxjAFPDc8wDBalC6AYRXomqHPLCba5pceMUwLgdjGDSMO16BN +cmq2Pgi8smUX2uHd+LWz8Rui3eYfqK8AOINpJ6IfB0NUcJAzXas7xFDoMtlvDhyiO5y1ZTLlwZM4 +4zE6f1oQs63UDh5Q4ABtxLcMQEKGXES2AgasTPEI4HXGfCDwy2HxpIzMr9OCJpQL2MpAP2u4FDWF +AyYAHgeFWbKQLcLPzJoR5m7iFq6dcKCS3r/mP1i7QdJfwvPXO4rvS2g0yx/qKYaznnd/GtAtcKPU +GToSDU1wUAheZ+hecG+bFHkA1GkNqlnVsT5HUckBlD6S+6mLKtKI12y/CjRsHc9y3gWLE/QNFPoz +zMXG+D/51B4rhJtPvxGzDrMMidrN+ljitWi4atoGoWzqMdZiKWHaAf7eCCrKmOvNVwunJKzdZSSF +sf4mYXgN3Q9yEkfF6EplHe3XQP70IFD4Pn1BEWgtuX0JdAkhODD1M6UfdtimhYDPIOEepYyJclZ/ +DuXKYTTlewaB0JN00ZWmAOnwt8fbZq0T+TeVZjbyOsaY8lrFonNPoSMr+9c2vvJEZE3lsSHoxKTK +bpsthAELG1mu8uKAwOXmSo0/qBrDyW4H+Ps+MbN62IQamM8z7u8QSz+PqNo7/de7722QVejiw3/v +haOdcyvD8c0Par8KjlXoolSBsrEpCvm3mHwExipORouICjDX3xKA65g0QqQZyqTzliL2UicT9a33 +czqvufM3rvG0NZVizUMzPltZIBWR/PLCnsTCmer222XRYu5xMtcYX9OKo6P/SPHVU/Z+qRbK6k+Z +PLhcOHlSGZI4lCsFkA3bJcWsRxb2A+GwaqlUVzrezaowjyCmyqDGBU478uirnhq7bLd7sO8oWirv +zdf6X71/txlnuy6Jq/xZ9WbMu+t0OsDQqziHyjTwahdE+1FhWBt5qjm2aYE82U0X3dZP2DA+AORE +i5nzVInsbBU9848XZlcejZZxLwFs6z6N8PJ695dB14lvSXgCqmnX7zQmO8f62gjYES9I4LgKXmup +uuWG59L0BUNyOXvQoNDZbYCKU+cSK40Sj7/Q52auD8fzMlCnPbSS1gxV/oJXxCs0i6csjIk/Wecf +PzFQNtLhmKFWstcydGiODb/YvNLhoUU0URaKND4qXmRWteUzS8O+/5JIiSGPVzVLA3CUKu/YnRDj +oRjEUcwXWDLJmK7JKLeqeOZnsusOjx8/asqwvu5qZfRgC+s2trUwQVPPpnaK9L25BsJpIgl9Fdp+ +qmcnwwzn8IlMdZLtSdVI/BbzkC+n0JHo2VYUJ3VatgEkmEmCn64ReBMOcpudZR3Z/5weE+QHgWO9 +5YlypLv6+li4COA8Blzdbj+VdlPbejgH21xLLzSiYTYMBKCrabm0TckBLSvasK8kn2zU7lvAyMXx +pKZa/G7sFvpt4c5cUVGO8vPXac+KwabdN4J0YzmiDTDAEHefeWqGQ0C5EGwwKysxGusfQ48womRg +RfQCFYi+DqCDVDM8vcZNcvU879U/YL+nhnR0+Umv7r9pKD911m9lBFwHpAbNwGIZ0Xhz9mBGaWKa +p0W/gP1BJeyXxuePWiF6rrfUlWVLUL9xmEIWPBP7OkH4kJYG/E4CTIW2vqo/xFseuFMkyyrBtdKJ +v4DIizJzWJo0imGojzcG/aToMi/AzgXkfw5Iy158lZq0OruKnx0jFN6SeXeqtSEZii/RM8QJ2zCj +L0Io+q7HzJnMW6bVfyKJpxe+HPK3zxTl8JS3L25pt3PgeTEF3nwSHxcq8jQwyp57DTSre4AVf2+/ +9d690qK+a8eqxdnQ36kI+PEDScK/UlwGAR0JjGJao02TxCnK2LiO2b23irp3vuJhyQVoTage2IyQ +9GbbVI/qEEPiaKTgw+RyuivomMXfFn3UrXbDHSXmp5mAjuswMDUkFl73jDAEqSTHM5JJRdHYhS5M +7YtyvRhQU7Qh+12AVIw9rhTzAw+OgppQZQUtqemgZjeMrxrHdn82HahF/zfTTI6ufpujZF52TtE4 +ENhUHvr8RnKmFkQ/O4Nz9KlsXc3R+hWAo0ZOh+k12RA+I25W1zBgsswe2OfhHyM2bpm0QwYvUKua +HpXCFBTubjH6XteHbtYAcm3YXhj40KFHdNkW9fNa6R48UxmP8I4gwl0nLryCqixdZUmDvExko0uI +/70+kPd2QXhQe4tEw+KsPIvI+iG2Izop7lTKnMrWqC10G3rN+kF+wsaIKvXVl37Hd/4tRzjf4EIj +Zi6W/zAEqZfblFNDDr6NIOXGGgpc32v0VRxP+3W5VRAj7vZ9ARYZaC4LJJiWN4q+LgDyJskqDY3H +1Rh9fZn88KWS3pm0aDYCWDciOLR6lrd43n6frU6fbhzrl9RRiyvNH8+MrQLQN4iJCIz7TvwcBjoT ++vDE9dqq8x5sQ0QFadksq9Q4/lh6Jthuil5B7k8cLdOBsr/3kBvvpsosILeoa4rSUBa5t8+A1jy+ +oUTb+vLOG3YilysKbYId/0hHFgUkiRjO0bSDiclwE9kySMLcSrCYoXfo4gShfuVC3hSxcL2Qoh9A +rJziSaVpg3YaEaSdUy+Ze9LJe0pUAA6gq/+73bhS+WElxA523HiX2m9btV4zqRb8X30uUS5zCjul +nel17jdv9UrTgywKiFIPr2bH5jJE/EFrUhcus/fNr5OCEJz5dfoYvzfnZn/LodpmDHwIr8uNZ4NZ +6+YiF2Ag7cRq5uTXVz5XIfQA6jTg3fomKoeqtFPhfQ9QiORo+wdkI0S36QMjCQjtWGZzCL/C0Ikv +2lsR/6lzgCC6K7IilmMlM6Sse/lfdFOXAxCN4vz5NwFLq4mYPjmptTIzWs81BN8qRI/50sUFuEmu +EaejIDw/6Yb7pgE0dbbv5HQiBdyeCVE55wfSSrYDX0kCBSo9oNgNXZ5KnBTLlUeW/EuEeK6+f67u +sqCVNdmELMdkQiFtfcF/aI+jWCsJwIJg7QZAfn2j6gbBm48XXx214ARSgBgxhyxHVN+Fn3rIxhIJ +Xu6kUIwUdN/UgWaSFZGFsjj3dW4YblXvHK2xKVrgPBvJH8PuwvUYU8Z5xfuNZzXdpu5yzEqG3Sk7 +BlkgEegE2KNHbwTPgOpu9LOEltHwVUKf8ETPmSbp1LXsGPgeykgtCJoJTEIjofrUp5yIJyptHDzv +a+PpOSxO7C2VN+gP6gkMmtED/vlXxNlsBfnkg13Su7kX/rpl3orPZwKrJ6wNYaVhYomBGcC6l7kb +1K6Oe7RfA8B4YjHhMTegKz8OWbJCfQsoIaGHQG0LGuZZTFuXRNcAbUEoYPDMD4IeF8edyQRWBP3M +efJPIpG7ZFZWoOwyrKlDbYQIe12E+hq1V2ICa4RSNGerwXdQbhV/cxr7RtiOE2XUKAOV1Me2yaDO +jSApDhd4Ovjhm116imZWCQ8w0ezWXZsLiRslwqtoOcC1G87GQK0oWJOwkXZGRKhh5ypP9E5uozBC +QRMqMzHWIg338bxW4KCn6StyQeGtcGYHbZOGa5rWHxRCHhF3E2D4bQcYpLNlz4zc2o4cE+TwoVcV +uFgvY3WqN+oolRdVBBeVwiXTvMfDkEXQolFMPbCTYxuTWkUd79jiDzjSxWpf/SSrzi+Rvq5/K5Mi +s5OfpoZppi0jXrH6cwj0gnAaZymYdcEV827bExmt3EKM9Zcs1Tr17q0ZC850qz7BTl3tOvdKTq26 +NlY9ZfRyEDgYTAf4aufmOtTZ72Z+nnpVtk93JE9hnKjXspeeUi67+Gt5O7itH/y22+oV3VSEwSuE +odwYuKSoLGK/C31KAJ1L+wqu9tvSSlrqgzXwrhv8IFKwYgRe1F70LukwWBBsxoPJmLX0NOYQ5mFj +TEVVzJDGE7bPdJn1VeWXf8yRd7HwptXcPAmzUXOsAFic62G08m2mLizEcqwIvf7Y6uG7OmlzJ7yK +RRXy7LWQTALpE6yxbPDb9YMmmXrvZ+HyWy8imr3GD9CZaSfUb3PKgA1wHIinhyK1WkkDj0Xn5AnW +BRIhB+YUc20UjOPGE/QGB3E9SrmZikwY8hZ6Uns7SuXarRa4v+19QrqjLD1IGehEwLR3Clrdi8Vf +DjqQaAKJBDhdqiD9ZiIUTDXsYysRTO/G12nKkngrAqzYfwKr7ZyT/wKvdJkSwXCeN90w1Yn/v12k +zPxbfff+bljRz43gC5xaey7w4oTQ/xoii2hUTKMwxJY+SgpUZviifQZtxfxG8N5SEswD+Ie9rqNZ +LyhEAlGzWcVBO7J0bDL4LaB67y8cFVMsETdr306SvB79/W143MV8LpUJSH+kOXp/VfQWcJztgOOi +JmWdv4f+Q8RszpK0LQeIw2I7kwVyqZsqd3dUorl1yF/946l6J5EJgu5b1Sw1NpOriETHQj6PtSN/ +ifHDHIvKX0CRXDDKEI0/TMV+JWowLh6SsGbaTqSU7pGin4WJc/MCHg/UCf74HvYSVUO0qQUWXqAW +lGs6mbKNuw0UuscyxObIBOK3kyLnF/Xjlsish+pMI3kn0wqwC7ZEK6+6vpE3ZXk7+jp/jesRh4XT +FHDXV3zfHRSdW8tZYBIO8/7+tMZyW2ERFza7u9SDRdrCa+ifoPBTrybqhSbgOavn5hazXXf1LO6s +SZGxoJYpmAmbuvgsPawLbdyAo8erAqn7hNCRvNmzoG19WN5GxInqxbSmTUxepaTkfMYPUVDu32cZ +GxeqZKGpGth5KgxKS3Mjh9BFdKt0rdnBf+p9neRAnWRZSBvZazf6Ws109A6/Z6StmD89GtbQ/xrp +WnfVCI8Ug2pYv46eG0Gee5jakVyEllT2mG3DrHHmdzjvgJ+ByGU2W0yY5da1VeGlMjok3yMYLqLQ +A3Xc/6z9fnI8cUHn7cXHBGe+l+CjbO7lBqCKnriUNrNgdgIyBI9ANLY4FbVSiuSbmxQkGZ6o7iXF +FoXSKxoGKcClP4sA3L+88cKDLM9S+cUT4I1XbR07UrE0daAVclree5CO7FHtkmz2JrqozWQ6Djbf +xan9IcVfUHyw1KZcsmutM5IHawJEEIeT0N3HgHDvND7u9SQ8Zvkwu5rVxr+fAv3/xgu68C+/AIZY +kkauzh95wP/qrsjsB4rLADhuQfVwwW7I3byb1bVI9we4YRsWWmdZE3rY8jLzlxExOiok+Fo+FEMM +h8kGGJOiX1SRymOYxCiMLVbJLp279Nzxwbcu2hk6/fzT2HT4KOZ75of+lwirSQxpvbmgrkTXOfTf +SkpNUDrM4a/XEROsBG5KDANamQea6nKDOhqS92NgvbRbx/Q9H14vn5Mn23zdNaxiyyvzkCzGd4lo +4zbhVPMV/uX6yNjFRt3JDDsEN0QehcuB87EgrlCtd2Xb/YNtw20Kiu/7DWFIvOfbrtLyCkqVS/p6 +1AJWBinswzzFWYCPo/zeQLn0mI4ttIgtnsX7YZ8fF23RwMhUsF3QV+gv5wLZEi9AWtSUQSSfXV6f +SFqptnzKBTq9Qdp7IpRCQmoD2OQIWARtvKfY1qJfYBdbTUsGE/aFj/HgVDtiKWAK5qjahbWbOf9E +gjVr2wVfYnlmyLEB6kqzQVwicAcPTlTEr+vw6PXCJglsDRuAL7wBPlCZ5QZ9EUbpN832+/ftMZeN +QYmbVBHFOJL/rQ3W6JSLyaumr9btC/SIsLV78kiAj+STr76VuTtZJ/Sd/yKYkkt5mzkSlMKj4URO +UgJA61RDZ5Cq/0yTxO2u4/0kSZw2SEw5utKOJNhdA9tzLwNG3GFHc0xZX6mYhIdRp7mDFeLzWTBW +7eEuBdbAQ8KHK0UGdj/oecosJMIcg3T48Y0dVofhmERF6te3sR+CdhpCzQUvMzBtI6yMnkNor61i +QBi6cfau9PW+7cJ/izI6a6AFNgI8sttBPUFl9Q5nvFEKeabzm2nWa3PEWHxbS76j11dWWH7Dd+Gw +kZs8/W1bb7wEKbdJaVIbhb/HB5TANYghcGLq2DbCO5PslK+sES5b+6kxljDJByMAonODrDo8t8+h +gr9f97d1+1ZiIMuEeJILCyj98UnrHTUWjIW6iuUja3QJKPxO3e54Fkq65k1YCpW9ERWzLC+d/EWL +oBlgvgPitiqShlNhP1o6VAG6g1AZKcNyEOtPHLUEhNK8JZ6RFd363KYN4e3BZYE5g3xmvO8aNXHk +ax62TCO9KlDBtUnuru3SRJGDMXptZfVcOW+zwAh56KhDjyfK0XydOe/y1Y35oTwyB87vH7JzW4AU +0StCIcRXArmug6X7i2AhMtKBcjcVKwXXKW3mMVjQihnS/Ux9MVnjPb2oe/WnH8514MsE8y0kds9M +YUESWZ5LpVo4snI4ItzVpgcak0d0MkCpwvvtUCWUn97cvDAoegmvxG90bqQEqceZL7PWbIqT18Ze +b4Zzl02N6t1XnIhRXiUL5mrJpOzxiUoVqzcPBFggCXACHij9pWLLh8jmfjlZSRHiXUpmTDvzJljU +bFxjJPPVX9lJmrXYIMjOPKTDKaWXsJXg7HRtX3dfkIxA0J3x9zEnXilxKyGlD8dnSwZj2bDShlVO +pBgm258kh/DXs5HktQ9MURMjjflA81rIYImHuNY+xXdxelE5C5TuCIFozzyy2uPxmj2vub+LwhUg +Z7r82waUyH2Xoc0NuU1hnjRNrbuvCN4fF06gxGp48rIdHcYGyXU6OW20kFNnAljkOuq9CVcRFQRY +Sv9Y0Li0TSgoJYSyx/amX22g08qpuR7iAR2D6CjknPBQi5YQpgbZyb9fProMMWJLrF9oh7Qesc8N +tNuG7I1dNbzCSV6ZdsLTcLl4HtU7yQdUC9tPzOaq/5EmZiBzERWsHGbfa7JVm5ig+1Rm7zdnrhxq +TRq2C1/Jfj7J1maR7XwB/snScmRVVbY1vi1YeOQJxx72wPUkNU7OC7yFPqUX9KPKkK/zxEklk20u +PlZiV55LcBPmMy637xeG8V6wCMaNr1VnZinDBPiReAIATN42t0bP/cdMT3nAFFgy7G+u+V9fIsRe +x6GtY1P6lxltSiMm6tCF7RoRExH2ecujRod/2CUApZladpIByc1WHtyLXf0TRBsJHLp6CWwH79D+ +yYOMp2Vi1ZAUG0G4L0NY8GLvxbTkw09YDTjCtDN6nC+LO47WFxWkprmMvZRZ1P9JiGD76mT0KkF2 +CLTwsS0xFGxrFqU/bbg9AP5xMz0mgli2XCE5mPfvGH8Rg5NH4HcYx/wJn6RmLD1dpJdIwRJB2w5b +kpjYAOP8hmxNlytOZwh5NfVQkDg76PG5GwuwMbO2fpJYJZdZ0IliNdzBaD0ysMTv69KNRh6wWFab +Y7PBgeR4tOopFv98H4T060ky2w2sdr0/w+ZNJxx5ttQcnMw2lYLdhw4LYZV+dimujnxXo/Idm/LW +hHo51UuGVwB2c1gXjsmePS84ao5zC7cpRGSNaEiWhz9w2usB4taJuAvRsE1Y14/SvhbnMeC24YKO +ICfn5RF9Aa8x5plluhAvEsHIef7S7Y/oRoHHHNZ/Hzn47bSBCRn0nixB4gjAI4PWbyJWqyKLD5MW +9ig69xh5ZKVLbbVvahcuLtneepVqjlh9WYFLar1IGZ500s4tBk/+2k+JTQtKzxokNKPrLTtyIZZH +Ekrtzy40aYCydG6dg0XG1MM8Et4TyNokDeI4dkMT6sTUIHENhovuRlQfpJIQyppFjGriJRiJ27JU +mR0DIYNEoMcSx0nrXWYyGr0sfhnKsqM/d9PUHfVIOWWBIOUZKJP3h+sCd78hveoA70d6inoxk8tb +abT1HOTuK/JycQuKKqmoi6lAxNAWfMLoLHIBij9O7N0PU9+RkKoY6LcPe4xCCoewyAY4DC47YkZ1 +aaVieB+d5q02MvXiqb0gr3zGeROEut58VX6hHrR+kpi5ioGoLNbNo4DnnOd1JodnhBlyGP3IpHH0 +YcGa3Ur0N9Qn9kttIQvDtVGPJgEn8kfLcnzp4q+HssfYDgN62WmB2y/LAjaDQofaF9BDhn8NWMVP +yU/W292zR9MKez0Wj9BpKgj1mDlr6DKPMzgpMBMs1EEVWJm+jfVJtxBwFJq4S4UYkqzOyOTClUuU +en9cgpXx8j3yMRe0MVTrK8N8wwYyuOqlg/0TOdeb4h4Qz5A9B4sccQBZT1TIZD/+tg/7HER5Ecjf +bxXmRfrzPkrqixYeTyvzhcg5ZePS6S8PIIBs43A5bFmRHF55EfBF2QPUZf4WV18zrkGR9gOBHZ6r +PtmMw9VQLJ7WElHTpZv3djv6kUI5ZuQfoS3EWa9exDDQ2qLnOsZ0xvqBfp2bRQkQdy/Jlch/6kih +qpR1eXjyZQtqW62C2ES+BQyHNiLwHRqsbbVr0mw/5wwiXuNAfFjvmsvTP02gXe2+xLveleEJkSKQ +NZ9PlJ/XKEcjnQJBw0/6CE1cMq/kmJyUN92PU08WXhK33CRJ6w106IBI/bJ58jDRyaQizXlXu/MD +7RyG16o9MqzzT5CD4VfG+dwY6+xrQnhYs7THHXFLCUsCiEz7Yt5Y0it2K12DVSXD3VvXOH+sR63t +PVyNOgLsPRA3o6vlEMbIlrwBTs6kCJbdpS/Z/omU2ONjKh671ewQ1nu9eRHAYfR4RC2Vat0g6kkz +HjKZFOKtUvFHi9Pj165x59Qw8pmAjfbR0S+FQjl7o8XBgDHvoJDouL8Te0wak2DQk4Sec5MzVwb9 +fbxBmFjj+rI31zlbe2R52kYfV9YF5SvVMuVwFlBD3pmMUiNXKEff1DSyyhXWjE3L5JOhzFVVwkwE +VyNSVHYD/amowjfq1d/1jR4gyPrCYVXK1CJ0o9Nsy8SkeDg0HrHypn4MTwNyeeHsaPEFaGiVrWgZ +nW243irDCqGA4Oc1tYEGXLQgbc0Mpv6uQrlZS9FCiNtXxNdF53EvCZWNfMCF9Gb7hGRZP1okaZD7 +urOKyqJIyv2cJ7Xsq+DbQxblDhMyVuX3ZtoAKUKR8jdxxpZ1BFsE/5hCJYVxF9eBAVYgiG8QDzK1 +zk+jQHWqqXmS9VOc37Pgi9PInbGaihTb3NQVQxWs8/tClgysTxRP9yemY4f7Xa1YlRaIEVCog7SZ +bshpgASuZCOc1HXiBjKB3eOdXUzQ+Uvy47JmrpZcbLCN8Qb+roenAhghVdGqJ0n3o3mFS4Tcoqxu +LfqbiSjdrs0Vi+8tFM6p5Xh2k8AI63DrnbEhlA7l9cYMgE/mi831gJB+WRbWUg/2OYUfSL6dPbYw +RJZclJoefA8XdmPlhS/z2+dIZQYE+uCSedWzprZFfyPkfjALvHYs48zmo+nvovvMmk8zoVhyDvk5 +DfhbGLQ0MXSxWRQl4srxUxdNULJmbyY8qZt3RzKFyf2dWakfSffwfs1F+loZDHya9n4wA71ZJ8T5 +5NeqU5gJMTRwozBe498natH1HkIRI0gb/kQG+Z3zZgBnMDUwMCPu/fZTEctKTsu4jEew8RPWil2+ +SaE3NVPKF5FfVOcdiMYWtU8XAc5xVned5hBT8gbBpP68phu7NUEBYIB+/hxoI20qEaEmu2lvNCHI +ii4+NLSCb7wGEnCLFmH5kugcsz/GkBEcaP/f/SliN8egnPIis+y5/s4sObZSOYNBDa6xdiQitypQ +KWDDkfNA1cQOv2+X3Sg+US6+Rcl/0cwlezcx7bEhGq+G1eliixpGylqzkAN/hMR4DFicichMYkhP +WA+Ifmdq8mmMWY8EdENQfzKZUtf7lWNJuAnfDGd+eCWOcr2aVVO9cbeHou+v3QgLNa3hoYs7E2a4 +VB4nO4sFbTxNPAiMrqtMXUOGhP3FS1ARvtERQDNRsKmJPA84VAIYaAkgbM4y1MBT4T0leBzrr7/a +SlNbEDXNpM6NlxEagyIVEbsSwER+YhQ4KDcjAjyGmS5bN2uPriK0gNjjlHT5lfOhqzQOHb62ukqe +tYEKrZ7xiuO6VvfAdrdgY9nZtFAbTNvdfeKak4sSLwploOGO8CASSWwW33JaAf9SCoA6uVfgyoHT +FoX07zoVaoPJb0zkH0+8Sv/Fz+cIEZJu8nl2IOzzZJeDm74MRmjCjnM/7wHQ5ZiWcBvSvbVpJb2g +R7OThyTRstKyL4SbPmyM3iaA2DYZ8YX2NZeqsKmYO5vHi0EPs4WNyZ/RTC7ZOPe7F1OQ3HxJ1uBw +O767bkUw9b2vWISE+y8ujFrCXBNBpDwD8B/px17nZ7xXeYa2MPMoYta/TkptFOfdlNKSlwCj6uUe +QfDRk1xyI2keTmP6qCKDDgg2DPGSjRHTkVBnfdtndlpmA9LCPdQo7ky7Htsj2sZS3HFggldpF8lx +nNfQ8TKz1d5trqWEcqq0B04g6P4yHQqx9aQ7A2NXjo1dZU5Piq7M8AuFTxaOhHE7zGOvN4xeH1RU +gO3TiJlOzS7hwur+rRvGJcs+NqRPhw7jvY8+4jQqPpymHeyt2hUH27CKJIvlzn+zpz1wpolMfpCW +uMjBXQGU34Du/WR7GL7YRMvgTxbmZnKG4bpdevFiNViQPpAYh9pJZt/I629qTcFlehfq3f8U9wv4 +4okMGfiEqmvBY8kbhqgInXqGDxpDiZjgAKMb50sb+CZWpEZP0Fw2egNQAGCi1qhDDGlue9m7YMjx +rNzoZcBqHDnjW76AchdKII9CecmefImWhhznCMjsC4MAYtczrqLRSMf6wx00O3UJhGrmPhLLYz1d +TGgvvCTATPHtp7H5HVHfpwpEWVwXbKco8AXP9J2D/hgNMfol6bPmF+xIGPmtX5jetBzpr8CM0vdX +yTz0wM2laRGgtL2GDMr5x9hmvAN02+wmT9BS/S6ScCf3gSwPml8vS7mrL0mzGEcaUTIf9NKIJTpM +QngD5XJqX3Y9NXaYKww7ZiVu70F9gVKAYyDdkz1La4G0vpt6dei7CnsR0qq9Cn/vWLZBdUYkK0Zh +Pnx8PpENmnn2bDnmTr0z0I5iJ7gRYNA5LkYKzT+6BfFEJJuMKtFoQVWCe7RhEbXdNafkfGv9SWc1 +wEMl7kd5cQvVuCM6DksnpXPjyNoItZDhpzLfDKqhAZUSJlhm7LcaaYCmS3Ozpih+7lrCP+OZBBMf +g/q1fpUEABRyPs0T079Km0AMCC387L3iUN/wqqsquuwrMpgU6n/bJdwFM14BJ5JPym/qoGsdIaFs +57/7+zGiVFFD8TFypfuggXzT9KZ2QNOnhc+FoKXYGKdqqgpQVbmY0gpy08IcwaGfRpjAlOsfA+xM +fbv3yHlvPv58zSM0HdSpvH9viU/jyMZu2QUooeZe5YfoKk8zu3qd1ay5GPLr1EG+HNi2xFuYwGWM +9ONgGts2TrPX77TxnUbuf7+7WEYb+tLa397MJLmmT0vFMJE1E2SWgq4PNz21RcyeEK/9SxwHAKye +MSoBp9gtSdp/Sh5ESg/5bC2JcN3a1qjIfdEUPg8I4fyK+fxTHgJAp+9jnOWB2FMfyx7bG4TEfs+K +29UA2tubra2L1m9EmoGUIsB9y9x2v6SOTxziCmXE12GNzuKGY7OUQgM0CEk4p3onu1JYMCpAMkB6 +BOOUN1ObFd/bd09n8XvwX8SECV9dBOX1kMo0fJ5Pr2R6gpavqXVOCN9OlvJj1wvywiZV6cJ7kwos +a3c42edVNbn/h+4g84L+/EU8FIXXxDomuRNSCzjvcGV5MDOpqXIVy8UsiClkWSsk/2OS3UXg6AeZ +1knSnS/LSXPnkE5AoqPGj3W5l2ku2EUybTxLNeqD/xrmnCIVX1MooPobiVRoDAasHAZcJaphGVg8 +vLTyJNGd70h8ysSnEsOEg4HhtCuDzI0ehSfBllWCsX+AdSEpAidykLSiJgJL6ffYPCwQ7QILd+ty +0iHNRx72vu/ZD/MVomnBnAk5dw0DPcu9zAjH4S3I2kbLi7/68Z9zYKwWN8sKiMPS+yDVcbAivKXq +agWWhBIPIY5JhB80Y4M86wp+XSTf3oCbyyzwMEhPq0qjPlY93voA4KNGDif8HhCRwX5ZF53RE1ft +tNLnsROPKnEIcarJtD5WYzmaIzmhE5HsEnuz2JV4oGL3Tq+Lz/JsmnMZO/jygfp+xGALWtdOAkDq +R+PYiZzbFR8PdQ/7xH+fw+CJIWiX/4iC1lE8Y68eAsb+QSLfJMw5MJHjlVK6bfPq5IIZf3s5RLyu +TtID5xH/MhVppVUzCglT2qtRmSlmrQYST2yTpWKPJCKwHLeo+sviS4Ib3mEKOmZXsv79OYMsUGz7 +7WMrWpuGqJ37e+Bkj6ZRC2MYSKnn0Vg0qLU8wAPSVJ2K2l4rkm6Eyt/mVxHjpDREFNW2mHieW286 +JPXGhjCJLmBWDlKtctfgkUCgTS4iXteLAoiAf56R9s/7ZAkAbYK7P0AQDbxHcrc9/bC+Fd5XOCUc +nkfLqTWy782G3+APKfNKIHGtuKnerJrrL8md0Plew2i55L0xYpCq7jO5JJzjlDnMXmeDNj/DNsAT +btj9s7YwNyuYd47kIvB8mL3/SXLY8Yfa8mF48Bvjq/5ZII+/p0DYhja4WQUpw5rE850mGXIfMbMh +WcZX3Ldo/5YC0V8RF4nU8zLE3jaxg9bZc2GfHaD92vpzNUMkqQOWh0PW8awHC1AHSFXGoplI0PO3 +9vxeg0lV8U3IhuAbH+OLnLRa8EPMZ1C73XcqNl01Oy+D/0GaKvyf3cWdYMnuH+JRy7aIDyzCSDga +GsQSvfPqXSYqlrMXMwGlyg8s+xUAiQy92HW4QQrM3GDE00Q9AybryuRWQjQkDAqgX9TjptatpJoJ +9k2GUMndGrAhJUafYWpRGObPDDur07M7EiMH56cy8jLLf12CIrKjgxXt1KG0HnNDDGD+X7QqI9bL +p+TakGH/cxbhgD/Cksx5sjlupalVRON5lJ1Z8rBQfAInMADREfn17xiGxi5Jd3nzETVJ8R61jG6k +bbIa0Mpwr7KUBHpY9sbS533T1ApxTp9o60rTqYnTCGwO76ztpeclgpC8eU8PzJvvTAbYpxCnkdG0 +MA/p4seP7RJS7SHp1SBaBEzUgIXeHQf9WZvqRgONlH51YDrb2XyczlrbsdYKT5YDlihQ688FVFyB +f+My2fKWwxSAFRh4dLlpDVJdI98otHTpcjfzEsTTQpRKM1ciJkrEmys8eMnBZD6ObFOfxgTnoAUo +68C7Ga5KBKfYzEOLa9ue4OglHFkDLU3uLKQxSmNIYrl3l5WDqsT8hyhoO3eDQAp/HiMVxPBquW3V +/9lRHDLYYz4yQBcLQCwjgwBRnniC53MDBE4yef5O79EBKHmxIhzcqwn76Aer8q3SCVYtgCVfFYqk +/yIgH4F2iPCGeU/3Izhs97RqZtzQRT/+5UbIb4dJbhEU7RViqWYlcxr0PikU/uE4PibuNuhcxHQO +YabR3joNaRWoNfKeXnPrpj4SJi0EUbZ3mPbGGEjda+knD0tsrQdcMQ/tCuYEhiERJOuoJyClz0Ie +vR0/CJ0cyB8t8/I3bWFiXf+oLXcQnG9Fa2C2w2eUCSYpOArtJfRxJQcILX6KZLdJZ/0b9TUyZSf0 +FNvtLNUTYsm1tHrNJSQtFzbaa3sJ3cYq+PWbzWvXjI2+bMnAG+MAyFOrcCsEHm/gc6O1QAibfgq8 +UDWX/WcLWZpxJg2RhBPs2MGfqietqyVyujUn97N0H1TXmVFsuvQMTT228822eY8derWu0pG0t+OD +epaDm2b1oaI6mMUeRxE/DxJMa/ZbBvS1rc2I9q7O0d3vJPj0u3PkFIDCI9NhPKIbgJv20PRpQ978 +QMWd8fqtKw1mT+WGOc1raQaK7miE8/tr7FWQWaONIRi4KoM0DWnzvRIXr/je+3BExIEvdlg9L8Na +HQgo7Dqq+BSp9BGk8+iMJxIcfLpdW4u7JCsa8cfJPJ55ryiaK9eb7g8m1XCq/WO6Gu+D+UkSyohe +H2PBOUpxKeF2djgsnYMGZDhAz1X6AsEE9R+VS3JnyBQrwHlIRuQNu2WfdDXwTzJ3D/P3OAeOsBwE +DSfSgA0QH0aw6/Rx0uumhEdVJvrQuEQPsEDmcrHU79sDChXCzHPhv33fMu6BxmebuuEDR8uJn8VD +Y3eg13K2ZQ+AeGu5nclJmX/DHNih2YclauGD9qIJG6zAvZmRr9ghqsNSyvvSmRNpE3FHaUgeZp1F +UIkBjZrr1SkbHGzQLpqy288/6cJZstUi0JYz+00I+L92hQr0/vAYxHpSMrwE4v8Ey9pTd3ofR9V6 +py6XV/vLwIcu1m1ZtmHuhM2Xoj+QeP2zaTb2gPS3QJLLkYUQJtke1my+eLEYZ272pdMjj96+eYBk +YAoBRMFNl7KP8UNtEFlhXdL+EPfLL7egu1NqyOxRDrBLgMOp1YsgO/03EvwDn2pVN21pRdvhPzmb +nLWKEkjeMMqb5ok5r0Y9hePykdyumeRUuVNyt7WK2aBo+Dck9tPxZj+XV5S4kkG70+w1apWca+fT +ZTKItXgWhGCQQRWN9RBILEY7tYFJI7rBIs2UpacRM1NPLIUMj3Qkjaj9wMrvbOVPsRRz3119/Vdz +49FTnHcvCESBroHVJKu19TWEFi3tHPjoLNwt84L0dks0sve40gq5QfFWoAXoXLka2y3Fn6ObuwkN +s6mKMiJbK8AImgEPKj9j6FSoDwugtfOLJgueaTffffmq6DWcBfzU7pkTp3CEtr8Ml4VvKlF47PyK +MPywEs60yUaErsuBXUzs12I/qweHIdUUxRGt7Eg9QNe8fB5LKBJu96StuNlNmdldUVEjH5jSMXiI +eD8thATRGgYh8FFlvogFNXZzeKstZi+fr/y1uaEo3LMaRFAbtMUphfH+M9eTxz4oT7EVCAm19M6U +djXZcFwImaF/fapXgFWQYnjAuoRu6QxD1kUDMGFUkQ//419MI5CMC90ZDIhST9Hxgle5jQLARdA/ +mibBhWNSAWC8ip2Ata4WLy/WjYdi8OLZXQC4V3Ed9eCPYOtI4I0RVWQuJJMdLuztpIHz+QrcBxu/ +1zGLmUtmK9VgmQpUX/FX3oNf0R0I2fsvW7OKFI82ZY5odZDCumNqKEToA0VChb2EqWDwS6y71Zha +8m2lEmCe+xPMRIv1TGMykpj8C/DEZm0JZsZ39YrDHWBx8PGEZLfq+dxXVtQubAw5iM84fJAL3C/o +FxuuLMN4azAVJf0goHyNXGFaY0lbViPd7FSynDxR3DtFhZQZETp9nTnQLdFTbQkdj88GDzPtzJ7+ +Z5w0fiyNEc1ncRnfuwgw/YU8qCk6nOzd8Xk0auiPzMYFucNXLMe4So2qT/AfmP7r9+XW0Z2Fp02M +xIJdHN9h+oEfReRvyP7z0XCwywO2KKG0giKIvlQS8fzyS87QlXRNiQ8KdvcBcTdCWvd2F+aV0UL2 +7W+9LkW0ndrpltdHgGLoPXu4VzvQAvleMnid0W4ZALvxUXa6d/4aw9Xby2U5iA4NEhjd1Nb/CLFU +NhPLkJX6nRJJeGVYF+fYZ7mdba2BBqpcsqkeqt4NEl849aILOYnuKPprielA/9RsXzXMj+RvOQ4M +Ebj29VOGkQkqXwlWwtUffvQqwZ4XpWxQGVi/iFH8+yFDHs4IW9s2p2+Y7Kv4bhIT2v3KpmjMtUx/ +oxeBvV5A7Yqq/Ktp9n0oIlMOsgxt2Vhqa32uSdyTu6hvBAJJuQOXLZRMKXdt9pfSmyQV2LSJm8cw +mAl2Hj49HgRFLOITP/2vWNUt1+4ixuJCpyZDK3Zn2Rq9Rd1nbYeAZcbyjSs5Kg7mU6zzc/ahLriX +asO87DtmA3rq9cnJXFg7Sr05ptV0pPegerqnUjN9zHgJXhQblGaC4ZgXs7fQMyLo31lHHN/mfIKM +JFdHnmYb5370rf/XsoN+yTX19VdJPrT6KumHWVeID3E2ahe2HhMcDa4iv5j+BFafSLsy/APWdHed +WFE0or3By8QoRsJunypwNbqe8EerYsZaccrTJSQ/Y43BUmaQpwv8Pk4Vwg4qhYrugI2Kj2Mj53Vy +pfKSCdOeEdfc/EkjKoWCfdpxk4IdErt9HWk9Dgnsya3AgE2nPSJHv6PkrC6QCgd6f3YZNDPAOsFm ++M/q8KPgUCauziNwi/IHhe0j+JAKyihYgLmqRT9QpwSBAVUBuTVEBSemQtNfhgvpJ8O5pbbUtbr2 +hSZJG7wbnO8Qmehukx2YscoI1/cnyHyQqIw/OENShp/1eoK7g2lIa+Jc5gdijSapscufEo1WLFiq +iwxhUTJXXj6cNd/Xb6lYHsbiCbWfbc/4Jp4RjM1jRgMZ0HdeDmfMJhtVU51HLPyU2rN124iUsn9q +cOpXQOOOIH4YC7jdK0aNuU/6PuDCTArbqJQK9ony+lfbw+4bwfokFu1CRSB8oeEFuw66+QJHjaF+ +eVjOzIw25UfOSsqxCULkXZuzmSv40C4RB0GSWV1Im3lsMLIepcq07rUq5gP30/YGM/kYwqaISeqI +zbkZFhDMPNs5eXO9oEsGvS57dVQu3FDz9Be8VGg+tl4fhCijQHaIINO6wgJ6sQoFOOIILfMClhjd +NoiZb6smxGW//v6nNZt5kr39auY0hl7zcYOoICaqSWvsYibGMf+BLGJrW6i1wleWceLPi+1i258L +WlQXBaL4PEHE31HEOswwXgagEKl4ZTlS3BeTaOWJ0lIzV0qWgndFMnMR4ytUmxdkjhHEjAabw4KS +peMrdsgODX/OxdhHByxqipbbMNxb52g85on/P+IXYa19w0dIcXldZqFK7tTN1uzwitRxhG04jcIk +O2knmJhQF1fEMsAdgCaiZxMs3SgGYNhNgD5FjXxup8Dk69JWDUzNAxSPIawVt6/rEis69PhccT49 +1svpGjqHLMmr+ntPC2vaSyaiIWtTuQa0Tuv0UbXzp2lKeLxe1A5UHJ5UaIq/hqQTYK7PNNwWUZPS +1RzVgi9X/Z9FjxycSi1iQXBY6nR8Q9jg9FLXiu0nAgVEvtu3ctwcDpQJDqd/rIZw8SY7n4vbfrMT +m495UsRnbRI4aUgpFmQagOycDYM7SZHajw/QSf91D6Cr2h44trgZ0vme0vdp8Fz74nnVGlFG8inz +gSUR5X5QLGmOUYQ3iI3POXAWet4GoFX1TsmSIwe2t2j1tQB8tGII+fZptwAgjTntFNT2cEJRF68/ +ZLg4ZXVaKlrpy3CNPdwQUIBNSjM6Ps1hQSSWMx6mk5jitV3HhiFP/fm/v0faAiP04Ix7XaAhJfMM +DXuvPzjJHbAtXmOFLg26klLxsebUUFPtdAkKDvyR+GIcvdxTPw18uEkJEL5kzJnBNTM98FofTSwW +P+h+fjDPcd/wZjaB6tiyyzqVRX+Imji+tdIpcl28rgAX2uN2p34yRp9dq7NIVUWTXUsmvtzTHAKx +CFab5Io1cPrmqBFM0WDm5UoVug4d9WcKHODnmzUQxAUzIpJLjNP4MB4DtsudRJyhb07OQNWIQdL1 +vA65qdM6ThASi3H5wQGuWJhdCfgAeuHlDyEtA8Zyd19XzlZX9BH6m4JtxvaZs06CZ7s7nGnUHu0C +23tI32vp08MTl9eHvNygs5nbZG4ZUfUaHBeTPcud9OKEederFTdboCGB7xgMvCAw+IRanfmSinkm +6xQMFN9/Si8kfDjuvw4RFmBVjb8hFeHQeRoREPymEO4Tg2lcLp3EKAio61AoarPASRb09hFWTzaK +A8WSl4BrSIXTNbAKh3yL/H3vmAzPsavbJjmVeh797w6KZLPPPNY90aM89mymRmvjH/BI60k9lDPc +ySI81QzOsImLH7J6LdhTEDUsgGWOlQAudIa8B6f/iDE0QRTne+cIJkX1VsQa9o7y1FuDZJfNzLkl +fLtz9vaXptCeoYow9lhdCSXO3u2prv4a6Tq/WUQa1yPSJrqfByB9V3Bni9aPoV2KlurvvDNbvY5e +k65n3OJ0N44iF64NqnfcPGOAJNCUn5lvJ+WdNeH1u3wYgHU5FiIyBUxzmn01oEaf5pGTqazavn05 +PqkcpYlAQDEmmHM1wF9ENM/foN5aRx6aq6yH7WlCCBDYRuzSy5Kj3nFtN8d2Q7kcgcv53a+RWNVZ +XgC8T3XAPPWLTJdmvNvMkaeXXHPf05yWHG4Bul08FRA0Y3qCciaPCt4iuW0Wi0BwrUKf2l5Uwn1M +zxtQn18MRlu8o00865rDh9MiCc8wIBsp5FDAMy+rAeauO5a1n468wnOUmrxwWHL/SAyMsKwX/K8O +1cRX6Ctxnx8cwB56TF5D1plLZUbduzYTrwgr4k6L2kzbDZkzgBn+mlaKQwMrssOer5PQC6Gronxc +a+HCM5WDJdX/LBdUEi9RejQKdsreSobcMPkgj5q3Qnc945IZS81ufsvGTXrU1DakItq/he/iDjEM +GqIFxGGkY+ki+FZzT5NImGu636HPIZBerXvoQeJ+w1+/FB+A/QQEKA8Xv7HNFzEphnZIvTrD4chB +wcw1cUIaGC87ssHsIUPEiNuUoWHf01Ue/0WmPPRWuey2AwGaGwTU9jf1J39uo7thxz6oasL/u4dB +inJrLC48fJqWBnAZYIG/hXKZhqRGelhixNbHX3xrzmruFzHXHLjqxQ6nJ5bC17EeFo2EAWfgSzzT +uAiueBO8iOJL20MWp15bMU0qrT0ftKMnCtl8g1Wzy7pBBv11xJZ0u9fGkI1w4NEn518kmeO+8Kif +ml4d16UCctvnRZsCeso2PZwx3TBmr1a0eTkJFhKuNWHEqk9S6KEHV+ylQiMSWx1DTbniw/n+Oi35 +t/Dtc3MlJKyX2+uzfyZ50o+xEDGxKEauM+2YK3jB/gHnvsYK4S08HwBLNriJOQhzFvK/7EbkWs/R +ucNYrKTHPnvwsdRNSeVzS3NzZpi0auj8CaI0YaQUc0momm2UOsh5SyCACw39ECFU5KVoVK4Y+zUc ++vdToWU1HchgJBPa4aEZJd7c0+j8Bddlgy/djBIR++rGe7Hks/Hyvs2NW6/dWAeaZvwYoggvDcBY +RqehUM8DggdeR/MsXyH+qCbAOQiZuYJiRyipi/jjJtgIp13vtW4KirhUhfRpaUXViqTMmhUL939n +tVl2i/vunRbY2QyXLlNy4SRag4h9UdptsxyazOOcL4BxPhNpitUow/9A/yCbPQOmSIJJ+4c7wqhy +hm9eDEmh6aFC3HW9DuT6PD5JPPLxMcATkGjbOflMDcTlTw4ErcGumPVFG8YudvwRh03gqsWutRq5 +hZ/dIjwoPN1+cXZGF6uZGXrO133JPqWXO8O2U726zee0M3r18liB6R2RgBcELG2KRvQhbQZku8D/ +9arxlSswfItXeDjKdsbgZVqGukicwnmJ1PFDaJO9xZCF1BFGpnF09TwkvZ+4mylzyUTloXg8exCp +0Xv5QIUuN8+cj3FU52J9zQDtOYITpaiwdj/iJvw4DuOaim3anK4pnOBEAqj+1svMyviykmsrT2hb +jvswPswBsj4vDubwM2ZzA4SGI4ofd/1XLaxl3yZyQGwYmxA+lKCtrHXxFDVqIu8DaFcgxYIdBSS5 +IDNXc5VnM6H/jyGuMNh/7oiK1yDm7+3sqFl5Rlla5OzRV4FnGmO6keBHEhXwdNJEu8TjqVaieyHE +ZSTEOANMQVJBNqXKkRyvHMK/y9Pu6qpqUidGp0pNNh+Ib5YsmMZjXK5aQhaQZb+/vvfG42Pioj44 +v1zHJiwt+h7Zy7E3r2ufpKaoM9gt8Rx4agOn3uvFTiLkbXStJHVbvmS4MAgcUpmzbzBhpMPcds5l +w+LgGFZBQeMHuYztzmMB5YlH41OG/X/ZuEzt3cpfS529oCz6rhkByTEQ8+FMnYAHHUKvjZX3hJaA +kDsf/FJYzoeHDV/FTxvnmE+MgV7i4kqTI29fHZObQcXaQj9trvueZzlAhEj7091ODaD/YzKq01Sa +08Ls2SQBwzZDz4HQWaC5AzE1KTD7nYMJegRys9t/pTwja7cGCrcL0FAUDJRqtzejsspOLTpDSCPC +n6jJiCVrnYA1/s9Vp+9wwHZUJFYBRy7Y6fkhgIvjhXBLyzi9TDCz/2h3/U5yNSLpRSsO2soHlV4y +bScGEpGt4zH26tYkwRu5+VLI4MGTGh8TD/n44B4fsOkQ97TNj9oZndt/XoAsCFiflAwAHLNlZ+Ok +xK+tYhRexzNAUE0Bxcw7bkFFuN40GTCGjfnFn/bAtnFSgoGMf4Jf4pFvXzQVg9i6K3lyAcieasO5 +kcqWlm/ObE7+wu+YczXrMLINOtUXpBDSz3UQr9E+VQa2TLer9GLou4W5YrahaQGh6rQdSFqCcxyO +hNHIjEkdNAi25cEJ68FcSgff07YGDWfTzr6qSpbdjDxQxxVGPDXzduIA/Jn7Qd8PHYzVdcYeJcyf +hyUoDrtfbHvV/39nBMUo2Ezy9wx7mD2U6NgQrKXU1iwUnJTTj1yedvpz8sHfoFlzaBUgpGnqKwBF +Nyad2YOeQYRQiE5XSA3u5LPjbOHvz3hPA5M+2JlpD0WsvGuS94+XRCwVs3UDzLvF0sZae3nlfLch +v81CwaHyYRg8xTdXMIAnOzi/F/0v1KNIVnAexXVLnhVFcIu6RNDiM+DFSxGW/syN1IyQ3WuKCxa4 +d2imusswe9CQPxGkY4jCg1H33R/gJX5f3e5bnmwF6YRxmXTSwgGQYnx86aUQeM6xO4swD4wcLgeM +teHolTz991m8Ib7czVGZdIta5LEpF77iBQhn+ltatuWFnd3heog7CSyZRA2/QhaedMYjmRye2oTg +wPecIiyRigikw2+DFkjBEEs59R5lIrUYv//VpnFvZfBEpAcsD/Ku2tqXJZhxCRlFWTMwqMBswRcA +pmHftLozVCBj+VU0I75JBDvzhUrxT7j41iNbdhUY2er5T0c9NF9J0uECPA+x+EcUMIWx2D/SrI8h +9+fVCo3VbZKL0c7LBnKfhWHINJm9SgbqbLSU60oxs16tFx3g+/jo1LxhbC4BBhSQu3OZntJa/AU8 +LY3nvIGkaW58zLHG0f4natrg8sZCh+7OeQqCqHabqeXdfLPSfdWidxHS26PdGCSW0vULrtW4nkh5 +goSHMnCzVMTt3BM3svDOKgjPyNfjxYSjOmB3clY7Z0L0sUaeWLdXTyoppaRpuhGOjCAods6tDU5m +Tafkq/IY/O8aan+4dSBa8p7LDJS87mlnf1ysFo36g40DrS9mesMuOdZHiMhIT4n+W2qv1bXi31Dp +CydvstTx6JYBnumwcaEg4wxnnhpLJfbPx7xlBsX0/Qfdvu+jOlGPsMCPVRV/OE7X9DVQTuFR7jGl +5IamRhmUPN9HnHiw98/dd6xJCfkaGREl6+EbzEQCXQ1uh6g0VUvyY1SYjgyXy4VD1ypfUGSIUVQP +0z0HAu9r9y0M/ayS+A0VC4iwIYUOxBpPqgZ8Ad0oPk702YcpJ8EI8rZxlktBVSqOla33YhOcGafv +ltgp4k9T8xy9qZrkVlKvXC2bfoXH0FdjtVrv1RGQ0T84WqgmqxlrYEfBuIv8h7B573+c21dRMs8a +OZDdZhpfxUZ50CN3uHBHz+0ublckN9LuT0hU9Rkt1gHnGBk9Eyq+KpmXyPBH4Oek1SpSGVDjLkaf +DW3+lGaaTYzSVKsNHULibPcEVGebAT0Bubl8NEICe2++hSiDMPerBqo9HdohyHh1uzNgxlvuP3U/ +6nmgiBasrVOQbcBwqpqdpMEW5UfHEfgGQ0xv1GzvsgjpmRC8s2xr4fXMFsxWoOfcdnQIB9dk1IpR +RO89xfviZtXEHWbtUfQmmBCHw3BxJOPB92+01NBHXebH9Ad1xrV/QC4/mwXbIkRhGNmgYYkt2Kcs +iy/FbdDGd33g7Yj07n3FEkgfTHy+ZZR4CoiOeMMvj1GjJXpnfEapDuP/RUR5pm0QQfKQoeIc+wMr +WovSMUyPnNr1yB+JPeL1jhcX15+CABKbukb6VP4mYwV0oCr+wdiI3FeJojhUhFjy1HvxQYRY3Fs3 +XODX9SmWL9YqT/SgA6QQL3wM6mWr0/FVFWu5bvs/dTfMt4J6nPHCz+CBA0Zmo7vROpOmbTSBnxjt +gi4TfTPuSb15iWVyWjl/ndndobw6VczO2bKe9W5G0nYVI60VT51EBFYadF81SYuXpLUyANDggQph +V3TlEOgQBGltQw9zqD9+bvO/aIVaqxY/hIYR4f8eo1ZStJIoaFvPkrKcXQuM65MaYv1ruFlZecqQ +9NJnkPtKBmlooLw5vnXdKAK2yqSPa8Nk6G1hIVjNkrx2VLXphMxab0AWQSrciP4i/yyfA5EU4vQs +nHubdOAtxWJkap/0zNPA3rEcM8QlN3npH1WuvIWqVhKSp//weAsKnkg0x9gsgYrSQ0WOUBtKj+7P +KH/mglDCJC17T2ENbijRPGhVO6b0H4QgfghgITTcd389HBo0YcibCs7RID083a4/ITRMjL5K4VJW +0IMdn8rFHNR3RAbyd27Tzpokghw/8S0+pIkQBvE5pf2sz6R4AXqMp41qOQ4WniEFxvRSD31rRVDB +MQafBG8eIOMGU+6FotVR7k/0bA6bV1pCYTTBkYg4/kjzbzYJ/jyG60VYHoZOvny3u6JYTAADCJKS +ytZMP7C7BIDpIH+u4Bh65GoOSXQ+lanFNjgakyXcW4vuEo8tCzpoN/Ww1rPZfeP+zHFDZ/FVUCVl +tZgI8z4UWQWsZJ2O7UBJtu6k6JV++VFpAIhH/VSPLxU+rqXXRM4uO9sthfAzYhwpmqLOGPnn1VLC +7+KRHloW/PlN/AS78lD0YgigLW6VAcQ9DBRFf74aUNG4JKx0D07O1klCENlNTBfZ+7xzIqgm8Sye +YcYbVQL5D/xQJXpOBnpYQGAcd7kRT8UkF5Ee+UIK0bsk35NAQYx5h68sLzDdjElXVhR5S/LzkECO +FxdrBhrpg0Y1JcAu6WjwlUcklhW2RRslIuQ3vi9WtTiZoGVrI09G/+RtVsFNddzj/Vod5uaHAV8f +eE8b8+sZd+cRwQNiXNFeOQMqg7CnIBZl5W6GkiEhsMpEeNtbz3coNZdmdX7AyjquWGs8/7wUTD7+ +Wygv5F9+gEEb/5slfVKcz5OyC38564JFhBUWs8YXDohmIGVh19gm9hO3L5OUhiDukFy7jkdASQkq +Q06kgcDoVbt0gw+ZeVdJf6MtJP8yXEQcxNc0S21mdEGHZ7aBHtRCK1mmfKLInAlJIbeFwM7hIU6X +SRl2AAnp1NVBzdZ8Zr0J6BCtox4isN0TarNajwn8D/H37unegZZsnGXpmnaGIHdrKolcX9xu5uNQ +QOvd+l9OLMQG9xsdQEvC+R0B0zNx53BNGYJdAoJ8QJbxZ2+GvzJk4XJOm7kOgqQZPsj7TsYNhNkO +Ux/3EquBITgnslWwsiTyXw5fmWWn7rbrjeDEzS/44E1dTCcuOZOhPRnd/VdQgJLTkuXCIuz9oefY +m1LsLq6lCicDHk22R4awcdz6e2nU92sOD/bRuhEEpAAFiRa6UNUYewUzw+oKrBMJXiLxqMDyodJ8 +mh0vyks26YvsktxFmu7NSxA9qcpuPxptDZaWCVB0UQ9GmHGQgMUpEmLMQr9g/5zF7kKMeH3kwx+z +pgD3Yz43S+bL/aDDaL+Gw+AiqNf/JOvWucczV6ft7WRtv4hfH09vh8+B8/RT3T0e0hwNMemsUzMC +e1gLX4GJONvWyl15yOlEDn9TWh8ea6/FLEVq340tA2oSfrXg9s/ZNMwlua1wLn/rUV4n/FK2W8AU +6F5wjTZAhNZaB6nMnKtS8jKg8EWecTRQvZG/M+Gs+JCdrV+fOCBQqa7q1hygKMTZ7mVjgSF81wBv +IMSHm5qgup8S/dXRWqToGLKuoo8hiMgtSFnTgTYyYuDPrBlQBvIyxERj6WDHA6g2cFfK0TZdzQIF +VyzK1J0+zPJFtzvTPb6QVUiZ6f42zfbr6sPLJ3P2BYvAU4WhAEVvekFxdj5nsLaLCvaOB8Kz7Jz3 +0B2MRHuwCBdeVii2uG1oxB5O6qLCqpJgHBqyT6hVRmAAAPfC5rxrSmYx3vMqSwFkROOtMV9StZk7 +9sByxKjgHx3+XYkBDavWoOw3KrJFYUBaZ0orV5z4XAgmNRK6qZ2dN/Um9r72+x8y2bJK58X4D85l +sSaX+AUsa/PLRhHU0+o0+vL6mDcjBJGpdhPr8NisGXkwkZBkvOwjxwO6JsOUb7U1eGjEfKUI4AtI +xTRE3YwphDWQX+VaJo21kRuJtxyf8kkM48TDjQk2+VuAqUIfT8r7UqGtwJzBOIzj143zZXGFXweZ +YSPn0XuGGBawosG+LoJetwX8CSVMuTH2TxFM1ZQLWaJeqtu4zWQf8Nb5Lr4ijc95YkCG/zsfP+pQ +0DglLDfWKDhATj01bcGWYV6euydNMyAvbghZ4MuMyegFqri7k5tg77QCbSvFfe3XiVBiIMrlqS3u +TWapIr8aEdF8cl8PkWg4b6Xd6p7wBN3MW6yPQ1td7rVLAplBbj5XX5WmRlbfZk6veE298W2l7I5c +Ijpk1eFwED1kLC5XYChsgvU0cnDNnvSoeOjsbqlqF8wSXJgWtmDtQruEMEdIo3SXMTqjIVZoNX4K +IgybCC6NfUWyVn75/cUvvbL3iYweZ1ldWpWXtIEdMPE9QvJjy0UHCrfRv9O8k8hlasJZAHrceMBF +/Mmhrd+bfgNMKVEn7vpHarlCp/2sksDxJ9Tx/7xhRaj6z+kvhMpaZRmI5Gpb0zKu9GYtBlW/1VQk +CBw8EvmmOUrd4vNyMuspuifRFAaHT4jBtQKXg7jChf/2Lj2y2+2WmTXIrZ092UejP0iCx4J7nY3H +PhL/Z51E4sOgBue7UnO3Ipua7CViEHleQff2rEomyvN8Nz8sphJgDh4EMtjEIXf1BpJCAqgpJFrR +07PPWxQn7NuAAlp+hNYDcDFkyQu0Af3NtbXyX8PuC8Ov8gcOtNH09l0+sqn6plD6+ltED4THonJN +qTaZH0Dwmfp2CfORXiPUc3lc7ulsHD4uENeWNGAWbudDPncxfGOYjMx6y6mRo21pJs22qbiPeOXt +rvG7IeZO2gyTgFuOhxgLp1QZtcTPU0iRoVBiUymqSw97ivwYlWf5lSwciNtcmNKwgi24B7eSJbek ++AwEGaQhaBH1Tt3O8DtZ3UKYQ/6teJ3nv/HFHwhBVV/zADsuTDsCr9osgg2ZToK7dmtls9LMyskT +L9JlmBJitfiPz3/vltldqvZ7P8waK04IbEAgPFs4Ozy7VZGdT9w7Nn/HPcAN/3GeXFlU+xgjp7wL +NC49EtV9ckvVOzYU82NDfdUJw2qHSO9RdwZT3lFHA9uYDgLc4JyIKwjO6BqrQsr3stwxABYy2vrE +x1weYp3w05S5IeasEHhevI3js8xNd02o6Vfzoe4OH459NPGNDRlirleHweOGglyAXyKFpyqdajvN +U7Mb+cVI5/01tDA+zZ+BNcyabmgmThCHw4yEU1JJHWTyDshXNDK0kEjP9G0JPExK2w5Qi6N+bUFr +aIv/iTtxA6COxs5xuMGw6gIKETThCAkVJ2ZpeUUD7CMyI5JKaTBuFH9NX5M6PuthocGigZoL6qXB +bdyq0WeneLStWtFe85pm0S5oV60tHNtrCOECIZ/hlU0Y9GOUXJ9X0+c6IodKtNPsLg3yDP4/f4lP +BiSmeL/TksBxJ9DX85b2vNAAUQtftHb0uQ8xYZt9qO38/NdLaxVa+9344G0WToril4ePJc5oMYYR +1EAt2VC9edeuC2yYcqpEZfsk3PkV9x5PIXB3dVRSCkQQn/yI3xLIwROo2HIKFoCYpSY+074dkwMq +lr6AIQjYrl3knc8bjDZMTSnz7pY+yA+f+ie06yai3n5BAF9cP+XZT4+mgmbnsx953vGj+uYSnTGP +mjjavRLjtY8sl8+BFgWur/YeQMS9JMrTWKkC/5GBJ6A8sxYntxLjPUrzOeuMQJbJ2dzIzEZA4uRg +Eg0ONvgV6Giv4Qi+b8Y4VhIAt/5TyWcmZm8+a7N+sYaYHHU/EUa8rrGpifsc9I5yHDdg6+PEUY6d +y23Y4yh+FJiIITjjcimILeIA+4BwAUO6and6VtO9/69oliDXAaiQo6lvplqvLSmkFbLlpONo5KNo +p50ItYBTkgEt4+5cL++KXJo2reURcKXmy51kBEKV4ver4Y/2fAX/onIuiZKvNFpXM/Q5uM9Vrqwd +yN+Ac9hzl3RAH/6kS+hinRBbRd2a3DFOWHJOUxEOFv25sX4xLVvMH55ZjzAaffXiNsqn1+/kdVYN +l518MqFFou3voy8hVp6m3b2JTVG18D6qifrzynWgQXzfpAKVfS+gYXcxz//LaE1l0YxLLhmh+T58 +t471wTRIuvC9/lk7ySAyKzFLoYHWjI2qzori6TaAeFjvQtZGRzEVX9OSEzN9gFXdqob9xfoVssgR +MrsPQp0tGQW2A9BRim2zv4ofJveByV1ELhsiWush/Yjdkox7yMh8YcQ1fsVh/khdGTeLncJIoQRL +ujBzRXu+CO3tj3PyqWwzPfZIj5HKPA2C362qzo9Z5G6rNWPJ1U13bHP7uvgfi/gVmaKxS2G5MTBf ++1yp+IEPmK3OIaCa4LzZ2CAnkn+ZBoGb4QZh4+nSjAoxSInjencEcCxLehlp1hsqPxXbrBRNhxq3 +mMrdpOT14td9aalqBoxdFYTp4B2p7am5TfKdjGFB+2AFV6qv9I2cnuDATv8sNE0/CiZSF7r41dve +XS9FQVIoDOiNrgVLLkmWF4kaTcooLVCK1MV5LMJDty47XGEgV+LSG0nzS/QcFAM2Iv4p2HAm9lWP +OOsbdci/9rVXFtABqEt9ri2VMA0Fscfe0Cp5twmAxfdHPxMAMNDHwVgI+iRYzdY7vRFHFPjRRCRw +nsav5dGC//kvg6HIv5rHEaQzGotXhZ4j6MbQx289frd8EhVIxldIfaewEeq/Jx6XfxYBGwHBeaTY +InyMbzMQT9HHy0tbCw8j3DiSaqz89c0c3W3mbLkZi52hFGjdXA0sX30PiNnn6iYb73yluyIDsoK3 +csAhuxOHHT6qYhwp1nvA2PcxiZWCTDZ09TBARqWpdautCBiUkLOSexlRBw0yl3W/VeZcFPkmtdMC +gMkqb8R84jFoCwZoPE5Ykk+YdVj8lo2LjdEkHIWelOrn7wW9AFeWSgdUJUT3UB6iCZFJsOXP5ZAr +25HFJz77fBZm1TbQsDoRr0hmESQAP0pcifC2+ppQGSi8HHegLaBnwXZFwTkHc7vOHeMvF2UyxrHg +ZC8GEoT5jKqHzuU5SGAfB2pDLmYd39UHCKDtUXCOVsz6GtF+TrX+dyuDyuRE+UtFIDaxXOugqPo0 +DUJgnyX8XXSusvxtOfZxCu5wDk8K6EPyDza/bQkUHnCR/ufb+GFT67gs03pu9pnK6M5p8b1bciO9 +hsCE1t4WSI/BGGOm7xBAki7aJzlS+lE1ALY1FksctMrhDhri+om2WJaKUtC93keecsRqLsJYtLbQ +RkTTZrxOhnUJfo3xx4pJZZgWsiEBaYpB+P+cs6gWoxtcwFt9elgK98ESGvenpe9VCbxa8C/JikJ7 +AkJutsjqYzZkUWlXg/wr31FMT7LZ5gEhZq7gNPGs8OWozN15mIx9Hfcpqyr6tp0gOiF4CFbk7y0r +h7gmbFp6izV0crLB/QUILchrjfpOlATygsFopnbRc24QUyikyCR6bNA81UNidqPIozd7x5AUtV5j +uZpWkbpcR6ekJxJ6W64mjdvSFZUtMmT/d7Y4H0NG+MDPH58hE/ts8jETck1eI6p4CmPxVngU+j3D +mbkGo05n9wbcCcqPy8McJoe42nInbzLF6BauFijvJNzrfdyGP1uu1id0O7dm4towYXpcXZxSbMli +Drw1NMpWDbgK2KVXZo/WAVonFnzV36dT0brN+fHXYNtSuKerHbNubPYwujMoCKW8N4WaCXsX4lyj +ZvujYww/PY5u9E+RX5Z8QxvADUr7+xXNOaG/AFv5sHKeJVTrtHMeScvS3w3cxi/+riCN+QVWgBM+ +P+txAYXtFpa6wqJZBw75YsGaFh78WDf0dxdhfBDVVFTVV6VHpqU/OeA9UnV5euTT0Sqr9OC4uZGn +sC1IkJokHxi8q+6AAZ4Fp1G6/7f31AQwK5nmvIE+G+6YY4WV9Bya5/5M9LeezFl+y4ORWNW00X98 +Y9NM7IemKlCpKKwNtn5ZY/ZvT2F/7m6eYAMm60d39UHGnBMQqZr0coo3qS0woAqifIMw0QQkU6k3 +d2urBg9WN6VkXlRnl+nQaZAxI6NY+e4dOu4lvRnXHP8NmKcyDrebqKC+//VhUs6u9LDLcYwoBQcb +kZ4Ty1lIWQG7upsoe3NJA77QBLLQhWu2NPLDHFHM0hn0xBac5T/UESb4fiZ9D/vG1v8pXEYHDjDQ +iwp7oJmJuVazTc7Xmydm1r/y77641FvWIrvsVvqUU6e6ExbymqHFy1GZ38IQsW6xU4H8ZcrY5PcN +djmIw/FG6o45GCWMa0cYS0bBuH9/T58p+Gpn4541wL3gAjj881v8oW13gAP/1Q6Yhk73gJA1po21 +yjIXqAxSpgxym2BPWhA+n0PNZ+u++qT786dueXXkPAyXcowHM/YuU9oocrWY4Gzh+y0GhVY1FXkl +uQdZh7QwQ9xhtxkGtJMqqy0elgPvU2tfXNWBq0V0BNDJHTdRi/uEr8oz0d+HEWAHhuBTEKxvMHkf +Dv4QsPPw81qpoldxIXlxJQtGGZS1lv1417xJXS6cfmcetTQSysPLAwz+T3Ot+dWfSYwlIrwZuct6 +xoPnlWJxJ0vhtvFSnv9wb9YAu0OS9UX+RAfhLoq87QTOR88+cMtl3psn1k/qQ4VI0STyuJGkd+Wd +xbxL/n++B94g1YfC5bQtbHzv0w2tV41/mzBBF3lhcUr+3uhDY2sU5Pdm46l5QBpbB0ij3dvZ1iLF +2iRNNKqOyhKHiSFvxhxUhqZ1F5kjaQIBc1jjjdaZQ6UIGUO7xA3RzEduZHuQiHRP7LQ1XLfCPiwT ++YXwzFVqejcRUPjR7XVTMSxILvyc7mp9d7C+fEZTSa33TTc8EKiXwvGoalUmXIgAUtAwqC0tbl2h +jkZjTIxF9Y8wAwLB7t6vBJqP7/3NER9y5/dqOfUwu3Hea5mThpCeg9jS4MnL7s2WuT2NDWPmG7+D +hbI9H/PH48msdxEhHq9IOIKmKmLSCEzJ/5M2Staa2n/sfILy+mGefJ7vBAbXelec7JvoA5EhVXOb +alniqEk3Wa6lh4HAp5W3bdGeBg1eydRnXi43zzSzNoZvz0IMKiYMlJpV1CgHMZ/Oiiyc/KWMOWBE +6d1NUXBNp9TzIjwlYE4QDEXGCfbLlds6i/fCX9/bi5tU8wowPrLC+7kAUsqgaYm2lDi9pBr54Wpn +nkOKtbRto/QNAb7WMjMAq9r+yNIhSBh+23iumUyi3MBXfi8zSvmiWVKoQsd4tkUWKsMcRjhL1Dv/ +N4VR11KhXlKvJ3H4v7INKhRuTMcP5W5u32ax7HwMTJZ9citzLU5LhBj3noB4rM1BBGSd4Hpd4dXp +tVQtCA/L1+SW/0P413TIEH+EVRgkSawezpjxFvLMzL3sKQhBs3TrijY378LLG8uMXuRyq64XtCM2 +8dCQJ2v00XvhNyLE2+l3jZX+31K3f+iAftLVPUJprEeUDWAXptLfdGBh/eXoWtsp3D9sHtfHl8aA +nU5jErQm9mj/LKvB1/rXjgAQX1Q8f1centz7wqWz6TvGuneeSolDxMLuZmLN4LzQ5xH+1bdnvcCB +W8NwKUYkn6z7aZU5BMxcjmYDQ1e6Ur/RZbREDuxoejVD2sw5CBgjvVMzpYjL/GWOnOnYjEph6d5H +ESisiq6uapTrqS/xfA9ZtnHT5u4WpAY+1WJ/m+Te6q1xJLgYRAFkY69Da0Zh68Ja9b6JWhlFKDCT +tO10mJCGffESeDBpZCTkTxb7BQNINLmTdS4ElfD9R0+OxmyNKTjPfTzkgbNc0gWhMlJ8qaKnEUrM +T6ibiOa01wF6P1XDAOtOWet405UC3t+oiYVQLxZKc4zi6NilbLeYgevJkg4l7B3mdLvp8MC5Fb4B +AzQ3U1GA12utAohZKROyrLqnP/6zPv9Kt4kal+1bU3eTk3fIEIcLSx8cdFv19TWclzp98v9dz7HO +NWya12cwcrtdD8vk0FV6qqblt/6ccu+gds2Ym/aKOtM60+5mARkoQA18fpFe1du0qTDAcTfRtQXA +ynhD2gnhiYHKQ/X5EoxkTbOt6R16FchdKgAMDXuF2ID7jnECcrOS92g43CmUvkW3I7HRA2KTgb0p +GY06kCRjIQvBvpQe/UKyg1KhMjU/uk6zc1n/j0aYyTssZe2W6X1lQ568tX1znJgTz8vesaKraUU+ +woUuoAvRfddUYFxKqaHANC4YslB7X1e5KR3n4oQ5Szaf0ax6jq+2SzgbAwBbADLkzRVRQY5vMJhG +kqOPcPv1aKVjCmlt/poBgsMx1VIkwhByphNn1b/kRrz3q+HCWxYJVuUY975rf9D+K3V6QmjPQIMX +2Cuh1T7SQs4ZMlTjoG/ULSVhn7Ho5luDgyOeLq0Zge5g8A7pgL0ZBn07VYbckqIc3mAp82HFAngj +CfpJsSflZXLeg/6cuRwacG62Ku+k6lHAQec2luqiPVQuWc+CV960DyqY9SaiuB1dawcylA9kAVVS +BCyfJgFpPUx7603hpDITj591dW3dj+udlGs0gApwNsToYqDBZzqXReCGYbr5vG8Lpvod6Hm/GZsX +89dMS/samvYcIsdJsTzeUUg/U72laV3gYcHIxEwkyMhnm5v3keRhPl2IBOtBz4oUX6j5+V1jV2EJ +ewYS24tFeeX0yJa+8Bb478wLJYkCyR62Y1Dnk4uu2x/Cp3hT5nls2ZCUdmRu1Le5Rvd3L7BuIgml +kacurOr7JrGseNHu5CnsnoA/TOfZOTYbP2gidvcJOqz70AgUOC33rn7CDxH495+9fe8ECtI+ob6w +3fvMCdLQaCUKC6jP2ObfoFFZMKlo/vlPQQiCszm0KxwlbDaM66hC2CD2v4N2zXGnV0NuLl6aTALJ +uno5x0kcteDGm6cLHLyiuXxhf2lt4pUq7i0ysrHz2hGdPkFYWc8Md+cUrn7swym5xtAeeYrJ83L8 +JjcdHqD1H8DAzUIHYDSMXcgv4rCbsLwB3OJDLsYV6FjTJ9yyNtKheXYKunGtQMQ549rHr8DjHNYw +VdPLYD+v4EaVcXZcGsxWYQ1FdhWVv+uT3JQyGt3dwj4Cu/VsGL3Gh9EPCJZEXM+5Px9lmrmOxUkE +TZabCQDQAXXsxcBnn9d2GZeVi9PoG4SjMzOgKUQ7v5Dx9Im4Jzd3u0oR5frcxqbAM76jiM6O5KNN +g1+eU6y/rUNjvI8O/1wsQDU/13+F4p+ihNqpNWpWFOCLYEDStw+EQR8beybXGCRdUWldZp1Skmfn +oqzTbtzqsjMZOEJpMjHuJ+ZS8JnSZs35IMG6ABfyxWPVkvnvXydZES9zrX4wwxBC3m3jbVtR3xnF +2oRSJCA89/E86UUOcnszj/SFU/kCV5XWnvJaLuBMRlVmE0YPc7z4zxcXmVED31gIGvxAF4NC/R6T +VGhAY6cKTa9GZYiT+nqfpm/zGdtqCDnizYpBxU4PD57ugqD9nk6eUPIy3Y9+6kPddnTemduQhb5l +DdqVdIeC0Rt2j5hKCd7k674ajLUu+zGm4uOV9BCzo1fXK7ZYcUw6lQ91YS3dSVaf+qoMW6KqASf2 +jXt6b/SSytGJovo9VhemloV1mS03qpD0E8ZS9ioylCiSocSZz1F1BJ1XHdtZgK/9HeVnge+nyXLD +R0sp+bmqTrngyDDdxtuwdhphDbEvaOVv9In3U76lv7Wr3buyUD6xLlcD24OIhZRQLRRdA3GTAn8f ++iSB16EScY4ZqMN6mWYeqWUCZpAt8HOdPSV/zcBOq6lzxonS1f0Ok+x1lWDgaKyo+0PisLjdN+9y +MEFqeGmqIJ8sY8efFB752b7f8CjP0tw/EISa4b5dDx2nPcoQ/JNucoMnIyG4S95EQDlb6kBSx5g3 +Nn86sckx9RGhhCiFtWxskceHSgpSM8d+pyuPqXwEa3IpLc2Pahb1l4/L4LpprY9tL6Mv612o70Hx +dlMJ97rf9N8dBdx8bvqRNnfEEm71OQPoXA5HKJK+cX5YJWHopVCRH9K+uHpO8e3jEjGErcPqFhpO +KOpXIQliZjGShR0pFujDOeco+g1+vtv3ectKqdo4PLttj7cFwe4mwML82hbII8CGslCQGxB5oX5E +wNDdCod9jBubjIvzkoXjQ8bcT/da9zAgKPQop3KFYG7IUcjeIhzwowJg6iQ+/OE1ANQTroWnDupy +RDD+W+qOX7I4dWhVh25jHph+J0IFOhX7B8CRYnLelr81rNvzZuHsCRqG4Ui4MXRW4OqElQJfaaHV +X++TJjrDOKnp9+TWcrLQj2W2MkD15Xs74/S9Jp3967IAO0U29RCtvA3fB4JSfsT6C5+IO1oRn9re +DPFJRZrmP3nLE1pifdIP+TrqaMuMcsRnR0pNGzfbbOwu4GtrjLtO4Hvjn712MN1hrCWB37WhK4tr +Pdq6tRyw9tKRNzqLvb2eKXvhKcWsRHlGV3OLeNNnMU6iMaigq/1uxyA2XEaBJyKNlBvoDdl3HlhY +rbsLj1s52VfswPe4wBNxEaUkZTELhfdesbkB7XBZAC6oToK+BUZVunxQZX54/FfCLrX95LWSAcMG +Si+Ed6wYVTr7C3vYjvX/M2iIqV6xOEMYrWb20GPpP7Qa2vTH7Sg9XsjD78VQO4zJfqgCMporQk4n +2NLDUY6cZyysrmGWAd4kkpydAH7q3RHOKP/GtOtYTKoq4VkpeqtDZp6QLBhu0Lg1QvQRkOqE2oMO +AHcLYHrlOXM6GB6Jca8R2cNA4tr6p4fUS9cpRcrLPY7iUfiXK2uir0NkC1o2xIJv+ypDdHPKaLvP +pV+eES2+gbl3wLVxAKFipQ91tpsPURu1EQ8yolOsdcgFaFFgJq7mxaRHS5/HCD8CtnFNvSymRttd +caD23iq5YsDZeijdpvMxebuFHKjKNdvaozAcBE2wofLmWicI72u2RZ/5feVjjJibNbCBp/Yg7CGY +8tT2UOmZd7sk8SNyVj7kdhVdw9kkdy+gEDT3Twrk15Mt1DKuK4dkKlA7IqTQUIfHU9zThYDm9uRE +Plr/E+oWaTYTU4E8Ptq31TItdXSNX3QqV6oJsJZpY2oDaTWHcnF3EJ1JGPFwuvrNFB6JBbSP0v74 +uNAIkQqe+xuj0yiEOzCAFkfTwBDbGuHBUQJ7uCrluEbGCoflMUBgARcl42tVrmQ533B3J92rdb4l +db4bF8597sG2F1tgkNVOkZ0fDJ3cA+rNMeMGF8LhDsoxDK9SwhDTwHALGKe+WMKv8aP6yfav1vjG +U0uLgOIaLZPnU61XeiqRRgvs0jQXgGR8/AyICnh4WOHkkt2k/z4fSGXhhwsmyyLhuhpfvpVaSaCp +m/JGJGniFUdOI36xhoxDRlsFr6SdpO8RvVEQqHX+S+EOgkkYGLdW27LD/TMRPvp5QwD4reoXNAUl +0PERMjIlcy6u5suLyRZsWG4zc/1a3+0gsNTevxRvLfrRfx3zWJNOFIoNRUbjYBIgr6I0Gt16lP7e +61+YlrsG5c6oPeZyouSQ7aAmJj8Akn4jdVYmLvgy7kJMPRymldE/5lNiJQJxGpJU/BIxBQH5ldxw +Ri6Qi82cOzYltNpgoxByYeRpER41xMYYRXTkx+/1JoLfB+AWzCvH8XsrMGBn6jgmyvlyKWEg19Ze +F32cQCoSf5z551sSZr5WuFmaQmEKUWroGEdwT3SeycYclly1v1LPqFm/3wff9pG6EdUGZBIvE5Q6 +LkNXXE+x8+MKtS7l3B2ywYuAyZTVlgG+rkjHa7ajh9XLB4dw5WxS1yEhuFy2TNGEdrT9vz8C78u4 +1UEULJ4oF7S8aszIkEE7nrhUaJFIPdfo6govZhJr2Q9GNk3FtkucWH57ZHARwq+PK2PIg2tSAKYJ +l3l2U3XhxKLiTfe5bOzILU+9p+cVC9BF7gVR0dN5VFggTerDrx4Sb83nwMWvP+F15SMGL0+ciMAf +d6x6JI8AWTpm6IqXIRZwpQFmH6vYwjWa2CsGwoMd3bEOH6Ezq9+NtBOmQOFd3B90htakGUPQceFs +Zmx/yP0avUs6s5PQ81jYns2bVxSL7IRwnlIQFVugFqGslTIqwxNWlotFcO9kII6KqqjUT8XV0+Nj +SEl3EuSoVuzwzASQmlqG2Gb/NqRuiX6pNlAhrE1qaeVIRoZTyvTGiQacFzMaH7NCi/0XNjWMeYCb +XGQaiojZIx6uXuUbMxBeQ9vzkOtclQKfMtpxHohQrzQ7vGtkqfYxqgKFAGnZeO8NRComWmGemeZu +rEqYuTrn7UU1MpxcdYleScRbm6EHRw4G6ylk+bbg3OSPZfmTf+Olv+aAibporzKGejAl8YaSbcfA +AnUdiVlZILQ80hosgj7YaSbIHdpThHGfTQ6Slw/yzKvKz5MJKiiKXyYLulWviVUtaCcuGozCXENg +xpB0g8hy71HhyhBMBLOghZpXxFQ9wCjEgFd6+aNQiRGQaPf27c1X09Ky2mgOi4Jc1v/nDIJpcbQ9 +t6XWVWXWEbCr4R3kZTP0peF4YSr9z8YTWmyP5WHBWp5kvEP67GE4aND7ryQ+hvWe1f6KSJ1KGhfg +K0CW5g4F8MHsCLMCpOrR+SXXhzYFCLCFoZ2iFKPaRdeNmWuFsvHVZ5ExMwEgUq6d8BJJPAwSVich +EuQwnUkVrdYDhZuACEMaUgwGkwZoQtadoztFbYGsbMKBTAIITq3HPezCWX6LYVKxgJqLVjtlz4Ln +E5ve8d/sF3eNWAJyVws2irL1pfAueW+9sC4z0cuTT+E0iMCWgrEgv0sgnUqEfdbr9zSGJBt+I3F+ +SVYh5ZXlJvnb/KyqzuquKLpaABfEDWEjGC3TjNyeqvcGdOWw1yo4HT4TsQn9nCWFxo+I7d3QTrls +XqEP7ECcHhv1U1iEdVIQ+DuNauqSCd7omedC+hX5fllbLA0eiTwx5fRAZoujYHmV3fofIXl+c6Yf +X6cHwoXJ963kH9qYnFRbEMRjjpcyTAB1baUIT/dE8ywWrjuNvIMK02oMwrhcOeTj1woKkriczLUr +2tbXVhleRodVWEpXwJ04RscgE7sSw+kbY1GaDLSzbemJR0Iqdieq6EqGbReorks2ZGs4ztbEAQli +HSJxoQP5g3jEUlzvVeeqP0T49cwc7QTlnkbfsu130OywX8c9OdkrECpralcACWwOozt2RoS4hUUH +W9PnU27I+YjeBEvtlS5NEJ8GKZon5yOJTnvO+qtuVrCg+r1EJoqfOFcevgqUB+bxCxZkbF5K9NOV +tpa8tkWhGFdqUqZgIG8ecAcSk4Pubr0bmUtDacneSO7J3sgM02vJn1rrJffQRKoHESEQbEcumgdD +icRk5ucpzazoXlEQ5mF72Bi6q4uNWbOuP/hZMicYvQA6Op4fytpIpip7Dfol3zcLZ/cp/FbUULG7 +tPaWC4/wP9B4hbUc5SlyBkSDQCOHZIK+U3HX1DkEO9oTuQUisugVLXBOUqGgCO1GCkejPoipb2O0 ++S3IkR+X327eeW7s0nHfUN9dU5b+sHEu2ZvBFUq2thNjuuJStasHwEqpKS15zVxiWHrD+5mLBdlB +XapsqrZTIJsFwrvjZH01kjVSyvFXafj2TPqammlXSNM1t7dgF5tizmrq0vSw5nMD0D8HdVudiCRM +dk66P3+JYXKdAZNT7880aRIJhAAaRep7/+r3G0pLMPL/CYHZhvMbcz52OMxAO+Emm8KoFplVcubE +j8d/IP2U1Yh8nNVodqmwdSDM+kgdKnuFqQDdQlJI/1Hu4uhsihkdbMfeY8e7saZZj7/OhkKSkBLw +jP+dLQsxJY6Zl8Xrzm9eA69nMUJ4HjzsbKxxgFhZONKi68l8pYzKMFxcyuuKEBxWFZ0Zbnit42sO +D+vQlwduPOSin5FVvD3BMNpmiUAQoCb+YZwvjjhfOOg1TPPIcM0TWhQtYRrWaaEce0o19eGulQqu +FuFIcKGUd0JYP/ZCuMXIBZvjka7dw85coDzxcJzl0nI+9THMLo33FLD1HUiZlhC9WoKJWQDg3sBz +sZTjyT5esNSlOzKLrKdGquM41pBPEnHq0tcrKLX2ozoiP6MWNPrU2iTTr+Z0NyG2ZtBKBPUxfHQ9 +fTci7ukq9MraLvqm5yREFTflzchIByh6VCt52JYg+MiznFgyodciBYv9QQZEWWR/hkWzZ7nVFmoK +Xu+6XfFsx4qB53fZiqCcA0tg7jjwVh8ITutZuShePUZ1z0dOs3gJy/jK1T86LfkvFA14j4ALIaz2 +q0mhyAiPBghq+IqHJP0qqN+gLGDBHbB4SWfuO0O7BsmHjEVv20fi8ZIzLzksgFGIzYmJEvPrFefv ++oSgWrKR/wd1Gc7rJ4+y4jtUaHcUkxd1XeMxzHd6kgNuS9/7QGmmFVcpoRXmubP1oJmCjesZzVhi +BvS3tdpnzGCUPhu4Su5qf/GOXUpo+Fo5vBFZXPDFdwOCrspuK/9LKiixETYs55xPwttjrQRdkSmp +yT2A4YhYlEz1Vs8kojHgYj7RL2gkV+EgJ8eXX30zSCwd6iYsnr2MqveIGhXNHlD3X7MnTlbICNJx +uvF1yKkbc7/if1wdn9LaYmCBS2mjAhf9rDhUTnFsTzpRAkPbkFfHqH60havLldhj6nwJc5XhPOAv +Gio6+2pImYMApkxsD/NcshXLlzOX2xulagfE9nZi0UUryFFWp9xXEipk7ObNufefm3mmlOXgC8CH +/oE0ret8qXDxpkE53Iu3zGZc/plC+e8VmC2trNFXRndNf0kf7pI2zbUYj4F4f6Lk9JFExqhsow3Z +z4SNAfiIRfHVaNMtvT2BPTro7M15U20gsS7Sq1XrE3ZSaTQorQwEIPKcR5oCCmTpNUHTgMmyomVR +Zkn+9FXCBtWbYKVavyl3G0rkTQnHzb/8eOxszhLHdBbCKilVCul81RiF6dTy9XjTlcXljghNFb02 +S4uOZx+/K3LBXx7fj5VFyBV+lfMF2GEVCpHR7/P7eW9c7dLCvSZQjUlzDIMMZ5sCJUtSBo+yMQmH +V8zsyi+/J89x8wewQBgAGuf0+rL2Lf38VYKeVsX8gOkCpsCUJ6AaZSdECB/jJ6EBuaVMoj2OJ8e5 +oCKddoHaSvjvWq5B+744/CQhQoTK/7pUjU//ujm6dBYIPEFZUhg/aeZmszOzoyyNI7t/gxfN6zF8 +hXrjSjxu+5LyqlTVLclpx6VJe0Ez5xhFU+7ngfhfUk8MXqsWsYzaDIhsnUG4wfyVkPu/FT7n/dKH +5RMynxy8hBls/IVqv3BY4xDXOHbwHWGLrFmy/6fwwvhRMEeMUORr4eDqOImOvoZAaNxp/LT5pntG +iQYK9NH36pR5+T/nMPguGYZhUi2YVrOJ5RfV9OHl79exFZJQQn4PzrhHQ9kleugDIi1GgVj0wo8d +Jr5r4LPdPB02oqbiG0nZFv4vhU6qPrtRmjkmWrVM/6TI9uZmncXveYhQec623Pr1tZ2yJofqOC9O +olYYNUwBDAOkmMKVfeUIf1P4/RkhrkqVsY36Ju2ciUb1s4WHtopPONvJAz7yU4Pz67idN3gHW+AO +mt6BVqIaqFyUy7pf/fUBC3vG5+orccwAwinc1CuthfWPBDGyGrMga6r3E8ClVamx5P42IJISKtjE +cGUIEjPkgJbeQVFuO7eMnebS+yFDdXxro1w78bHv38z8g+OTQBYkAPGmckaeg/iiSdZdpLIYulcl +RS2P1A7PjusvzExscolnkx6oRMyK18WCM/bUtK8cImCLC9PIq14F6OrsDzxkGkHU3llNQfFoJU2D +rXfh74yBfgS6QZ+i+4Q0v2lqOCszOqqImDepyrEMztIsYVFmyT0ehIaQlfq3aSQbJhZOzZpWESLP +72yxksWJ5HQr9JbbPbpsnn8WA9emBEmh7x5Qk+Iy2Qgsr8chxwIJ63dwxE4O9XBhbmXfgJrmvIw0 +ymH7Nc9+epWLDRPSQ/l82vRc19ua730v0ASmDArzFr5lJdtK4L6tvYCtZVvMNCcKEhjLEPDn2AwW +Xh3PoqNyHC/T6BrpLKM0ZQP5/pxRXXbXvmOrCn+bVKu7WZTQ+S9kxomkU+n8SxMvrof982xiHHpx +GzWQHDjZKqgT5Z9rMJEi6MRha18/aKQs+18Hk85+NxcSlDissufOnQgMn0nqL9hv2Au5mvFw+bkI +jCLPLIrVXm5OmiqcZAaqDbGKX/31+acGKN+IECLK513Gciqy0Rxd4x4MBsrpEiSHoUdCBO9NF9nl +P0RFx4HGU5wEs22gLyuO+krdE0jl/lnqAhmIiPMLYr8/9FZQV4mSCdnzii26UsScaWAigHJFgRjW +Vn/O1ZE6RjFjN2Luy3Kelk2p4lBYnp8F5Rsw4Yw00zftF779TzoFFrXIyAezzC0kJZ12QkL63l4X +p1Pc9JW/s/34A7lAqxVxnSoBdr1zntWTM+4IaibwxvQg83QqKiP8dyb8SshTe3XM4Z8Gy9roGvRm +l5ac7LEIqLHgleO0lHZcbKBo/IlQhbvgkc2/S5yaOOjqzsVjK8x1nXbE8DsXrNjP0FnN/Zhx9APp +WV/L0xiidVk27DHPrq5uNcW6XCBt+hOZnT1mT9E9FoxQghUfIgriEEb2KCWeBztJtBSnS2CSsgBd +8s6h/sqrXlMPT1uoXylLpWlHDN3kvY3cPwXON6vv+6yrBqjfv+mW0Z7AGNQ0bpuNy9rmXPWUXon5 +X1aOnhYRkW2tQqg89f7YV9CDPW+AXW7Jm/KFegDK9i1E95d4g7CEN52TUxtNNv0nnyD3H2qBPbiU +URBC2GaUAlGZpWXsG4M5YAYWifRpS8bs0m+NVSD9DnHESBCFATUAFwHXczKwJb9cPnrVzG00aAAQ +HSLYzWwizkDqpC7A0Vyhzb/QRKjKKUWSa1SXLg9IIKYLGkfmdwVU8/G/Ij0crOm/4IClmDbHL0ne +dMgxig2RR/2mGOQEDjfIV86Ou48B/7FPG7R2rP1YlUrAxke5asGiGieh/MbEnrmfa25ykXtAB2GO +zpi3ybNk9WC9NZ9EQaiMb3/Cxf1gFa7px6pO0092h71Pk0Psw+jh+S9pMM559T7g0p2jF0XKdRdp +V9JoG9fFXI7sogE6NUhN4l0+dwHCpSLqXbwvc0+au0cqq4E2rWy27+rSrMpvO5ot8jReM7rnDBkt +hboFm02EKFsQ/xloAON4bZVGVx0Ab24gusOmjLwNtvP79nk42uhBxRpdXrxheZEiznFlk23oy+AC +FbUCW1hg5flfK9m5hPX9MMq7l6kHIPGqzHUfkiMoLKawXEayePSQHBseQ71Ux2idx7oU9naXMOsu +nhDmCJD5d0ehl0/X1IbYriKF0kLgS6c6nIcPkwlirE/8NTUzjUPTdaq1wtg7M4wC2u1JrnVp7fKg +mMW3MNtzxuM609ZLJ3ckfBA/8esZkEtp0I4ipZG3CXlEoceP/DzzolK71eNRrA1XU4WU0sScxDMB +1f9DE/2/7g5rrWfqUchNMVx0xo4RR785q34/8xfgYWqnnw8XEI6x6+xZQpkPuZJaPapSx59VngyL +jErrAyvb57PQ10Om8qiRqCu3+R7THHqiatdrm8o4h05Y6dGBJAD4UBoN2W4EYhB3Dm4F9cVDCtgS +YKZhaLdxowqM1rcVf+vz9vRsBk4Fyw0vdughdG2l0nHGt6DjCAEIT/vlTCNp/aOTZzxNnorGV2MP +uhziiv7qKsVhCJq0gBh6bKbyJHeDWhCY6kwnsUVgdpdlF6LBZ2qPi2smAE5/W0cfaZtDEEhc44ai +Gv+j+YI/8bPIJTIFcMqgRPSSDiEox9umkjsH39JGwI4FJ6fsqT0KHkVRva7Q1fzyVLGQb6TbsadD +GoFwsqbp4IgWVkGfcFuOm4/6svhWl8ptqZaEu841kg7V5cgO2nnC5Vjt5YeH5DDK0xrgQoQp7GNW +00dQ+yKLh2glV72IIxgK3Vi2ztQj1tgVaA0R7wOP6D1D8OdVrWIw6pVAZXSU4TwZGrIT19nDM2iH +DUeIFo9f4unQsPMJwAYipgzcDfPveL9n9vKSW7FBq2r7uzIY/AQ3oJj4P4QABeVn6QKgw4TfAaSE +VCx2X+zR+8bAgyIXEy2Tj9bkXfUQ2MKgnZYwFZecQwk+QGKjwoivqaU4oBB1jhnItjd8YzyxhEBG +9qMn58WE/Zh7m6wS/4d0sHyYoau25Pt83Ec1Noe4tj3OnYiBIgT+Y8jThnvAaPAQfBJtdyr1jhmh +ssPP9WFJjDY5SoW2Xz9oYB2GcNXBDQR4wcKZq+bZjBNVU9T062GxeTuc0e7rJspugdqHtq4dgTJL +BUKZhge84UVDqBx7P1qpwnSA4jdo5N3Kq1dV4hxqrESbfyDWzbeh7H153OeFMsQY31o9c/UIG/D3 +UfD7y9wlVLrQx/X7sDVVS9WuwOrNee3GTHAPRIksffZYUKfnyrHPXcYxKWNMBoy7temGKNRG6ccO +kh0SzTqii6kvsbznfsxeHBDbNv8ZLu3W80JldC5guaTB4NJBWl0E3FzF4tjacoxSjGtsaop056yX +3eQh7eAWd1Hj8PfmoPyDG7gpGlmVv9OR40tVYbcoHB/92ZReveg7bngPrmEqTmgMEfVndKyOEnSI +R9UVfhnpdU3IlXuLkaG6HaEuuT/Wj9wjRtMX3K8QC6k5YB8kmpEf+IE6wyzV0u5fGk5Wx6ct04sC +f7+6ZJo9H/MAOoiDaXmrwV2dNmQCtRahbvSS2AHbSEnJTQvjUMQU2JcxK8GOD025SHWOMF71Hxav +u4KQxBuk5ZPkNfIvUzIKfohgWMDmnD6JRwKWmWRV5ABrPrmwMnsZhVmx8qk/6LZDlGu2yknNSs1B ++MyfsbhI7iUvacj6BFRvjbbSKDHLdharOc2mgPfe78uifLr4S7XXI3OF4OAv6fpiNPzQMrtthjyL +9GkouNrSK7ttKroPBB4HNaeRYEzEv7+sXME5XmxSRhba1djwWYz1yXkdwQQaODsT37gVvp3YRL45 +aF55xKn4lEFda9Q1ldVrWaAkOScydJwGIlUhfs+rLhmjdyE+RujXttK5+6q4yj2AI5aFu1E95go/ +/jTPZ25UuJonjmtLW1I20I0IF3Sv12vwjJCa3B+NOtLcHpYRXRVo9YUBGhMTCYyyR0AT6ytpZPpX +PVTvD5xFbEfyyPwsC1uDecmNIgEasCYaQymmomQC9A8bqaI46ottEZ9ISb8r2yBn0ZLPLxHG2hfM +jonwHowFTw2hTU/PHGi4HhdgYQb5M0ihBMKNiP7U5RJjkQ30T3GDkEF6MeIUkodx2L012VlLo0ev +2PL8EkXY15UxZvQC2LBpEFyeExAWq31/DvSmaVm6IfZ44MQNNO9Necqos26jQhLXulaJrXDk8Jlz +/cElvYxXrB6GLvVXhPCeDmdkT0bdjHstrf5EtMLYZwtAqW+q4oezedA1ctZnTciSTxfcy8/G5YXe +H6UenJXY+yGT7p7fRoOJH3YT2vI1I3sE6lsysL8uc0aqm0+IWG//z556U/NDtnLxrHgTGWx7HV1P +wdGGXKopj8MmOg70VMvoo0QLEBYP6ujlXpZIWTLKoQWA++tSfrZ1MNUWt9gcy8CYuECa0rY3iwB8 +c3yO9C1fiLavMjUNhE0WrPjABdI+fFWaLWF4Bf9KW6ehmGAn6ebmP+MvGTnlN74V595UlBVlpduY +/bgNPBOk5E39FhcH8SaTtpxTkXo2i0HrrA8VJsvyhTUcbhtnwzMrywAQ4iezxmOKkX1h3xohqJ7n +af8ogsyFwfSAs/VUfIMsQVs1H19j1dvl1yj6xnJwh/nNBHCATx2ZBXKEjJ5iA8i+PmAY+tAQo7CX +NUXBN40MCsMg+hx3Q0Ty1kPUX24gbQFBcEtou4E5Z3LGLLYEhU7EJNHWnljWvrJFAULbQZMoaX3m +UipwBZ+gZiAp/J6WfSrHhz2aAEYuC0KnJExB6JX7uSjJ9W7+cSvhNTGVfcq3zdN5iB9IR3Euixoy +JLyivN00Se/wsiHiPtxvsghOPvsUA4qBvjrxCnbOKlXvCi8dC3CFxtB+ML1zjiYBUYftHbqnimm0 +Tc8OUIEB9bIVOMflFFxI+IoEGI7vwD/eGnT4dK91TXIP4HytGk5L1ziZ83aedpHdQTN4dMz+gzEC +d/JVpfrQ50kbaFyaGF5+YEXB3r4jVxrm/jh1kv1dHS0GeJniJZmmumlHtQvU071vQHOoHp7qf46I +Yh2RRYWk/t27ZcBzzM2xTIJS7YJod996ZevHZYUOSOTtzENrMRZUymiSun98uMQGGxzrqMsSCfmI +XqwXG2x3jfwJmKEgn9bHceOw1WOWp0xo7Zcc1kMSUmhJ0bnGU7ncJ8qgnB3a66BXbmwCEsbnGQW5 +xlKUjYr69M5Kv0NrePvrOnLrvnVzeV+jQuinCw/viSvD1J0bxP7xBnBPdDQtBI4YZqqH6IW08+vg +t2ewhvlek4EDhLKBZk20AK1dJ9TbhSYCSpZ31D7EZjkZNzPYXMpBst964sRE8+sYz7Ub1Ep3S5sv +ex5XmbErbkHHFO+pul13WuQ3BIlwnd6aEP9Sm8wSiOF+B2VdQcqiqaHsPGKDdyGOdikhs/+IkPSp +hvf4+GeA6QX6i5E6HBx+EhIqlCnldLpQIyd0Wt4EmR24p73BWkgGwAnUva/YGbGnyxOkpiJleY1W +0vDkuTzPR97szbM76izkVDXxmYV1a6AT9P5Rff6W8y3I454hr3Ewp8rZtzDJan0ea2aiJYyQKYuC +E7gduAi5zefkAQBNVLvVmKtND4R7wKhoPWD3nA6j69aButWSuHozScxFs2HB07pxRglheMH1PEen +GloWdqMcysrs8ClRGDwkbvNllMXXbVRm+Njad3Xa/4PCapgHJtAWsILoqAXSzGq1USmkaszhoofH +dask8qY4Uwi7KqqS6PGaIbrhjZsS7yICviSLoWb8POOKR97DBZsPOz0I31EQ55lkJS5TuBzHGIV1 +AexhrAd/tvCiSTqln799GJPGlABANY9xeasUJ+g7TD6dZ8Ng02gn2JXhSSlS5GnzmUrbRHJIwmvY +R9k7hTVmlOyjbZabahg10fxz7UH1LLHOKpqgBungT6Py48wXh9thOExmiS3XuQItetFiOdtztkVc +3tghTUirlle0WcPd+FSehEwF4Bw97J+PTCooHPDpGgZK8a8xfm8RwzKCjGjdYEZoC8UMWdhyg/o5 +WjydZ28TSv/+Bp2cXMtWtyN+cpWJxTsopXUnqAFKhmzxtZG7IPdFE7QRThJ3RGpqKZy4AYJEhAdm +1TxFoPWqtyG2AMJES+5+9ado62wMoSEA4t9b3QBgyFHMhtuXSovuCiXqa2qkgAO/kuhozs1XvQBr +bMsjmeIzDwWJKLNcr5iRIVmqce7rvbTZvpquxgaUE6xE75+itq2JaZ3mWrBVaD0i65lWBHpBa5+c +rFurGPpdhEDc4/at0vhHOeX8YXQKszBTkNFMcqeLVqjaPG80EKVVMLpBidVMWqAOUPrUpM8ovjrq +f1nWqSt6b/Lhv3fFyAQTb1JQVRlETrZ0++hEfNXKInhFuMQaxfNukhdcg5uZKspe8DOi+/Z9F9g1 +yxWZSchHqw+LTI9Eov6YqwCFYVplel7vRo4jIRhy7rVx4YHjWVxuWvldSCGCFBh8Mcn1OCueQe8S +D9U0Bg4aFTWrHiQZJm8WpucJxlIGxUFrZYOFzfgo3ibvqLM8EJegudJZjZUfZfHauchy4tyWz7Tv +0DNELy5UWYk6qL0uVd/5GapI0kNBbxe4JAoh6aIb7KPy4OeIWBB4Fc/8mubNOBSKW+bOCOD/MZ7S +7W2Rn3eLx/qrKeNc71XJPmyPfMAYbN35UW0UnjUAsnBc3SeFz34p/HnVfUzLRXZ3OA5M0J3uxZeO +BVSskyqE0JsDrVXlQHG1EnDUpvu9uJO2l//jjSusODL8gm0PC8nSgLs60K1ynTywcJEqh4f1O7au +DofKwCkVn3kZz5dsTeZBR0n0xF82bXXCpSCacfii5IinWlq/K755WcUJY+D8442TlBzDudr8oz6m +XtD1KsdwSObNbSZ3ykfGySrfh8t3A6C/x2k5j9Z5oBWtR84E9CttVgI3N2a/4nkWLT+hFqW8xO9l +8h0S6x9hvD5CCBcHm7a28uK55p8ePYSPG3dggn8nSc3XAie4JK7CWmswkPkpANURVZyalb0NfdIB +KvVaq8By5J9vIih+byS35P90W5apWnlXSI4RXV4Joy1Q1r7fIepQaIvh/j4TIA/vTZYPkwlRJPcm +SBvFKbZtvty1bodLyzW039W8+RhH0OO3/Y57098tfYm3Dj0LS7dqSNplaSDNhN/hJ4np94I6RoAS +etLyVT66crNRMsFK2+8yQM4eV0bIBq4tgijKfuul+tfnomh9ZIbsH6rrZ2obWIeNwqZg1d3pd0mW +gJJVHmMOAl7En7+ta78Ie782WiMCySH+pHUmxRfWF6gfny3SuJM4ViXxH8C1NEmYFlkAWkVkEjpt +V04I8kF2bbXCH2IpieeRUCfG1xJWEyqM9Kvim5btg6eOWSiNG7XtBdGqShv9VnJLP0Q7VqSYQhc+ +HpcjmeaoVx76Rn2qdZzcLKqGaSdIHmgUcsOdc90xoVwo3XmJpZ0bySDh7FVhWMDNEuQkIzbj6VLG +9tad2Tlf9EY7Eme2tV+Kl7EGM/wQibRvuWRX16CeYEbnWJfaxF3wD4zvn9Vl880Y15NCiJcCb00m +Ywju4JVZbmtlJVm90L9VBvWlyQKZSXZBZMwNLdmpBv67MJG+iWNH2oIHhzvY34bHsvK0Pm/TVXWt +1z2DzcwRrucUKsFm7iReT0BhmmPYfTJ4qZGUJ+LGL6eEp4TxIA+8VSTtWUWXFabZhJEhodJNopg2 +OlU/PrwmJz6tEU2tbfRSlay036t6JW3hzD8sg6RdfosSIDpp6lgh1F3gA1QL8hwEjr186KTHTeEZ +JZAuU0XKGc8WjCpkaKWiP/Uct5yz/mG7bCrT6TJOc/X7VdQxS5GPSAMIq6n3T4/ICHIqOJvAVmQz +z3PoQhDaoUcWRpC2LnqLAXL3qGBdq6LN7/81MwPhS+5iy0sOvMKmKHfYHtVFMuJcB3qE3Ndc3R5u +Es6Q6lRfqb/otlraBBWEueXDKgL090RkBB7uWHALiQ/Wgf9MVqSGh8I0mfnORQfDhvXVuRW3JTX+ +LcybUBhwf/gXy5fDAU8NVxsf/56fqV8PGq7FuZAIGflg1RKHFUXMI6dzt0To6E7wwS5nLIgLSuDj +l/ZeQH+Ttznfpxuc7EjqPZBI1g9WQGjeFCXzugzKzjgMeowurLOJSzI0BW19Ewo+YigLj2wcekHz +BZ0JoRPHd1VjA7LHkSjm6p5j8gg194+megBJdjWs7dX5ETDslLfhZbBi1PY9hgMVGg/EhVAsj/YW +4x/lr2uaCOoGG2iHkYffh8VavIdPHk5TZxu3N05z2yTgIJ/lpVrCbhbpo/B5beYPKvU3LdvwXmRK +AZFvqdva6nm41VEKqnqq0ZZF8oEcTI4NCi1MHByxEAEubndVtXkQlquJ46ocJt349kAy9AfhTq+4 +7azRwGH3WPFPKXI+8TUe6Kf3bhzqSYu9C6xpwlfSfGNcKBF/jcaUWfZTe5F2EXiiGoq+RYYwNdo9 +WSGf4XF/RTYGAfy4+fixc0FCuahfrySWnqzNOQr4QGmVIpeLr5t2H3ED7BxV3KotiIgf709/6F3B +S1Eftpy9qbwNhuZ9PVTPyChDuLl717hQRyJ4lSuBD5y7hcU349K8arcKSDHpjfc7ybuVKyjDf7I4 +ME/4/HHNX2onWLrFH9mxQTGFNmnHEMsAzepGw2f/4Bj+hA3uzIa4gtB6PmCoaveR2Usnf4096aD8 +qZitgavPZTAMu7MQF/SJyjAfx/sSgCjZ9WQ7os4WBT65qMy4Cv8KvyYRT6zQ1Ks8DFJpQdN9w1R/ +R6M4dtS7nAUeOg0/pIjJDCV95bx7bo+5vl1RIt36ecSUDmxC63edQK1TBHvU4apIPohVvym69/0Y +QJ+ZptEJLzE40nDXiDRcNuYugHdnxFpOYhQCLG/1GDX20FOu9Se6eoTKVBk2ouP5/Scka2NzaFR3 +vYMRl8XgMrfiP5ulBkxxxLm6IjmGMCVrGuaJpiU7XQeOmEIKOF+RAyVAlqWseyYZqHmPFsbdHLLl +X7Iq2aYnGrIMzboGj2ZCch5mJJkbAUgeNz96UjuZe3H8rKrd1L2c4Mg8r+vz7vYudDwVmUjbws4w +7JHWmjmTxKBFwZJbRIlW91Eqk10ROIzl0Ll6N/qP53vJ0CR/R6QSrNimQjPi0jGo+IQ5RHvNLmaT +GnmofBKYOz8gWka+l4zRGz3mkHfahAIx6ZZ08ISLpZ59gzPey7RsMD6i/dVUJJBLmCy+KODKj1Ka +EW4cFt6FLvcD2+slJ9zktSS5LDaKt91AF7lDS8Sr3KiyWkLYaeyVkPBIGxj/GUk4WQICd4SaF0Bv +lTcV2BfQMqAZnVmdV7zDjo+yo9MT9/l9obDbBFaCn62vAe+G/sFakQPk3OuUnC/GxUjAErBi/QcP +3uS3jWBbbbHwYyighAymikwqVF8QVSjgLqx+LqKCYgRf6zAa0IuUyH/Q30sq09StAvq4GPiiml5R +lSnYZq6M0GfwYpvp9Kik096PMwYAnSYuN9nqjzYmYWopWKkeeVtover9wV//X8SQ7RMCt2c/qkih +TVHHb+qhfWl1XH3Z6LwlgHi5I2kh7lZAlTBVrVWEBWa8Jp1O5eM3ocZQg3Ha9IOrtvL9Hwg/4HQD +Z4u7gR9RPUu4T7xKmNSB43kedfYhmm0a00ZgVS0McilFKbY6ngTmH8ujam2c6nXmgQuZRoAzqyWu +lB7ZwO2l7CDcPvs23PJ+v+CTHFKmLXbs1g9zuZ7W+p/0169KUbW3vJmp/7A1GR6NceCrtprMOzwT +OksDp7LS+MK4X9sUGGBkjNAmsrNXlwkfz8oiEL3UeEWfjt1EV15EUpjN088zmeuseUhnDfDJbS3Y +ZPN0q9YCW9M1hggW69Z64tA8gg0OTgYnA0H0vmgn8kSVzAmP3O3EJNYVaIzrLOeSF5UqRqEnwnkU +b1tm6MGMgdle88LcJUK0SjdPg6AAK1BUXmH90FdQnr5Y8SCPHXGMrCCDRinVjVLPLATcp+ivhWcH +jY8fmwsTK/o+HEkUviJRhGCwRWUBLJKr+tLWhT35P/d21vWw1jCywXIP4AMZVrV09Vi+I906Zm5R +unLzr9IE5iBdClcr/iWviLejLdA/OpRPjFoHS+3Ei4Ksh0YpFQo7M4f58a3ylmqkHTJ+Te9TQXpa +QrYYKoqmCnOi5nGv4uFg9HHqc3OW7C/fwrv8KkUJX6kjHzl+YJpUCGvj+GPu34WcHd4+rdfBeM+1 +C66SXU7yAFYTLg+vQCOIhVflJ05d5J5Wn36vwES3JWoHSzQ6xihotom3tkG18l83uelbEmZ6rTdN +u1sKhY77L6LFPTDsAnOcS9U1x/tCSuztmpyLwoSsRwXBXdFqBusDgeDsHuWbwGocEbod67IaTTLF +LuST7Kn388k1qNuy/uGDiXp/og2mBxVP0aa6P7oc7qAhnCylCyoz5S7Uv4VdYh5E8iT1z0D33Xvf +1OJTvHbVMKJ0JZesEoSQTBYmTCUh57GfDouFkPlCukzlUC6SS8F1Mq/2OFQ6lsQ+ueIkslschm9w +30ne1GOw4fnCMn8YyNVCLP77ujrjM5R2IWzrzhLBdXlS4lhHzVOQ3sgBdiZH2bDccoUIoctbW1oP +JnbAbWv1UBr7ZNnBXC7BccZrn8by+xswL3nKqK6QOzOzKvmiYhtZ0DXFGFnu0+MdZ+6WuQAjRCOK +8MCn01BY/f2Pj2L+fBZQxrvyE+qy0E5VlM9/a9UnuHEU+/Bn1L67BUo+t4N58U/G9SN7Vbg35ocO +o5F5Amxyu1Swt7n+RrpykOKjjy8tCyHyHnA4+rnaym6b8I41OEV2dNTXtfXaOBljFk06iOF/1yQC +6ZF+G1iFa+KPIY6pDwvWYa2tDtZoHPcOi0AZrq0jXhT0MOIlONO3cSqPBX3nqHXaRpd4q4GKl/oh +dMNk53J4UJe2QYVwT4NuEEhh/mRG1JDA5p9+mBfweUZqIxtD1dQFE/7ZjvpNdRouFZjtS5dAEOO4 +JQWqdmhO9dYH4vOXAAAU5gukRKyzs8aTedDKp9WEdXPAlRRKVyPuNIu6R/REZxGHflopzKW3sWPg +Ah4r+5a8rCYURk210tw/Ood/h/XuPED+pQwRTS0kLNPAQMyi2yX9T0dnATUCJiC9Ub5OJWmptoL9 +djHJPcgNbyxdDWZVol0qTnfbNHANJnx2nIQkuZVXBnGd+0HtzIH1wAF7YF+A1/rwWuMwV8747YEG +fqbZpmEh5MDMmLxKpQMJ0iERwP6p32ZA6dD3YS8gOp60Hvg20LqgM4eLiJxxGfkdpSE+kboK9d3G +D0qg89lK66upf0bC4P8MR/nJSgBGVLegpnJ3s8W9lhvFnQ+3Pk9pS0iN6sGy64UQPGJJWvoeOUeK +usjn+3CLdeMCcYF1dSxomhvQ21aNdYpbf5CKiwvJKTQ2CVyUWJHSGHd/UsDHVABwkTGMfoMVSX86 +gmYHgDB0G4zbgWOWxF5Fjz1vpsO0sgSme9nNtGQpogHCdM9kU43U9oC+UxnbDGHhTjwYFNCExPKF +AmVN5/xIAG89KvAzbgDVok1AG1Ny77/DENxKzB4vhUW++l1TJPZyILIT2dlP25ek7dbVTpy4tqsa +PmIMX3gd3DDmz/s5Oi6j+4UVFc61fE1/2BKLw2kZk6YJ9Fq4XgqgsuuDbgQkpWGwqx3BsUfcjGbI +crcP4cUmeAlvbxr0kGwTsEQGtSDyn4akzl0PvcW35GVRbuf1TNYuImuJMt9xCjsZSWvmMzWjWYSA +/gOv0fgVKyayO0nylZZMo6TNwBNCd2NXTWD6iRx9JfGYZQWlmbx13cGsBF6th9nWVWFv9cbK8B7F +MYyq+CkPmIvXLdGtI3OT5B/c1gGsKSui3eR10yl5BQd56ri2xKn3zA8JwHouTHBP8WYDuGvzBZH+ +ql73V/c7cGLlKHC63eidnmBUhLDGx2qUIhsoQfv04faFhiURVhkWYx/1xL4pwfemyxeytdft4N3O +cjeqwxOZgOcYUaamSZ3JRE14dbd/abEYHz5PKwKPdwcIIjX4njLXRAyk1ZpsYrP3qh4c0eTuqKMb +7oMv2cNxUmWJMbuDmBGy5G1xVowuL+KQy2wR9T8zKA40XIn2an5j/pb8Qt7UUa5lbjPV5cfV2ZJ8 +APkeJOfvdryRtU7J+EbxGs6Qt65zmjeT0MnmwXHzqJCwvzSz30EoDs1jlpD1g9Y3ZEJDwFV8s+am +HWRS1qS+oWh7ATIOezyI+xUBPvZAvZG7Vp7Ph3ZzG+L7u6FwsGEz/1ejESDpmKuG47Lqg2Pszz7+ +caiH5p1GRMhKDzflXFDt5gtFA6N6FX/6KtR9bNXrPFe1LLxjLkaZ5mYjNvmC8A1BwifsGlT6Lkdl +xgCAPpuytHSh7jj/Z/5yY+mxSZjyKdIJ/YYsqJZn2BS3SOMXUjflz32X9GE2O6UohvhBRfKY93pU +eKRRXfSd3eL34R9hoz/gJ1RQOCY4TnbMC4kewYfkLR5jgf70ATbRO2HtgxbYwky2V3ASmaEWIJ+Q +AD5k33eB0/l4I88H7cJ2zp6abiulD25ygoN+ajCwhH7WvTXIf1purI49SN6VsqUnT+lzlgoE4gpG +pu9ifV0prdRZANJvbJZTJmCW4xWuoTc0wVO14lbl6pF4ii/Ef+0WLPmtpMsY85M3810/I5jtthxp +AlYjmhLwNySqU1t2bRdkNHjNtbRBWGpJsoQwGIiBnr5ZrXR4FP6MNHKkH2z/DE85hjFMwujWSZ1l +wbvtp2OhHMOg535Mb/JT4yMUn7nQ1qGXij+z6cErre/dttV1OZnzbSMJlIfShcBrllk4AHszY0TU +o9z3KbKcAr0djTRfyg4pgXYPIQiawIjmddSdsQgJV2g0Q9zsbwrbYMrxuVEni/JR8k9DFjFAsFid ++AKniwneLZ8eQ0nyzWcTzVc8uMblQK5neJxm8PVyVpHplREsybQ3DI6jRRQVrlmPIidqkhwI9wj1 +Dg5oD3nINQ3aBWwcpU/8r31XEiX2LcndOuVfD2qJiAxWfXdFVmup09XvLqDBKoqBGhiCzrP662Za +uVDakI56qODj5FyJzVD7goKmR2hYadyIB8yNred/B91LZd4xVR31pG0HNzXFzCFZk12xT8PdUsc0 +W6E4lJHHBVH5Ova+jkAIVhdR9GwYuMKz8aHmJfKMJYJTcHtKISB8sA4MHWomy7DMnHwdoXI3azM+ +0+9yppjgkqz7BkpNibI4zkRpsaraEp/HgDFlYJxVY7s1FqzShUukOiJSPm/jhJCCMZHbBO52uFlw +WZ0+J6yOoQpMhhf9W3BgWV0mibgr3oPBaV9e2KqLYGp0L6+gKWp2idZnlnuuwgxirzD7gBp15Ymn +NyY2jjah28QC9yVu67knWUcbSSmFLfwF9hrV+//PscWaMH3M4PIke1PepwvS90tsfIC/UHRuZ1N7 +EiDJqq1Mg0sMG3s/FluIDuxOiJonN9adJmeZnwL7GC+Z1Ngt7TkRo6VU1w7jY1mttiPw3dov08kH +MhUlnbdoYR9NN9jZDGDtPq2B6HIGhS5XU4sSceH8wK6DMFabNAhDy65O5PZaAzkBMBAai8x8dNoB +R5cV3kk93wEO0xgfbDacNUMugOXw6mU5RZ+g3O+or9pJyfyavprTl1dL+J3zFAelFHEC3Yek4Q6G +0D2LdLnnNk/HjXm2G5GIgrJxj7iIpQQhHPx4YRx+GWGjEQW/VISdIN1EtLjlSdip92jlA6kziiPv +n069a1SQhRqaJQ/hBL2oSc6osTbee01sRpp97wRAAaF+akZC9idYnCGaoo7Nfdn1s+Sv+KrJFmJk +XWQ0Jv/GTX/tBHrd/+KaEe2UKqwTvjpjOOhKyeXFkzlISGKkw3LlFKh18aXxCLLt5bHN4A3eA/4E +T34fjme+SGy/AnesGpmd3uSA6TLCKgIhnLd13bUHeglL439wV8z3olq7AP3/yrgiTNtSYESXm2La +NBDkKG4gnyR9vzLN1vWZYyyaoj4U8xgn6JVUJrxZ/AW8qGrqXp2fjZONeVeTRAMOzxKb3FTl1QDl +cfR1m+Ca/zRBBUjrGQegkzERNnvyOB7ryHwz6jXUr8uvZAblZG7kTgFFl79AoxqcPV4KNaYlMZ69 +YE0wJzj3EhVP8zlpQIhcHT5ImSE9Q3XRupgnWUBbTwI8XnLrFOKfdR6O5awHJW9EZDkAD0+6QZy5 +oiCfQ4wG6sSVwFeRSbiziJq2UWSRPDBnc6iwDdu/da3iGF8IGlUWbVIHc/GpPYiO6Er9K6Rkxp6C +WzRi45e6ViED4d222jD5LCYDoMa/u701ZUgtISB0zLSQVQz7FTwkndW1GpHZpfFhoclPTjZzpica +on/rf1dEqmqxYOUJ9wzP6weSwF81yT8zMEE8uzGiWbR9gzaSP2FkM7lFbulZCjgy3Uzc21wT3FUL +Sy157W3jMlKD1+bpJMaAyUjNF+g0++8bbIDF3zSYhP0sBwbEjVQgF/BeBkzpA2THIUzsB+NNkvbe +/+BMiwOQzsIEywPPE4cJKyYbL2Hxm7eSQ0NPXqJRmFLUzKpYYa1Y1cvjy46+yfn3GszwhWpcKKh5 +m6EeDKTo+JmWkTUlVGJqyHCwFvFneuFZIxxihgIU+p7YT+qyDBflp6utSZSb8ca4+K0MKiyb0SeH +6NzsahPmk+qVwrGzrpxxc65LmpRiOF2LmGgdg53W7cm0mGWWtu6cHe/0DDVoJ3BqQUawX8AH2Wvs +bwgaeujiCXeqP/00CbT+iJ6iugfKFccVwzeOHxMhACzAIwt5wddkg6ukl+4S3Ia8ctmZqjKBNXfV +mE33527oGDDxTF9Wjz4bvlZqnlLPUpXts0N0Q9sS57RoGwBUan0r2Zs/v4xoyjXq8msYJMkBzHt7 +u2Vg2FV+GvldKniqAjQtof9lifUcp+RL0PuKHgg12DGxB0C7HvyLW50w+bZh3zWaUl3lPoE4Db/l +dh1iH/yQqDae/TrPEbMxxP8uG5ayDYZNdeXoH3SbV4+S+e0CDUNGohkiz5BstuKFFQ1DfB2EXj4R +pYAuLWN17IV/OUc+Z6/g8MfWxstBrh/ffMULu42Q19npnwQPMGKbDvKVmjgBDdgy/dV8CMiaDekA +OC+YbWi+gZBrR9GPcL9gFjd2l8WdjRRXkC1lG2iEmaOtNXdeNDJCVeNDH28uHjOl93mQHP134bgY +Fot6GaJ+Kk3Envb924vQ4rardxdMy8Hn8YUrD9Oi36hiRGFsMLX3a5gNrXtuf8HRUkt63csabtAe +3kFVYlkjrQZE40J+qL9h4o3ebIaXQzshvQxSROe/OeZs/jdh3qXada+EE14Hv3uV+QUN30kldh12 +4EmhbpWVkyiUT/Di422sbpQxZ1lTlXRxiGyNuGv/D00c9GZiOObt+vZ1tGGYt468Motdux501gAT +efXG6K+ZkAtFuQ7GrCJErxO2Z2O8MGNb04J+VnWvrFl9iGPy3x8lrFuEYs+QfDYqboN2X1kQasdN +GULKWTZpGdoczx09/vhprlX5YKkE+1WTtEqBTAOU0CUyc1n252BeUzNebpFQnsMEwW9pNiDU2BgZ +6RMYf4IPg0vZEUIrCrb7WWpyx6WwP0GGFwL02TvDozb0LGbAYc2pU/c9VmtYfCXixXKG6P24zx1w +7p4/OjrhdBPml2qKiYaQkQma8KthQwlVeeUiYYcubCmYAsLmTu+8WSex6LiFTIIT6J804ALgLWEb +K1aWEWryMFM34TYQFgi5A89qtUPHnZg4JrIAWeTshIc78PFSdq6tF4D2LNMxVigZtQAKkjzd4Wa/ +9ZKZ3NOZ3DymzgfFxKeANpQuS4l9dVcoeJ/gAtJTRg6hfRZjjQLkiiST8a+uNBaGwixR1tZCap+q +iFynCWtojJJncw+jix6t0wzUjjzM3VeVeObB50rHZXhCSDjZsIUb9YKHkLeV+F/tOB74aswZuRb8 +84jx/7AFW+1+13r0Ytr7cr3TCYYYsL6akKQbuToCVELaovLKu9ZlKKcyBNZp52oxd7MfIxSgBWlT +s6rWTm789J5nAdChBOrmjwgWua+jfkGjyzqOxM3au6vsw2P3bDaZV5iYiwwmpCfW0MD7xRbpQjQV +d3xZBtEBQYAp0IXz6gPxfq9KCR2rCRuTSyLp8SnzsxhiQiX/Z6rFGQl9/EBJ5uUXCaGR1CGkabeY +IIEhBTmcjMTwOb9Ba74gTDKi4azQKaQzphYE2+5/WX8oKcmDZyzAh/hCVvUzFrhCva14Za8HgjTj +BAZqq+FTguVR5+pGLUCfiSOKtWW9Wk/aZSxLpbcutlfvVJZ8Jsn/VHY2jvIKSpR+zUjI5jeyTmur +YqFaxKkUMs9eUj3vzUoOyEv4HPQwp5DxIQuj0Nh7nn6vmKZICkP2i1SHvLujf53VPJYVOqWvvnUM +jexPTdTdHtkSd44Kmke/neQ6oyH7es3iLZrb1vnyu/zTGfTtq3QqvbdVqif+cCp1BdRLTAo42yjR +POXhSm3d2jfQQOjqbcZkww8Ep1ErueKK8UvfgD7+4MnJfu8MYm0LGkJ5ovHtXqN38egJc/cbUcUK +ayLB9u7idi5Y0HD++GmGziqkwoKxhjzvcVBejHZdVFqNXcTWE1bbpEFxL4ueGqstfYW2OhpCV1XK +SuogSR3Fv+DPDmPfGIyy8KvLdtNbmLjReRFMp4bx4nDg3OXglTjPdjIBWS6G8Va7+ke87+3CQeOJ +ft/a/tDEQQAg0WFu4l4o4WBwJlwqZdxBYfu8ohvYjNLTd3YBrnLFH4OwpQs9omJLbxI/bhILQ4VR +GKN3IUJOXQ5Kn05vbMBdqsaSzNew9Xffg3M0UkGx3sYKK61nw/TXcNpXXy8q9OoDizCDxTC0ID1l +8XuLFv5An8JYqvjWpm+wi4NHCzHDDgKe/miskij2W/sq6J/v0+pMuUIh9kcEreR4lbyFmbxhXuTx +kZZ/CTCsCpy6EQi8syUKPCDwI7Hz+9KMt+AT4XqIsssxY6FS1UN+UDKiFw29wlyGquMprhvjOKYT +uAbys78OGsTK6IZJBrJe+J1n2XRcI9u5YQRFSrP9lo7+uDXrdj5ZaCoVz+UgF61qo4hKR+wVHwB8 +pfinirSkso/NArOFo7VZZ4Gv/8AwgQRE1ngq1Jhic0UjtWEgy5IXbo+H87gVoo7EYOragrCJYOy9 +uWEB1XqG/YMcU70MeUIpoCARwl6EIpXhxdhHacnrtVyS7CbEqMhLtuepz+CxoQR5cE97Nbp+2PNb +bjBkUw+EIlEmnlM9+zmCx68spbrWB07JvCO88tduXg1Kby5sa1QrtC43W5sy59tKi4btK5IPpG0v +QoxXu67VyGKFXIoYoHwUnmSrGDPcrZcw5HG2hbqKZl9nUvrAeRSTtuVQHmU2dwHaZOCyVy24Jynv +Ncqg+xn7gDLp2IE904aSIKkhO+qPMKtrYP0huXiNpnKcoRiD2+w63nsa9BAzPKTrGnGYoMWo22Cn +StpG6sBsgc3/wWlLwM4RZgvbBehX14t42gpJUF+8k0XwAXOqI+yNQa0jmve96/7H0/hi0oe4VB3W +M7NUTfdWXvt8EwrMEmy1HBrVHMLt1CGUxkB235fdnMftKbt2qF+wTKbmnTTlyr6OeibPaYBhwuNt +rex49iuGHRjKrBAGinNE6EcEOdphL+1/v1ipsrJCOXdWhB9ecJc3nLbLPY/upoDkRxzoXzYc2Qcb +Mn0UnshAVOl3I51fCn/l+pxLGzSEaHO0X1jMdnROEsGVpoHRAzg4lJXqchMeTVAmSDVM3QZOjtR7 +E3mnMNTfOkeRWPWLXp/S4TmQ2A2YVr6J8l8csOxxffoOKpEk+TfTurLojsrQSEVSBTu1MrvQV9N1 +MbpSkb17HZDdd9vPohckcN8iYNUg5VTOoUGfevYXTHxFpN0SC8KgKd2cEuUMTI4ct1GDLmdpMixo +rqWfay1IcYX3y+pcHR0tny/sWU/iUkFMAbF2GfFiixre0oSjyrcQGNFUyURFMnu97G0XCSpQTJwy +XNSPpgfybz2GAlHSte1HTGuThyzFFUkIwe1E3JhlcYKxudNm/qi0NnKgzvqh3cIFntPQ6Uth9Jh7 +2ZgJeI7B6fs8qTfOAvh2O9nEmAcrUJFT900r1SrSa61RMufyYJqQ5TOAqJEQnJTHuQriGkNXSgUw +V/+rcbVHf5PL+ONhOdKUcWPqS9WihrwIWj+h2GQsj9NEiZ7RHLUHbVUcP1nIbL2JuuU0dD4YO1Je +eTSXDpHeQjxy4BdXSL6XBsCTumHFkFIurjMQErw26olOSHmtyOKEb0UKhetTqpB32eHeW08LvpDk +rj8ZPbFnWD5oBT9CeMT+71AiP0CBnJsyc+apsCr588Ztx25RJqlU6vzfBB2ugukwYd4BpLII55PD +tpde2ASJnB+7qFhDOFPWRCIwTVi8ID3YYK0ULlfUbS95BWN+S3OtqX8TsqLvt3XYPgiEm3EBFt/1 +3yIzBnL4pKX/zRpL1DOWfgQv1eIv07wwUw9C81qiKElesUbdaz9pzvdDRTRiIFDgCNkiL3cSX0Qg +obSgkti6S18UJgNM5jYAkeHYAUCxA9D4Ha8Y5uY5rd7g48HNTdwU+gDCUCIj5PP0w+0zFqkO5pHn +5bqQJbM8HI8bU3ofQuS8bz+ukio3pYJugMBiKIWNRlvGqBSBC/rXaXYPGdkypCziuFK+DCfXFODG +jAd3S6CV7Nnmjjy53M0lYFXx06mmhluRrAXs4TY/geIWEy4RRpxw5hGuCMnIjKl1rELSdEiJhL6A +CbFRh2f3VxtHa3j0QpumyrHo9RKr8egbNzUVEWXs5ZnIuJEFW1Y9WvzDgMMBxQss2IyejfHVkoMK +BX94pb3NdehiHDgCn05oMmhO7c4W1xVnal0ZoCz6s2IT7vCc28UX8N4opHV/fvccEEMapIIEF98O +Op3tkw54XeFrL9Hf0oZC6DEoqYJiFOvFghW9ba9F+cDhF/cF7Dl2w02Z6G1poLsDiGQJEJhwh0iN +sP6EU6m6maHxQTGBzY5ujtv8+MUzTyMJswRjGpeM2rOw4aDbS9gHw3IqCl0jzwtBBMPp9HpjZxNm +/PGOnQdwU/IOLzsrh5BG41FBPIiHkjuYGIbt/8uZeUt+Bjzem3pRz3ERXJL+wxuGsSofGe/ArvnP +4ZYaPppArtbE8e9YnLKu6q5bEe1jTfM/mdfTsEd3+5o9B26L43MPIu8kBNn6iE4akeKn2E+A+crr +7k9viZkfHEiLqWVGcPFjGoyNSRyDVrslsBKaOJP2jMTxE55PIYz4FGQ7fA2OUqSyBPwt0DmXizQN +RySB/C05x5AkngEp081eK8XKVq5HybAHvpYxXGHKcZtAUMEhjYIs3tMjaJO3IAXl2jai3weWbukR +8gSzDf9LH3AJx52TBZDYNGQeifbgARY+NEgyerwOWtzx7OuYjzQyH8ZRl+brDYb1KyzUPuZ5wgq9 +ddsY8iU+sldjlK5JodT+wK3VKOK0qLcM+BzkIuSrAjk0djqz+OdNkPjccGYa39csAuw2WKUqPnMU +E7KjJv12PU6LsdeL23Cs8u+znSh0jTzVJBSpQDydSqwxF0t2PRgZH3pajf4RX4HOisOOPpB6ydWP +HIZgM98uLharEe3SM0ZjmUoDHxWQLIg8hAOkdI/ZpWGxAI+8Xz+f9CvqT+43hiFS3Rb0AGASndHV +EOhkhknJljI7tp4QfRY+e6gtGOEEAfmb6lOqowZrdnFdXA8HY2DPjf3LfZISrEhIzHJdgOT7LEV+ +b/2VhxzGdtHgWaTbrCha6Xyung6F42FFLshyD+3j+BvHP47waBQk2ZL9Q+iLuALF/RpxStBqpydK +iwYKXX7CbYSnjjeNanuwc94HJfxULSq2208WWJZOFirOByDxGB4uCnKM/9snS3AL9D2vcsr/v3B7 +m1mSpojEYnNy85eVDhOJSTBpXnh5TjWQ211o5psXQy9z4dlwtLqPRMHg1MHoxhBbYuQNaUmSCIg2 +LryhqzFu13VFFkiNz8bxPa5mA9r503/mPvvZu5U/brA/wc2kXpBS66yrGCwqq9eArNVMAsaLVQ3N +HeeJgvsMlIi5aAr1RGXguMLTLUiH6oELN8W4b0b0Ej1SO+yneJsmRFsAUTRltKkrap+0f26f0AAW +r/RBYu3lDRH0NSh+g5VkMGvUTGqMginZj+Jz3V2bJPk6omZRp9XVS9tJCcKsVmh3+hwaabwUdrsO +Tmmad2VTeyWjN+x2+IQw1nKNGP4n0aOgj/RTVldKJYkxeEmvY3WTcPCmudD3QzTvAq89NYOj/cRD +CHz0tEaIJk38bz547gB5At2dvDyLerjb/RK2SjPET6Zn92iWSlgWr7Wc79Thng57T/IBzz0CtsUE +YM4WLbWPmV34E7cvuzOMnoZ1yWlMBoq3spiSArmFVnEDXm+MrkOK85JCARlBwwD1lT251GgHd5Kk +37B1f7zn51twq50rz3VUj+hIPmw06De/Y4VxJi3JRirfrRRp657DTC3dVSNEz8m/O6ib0nzYUH2c +a5L1b5/9jqR80NMAySaqQP9alG/l3cxism6ZGmcN4QXzZDQYTsK67GQDMgiYAWdkTQXVIj2ATnGW +e8E5pFdYMliXNOEUXh8UygQbIA5XH/vfFxfaOm6YO/xlMGBb/oiTGch5+IhschE9dEbEbgKt2oA2 +Ii5kssqBbPSKl/Oa9z35eNtlGHOokK8cxCGRhK8MGRHqE0ObflywVTa/eCGITNajuQ1SUSd+mbhU +wffFQxrksQHJ7THXhBXXwj3Agd71N1uW7dGX/SeAFV08pIMYA5PaZyK5x3O/N6SVz444dNtWR+jy +Kgnuf1Nt5MDsEGgrVHq/fy1MlQuU7Dy8VP8tNEjMRJ68aH9KjTdwGbm6oX6LGdFLMUUOTzRQRNxS +eM2cJkD/CYki6U4WisiHCQYuq9rWm7IKg2DCnEO9zr73suvViH3tPOpydtbL6iqQYfSYx+MCeoBf +QPHkHEgp5BJX2iT4QITgHmJk0+PE75nFS70srOBqrZIDpaSb4/sjr6Iz87D9eveiTU19dT72BuZb +1O33STi5NQchh0VLHDgG0M8lDYKhAertOaxcw2CTHrpxm8XQeZnnNU42jjhZZ7o2NDwQLQCEldPl +l41LSvqcwHNeSAp2hEe+T3+jrX1STkNzHTEi7Xfe2ZOIOC6/Qk9bzVVo8qaVHQES1M922o8SuD/N +mZWV1sSYka7p09k1qRt/pTXrxXRZm0YbZ9Tgv8iIunS4HSzJ1Uw/L02tGBb+/CnISM2xHD0xuEDZ +2vnPzKcvGDlu9BS47hPPK9oCS0FsZi2Yn3hvGo1yRRmhECrc43mB2eyehzTqS4ixKi7aIvUQokrG +qKEQUSejKeghjvb2KC/T7SsCdDsYt+CvLn6x3fR1F/NNM5V3ud/gRUK4NZ7eoqsf9TTjL2S73suP +LwgDseZ2klXEHfav+0bOhujHB1/ipeiAxJvmqk7M8qX0FGwG6RSSrpRAIyXrbW2JqOyiT/Ha29Ga +bayKe/9U+0XCUx3qusCHe/U2uDPJ0VqIkwxcXXZ9C9Ow7s7UgTsnRl9FnK41W4dyyZkPEKYFBk27 +L44BHPrCbOby40Mop/l4rfvw9DIJGALw4ssTErtFSxcf9GznuHRlcvwQw+R4aC0J3b7ZnVPJuCxu +KnCxITI55owtWUHEXfwUe7K0uy02jerOXa95F24hF4dKlxGVB70999DRQF8DlAAeFIaNw+iBPeB2 +iqhVqhp0BbhL7sFibvZFCXyC/9iOqSfccG3pvNJFTlwohuwjd7hn8xZAI3kamWA/CylLK3XXfxos +EshGCIAejA9PmIW41b2589+vXoaIOy8mVnXr4Uln5aiZ2ngMSfnYjHxS7WcMNG7ga0DZl5/tHKpt +0UyW4P9/kEXsc2SzDUzfg0NO4mXsESOpONl4eaO1hyJYSqQgQOA0sGoFCaC0/Fl78vRSzIZBC5jc +PGQrNJezI6bVFOGnYB5EjkCV8+kdUdEBCwW1ychx5H7YFbA5SDW8zGJRLKCiO2q+/FBDkAb5pEYS +t13jGW3K9Jco2yVQOddNOnNkvpkWx5vKl9BByjLQt85C31J9ZIa0GPgJf3uzkZfzIoMarSbXwAEC +A9Axh4MpgP3R4HK1rmqMgU+3/7JLrETcni37c6T10iD4egvlqay9VkbbS7I/mVb4vT0+XfpbktMQ +zqaswJ18IL57wwVRrbnC9Xdn/ryDOSHTKBl8Xd74fuFhEsnBFV0mkpwifYLFMqW6zZs209rYwMhG +eNLc0cvcThQTYxJ0Pr9MieQ7Y0FVhZ/20u+3T7mn20myrS11MmwM78eMdbWw7j/Vzlik1/T4Iwuo +cur5qEzOc6C4wCx8nxUNgP3O++pVRWB9lYJV0xPteZ7CHMAIbxwi6A3NlB8beu0vuGL6kpl1eoO2 +v0hLxtYoEthH6oiDKIXQX+YRxbeFKhsyUBuMioAS0pDD+HOBPfn3cwQ1Rqdv6ab+7e+vNvw6Ow1F +sF0rGu9orlZEV0obvhRhqdlFGnr4h2Jb+Ic0xs+p4RpHPU0yqf74BDqzIYfDKLhcxlY90zwn1vmc +Pc+3LwvAMZrVrqMpWESEfMaOJbpUYTzQfi7gtSWu8QuzAcXDa18wEErGwb02FDSBEn4OF8Gqc+Ai +YtfkERPlDScB3AgVVchorMcXfceK9zeOsG2NERG4BHCu0lZ5iXx49J80xQTfxouvclRorXBvMnNz +g4usnBqWl3b8e6rHysNm/nUlQXYzSgo6exyYfNGBDHTGzRdFIb/WcpVv8LIQZykmYglSkb/ddwvy +51M8okh3t41TIq8t9ndvA7ZtiLDWv2HkAGaO1d+bpkJ84wKcoeJJsQlStJfUewgdd63QPxMq3jAL +0PwTsnFkrnW4K6sp/b58jU9USKNlsJ1o6Ebx2ZO+9Kzu1198A53E9ycI3z6Ws9yw+vXOMJUFADKj +gQElsGAUanoZ1497DRADyyQR8q+VJPI2FxhWFsmiUHJiPM58GeUDDkHDl88UEw43kqCKDyRhr6MY +GdbcyEpE3mSrMoFsb81/1mPZOm4QTvyZFmMReS+PWu24kEemgbwwI39YGXszuj/n2ZrukLPzTFpS +tIDQTTwcmpuLdyAfGeVHbqXpGKqZJc4gz6Ss8+Jzl5IYOSEIqmfMVc39RFAIeWyyN5/mVaYSCWq+ +Y0klq5VMo2031+Ovzfylr4+Ib2OB/7GAnj6lo9cjtqhA8ExUAXhj3GO/jxIIVrOUYrSa5x77zU5M +pLNTQ9JToIxX42RwCP6HGtCD4W+uZhG3mMzLJuB0BdsqpmQf2TuvV15I5mG5N4ylfZnOidZwCmir +LVDpTKBfCJN+0MmA0GcmSPxT6PCnSWnjUSAAsLKoCcreGgh4ogP59xGfi8wj9t8tmXW69VdrOa24 +hOq89jZq4QlZx/++WNKdV+vC+xwWaMXNHx8OBUtXdWqzCF8tz99Wwl4k2VbTeBNQ7rfL21WydYLL +lpwKTvlLE4yIU4ro6yvp8/2tvzWCrZA1ii1hgb9+gg5o4dn6VlqO65RNF/1whxo4jG7tgvatm1id +6ggnxLOPacBlhIh7kT+UaYy+fLySVxO2KzEOeYR/DAVFa6gXXCsdx6XLRJ3Bgq4rIK+kjlQpVlg9 +v48gTSDO7KcQI4CMd+Lbvj8awAX9qpv2Dwn8b83VWQNC3pLSvkQp7IUibOCVwQwrKquUNQ8oPL4R +1ZrDqRV0RWBeL5ZnS2ZMKg3d9rqDdlqmnhdLmMTF1HynpDAhAZ79X7jEf0w+bP+2jByvOX1/7qdd +b1C/NeBsUJx3MWiNrEd8stoOuXC1daVNzdOhkBEK5j3waXwgT9DfELaaGdDjkkBEgMHAs+4ox2wM +u4o43If4001prM5qGxbT/UnjrjrG9Fmx3EYRT4Rc0bGppL/yUKC3nlowYeQ55i0ue0rRa5eTSiap +kINrfpX2w1MCb4rk3mbGiGs8FHwWZRXY/nqTce2AkewLv6mAgsWvvkHNln0pvQUGUbQJe9KneqO/ +2F+iUD3kymS2ow81oMPsZJ5bmzt8Mr3CMH3wia8v6tSHrl+kylvShALvt/bdE0yki/+aviQYIZnp +M9OuyfY1uO2wxDqusu2v0ntCuaGokLFSk2GPBKSHdqGtsFiy0jPAsg25uYAxFYXsAgTuo+cpvGjs +uTVhuqK1wmEwTOg/eLgRXr51x9Yq/NHDNU0uzAhm6a+QFpiF8jBb6P0FdVMUl1P4mmLKwXswfPDk +gNtRwsQUgCMbNyMWXkBvUE8oSrufA9B+l3zuMaDZGMaAyBoeuNwT8qLhe2MRAwqd3Ni4qM9z3Iko +SaPLjzBbXLG2oSN7/VDqxCmaAEqOCMLzJvmZriECfnsY6XDWOrA3EvQfEr0qrK8/Jcp5nRvKW5PQ +TrDn91hoxemOn1lzdO3FisZXpIIHugUse9p8YCN0nqkREQJ70z6Onu7SBNUspyPLjA/8fNmfdUcE +rDMnoWvcWvrBkmy7VDFVFOGTr6IvPw/9wQTM28Gro53xhEmjSMLDKnCR8bXvbO8XQ4eWl9BVHbwL +SyGDsSQmNYhDCzkMUfTpQ/d8rA9yqAp+e5CNatsuMn3661OaqMiAJQ+AJ1J1NVA7Q5ul78RTlDpZ +LqS9/Y5ngC7jsVE1eNnzrd9kZ10vpjXhDBY4SCk1dp5Qy4kfeyTf28rIZ5OIaImhmOoXuhZcXCO1 +MctsFe5fP2/aNP4/H8uSPZkjvE0jyP7592YxeKUnkxpNQSpOUVsgkQYI/S70Yt4E0/KUsx/oXxHP +FlZMteW2XU4d+6oKARWiWUSKugzggYGg5TkxrlrUZRi1tBDCxpHjGj7c0+vslYHwELWGewVm4Uyq +DV96vt+13xzv+bF1+aVeSw8sTNwsj8zHTcd+MLAaczg07Eq6lrfMzVKbJnSE50U6v/uGSl3qS4qV +62YyJKJ5jAjPxI8N7aRaM7kIryMYv0zYup6AX/qqTfOT9F/VmF9uC1eY4TdDEAKoxfwUD8SrjkXO +IVScE5GG8DoiIi67EvqPdKOIOb75prFYiXvr79YElpqaLLIjGUJ+7FXkliZyncrXDYBQOzu73BOT +hyWgN7QcSIa7/sPdlyB0iF7fdQxTy1EBtNC4/1sMsZnLxvymMpSbQuLmgSOsN+dpUaDWqv8dALp2 +F7WMDW2FOJDyZQSdVoFDR1OEqXBprRAslQViAx4pXNmihySt+QuIAZBsyfAcaMJZF66gD5EFKx81 +enYFQQf6TYd4FeA9bCIR/I8nVgF8QeSux3AayN50NcYLREAV5nApAL+CCCMREcZegIeRXiJxMkv4 +AKSQ5biT/PCfGnArZ3JYNq5GUBe9mLNU2n/jjjGdZVzQMVNfZpzJgeU7rmSnDiwkFKrBZEl4EM+h +Rgny0SXnChIbl7W/84iLzpdX/4txFybz8ZmZVfx3Bb8uR03a7Ga5I1gG4p/1/kSWWUbDhEpX2+n/ +cGt8tcK5xGepfXI5oZkzbIjhgqe1ZibABqmdN7fm3eprT2UYgcuSlPg94Eb9xjw+csmgHnD6w6Nu +I3HExRllx0ke+6VPNbdcEG/vXbssv5e0lfFFWixOFZnsAVizJMFyFHKHmI8M4WUM2C86fug+OBfE +aIgqCFOTl3nhyWGOBy4F1LVe4/ymGLlTuQVPtx0rSNNd4MzUjmjfKpHvRxXwQyqbGmogPyklb8NE +PVe2veptfmXfKGUSbnbXpl/1a1Ql8oXdT2Vluy86Bgnga4ANbZ4V/088fAlGv4KtgliDGjek00OI +oXM6szOfvifHdGUCP1FYWnDgvFo5radYur0RYOkRHK4rI7ODVGPCDbn5TmzX4XT7Nnxs1gMtXQWD +bNA6Vf+8O60ShQO/YGliV8a8q/o9lLGKsFL5Q5wU3VHBK7JiUXSPFLA4nfBHr4YB0Sr8IMValkFz +vYWm5cvHI0tmbuVMArB0ogXR6qkCN6i+KE4sSBU3meJx896IYYMYL4o3TSw7ICvns1V+bxQvqwNj +E0aWDeSexu1DosXnG6vH0zzspelL4FflTyRbmE/yy6HmzxsbhKlhvAAhOED0x9HMLSyVaSYXdznt +x1oEDNCJpjRSXYsh4nLBo6YQ0rIMmIEULFXhQliHjebXzc0H3huELbNNzoNvJYTr0GWCXQSooMtM +EFs9Gy/k0J5N4G2WNLQKDqR7HZoYASIJq9M4gl8dF368j8gtlLpWayfmHA3cY9cDP5LWTVj/r+Dk +tUzm+DXEMbE1lpn71OGtdOkkRqRZHPj7rhPRSPHoPp/aokBz6dF8VarApV/UjCUFG40GrmkH7LuM +49JhDGjE6mFNyftsIusI4kUaqXICD3hrCitgGlJHkvGfzM1WOLn0xQBbjAD5Pzebjz6ghplJq68/ +eb6l+GtK2crolV0L7daSuE4BNMuPFN5CjVbSQC1fUbGgNdZjyZAFyHO63yq1FWFxTg5Ch1VHnDrl +ilnFWE6TarkNLLcfv0PLcxiDSUwf0eJeTupMv4L+lgzzjKMh8eoAdUZYXq2l5gmLfhk7e1+HBRus +XfIuxsqrJJU07QsFLhiyT/j+3FhoNIJCEOHUGe+eUN/BP0YaW7/0rAaUNhPtn1jo/+lT/h9p3Obh +r1x4AtBnM6HMbToJ6Dc/M1FyupT6SOnwYHC83CGO9dPbB0RAqn03OA442i31Hicb116zblCPYG1g +JoROApZ2PY0nR2jnDYShazo95Fa/FpjLTE3z5JY/UsgelBzQFq/okeDPYyaSXWeo9146QhJHEGnQ +3yAr5KQM0kII0u8vK23/65cVyd0OP4TvJVwHJ2FljuKVlhlThOEJkz8myFCKuBJkLYy1bi8/Tx2h +LgKwf8BpOv7hdGy9dExKlLhoZRwFIwe+YgQlwrW2iT5Ye0/fA4SIiFd2PpJL15LQ2R8EZH1aY8uc +kgERd+f74OBC3yWqN3PkbW7L5ILHYhAHPAiwn9NmGyeo+wl/JstcP15jaiHwizGTBJVDn12bCD9s +7m57CH4REkjLn2w0J8P/4h2/jqGA/fHYK2j7dLS7WP4mJjxXWidOfU/+YE3QAmmwonA+XwxXO44A +E1cPessLMsEs1dnVCncei58wzms8pjyNKXjgLvaI9XjYPC2/ce99cAoYkGGABLpRNMeIFrKscQqm +fMtLzeYl8ICAQ9nypULbfNelN3QNTHlwDoxFM25hb5D8fIEdm4Jbh5vN/DJOyDpq71PNdTn9JD4v +vYBufFWmPMF2o1XRcehmazU5LEtO83khvRCCSt5tflftPQth3ZIkfv0R0OuPUv82WUycgTA4oV9Z +7Gcb9dPAD/5qkTeX6Sjjhmctd2PjJ8Cwr77VmcYInYhQY1oa/uX5g2loPgJo3M0C8Q54UzUcLPgs +vHNbSTZfgZ7HZxcDcjn8Dfdkr4l9jhhgeNIe6kKt0MG8VQw53ylVLrnukZxEwjLd+4ksJnxLBOFi +q8Beo1umxj3nOAkcoTSYGZD5FJ/HiU0H1xlHDy6MIT+VLkiNxpzZTu83AiKdM+f0gJU48+ZzDw+1 +Yh3bzDIEONTX/Fq1CdtNmPMuY+jQQeE3+7Pkx6Vsl07mcdpNLloP0yBpzSiINhpelWNRp/k3cMeX +vJ1UBN8wLO0IwExbGCiLNwqRlNy/q+i17oe2bnCMDwsVpJz/uwigzQ4hEG0DP8U+te2gYE39qo2X +FLV1Ozqex/LMbLjC8FBDolxLd2/roW/39KoZ4aB5BQgty4Mg2Eu6c3f4pj5CD+Z86U+HS3VeTyle +4RE/mtJyUk4pM5Z0Mx+oJKSprgWAr6QLdpOJkyN+37t+E8sXmdtfjz1XJzR3G/O5EIA7/cW0h4oc +P3dqosZzygoqN8DVoCjBFcGZv5zy1Z53clD6Gw71UAY2yagOcAd5op44WonF6fBNq9YFBDJAamiC +P4TzTJ8NVpKbEzfPAn28mnX3DwWhVh8+5SEsspgLYofXtzL+jArI4WE4zFvDVLdRy3F4DIJyjAcv +NrLwNu8/CU5nZsb40IegxjKofsfS8woWwV4WN5iWP09xnV9RgRntoUyO6Wdol0WMPSnwGisjdSpx +LAC8w7GEdWnwyG+0DDXdJcjDT3VvVfU2iaKK7jlMh4C7btzIV/vGCdaF94CNOFMzlQAVppwos+c4 +duc5IDHHNYS/3LHdVwuzCMwUEjBM3oXy1b1N3VtkviTgGrSwze3QZXcNTjMQsjzBHilTngIaCtSR +Oujw68Gsa51o65woWGW+Rvzrg7EeqbfEsNqA2EpeNsMrhlYnhJWOw1cPNKixhYUrFkIH2cP7dSsb +y8q3w8qmT9y8qlGeie/OtRWhV05hA8NDqxPflB8HeZ6Fuz7mMBjMic8hAE3BYiSXtt4Cld8c0yc8 +Qnd7NlmdhTd9FPJffvzmvX1ww5kXDyivWqiSOTThCV0ZWRZuNR6SGaBJE2nC+82q2LXC1OLSYuYC +ECpnObKp2y3aCq16AwhzK4+MCFSDAI3BFG08afCQmvi3NP0TvQs++8LvRQ5JFBI1lVxWTaJkgv4R +k16Tx06hpYqz1ORLUQhYhnJecNQtJWd8nOc0X2kX7BzuDt2iwsiZNKHDYYrmJ7+H4mO07XDX5vxP +IgsGc3Q8+9rbuysUKcniVhqlx3+mR0CqMmQkB9Subq8cDAVV/BpoV6mpBcBTt60uPOkk74nEnHka +5SwnNAn3x56JmZB+Mz8LKOSblaCkkTipaTLFykYrBVNpkDLlX2SValhH1o4rESiM24a67Z7q3q++ +C63ByJHRctdwHZE1KlgdgKUncsyzGYzgY/uOcnoWm+5jR9sMJJOtKz1MNC5WVN9yNWVYVT0nvwXe +4yG2d6X0ZEN/OOj/f5VTRz8gxhUrZ14D3HksmW/Bxjb7+ugFMCGx4z/7zanUuIExPfvzgnBzUbZX +N5PMkJel+Oo95EDj83WLf80SZWMTEQS/YUKVjOiYpy1J+iWC6dS34uWwHOnZe3wiMMNjeh5SUPHR +2bEvcfaXeKH5OEeERx4InY8rR1lIhKsymLumFYo82tovSz726xPUPzWOZzcl1TAylYSx078qK4ef +XVEISwSfeCRv0T/GkZs2yCQbfPaz0PfSysaexXFAIV83SVx8BWSdIqQYpTufPGKsnhsaN3vccK8q +KY696NXQy4ua6HyewssgK2Ait8hbCZuZgJGMP8z10P5UR+pC8lAAlMOgnUW8Azmji4rV2NZorAhk +8Pus9R5qO97QvuKWHmHLGPeU1d7a01NaDI21gSZ9G9vRdQrb5JMR1HAoiV7dhkN8t6fhWhJuGbNB +QTKwEDySgyVF11KyXB+NC5YJKuyE2iMnlNiDszH3FSCKUnL2FFoCtGuB5fU0+lwfuBs249+H8QLU +U8xdNPWTva0J/Ztjny6HtN9dz/z/iSX0WTs7uGqGQuJ+jg0WVIqH2tvbZFLNqt9/h1n5kKQkdWfa +6L1TbitvXJZp0BYhao9VEd3pc+Bi9So1RRhiu9+GBIh0KpOrCcB89Nfa0c0rCdRYg+HWWC3XRyMM +1w5c2ZNtwskiUhji90vO0MFtDw5/exVHX5EqWsFwYcuvqnJXJRdmbwABy3lPLmibz12GsP2s5IIE +lZMm/pb8P2spW0pU15PFP18HTmPkXlNF7Jv9ck94mYt0d8tnhArkDW9cQ+eH0VHnNn1r9wNbYpss +2fPOMhS1kTdY0HK1wXfzwm4xFIx7TRmL4RaKQAFTJaStbXiJadcU9vPlGI+B8B97kTAmOkAEnvMa +DPqoNe2nSoHkuhfk8tGc3LQEJRU9vqlhP9diXnwpXMH2A3wWX5iKWu0X/UTfMPsEDvVFYzn0X5yy +BV4AdIW1z3oG1SSi+saITXETrsOwpcKLmYdSjv7pwWdQd7r3S7loLHaV1YasY38hpk8KUYi9GDwO +3HlyLPBkBC/BInN6y4srpwFyiF+VCtWvfT5joALjQ0YH6L2o3fjqYoLu0I7kr2M+Glar0wl5X5dv +xrXFjNRyKeQ5hvy2uA24NrtEytY1omozGzNtYodYtyeEXX/gczc+zLhxu7FXs5aCZbzT+n+5fdhN +U18MGI9UHgrKbtvZ/ClJKW3Y2MYN/uKjrkip8uYr1oyFY12vEKZdxeY76sQuMo4j94g2m2wmmIBG +c1Aod0O1FC585RL0DVu2zZl/EzbXP/bYgyxpZN683WrSj1gXYMefCco8kIeSXM2bIvpTNi7qRXzN +VQ4xb/+eDLuXve/CjQ1z0JnzecdpJrNp6B+DSHJvqhRjqJOBieJsp2bXthUuI/7qzdYqguFhfxbR +p2LvoWhZAFbfsMi8YWLjX2X5DvFq+CKppAfZnqR7RMzZPUB/8mBhUG+8FdDKahIbSzCr4oYHA49C +JmK03bnsvga4FZHPy57Uca404u5tSU3g05k4eTRlGZVuurywl+mt9JhCe7ulxrq1Gv7X0LiUVROq +Gib2Z/EQy9IYipSTAGjanqy/zNx/ATZcoWU1IQS7uRlpXBfsqCyIn3UICHDT5AeBKHjq74riLoxQ +e4cdU+wyn2aCIp4KI970Kt2Ru2UlSbOpNRF/JZ0p8tTZhkKhKiCRL9usrIrvwnG1c9W1OfIDr2j8 +XX7c52gLgmicHF5+DOCzaplUUBgclNadLWYjr1AXjuvWQK1Aeix52tGbI+5Uo0PYZC+lDX76YCXL +yCucXtAF+NXvKME0hnqs/wVvIwCC+euzHZjcDThCYvbOeM2H+A+Oy8QFyOkoq95wUtTEWKtSIXEb +wlZaq26yaQBdGPUSwjBJpNt67m5Qky87j9dJsHq8xt9L+f6TTtw+RKJPFuwq924aFIWa9zkbdV7E +Qc49z/Z7/LjguR1CQNHHLAJvQMZKuoAywmBP7JH3IwziGAZU+52klfpoVe4wkqkr+SAUa7aZlNoY +RLVaIDYBo/kYdJ1Ey/Ik9TbWnbtoZBj1M820Ombn/gkppKWlSYs69r8b7Pal3NLG4ktCisikZenY +YLTb6+TwDXgYL/ry+RFoGWmaHFAE0fe53Xlsa+Rg7Vv3LuYxbNB7I8jntYR+tl/luKdB5qAbYWnr +HOnOonzpsJdnV72lPn2HG/3mZrOCr1GvCvi/Dkpxbz8ysNHdqvhkmgv0hIRhOfaXwMi8krHcPnsh +5SeG7xzFxGOKTqIvoqxNdf0Yvp4vzynKp/tMu3qeXRV5ayZUiD+2Hx+uksCx2WrcEQ7rOl9QxT3h +tIySQc44qZHRAdCQOpAPg+/uLKkEfzY1ylRQYFEeD5pMRmHzwxuppiR9bFGjCLGkdM9nGFkAJGyS +1bEcfkwoqmW7mdX/PzVcgCjQTJQdhelKm06hCck8815ugdkdSfSn6LE5KP+Fvy4uqddF7PaTPhy5 +EK7kvL/3MtD3P3K2tnKzcCE7jX/qhBT8udSsuJBp1RF3BIrg1IETIFIZTdPJRG/N9l7IGDBwzfEL ++8K61X55SsFQRLXqXF2gkgOnVz2QsMahFfcoZGdne90GAaZo/DALPebSeA3JsGJyj5ib15Fuhc5a +5oHMNToSovAIdXZmVxZQ66jbsZODCzgn01YQTZX5lAG8QLRq0pBIcGTvDE5DtBOe1aaBRW3vaUSE +sCh1PtiXaSCWeZ3Uh9auIbrug4F+x1QEuuCUoakzNGL6uLPN5L5RalPyyzdwVT3wMi++aE344pjs +C5HA+ioGPCvkt96iFhkIasJycKoRa+RpY/y/b40fJHykk22JuZZ2YmE4KBiiJb2VvTUxrTn9ug2B +dygKw4NvwMYKq3E57bkvANvGKvKVOaWzSJMyu4CpY4WYlmdmejwsCehROdDVT0Q2D7PDe12n14Rq +IJNHQTQkDTs2FqFUPv53Nrm9ldjoBN9YuIiNtbhyvkUH8JQQFUqQZcMuBXJHLJmozePPvjBjP0Cv +HKDNh9m5lei5PbFXGseudnHOvvlvqfxHape/1V9b8zuW0nJr7xNO3YrQtXsCz0/X8uJYDAEy6/hc +5xJCts4rf9LhV1WO2C9ojcz3C0EmlbpUNG5H6RS9rE+D9u9+AmDh1nQsxAfkDqn+EgR3AOBqe7Z0 +odURlKXH6Un50gf6l1VUHcXevJmJNHAP5eWxvfaR5ZjmdqetKSQS/iZAFhKI1sNsiW+BY0Ps3UQf +IYkJ8kNSPtCu4YvyKSQ4jnlX8ZhF82RVIgNLy//0I0femHwoxstA86fw9WVdJBHNhACqXYR15kjU +SLA0Tg0Y1LQ5XHp7fywChGwXive0g4fgusofuTkjFBbKqylkrK7UgubhI7vOGFAHfp3I2sXsb0Ib +ezsQAoQQ2vToe0HYXXs7rcWfDjhvMNLs2btmsOmbVWjRElYNLR9tfn9IzX8gPtU3ih2Y+Q/Z4yRa +ig2e0POoTocp6DFtEvW/N3Epfa1Mpwzge84T0AbVbM1N0I2vS9II1/vh9GZpdNwJ8/kT5Uj4qjp6 +MZDpvKDsGNV+cfyCVbnRISreCDCXT7yb7LBm+HUxPds+SG/jgvKyIeS15Q75f+xfUqlhZbfEmqAp +ohXC2VjNRw+VmGkwcBJxXtgoB8ou39MkeRaesmzbAa6dS4KmO62oC0GV1qjww+zdcxohicM97Uhj +e8HlhDYeSkGjZ/0oxgWHM70vDDVVe8VLMsdthOeg8efc3Dg7b9Xsj5oje7+jIU1eWnfPpETy2wHC +/piSRA+yjvBHftUpcCzYMOkLOqjZWUBI6Tme9wXm4XepYjwyx6sMd5xW2lXXPm15JWZEediqmhJ3 +Hx1GPnZcIDPwTx6d5k+oINMXfzULe8t8HPcL/s2uGN1+4s9e4PLkH7if769oV2q3Qv5Yby29PBSj +VxPEYoq9xgb28medB4sYct6ZYibAFqb21uaD+GxPF+/Ky/bcicrDHf207ZGlpIwbYMYywFskLD4g +Kg0VEI/gUm1L39UCQSNy/w1dnvZiMFK4gj1dMwXrIzNXnC8KJfJEOqLqczl/ZzemGTHWOTH9NA8t +AuYTA8lMbyN5usEv3JuMOGh4R1ilKNytLiIZAh6TIpjPgOrJ33QTT6wpZWJJlfi8NCquXc2NVlBC +0hE68ToxdOhazO4OWLnSA4U8kupwkmAlV/InOopCLyjJz/Psghq1tugyp9S8DSwt8XKPMUyBnwyc +TRjm9Epzywx8Hk7dQf9+KsZcA/QKF5Kjd8RUjBWwxa8rz7fDVQdBw8zonr10jON8aIdQtKxkVL3C +RKULUljM+AKdL16clRvF+ChUcxKFOds/8Ow2UxT2xH5iAK48dhDd2QdCgX2jbQAKZ6KQcneJEeP/ +Cku1R0bORotzCCtBl8xgCaKy32AetIBYQ093M/X66K5B9FFSvHWp2zuqiOYERwvLRIFifuK2HDqj +hJNRby8rKWR94ULkXMUvfob7QBWSfX1crToAhcBz26dVRvhK2/zjLAVdfwQCH7UrGMBlYYSb8Jsh +4DfK9od/3+PNV00Uua20yNIrT6q1gmheb/ozrSp87A8h2KzI3lojVczH7AGTEF3ontfuMR1543ZD +EQGKSJiv82fx3isCL04fpduGdLpN1JJMAQDLn/LzeT/TFXuy4h7eBRO/KjBbISjBrUG8tfqkM6ZY +fZuqFbT1hE0wtvwjwZo0gVvclTvOFy5hpSmilpLMzK03yQUrsXuiGJS6HVtoZ1Vlr6anCIe7IZNt ++68/tGAfbjx1lQWF/NJ7lCuwdQbxYHbelEbP04uXnkgzG3YufPUMX2kSGv9DCb/QuowyznZyepPH +rfmnAY03Sgf/LkTuRpVkP0uTovHSXm0Sm5klV2Qzs5+p/BAVQIFiH6sjOoxaDQEKwmlRzDA1L35B +Cb70YCmMzMCWi2eN2M5KOaEbUydo6j+VpMxelFWqh02c4oX4b43R69ImGvqKdKSjJ7YX348iyDLQ +33m0ARz5btpqA22wi36DGnEV1hvifSbcsu5MPDQ7t1gOITfrDuaFE5YqEEC7xBp5MnyD5nILs82B +KzKA7CwLZMFZE1kF1fk1gRMiy8YFeOMaMDNA0xkof0pArhoa1mixoY3UF0LntNIL0dDNwlGOwequ ++cKbZsa8QASlgEDqatbG+1ym4Vh4CnnZ5MDEVMRjpY26tVmOAIvZhlcDYD0HWtY4H0O/VHa1Dm/w +pnA3OM3U+MYyqR0vlEqtu6yO01aMxIyexfc8W1ujmDrNVE8vG4ce28Qy1VOkU89BzSN9oDVcibPS +SCUlZiXu+rXoqdT8ueJeWJlq74k3sd614Qwr9HazQUB4Zusbsu+MD4b4nstAdTVO6pxUqL+LLDAQ +5bTD0iKFnttJ8NfcbBtIvW0GT9/Er8ZRF6VfECB5PWG9CRPo/6yOELuU9glonwCISRTYYG/NIl+I +ORR5paLajBFdTfFS0NvpqzFU3y/RZQz343NEse6IIBCX1xQ8EFy/5Aqp7VSbJ6hpKWF0u5qKF6R1 +jUmRogMvaDu1ypbi19rEkW/CmnDVmQtRTNWQj84cAWTUbWV7AKvq8nR5fgC6ejz60XXsOX58kgFT +QeKg5Yp+PLJT8CwJ+H1uKsQcUs3yPz7MnsWk8oF2tU7f0/dTkp77+gwuzeSTpoAALwsNVT35H3CV +YdQcYh8v+q5nH5QFSLiP3aDfhi9IImoiKUpTEY9H5r0LyM6fD2Qy8kt2lN3675Hn3OU2ywi5ZdBR +VdOP2inVa7ISBZ/KiX2LZUoonhANMPzM5i/gcUrE7DKTQeQoHYNghLNDfAfGQHFz0uDmYKyruyCD +5zukbBmKYwVKfIUKJOxBr236D8VVNCYjNhs7JnUbiIrO84ex+BI5MjHfWb0qCeg2EVrzTnL5IW8/ +hde0rVii02+0oNJTcM6/51ti+3BsdPQUm/mcr4AsleLAsLvjf+7MU7lDKWNMAWTmI1i9gnsABt7d +kY7NDiYleTUE3Z/J16gndTb1HdTPRVVwJLriY7EguzqEbRE2RSenBxhg+6f3obkD9hXiBkqTyrmh +JciCSVKqqug7BZeNgf9Z7UqC4v+gAx3Ws4K+//KTqFJKqDRUE7tfuBsETdwrauHw2x9QWOiejO4f +D5i2HAbwdUVUof8LF8frlmK1SGg/teI4mpHqw0JoyderQiEpaLH3lpmVyC5dSjwsfS/HgQITSZdC +2ZHxigYPOlk2tZDqxDp6r7mjb5aDSr3jl9LuMtDaWBiQfSiVpLDyWXv/vKiMntyN8H4M1Yp5XP8L +yYjgKmVydAl7MfIOj+28YqIs8SsG/oK5mksd5lH0Yj2po5/oUWddEJNIubA0Hi+2rh+rJsndJuZJ +x133xmzryyMg3lMIX8Eg3bPoGyAEQFZJ2qngjbJtvKrNKf8F3tAfJ/er1LC19tcHY088gwUbLEWL +6jAb4jpDrmgIPI3pxllZwjEn2Brpfiya2f4GCjVB+cZR8LY4cG9J3W1/hpicgSIO/3NInRJDUYcp +9PHDHrcyUK5SlWF78tExwyf56NV/FK6lc6duIa1J4+am00i2x3meGfvjFH+xNBHGAyPe8HVU7JwQ +6uiumPYX9KokeGRi0BnXosXObwSi5nNkgmF5qV17niTYFtHnd5PFvnQce7vTFI8Tg39CPRhqIvZX +APAljaUWONqGrmk5wQ+HmRxHmjW+4X/SuzQEDvCzhZVrn0ePDVMq9hvbmXiY/45eL2G1Idttexdy +haDKQw06uj0JZgKd55Cu439/L+7/NbzqeGO3GNiz6Vn0/jbzS/5qIszL7BTh5zOK7fRXtLX6MK1Q +28mBHW1hiMi6MIX3rvxtrg9v4AGxiJ0HxIvZ0G3eayGVB5kA7W3miwXyq+6W5AewRRX3dtKxyf4p +/k9XJfxgpXy6Ghv04WghWa7n0PL3H7Vv6MefuovHRiyUcadhp8MYjcqxvzM5apXWR3VjXaIEVZ3G +vF1kdU5DwcoMrpzIysuhltdhQsTeDEnfT7kX/oTpUrAqB/sudk0rNMsJEE++0c1uDBy46LYsst8E +oA1t+gy0mW30CocFtmf9Q/Jctz/9lqBYG+GHig7jvXd3aiHGVdHWeBAmVl99KIRTMlC1i4emATq5 +3I/TRTKbm3w4fozoCZgA6vzr/XiBXF8xQqELwwPwA9yI66kvAo0DPxzXSmRKrznXFTxsvdhBV/k7 +tV6FKFvh55fvn/MxhEG66Khmcc9IiW2a/sC5DLvyHbEaoinz+cs7FzfeiXA/n2+Y3eXD/Ca5QOBW +FEGkI8uzU1y16z6nQg0+hxkgHwC00TkR4fMhlB4HZ0cRD/Ql8EfbbXqR9o+1v2o2JJQODByctTOt +PPhva2wcQvPKd9+3D7CuPxNbAm/0RnHoIUZwxIAQdDGyG2QAeJ7MPpWWEMnuEBa23SvExBYe4UC1 +RKJrBPil4zxmgzVw+6QhnztEvbOEfjA/6CJzsgcc/9dZQTBvNoN4S1dPT0r1MnkrqxlcM+S8+Zmq +zIyXHNuR4yZhv7XGnN3Aqf7y2Vy568xKdlWWaxgoQ1ypsmNRQSIBEm7c/lke/mPDBgpcvS7kxpT6 +OquHnYmRarE941/gdgt7+fP9qkUO4aAeeaVpe4zvIdDw+Bbokk9UFT0lmjtnE78UxYHTThy3Ak/h +mwnogPcEtLZMO9GsMEGpoxlBazZ5705q986kNQMzPm3hxM3EouFjIHksUPXiwAYqn331c8LOf501 +0rzZV9w+A4FpupibV1cO7uPddaGMgc6jTHEw8mvYSnJ2lL0erQgqMNQdDA5x574JgvrtWS3LmrxH +8MorlUN2LqkY3u8yB18F9N7wwb1ix9DupVcBam2OsYUvqKJxWXaohevhDYIJI90zIof6ptkEnecq +JCxu38oqDvx7rU27JUz3Ue0XJIlW9Avydxwn7jJBjgo1pCmK8b7JaimH7vMGRaipaRC9s46i5qSr +F66pAJOYYGcTXeYqLwcDeFVvHtP/8M+cT7bTKuyx9fPRESuahs/YpoEqvua8kXu6oE1EPUmBysO8 +6PwqUBrgJYsM8g+OubFf4WienG84+rMeoixBdd1lVD2b3feniEWaWmIFJ9b7zjoUOmXPwqa5tO08 +OYfarkupGSAhEQnbt+wnGV2MYK1P54vzr7FAH81dUU5Z+qkfFRT8lkHIMI6cr9A4w9FqNS4ql1Jk +0MX/z3QHlnfvNvmo639KyL1VAT+xBV/7q3pp39V9vmf1k1jS14563lKA8r5z8aavwJU/VOkMVIIw +0FdEPfUAA/vxeSGS1LXSSPXCLzWHOwPzG7vYTEvzv4eVMHn2oTurfDmmnUryQ4aAWVu0lzm7RV29 +RnRcoQIPDpzEhy2bqOaYiqLisnmpfINlI8dJCYEG8XW91/b8LlOCLxeXZl7HF6yh6RrdHwQ2KgL+ +ZLNpIoG7ujY0xEong6vim0fVcEEyd24THBawbooiawjQY6IF6AxFziFhTH3tT+U8q5Rzj6CeeMSN +N2pKKyR+tRMq8ljD1kikVMn0f2cIE3B1hm0PxILO+0a0aIRhSQqOOx4UtglM5EWaDo3iMqHXpcpt +r3nYPSy3+j6ASDDm+EOuDwve2M8DhSbafW9lxdGK3P8ZSiw9KLdnAIpNHQ7y8dCswAlmhr2LYs3m +azOa24qLuXZU+e7J6cq2LWCBhW/7g+YJQROcsdo8Do8UYjWhx67qevPeqgA1nfBWLMrdlg92ogNn +kDImVX+xwgE44341EcHVtzpUpllj8Pt1lmyeEQMwB2+b0PSeIFnBIGEsVBGxLvcwGUnXSwHT7ihU +tMxbe95plgMmxYzhmXDoIJOJ67XgOme006QDky/Wcqb7MEKlOIoMhQ0Kmf55upHMEpMnaSo701fB +7eyKoFR/rkImB8ifv5ZQLSvItQ37r8YFxKOCKlWl1fZRbQhbUAUFUnKVE44PTdKBlyH3dsZ7vs4x +N36unYXBxP8VZ6i119+m35ieBp1JulNMxnYAGKYLEN3ZiYzci/ChBvaBBcFNhdDFCA2h8Vi56l/z +YxQS/Na9uOgXyIvaU1O6kWfISvO6Gcn6gAwTsBzoWcQ1K3MaHFDWaepEOIkfAwo+ESKTT/KIoMA6 +TY1epCUupr5wYS7N0kMM43wgnbAuINAsnLMw0fWLJ/N/+PMYERSV1CQQZfR8DjK8ylS+5wOVgdKV +fs022F1mX5au6kL01sHSa1BtsWeIZRaXw6RrZsWDbtz5x7tBG6yF46VTBnVHcef6q93ZU3SB/az6 +4mKw36DlmoopZAk0yNpOnhmXG8ykDjHkCT1aU+GR/hf3cEAmw/4o+JOhQcL7OgS4Gboz59OkhvjD +llzUFI6aCk59j7U9RassqxUZPNfLD4L/B1TS9DUGgkCCp0Spslq9dDP/zQQu1b7h+vnWFUB85dUz +2y/xlodHLxP20d8T8qSYvx1zWkB5X6zPY/su+QN9qEs1LJdlFfB/Mcmi8o7fLEV31PGEgitt8tv+ +Rn+9TJnMJRJiRKLT1An1O65+p/qjfn3SG2lXRhIuMQwW1zxSnGVnzdnNe7Gdo2Wgkk8CzCarOetW +p9xyeAoEsNpFt5Qs917YTZgfZcoAWDcu3bAIg+Sh1pu0kh7JdxASEQt/kpaZLvQmGtzCVtocZuy3 +VZ1u0/incIbFdkBvJSCKwVkU8dEDpnHXKUa1+TpQk64mrgA+sWh/rR7vfrsWDwyWn9aEmGfKrqSM +EimqL6vdljnBG5/7ghmK6XR3uo+5eqF2/mG92PSBWX9jbAJfZbjvIxeEA7tVIy5Mv4/ei4VzidG2 +7xjFYQAiXlt8tTzi9OW/K6EHXU4nro42Te+huyx4hofTE/c2w/6bVSe1zBhNYH2oS8f89xeIDZL5 +2URTKGcNaDUwIsgv3xrIybXo8+NAeH/nxgDxuKBWidLAktI9fhkiIXabm8XEGpG4jtuW/Nf4Ka61 +Y/amVIETe/7uuurblvlvKxPw7bGguLIYsIqDjMIahPZUlWBbwMto73iFQBztd5ciTLksoa2BZPvA +4ow+jGuwqNpYQg9rsUL3lAH3cLXXbb7Oyd1sxRxjAdQ86yvTY6ujqqpq21FTlQ5NTMfsFMf4vqOb +LOQ+mXAlZEU70iRTkJMzbmfh3Zw83MtZRTlYA362J131EI3krFLiZCIByuo6oXMg5SYj1sN0I1SD +vKwL36idwVnKY/g41llldsCycBeAdHQ8UoATtqdnk76jmyVlGnArm2AJ/ec2CT3cxOjG/bTijNZn +YSr8XQ2al286YFarg2meAR66ExL2VlcJ/P+sWLFYLFmNuTZDScY09uz/Lafq+ONouytkXFLEXGGP +sda4upNv6nhgE2Cvu0s+/ARX6Ep10odPKTBa6913chUPjp7rfe7TBQczH4+Ti2an7V2E3gNAtq26 +KbSsUuLY4qD7y3q6LVdNlVZhLSaeInjEMrpK+GLm04gqwTcKO7u2yEDd9+wIau2plkbbktPBlCqG +I0db4fX/3XFFQIziHosFMTP9vH4B/i3BIMp55YgKYDGbnGPBXev2P0grKBSwcPd8/lTT8FLrej4s +Kx5wNlhtpe/5h0mcewZYmNSxDTZ9ftFVio0DMNxfzs/GBPqRcSlbhFaKQ9I2rDU37GReMuNQLqQW +f8/xKvMKm4Hd3jnQ/sE35Gtmg94cdc1QYfH9L+7NGMbqcbSx3x8etjk6+8QFD6YonOTAShuXP0TV +7oTuGL8Asz5hFEne9eWU2qDp2sgpxvwWPKVkcwNC7BiRfXGQnM6lj9wyqCmDCqdXi2N7isCTIqQE +oqoYEAhntf5Tn18wskhdshD+RQ2mxLLijrRqO3mm19E5V6x5/MybXAqip1ZqksaK9mPUsnLFTnP9 +I20v+ZccYoduwrXAx7B/NlyuwquEXXay3thJKsI91YPgm5D7bzmGqhRwG3vTWBYQMRD93mp/vYXy +6SN9prKz4JuuuOVR7/PpMWwDuDrz1gegLrXCqkB5SxunGfdL8ZzpdHr774ONVVKAK+FoskH+kaBF +v8/t9JSZKR7YthEQiiaCO8xOPcUGBPESdpGW4VjuP0vXfn7mZfYuFcfW8Oizgg0BKupT+MYSxoSk +bz0mdVuGl47r2NhIxhfAtGD8cA5Wgy0byPelo3h9crKdW/bpw5+9EtSA3SyyDOM9m0rm2fJTj8Hd +4eMLiVQF/0gJTcLEaMhqzE9ycsjNYLwjLfWzl3L6PvkUy5zWDTAVKMcUeRZ8QG73ksVIPUsy7Wxf +WjYGNWcWXlnKUdmjladXVqP3U+SRgWoqLDQchuy+DpJ6T6s7Lkz+1xXAqtUGHkWChtq6vIDJjivT +tfDOCaNh7uY1IpYEIMRywv/ZT194Estj9al435xU0Fk0YH4tqE4/955JO6QgyDDzOS9vYtJ1OOb0 +P0TYmvcW3QwgHhAuQiwFsD3Y+93gLcNdnmupg81nK/j7xYGAPk5MQfXfTp73QEXugNqWX6oqbqgX +EMRAZwSfYz/fahJY44tWhs4ZRtkJllOuaFCgv7olZx9FxexQx/elaKtuJAE9NSx00AaGn626Fs8Q +1HZFETlUZqe4aYtPpPwoL3DSKPH1r0+8ySBHXKi063KFSUt/yQ6g0VssNM+WtNq0a1SesU9Jpvok +KrZMQvoWV+Wl1nG2qVb7x59carTTbDqRcfe4kprtYu+mQJnLEEO6VUaYnP4PzE0q9BogfYwjtjdz +7/E6RjSxKWAnjUqyCYa/ui9T6y8RC7/3lvD4eqMOcjiYVG3w/qaKz1o0ACh90uLUzDEYiFbZRCUf +QPVEtD9QILC0fowP9T8kYMFh0K+b16APyTJjBdJvSfQ43SIDz9Aci0i2kjAU6RCZS3h3W/dal0yw +eROa8pTOgUc8DFBt2EJy7uKdD7+qLNeDqhKU1jzebRRTeARPGdv2qfcVG+mxqXvOY+eA4+XLu0pU +dB/cX9kMgOw5M0IGwTgScC7XC5WkWdjbXEusPyfvFx0rZVqihsfG7gf0npcG+RfvGviwR2xlkPDZ +jN+PULlbnFNa9Y4uWfQjmwr+3ek1PF6g4dC+iVQmIoCN9JEACE93bVDaPVirURxBTy8Ozb+ksCuS +wB39YHfZopLG9thUfTv+W6TDp5eR8Im0VfbTRmLyh8B2Rm0VHS3Hh16PBnJLKc5aGA6LBbnkDa9z +kuPDmyqhA6p8l9l8Rrg8bz4F16Hf+FGfMoph7bFMdjxCE3+Bbt1Cjudynn1jGU1Rr8aCDK92+o5X +ihNEzUhFrdCbQkDmDYC6Hl5s3yj9N1aA1+uxwh+ZTeoPS6svxNPk2pDLgfUH4xt1pQX1KX6ftc2x +z/yr9592mzeP2fRuBLgAPiX65VfToCvqcSZEucpQqNrbzIsE662MFUD9xd6zGY8ffcSxL74lIg9h +MlRJ/kasKmpAyyLDICOxXan1dnyrvcqs6REjkGwdk4cA/tLjt11WM++lsR3HA1iIlxdwEVhpbxw3 +ENQaD+IL2U+M8eESSvQFzCnPgAzJMusVMOTl1/JWb8g9dApP1x7fthoLUrEGcIpNvzsCSDoNuuwZ +orQbnDnoCFZfTm3TT1C1bZlKJ6A3tQ1Ij+8GIa2y6tqbZBHcFYy00X9UBYMIAyZOnEfe+VG+rUHS +eX2LI/gJ1Vqm2AdKBTtHRrsIXPLJbWHvrOWmWB7ECJsRy/Snye+OdQoHKVeNzXYTBVupSiVkvsmA +QIWW3z9s3jrqfKYcdVehlaYmUUlIr0B4IiTaLaWeDck9j5AhG2cdPVJtohRuIonVziuQfsA6uLCc +mcSe5gtrVOJ3euIfKvS3rkffaJH+KXrsqOIiOj+eamCjvl8K+BBFu+j9sHGkvBlQwREI0fyVgfSU +0ffeVUXSwnMtXlPNUb2AEe2nhpL1ZnjT/4A0jT0+77uCC0OyeDjN+SmN74bdYCfjOrbD1wCGM+KV +ov7CfK1XHKEazVSyVKUluVJHh27kQQkajL5TnUOapsvQhQDmmkRvdcW2LaqoBaPo0MkBa/1WcPJT +T2IEKjAV2lSqGOzzu3objNQRe7lonT2PAkSvhkdClNc1+OpWhk5//X0Kjdam7PdmE1YjUCsVjdkB +xlTgxrUPg3gA0Y7/kKHo767nMPkcbpcgUF31SbmSuCM4S61LbuF5C2TEHQuPISpgn0LZ3swvLOgD +OROC3tfhfkLxMYEwOw9AtFb1ibonYcHNwvLQZ7U9P/zed3eOaKsWficFJRkbfHtsiYPbiIucPGLS +FIRPuF9fY8tSkF92cLeGFE2aQJT998Evf464jtHvBXVWSl9ErtkmkhPsD0zTzW+uYQiEgO3C5HLx +ZvTBLynmZ0VoD1BabXiawpmCKi/cnBStTFjtj+l8DMxjGrh7QD4/XH68YlnB3/chEmK65CsUE5P2 +KIcB2i/7Wlu552JOWxYxHH7LvBIIQtuAOq6dkdLw77t0sXfOJc1aTbFMhtgBGXHxEOI0fIRSyR0a +nyvyzGTDz9P3ZYz+k0vgoX2n7I+TS2IVayB1k/r/DF52hlITp5t7re3Xa+c9dZk/IE+XANzqhjWj +RZBWkLySX8adSUtmztd5yOTbWKFfil+cxoXQ+nECNns+VqKeLXsOGeeiftaGA/e8y9u5oe6DyeiB ++iCWw5UJYMVnz3cjzo3kkOGBks1OYDJFMxulsZDpFGt8aw3nS/yNr46OjnZqlhSEgQ2/xz/cbPve +X5ycjHPPO9cyU0vii/duYs4HYOHVYLs7J+gHQ/beBISGetzH+djed4zfTSsjdfQV6LTJEp3qQXg8 +fSTKShiX8CLTZTABxiZa8MZYzrBalqirV6++OClfaOqxqI9g4C0SjlwJtbEZZL4pZKWlw85mp57g +sl/E8uzfcQSnLp2E++DSW1MEDp3pmxN34FKf0GMBhzHTGHuUTUWMh19cn34M9IcwuTvbgIePY+Yb +q6Nu8fvvHwG+1NxiG3XlMbtblbrzoljhEc3gtf1vyHDukda6OmRlHBN+fUZL/rdINuv66X8sMk99 +Qe3e+YYobfHetSpTVQdjf9ng0nkl/TkuMskXKKQT3BIkwbaxku6LGeGO3NFvSW6zHkj+tdf0OSSd +2DL64eHaDt38kSAjmTceksJsKeun54PNZMUT9X07BOC5EF6j7pTqxrjTnMOaqpVxpsTvuelAaYK+ +XwoIm40Qv0sR+5Lu19b2ZMkwhhZlfWUS9tbHkfF5eCR9EFyzqtH9A67l2mMd0AkVxjQDxbFBCifi +QIcqUokTK1LmWjHEk+Rv03LI6m5YHaRYfLvQKMVLVjmGgmit8dCEabF3N/IvSRx9dr9hkZNz2Cfz +6yTCjGrxfIyaatdKcSz80mTF5nCxLc4eYRoo5ZNtwpyiioPUt9LTjLS37TtMNVo9I4U5j8g6l0AZ +PLClzBHrSxWAqbRhy+9wW9b3hifWX9dJ+/gcqfwlaEP3fNYYAejibplOT9rXn3USyWyPcQBuRjq5 +d49OXL5FFEeB3gFNWn9Xk0MeADtuLAQZeQGo2KJN7BSk4sajub+PKSSJsSdDBJXGmPwKjBkMurrY ++qQ9xP7k1qhIcfnRMRYx/lGz94RUPS5mQ+aIIi/XbO3xaOzqkJQ/Zc6cnoadtAYAhgaxSrGCKjim +ZW4KzkK6CVI3tnH7TJjubwYliiBifCwEuDjY+Rj/g598hJAWamUrqOPfUS4UtOlaIdhlqnME2N/v +tt6H32H+QYpeFq2N6EUagwpaQ8qyCryIKAWG4UiCHBb8lvtJ9p37epi7ys6upuZ2Xy/MPaqm4Yff +YlBS64JYBk6wJGmwRRvGkVQrzlW20bbxih7rDTbz7yIjoKPl1IIqwUFV1jHIDMnJyxjXr5j1bfVn +IAQDxO9YIXlNnj/ycoCk7gNhzTkvopU9asM+oDMXK/o2xWI1FYUx8EZgGFFUxQvH4FSjhs1j4rN3 +aT550f1yyLq20cq8p6MU8PHvhWRztBFgNPeStNMitaOn2Agy+Gxg7rUJ2yUr4fwgi4M7wsYN5BXO +gs5SJGnM310Bs97PBLxczVQMUCy5oocqlyouXQVC0YE+0E0aA8viUE/8xPhWEDUVWCYXwe65pJKn +kpiT7qrWNHfFZ13lfr+ZUYJvykCXIL2eyjOVosr/jWSTWgPYmHMtlRpB3WSy+kHAYGVHK7yG2Y28 +FU4PnRZc/bZlKO0+XP2wiFCXw6hKeS8UiekaAWCQdCab7UnUTIai1tjgeis1IX/QkPNF6jHzkEiP +nBceVfeUZy7fpC/yq9l7xLu3BgPyxIV7DY3wZbHEv0MramYbwElzSDRrWgOc4KZvqzJSdC5bgInV +CgVqVXL9U5vf+B8OHP3sKVtijl7Z8zM+ReMBLYDaDTRXuuSh0moph8Mdky065M3daYvLjawPXoEt +fTOBGWnG2GZ5634XrObth6VPuB0b6a9xlizVTpU98RdBwRtNq+QqVEkQilvzJNx8qxhCTwBeyIvg +X3URu8Yjmy/impygCmhj5V5rH0jh7d/Kq6HzqCQQ2zVNXg3C3tWp7Sp//334CTTR+fP+U3uw3pTW +jFeqY1xBwKjw5oLh0i2BGBqy36pV+pLWcIiyXjPcwqmzz7nDNILNoaRUHMo+vW098ksenOIYpRsS +u4X5rsD+ZqTPvNQ05Lad5ZxL1u8WpycqsKzHTlnMKtmjQpw4fRr90hWBRCrpEJ6axBVG67XKj95R +kSFvLqLb9WZ8xY2xmKQFbDT6VoEvJjnS8/mD1tBa0Ceg02GDrUuTxSJzl6eRHIGOdSKCK7inplFH +ZTAKLbjYvP9un9rRomCf8H37glD/hGLvai8IkrCeGz8549x+JZfYZI+0pqQttAmsD7+PFzjdPpXu +4YJsqDPlqW79OH9+QsEk7hRym06FqMGUYqnrrcgsA5DLURn07dLVtSNmCN2vuKrXF4U6wzzAsld5 +MQPulq/L89bhx0GO6YJjCZ06xhydNcMxzQZWMMkBah9EpGO4nJ8r1LrpDHQqTDoVz2QlbKyccuHQ +s3VnIfAvnzR9M7CPyzG43uv1upk25+js4fknGAl+hnNslowBqx4/cpIyJ3xotRUf0Ypb9nvOa2qy +km13GfIoEHKf+P4El6rfxrdcOlj2iymJGXDflv04Fc4JeQ3EZyJnXPMQeyv1f4J/3yz0P27hgwIs +sqxtqTy5NFLzw76i2xFhhaRcEFRCAhoWXPbLuwuDOYSZAmk/pTdCtuWqHpkGHzcNB9zx+MxgugUa +rQkhNjX+o9buzenQrNp3r4EXlDBySYw7Wm7pywb+nvdYDDENo88U6SKv02ViyvqtSpJUtixGdpLa +h4Dj2RzgIzu8P9/XudcEyOg20tJJOfbMne4NrfDzbVhDfYYmCBHYL6e9Gi86eSl7JQ6RxaQ4KWx8 +rbHsywSNzl2wIE5Q7tlBV3XeEABD7o/eDgv6Qa+1Gp+6HZ4dg4wrRsCKZkC2OL9DTd591eoLpuiS +08WIzMOj1qUfpT/sBmmlJA6VLVTEXENkPbIPkpkdWy2MP5HcMEBYzrBafODZS1hwPNF65K6mEAxf +x32whCIL4h1a+T0fpfCoWR3ixST8rUdLnJUnxQIH4lz1Hxt5apa7PACHb4eHtMVtjUxGdkp8ZZNN +NyoZxHBtFb2e0NhVFek6x/h3KsXEAw3QSTSGZjHLV7vspXEYZCiTELYV2cB6gS7InK6nQl+HhFiC +FpfKMRBSfX5rx6X1mHUZ01KPEXBXHQ6zpqy5iANBygMSuq0/KG2/vwQD63LQVrgaaxkZlPqhyNmb +u9BiO+cBBqTvMCUapbL2igSM0j9ciZytjNtZ7ZJqntB+EHsL1KDZpX2yCj057yTxycNg0IfNavpT +tSB8NCPdWSwBaTrR0Ji3Ofw7bifXGvBrFK5tYKkond6t4sRn56ifJA8uNI350m8eo8kBsyafQLiB +/uSu2iwI6WW4XUAJu64rJ4LTQh1NjamOZNr+FpQrjIcVhAySEeAINnAF1nsGGrFEFt4BgMxsMjH0 +yS741OoXPH+j/z+h7wbzuqn0bQd481GtCfYymGtjfWXCaV9E7iYR4fdSN+h/jzcsCMXAUxULJSzh +eARJpBbn9dvF4l5s3vC1emcCmxrrF4H+8p3A7FNz6JUIyOZGBls3QTaXR0nySpeCERZVZ5XB1QEa +f1pVIG//laJsUTneKfqzZTrN5fEBldsNzlyUrSuvjF0+IVdQ5PsKdcr7O1yIqB1xSLOhMEGlT6gm +YReskGDbQ80YNAwQPr3fpq3YdqVdDzgSe62AiFHSm3ypXJaPJ1fJEQ0he6OjSk3Gt96yGhkY4h59 +FELlYRGSG7ztFDiCNvcPu5DWN12OizYxa1/lOaadwpgMEC069ttwsImYjp72U3RplJ6975WgpuP6 +sPbX5ExBFKCP76Il13A5kRfT5uo8kryhsqABihugUg5eN1sQlEg+4ZPblBTQZPbt+Aom87A97nCc +mcNQZj07AYS3/6ShZ8lexWWNKeRQHDP/z7/MWU0qrH+0KVY6gktO5dWWNw7dKF45lsrFytGex8dI +Gunv+l4cwarzA3bWHrjrL8QYj5D6ttIFcmY4TZEX11gPLgB26DoUf0lMd2mJhdwQW82+t57zbojH +28K/Qb7+JDM4eeuKtIwTNAcVB2XUVNWVPvKvLkJvTB479uJCobpdzDRKRVFuv2xHqD4lWxhJymdc +9Dn+F8X3QE4elOsCE4rFv5dZIzLny6VFzb0RlpU0EvSwRk1uf0Xeic44J/JfonlZA7Jiw75UXFHr +b98uZ+7eBFRxs1Q0ZMBjzNv36usUdSJyW/9MMuHws540qbWXNxl3B999SRONTLjHL3nlFGDD+G/8 +PAf0iWgNa1t1yb5n+dPqmccowWwsuiJKfGfL8Jc/AFLxnQgRET5IvXDeQx8ArB0WNjUfIeE8m06N +CI6aAb3Zq27/fDPAfWwKfOQRz6RwJeR8BvsmYW3vYDE9CXIQTyZo7MoB8lfQ5PhCDaFCBxtabJvT +kivMfz8pcaoq/1o1dWSg7FM5D4pmDmWLtBOwimssiOPJtVwB5hVI42wuR7ANLMfX6UiS0vWF3waN +P8TI6FHJZ11s+qAvKnNdsMqBOT73Qq1U0ttH5ptRBgEvR5VGQ4tthvo8vBgLwHMVgttpwz3A2yd7 +DtsqpN6fn04dDoEvptFbkKRBdoU4f/vhyPijO3mFm/vGr1Y3SG+oT67uR+1f/Jt5Y4IIh9Aw8bh5 +gyi337h/5k3LBAD4eMugOoEf9YLanNDv1oWDKUMTluRfEck1gBNeN02q+OlGkapugt5EyakPkKNW +/upJL3zyJ9hD2ufzg2Oz+m/xzBq+bneRA43Oh+i7pfwT/nkzIFyWDQVs7L7uz6gVHdHuX9NIBd29 +jD1ZdOZ6FxORi45gzgEUqcOLe20YraVJ1GCTqjrsoJXIZ5lbv53wUEoLS2u1GJmC1VDGztqFi1Xz +geJ9j8joyH0ZMHyiq5m4Ze2Y3VmJE0P84I7norWu17TDfXLnbPtCv/yz2X34n654P6Xclc3UDDBb +YdbLIPxBOe5Y5KwH974G1/Bpr/L/DOQmUPk+krMhQbkaCEMSDxUO9zpJk7iN4eWqLNkq/2RGbRrJ +jJOGj+9RkDeeU3cmTtrFDSzNLAhRY29uVf/1fjUVflCFE8sSz6jWIxSPO9EJ/uYn/LBkQRQIEv8a +sMsNr8ihyzp84Bgy/844LprnhGw+H5pmDjfMImH9Dq+66wEjcYUJ7/RTjoqgkAkJqVhZbpeyEqPM +/n/PwHsQm+KEGDvUuNjYHDOc92v9JzHYit3gqTq1VKoOnuq0hRLaqZ6JfmfRYfShrKdoLjoctDZG +/SfDLeYby8K9v0eTd4GFUbiV5htAVfXaP+dz0uaBBskzJSDslkuPNdMUZ36FEmibJ6Kfb/0Lzaqk +bJPdSlfNNsIrzAyYwUAYNw2iuvIO9TwGb3bAKfoI7Buivm/C7fsIKG/f7wQFdbwgVq5pY5ZpkKuj +tRHitt9FiDNXBAZ21+ixsZUaiRaqW+zCF2kgRuyKlCgZ623XWfJlEylbMJ0BguYprm6H4JE6wxzw +B4lb+ZTPGpGtTh5hjJAmO14hD1cjgNYuu4P9Pn/TlNqYecnIcTchmi+mUX3ovJHsgQxSm5hLox17 +mjp0BcZ//oLME0pwUOY+5SoN9xXmYVI9Mh4FZMupBkIbYf7AZtW1wc9eudax39hOTbPgjyUR5ECK +ChmVuZZAj230Q2Z3ABC5D0agc3GffQNl3gTEnVznVbuF4VEJyM+UcistT4PcSfKddFXDgNVmdc9H +Ng6NgSX+QOyNYJ+mV4xY5wmbf+fZK8VrR10UnuG5zzMimq7yTtpEpD06Lu4XKVrWhE0Z85X2qD22 +YflrZqAdjOq2tcSaQjoSoZhcJkoL3V2XxrrTi+iEx2vNxAyTSr5g7qda4OGDBzy3vmxhAYsSE+l4 +igpONmKZEkJ+2ejEaX850pIlCIeYgiOlkMD6ML8ulcv7vJ7tMPFdmL57FqBo+Xqe/FGNoPBPn56x +0tTTidXM59ynvOKCB1gONjSaq3ktgmUuFdjzMVj+hwSY5upXYG+dRRzedWtiHcm1EO7oQBxQXzmq +xS9ttntB0nfmbIvlpDQg51zqLnkel3ZzduQceCgeBUMoQtSlUmOYSKUWXNN7tnWDMlprr/eczisn +0ggWvw6R+4UA1z1mTXPK0/WbvI9jAXPBDdyA5ZJPjA8EP5y0SO4TBsppzPEAla4jkoRnlptTEuxv +jiochVNvkESViStILBfh/tUEHvt6KDLC/dB1I06m01z8LdJJ+bYbq+JdlAw/IX/cpW9Zb9U447or +ZoS1zlvtkzZlERTfCJ070nDrLrR9LBU+nX9pYOZIggLp3basf24NB0j9653gdMtqjoLy71xAoAh4 +RzjEtqY7MB3I8648/0jAU6OkVMuHzpC3OKcWiaZmtALvUBUoJ+QVkOP1W45dJmUzEIqnAnY4t8Y0 +ElgyUy8MOl5gVvjS62XV/j60Jo5sRHfMcAoe37ZR3xaZ5T4edvEgT4JPIDUgCMg/doGUMlfBUjks +b6pn2FTQlagNLDAeVj7adsCeRufXh4SrOl1V6SqS6wQFjxL8f+l+n+9OV8ExBDG6yL4gf6egM9dy +n/B3hYUeHGtSbxLF4mStcPVo2uhCfYbjFuCfStj8B8pZGZKIr7lOWSt4neGSUiVQ67v5JfUSaiXY +uFENIauiYjYWZ5MRbqWQs6Mi9TP4qIxCqtZ9Eqx8U/JLxi06Db2kkPU2MxTraOYw2xYis3+/2bPE +En872pjVlEFLBsYG79GPo1yeRKFHri6cqi/ztBo4ultqObYW/qVp9Q3AKtwrj0gQvROamtLxdWas +1VBA7hprHftRGlL3xHsL29o2JAnP0Uuu1npwjj2xGCO0rCZArwW8FO7TjcdmcdpKNi3OCqzcionY +n3gY4sHoyZEGr0Wvkn4VrIZxkDFDApAX/sTVkIClFkfFC/0SiI5loi5m2m0RUd2yOr03VQr9EoZU +W4aBnPcoxkTtdpNKvYvBC32jo93EkLsaOc1MkMcqaKcGJmgPOP//e0y7jKjqCHdiy+hv4MOJT4PB +0d0mQMzLVFOPQVUlX/Sby9O161el78s0QWPZP6BJPc8Jezkb0LEl+mss5wOSBWfs6jYgxW6FOqjN +8mIFCRTBw9Uux9UGEwjohSmJIHG4oI5U39RaPfFiOiJROYXjj25a0Dn6PRldPF6sktE5Uw5/tG2e +DWkC51RRWL+Q+KHO9L3VbVc6++R139lTwB5ftKSx4plz5mK+F1Lm0Ie7Wn4TsBwq2PnCnOgFWNLz +/ZE/3rm/dJhkby/9zw6ip3swdpzgnvM2zIfP01+wKWoqRKLnAdAn36t1XJnuToRBBcu4zeZq1x+o +jgnCUJZbL4jfWs1XwrXKI/MkHlnvYo65t+fHLUjMdUTr3iuK8rIxVNt+WQID2iV7yzJNITr53vzb +IqRYkm685mkU8dyImLeFXZL6kb27SYYNihqtuG0E/e7uNfDc2DVi1uj9eTTWS95AxoiKBCVsEKpY +PZdCPkwduVifQ9Cjq3GYg3TF/QqjBo4VW/Txr0ZTQtgC+KC6TBE/eA46cn08U2hk8qhjJHBZAgE1 +beXL08QJJG0ILu4JtXG5kXo08p3M+Rv9A8VSrhJ43JEXEdScmGAZcUxQg0hjhY9K6+SKFz9DBjsq +m9AReEgVswX7uKr+spWVUXUYaDiS7+rvDrjU+UpB7fQuAWdVOcsKmmVkXavzPS2U+UqB5QIJqZFf +cS0/MeckSWAsWigs3ODGxcvIBp5kz6t5RHu4lXo0vfDGbjZASlucXtJnJmhEfJawQlq32kVmQsuN +BPyNKzVm2GnR5Nx0231sUr3s8x6c2Kly9B5SGkn6yOCWDaXg2+4v1Pg58sswQXJWXSe6Z2YiZwJa +CTvAKf4xnp/KzDxHerScRqalDN47SjVUiXEoGI9ngjhysN74rcJ4SpjjXeFBz78iR6zVQMP3O+KN +xqhzqHHEhJrasP6j/jmX4x1X7lzi4nsVmb/XtKUp2XKoNbpe3FZ0O4YxTZdTIiBIQMgDHrYy5PPO +WcVoDiM4u+XiYIr8y7y1rq8OGdkyGh6YppJL4J78fVYHqZdx7J75RDwQ171mmRPQw6+9p7xVPLLn +wpp+TSbBI0yyihM9uSC7okxtqDeTlmeF1Nop9PGx9aVsdmQejPd2viGl1D/ruSGre7yyNn7u1Y4d +nB25jA+cmDUaauyJYyN+xSCdAxLcaMMTN9KB5Y2DbHHJHruWGP9/wmiDZiGV13WTKKwsjviR/A3+ +m5vUMQLGrVJv6LGgn7Mn/aUHLALSFHGqGMlGHuY1QeM1++y0erEcftT+ewSUhnkGCqC17u93zMfi +guIhJ3VX3l+05Fey4G8v/IgcIr2y1CZeLAYtHNZhWVJgOWpc9m8arU5dRiHoe8QCyPWhMTrrXn7k +7/Bc02zFfkdhvodDTPYQAP7sz3tvr3nBsLKpL31+Zumxd+MGvDOgWsqIOd37L2aKwGgE6KYWes6m +e0tcmIVaZx6yF6FICJx40rnt4JbDNV3ViddXv0Y6U/9SmEEpf1dvH0QUgs40gAvtIUsXxxMwJRg9 +TYGe6d6mSX4y7YokX2gWZPMul2sA352rGFxyTckIi4I3qV1OSlFgaTrOJBvUB/ffMkagUv0nSCOq +yS4vSjzPTbXhnOyf3zH+EKrdxaXqbC+7IKtD0/mTfJacp1JD3cvFMZRDOSqozfYguR2sJMLDNyru +Iv9oQ3PXUtSjEib4LtH+dFscBQhmx4DDwA8eTTOirLKKFtc12zLW2msaZhYZ61NoWUcPcjaS2xzH +sV2gdkPzsM5iC1vDA23dHgmNn/bkSubxEW/ohehajIZi6a0ooodBVxwBkq/Q0yF6h2MyvdbKmX2m +uAig92j9v2iNUxPzOX5oQk2+5cVkqhpTsif3XVi/4TanZyRoFqfrfWv3T+f+qweWGoT45hs4Owyd +1sl/dUgTB32Ko1SCt1rtM8jkhA7vdaXrHGWMDBXCu8mzK8Zus2afN0vtzv24M8AG6tUNMaWo9mVl +zdHqpY5v9lqlV9pfgZyQd0ldiuLM3nXb8NBeCq0equF1mZsmUIRkTXJyvgxmP5+G56nJ2JnujvRr +sRZ1N7tBcedIPpqYdMNtnEAISgowvCb9x97cnBOExg77GpUR5olQlX78EcpepE0OTWfMrR7cEdCR +U3gI6IRfQ+LcYyxtWMK+rzZJ83ytpWK0wb7R/EuwNKGA0DA2JQO6LFHW4L+RH91lijOZ87lW6+yh +SdYCGr2OC5Ar+i+3f56p1PUJ/eLyQ05mfjQ1W9X7SrIi7JdQH6PnetTqDykj3sSDOMCFfWLmNF0o +tPKuL+Jo+l+ynYscPrd2F5JxLKM1qfQsCnoH/GADTQh2P2wOj9vQlWpv4MbNzVjuOB7rtymu0Nta +LbAoiVjkRzSnykLnmTpMzMEQU7eqtDPM+nOsN7oUn97JIHG9eaJNz/Lxoomri59sXd7bslKytOYx +e7FZb7iQr+RKaqGAs09sUJZTBbM22Jj7bCDii9DLZn5+bxTSUYs8YiPBIxQ3Dfu7VYF1aP4AoCih +88ElVAiw2wS1AEWnjqsj4gSnk6ZooDqvob0zTaY4C4/7pVrqsLVkFff4Ca08i8BJi9OFUagNGela +qx1g18X2FBs+9l58RmEM3CkmfeUJJmpnI2Xa8KM9jCw0JcIQVoVxXm7N6RvFMNK9eltISYTWZAwz +2Yw5saRUYYGFJyE+lsffv4of3LKgTb7uPSDbyZfs4fGFoNPoRjGvCmcS6t1pTtkM5+zZOdTL/8jB +Dsv2WIUaA4VyrtqR5sg+vSIOvo7XiMmvLID3O3yeyOGXUD5LiRUI9I8XGrUjCFIsvHExQZU1QdEQ +I+lEZoe0nl0n0W2syAQGXu2XkcdILOcFMV5SjZv+N9qR6jKtjGFH2FX4mVGwj5De8Qd+wP58zL+X +ja6dZHe/FAEZLS8HN4PPwbMvJfuQHX6PDy4zG6oWjyppfSeDLhGVWLx+Sjt1MLeV18Mi+MFhMWif +YsfxTgk55C2A9/W49plGcDah7tgPY+VCNB0ANGH6xyq7TpOq3+8WVZmhn+vth0lJBchFskZHJw/Q +trEjZ0CfIEf12GwZKJ7UZwJ2fPtae1RxgtXs28CiKzJA5CzRKOcyUTkqCwyeloH7d50En3GCnk0v +2FFrILm5h5YO8tsI6KU0pwGZepQR/Chzo/Jc0xu/daX8/8Bdx3+EYAiDDDVJQWsEav8q5hYULrkB +SAg5wNl1CnsXw+2pGHXMV+oybwt0Tmg3920s9BVpVMWMPsbTyQ/WlPFPAvyiyrEZhyfu0q/SvGgg +kcvwsu77Fzg7vwp1eqeFTJvkNVDCO3ge/5YzGhM8z1bjnifFJ1DgFr36t2XVjqd+LADm4pcuB9K9 +I5Ju7MbWD/1hxEOlAks2+KZsHXWVD+nHw1bI/MSiD5G8J3mkVnozsb5kA2yxDW+kH+ptGOsw/8VH +TWSgoXf0+NCWlcEWTH4TyL1Si6dQDpPxzWyQB7P+1J3dcrvIR0xGKmDA5LsrpkTT8HO0/MqAyMiN +JoG3MrtiQAStcXvvkEhZcBJuwvkUGr8eqoDTLfgP12X557InTepoxtGMnqQF8LODcwz6ano9B8Wn +ULjzvug7FWu5hfmGHH3gnkgyl01HIMFnemKpr7QVlEkj6uyG01SuQgLYt2kIwwkxhFYZbITDR8FT +MvkwMKrVpXmfUUdeIuQBNVjD2t8SWhEDDKxnaaQgCDqdK0LOhrVoeN8WZwGKATBKA1+XmLjq5Nzp +mWRfP1W0J1G4GhLtANjdk//I11QeDdPTa/NHnlIwYVtMXnXVE/wok43sLzEcDlU3Zp+FXz/f/XkF +qCws/HLCOE+koDni7XElJp5nWaVo7ZmrGgl4XwR5PI1/J5tj0UCRvpubzXjCkpDqQ12LcjPeCr3U +uD6DIuZuw0X85VAwUQ4iCuUvVsCliHpiS12Z9b1b0xG1HWaHqwrOw49F51IpiDcxqEuYQc0oV4Lj +BjSDSJlb6vYhmoKMIRjF2+1rEx+Jke08Er3mwwNTCNG9T7zf487Bxt0tMuMbPXxDasZCw+1oWf2I +JI8kb/kBXqWegvlVThFZorb4PhKSm4S8/mWdPJecUAsQoPWG5YDuRxIMRZmfDCONlWO0AQEqHXFr +/MWANqHb/By1JF4rKrm3jqMNje2+Rq135RwcRNZE7zvs6ML0eOewS/tA1zII7XDZ9irTRSoTnc+B +zgH0DUyAV2kBBjN8G11SIESydeUZEQY1tL3CbgyBkX9RBJMO+wDrCoHLQpzq/pIaSvu5Nxr1N0hD +J2QbmwjYhYIue/G/Ud4S4VF8lYipbZPYhnwoDCvDvToto64alLNBx8ohDkKLVGEm0Mewtj5P9rK6 +KCWRZlQ5QMW90HWticYeCJTZ7k6XRVFBZ0xJgDTzxEo9Rw50cLIcPbqHEU62jU6FDrlG0rm3uKeG +tVIJHtpnhmxscTqjbf3HMihF/IFtF4qCznr56+fFcQYG3V6GzyBThE+Fub8q0Tv42oMqUuc274I5 +xdsdefcr2AsVYSNijI0x0DT80hjjuov38Is7bd7ND3IPEJDBM21JQWGPsR7sNUpSSonNQH2s5u2C +BFkpyi65+nkyvMbSza7HAYgLoZIWa7MCmgxtuskEvQtXKHJGYwAfOyKpzrR30Ar5PJV5POTbNB5+ +aok10TNqY1Vgg5IO8y22SOz1eAeitCY2qIT29VTRoJ5Yy8xKF0HweP5edCd3NuzE54SGd2wxOLLN +OQcqQaleRX2WzTcdieh39p7chvbCyX5myjXDr8oK+fu2KLWVu7A9o1yMkulJXmgBAsQU7dDuYUaP +6jv3xo5gu+XGtGBPo536vxiiT8Y275fi0upW9idT1oSyxs2yggvjqHQ1UXb1vMeltHDUQcS8krBo +J97eKCDO31PQHa1QICv2oVkgTpcJZXGZKtd09qiB8BrwQ0d45Z055GBJMF6zSE6PaSUe1A+0ZxPm +oKgdbgHyNpmpu5kY/6w2ZrIEuMlERnO7nO1n4b3YIn6f2Z0z3UKevYilMcAz0IlsIIDDtOgZ/HLZ +2okYbyHjVGMTCXa6rsyD2Qa8XWqd54q2RRnRmtF1ioY3KR7tc8EPOrLI22Y/bPaMtvySsUNWmG27 +EIHK+XIssWjgrTJo28/7CGJTiJ3ZKfJIhEF2urxCew2MZgeDZm7oPKIfiBk6fIrm0i5ph5d7LBe6 +9yNwBnV/RUCx/DRvvW2UlpWkVSDjQbp7DCf4ySNru2RYa7ujHO+4BiBKzslbPiImChT9KQcM0POy +2vFPGw2jg6agOIYeDxk4su2IUEgyqPqeYRjZfzrv2zr8FyxIBoM8W756z7G1K7xBpmFwz8ku1RbQ +S34x0X9JOl6Ky65vGt9UF2yomUG0hadcrtDECnH4igWoIg4a9crjKo+6cAyEhTU67Ht4IuH1bvVB +UcAozzmK3/9YJUxpzeB1j6j+qvVEWmtNuMf1kyGSH/E0JOtEC0GlPJqEqfjXglFjPzStukpE0mir +PMQFoFM4l9K/H6l9rJgqmw/GWfdlmKW2I4oX/X18iFQgt2dd9P2iRZTzTyaVglR0vIhZ98pzallb +lZ6zVedtGsbdJEbTAd7i8CZVx6B5k21+2FXZMc/PE2ssP74IrwXIKLJ1+pVACvt+RUpJwZXDV78r +R54DrZCWcbME6ZSzI9uZYtdRhabDLZ/sGq/p0kGZkt3GVSeDMNshQJOlkRzeNvNdR+L9GnPX75N8 +l5jLCT4Mv/mH3/i7dzHGPUmTsP+uHapUe/TkNgpxzbQRkbEGjt0sgXZMwt4Q5pJYoZfzH/RwLx0j +yD0Wj1qMEpOD0isuERzAxV6pfjbVzfdfQyRrycg+0RnBU0NEf/LeVtUmfMn3YcsPRmvKaS1vZLOw +PuK+qQYOR6e9tx5LT6mU/OMeIpzrg/So3MUPg/PNBzqHUsswgzJhT2od6U4SH/gjB2M4OjQN3OoB +bj8DwcwdI3fXnWnkreA14YOI+I1clYAofVAydIuJmVbg85nbhH0KvbckJEPLQzlW0mPg2wY1wsar +a3rCwtZSg3mwNltQY4PF+nY4fMDvGdREPiuB/O3xsHhCsAChNJN8ijGBgG5qO0ttLPuBq8WpnIaz +Y/+eoLABeiQcLGK+25dKdf4MUP+XQHkgpSRzdj5bIGAzQpcvjCKm3LrccerABR2x5f7D297SZK4F +FS2a3Id1dqKSyUZuw7L6HloHRk0DMre9O1M8gn2yoJRnOhwJAXFgEVKoJnDuQrLDDlh+Izb+0YrW +ytlcymAnLgP8FFr3e3Tl3zS4xEIqLGUD03aIBLIsWpWz0EPngQ8bmR/RXEb5p4JgRgMbBmHVnlnh +yx+Dsr80glmg4MJarJwMprZhxGnbiM+RLKZimv32ogR2k6RTaKc3Adq4XDJvo8PRWXPuLLC6gkaL +HOpTNRfykKhEoJxSKc300YraFKbJvkv+9peHJxzaAE6qkMrXUhmtsTaTVvc/b1bWUhbpTq2OkMFn +BDuSel5vTt1RetaBJr7KlqyonOB89Nmvj13h0rWZkLOUBoo0oUBgZgMEU/hlkbfuR787Er4SsDqo +/WTokw92StgpQgL+axH01eEFHqD3UQa/47lRatKfZCze9t8RWMeM6YGpvJzjpYZ09X2qfJxaVuEj +Hc/E8Dw1BVcW/boESQbafSU5YhTBprml9WpTArbHGEIBQZNZsh5mni7ZMR4DLnpl2qBsEAJv+9Wt +9BsoZ7QFbLGh1u4bvTIM2PrlpntaVGOvYger1KKLkJxlfEfhZKbuqk7biZBWVbg7i3uC+aXupr1w +ZV06QqTzgEUxJwQC3Tb/i3i8bGVU0HfDt7loLY1J2taO5wMVfBdr+nq3vSpyB48KiRl39B35rqyu +w2qS/hCcY9Wt69PyemyndnzOkIfDRhomppAwK5rY4ROodDhXbVCARnXYUpIb4c180++cQOvAmsnk +A3OlWjjAFJvaC4VxVslN3yQ6MG8Y/6Kmh/yJXUUSdlrgOhVmUiNJwS3j00Cy1UAvbYN7iicreNQR +xR4jTSCGbC010ld3GDmOYm7YelCzoBZWIpjHkCGisPMUeyibzCHNWRPOxNsZbFFgkzBFOIREGdwK +a7EHUTvBICII40pzH6l8HHZXyyw+6y57h5kJ8xDc6b6MxL+oWMREalmk/dJOV/AhunGLr8d1mYDh +np5622R/mG0XcounOP0LAa20mebimaZv95d1dpe8pTtWOQFJNg3NDLII8gFeinM4E0/Hh0NRx/Mn +tbaW0wG0o0jbJc9gbeZjsHCSfHeuASPkwE9FYI85jgvyPcLMth+uLwZMjrs4Ljz+irOfF04slNj9 +o4n6WVlXKD6bk/MeVORghanMeLckcAsLPL428JMhF2e+1hEZOiE6XXSGSThiIsKMEhrti0Quu0wa +8svouH2ARPqzF9RtwtDMUD3EuwxOlYkpLrf4F2vEJmIL/xtg6Iobfb3uHTaziDkRq6k0X3C2oYpK +tJVjve9VFUde47otN0VOEVT8jPiiLtBtlanuA3kJcAUILjf2cw9QqMZD0f2r5Xj237FHnZqFnXik +r92Ek5BY98iu7L0LfdpVJhCu/cqZuLcu+FI51zyms6XbgF05XA5OTpfFslzN/IJ4YtEia1L2lx3r +APQ6rBpycQrwiTSmeuDiBYjCMP1RDZX1RO0guFFr13EDVudM3hbjRPLYPwEezc004C2mfhWVpYS5 +CdjNkulL65JkZH26c5AYLj3yys5OymlT7/f90Y9bbV3h7YME32f4OsoAF5ai+xT74lDX+cDPp+/2 +nmHRG2LvjMy6EuZ7nHlN4nCVe55j6Du5Lp33mx2zcT+ue36aKBMV1dUxncLqn1lcEStOIQ11KYmt +kbtM4wpfpXOgKDfIDjflJeOmOQL9z0whog6xC2eEtVf+Vkwg2hh2z6K3GM3zM+Z0CfCzSP1RSmDB +Pnl/hfdniRkU1dfGf1aJKlvvQ8qEbZ/dBym8B3nNn+eVpQAClgg47AVCmZ8iF9F4iikpvxCy/LcB +8mIe/pY5U4250oDX++Qk9jJzyMOWbu+JbJ2iGTv3B6DvXhtK/5kQypePWXvXPjG5bwy+hQ7wON5s +6NWvvy4H0ldhtrMRzDFTSWq3ONBMXr1HwawWD6jPYpUuvshsJE3skjPITVS3aHcgYFjuGWbNlev9 +y0oVFLfZyWqjarQBzkkZCnghqS9c6V/xQ0ZxbD65R3cNEM2WXAVp5zgY+OAeTfUKveuRfpoap/8d +EoyZAArYnfWCP0sHDg2XmDe8CHGKLH7chiZLN6RPAo4c6tUpNypEGXtTLCY5BOBixUA9j6y/SWsQ +rDVfOa0sINYy01zOb2dMKdJEaQXFqvZfFEVXngkzMmfrg/1asC3cADXOvb5HOIbqNaMIh1JS0znk +504sVIw6y1ucNJMI7joCLbzuNW8v5JxmIED4QAr8HRuS4YYjM/2llVp/l5KpWbvDj+AOFa8vHmwV +d8E3sZNxAyETdJs1/iJWevrviMNzgHzmtrgsuXUAgEMfDPzaXDFkrSe7JaIuFap+yNqltTV3fGGv +Sdd1vJlgyJHtEZZa6MmJLe9KBgizifOAGczutCG73aoL/z20j2FebciCSKZV2OsUFUO6L5k1/V/F +E8hqPrZmS+NqqOqFg5KSrOorS+oUKA+RrhgooBBeXpwNL4KnLGmHEg6mQ9r41scQgqkY21nMZb6m +mGByu6gtEg2BAcTYp1CQ+T45qFb+KFo+EIOxOjVVlx33oaku1f88luJ0XehOveMqiRF1xppW3fuS +D8yEEJfiViFj1FORPFpdAYDelcNXVt7tBcyorgSofOlqLFpofGF+MjITsKOSR7tsOJ9JRh0KWcXC +nQg4gTsBI8r7cgj4Sxpg3XOh93V7vGCqMnmO3egZjCJwzOXyCu8bZA8HNmPpnQ/yEoNweeIiRSWQ +0JtwCBdGMSltGlBm0zXKUjVvsLa/yVi7fxNzFdx9xfX6xLwBNiC1Cg8qIKX0WQvWm6LEDweL+Q0n +cijLxCvloHTWHpd3CYarJ3GhyWaJjRVAVV29hr4mvaWmlQ7d1UpF33ZzQmsIiUZiFN039SAd2CI5 +ghptmYp5H6mRrxhgCoSbmp1V0WEFnKwp6K+dzjc0yUHeSHpeDZdH+LwLdOXT4XuXN2vgQliVaYHt +sDz5KPC2nWa4/+tqIz8y+xUXI6+VfDdVePRRWYKMEyfbrClMYNNzCzfnH5F8Gt7xJg2kyx4Nlu58 +84qRhFXSUd9Gz4HRVYaY648LotXyyiqxOGzNzTFaWPcBoWAWc6jGDB9EkqSSbs1iX8AOuIp+Z8o6 +Zq8WVh0r8mFSfSmc8P4v/IkuE/ss8zFvyxUyoyuf8IlNQNECkbxUv9P+VokwhTIDjClqN6zHvB0G +DNCX6NrM3yufc56wX4Mds4v3Ba55c0V9pIqiQy6LOWghJt15gzd9mWHYSrrZjasc+COrItAkHEie +eoDHZCRBXPglny9NhsUwwKlot+sYCiWmfN/ZviBywjhN1VyVrjYZOhr+7Okzu2GlIEzfn/6N1YZn +KZYp1gfd8mdmyaLD90Aa+i9miVTYo7DdP0UidRFr3ggUmUB/6+ceSgpfSxk2e+kqrm4kjRLmOGS7 +EsH8fPZJzoKehTZpiDnEznn2/hgOPxYm5mVV4mnpQYibmvJsLr+aeavtgYH7E6GW2ZilXUvGDKil +M9kiyswQc8nBRZSJj6YpMDBA+A7T0KC2kZZKt/zPG+b3lnO0MCotQe/tS3Xw5Ds5/eFGCBg9AYlB +yxFuJHhNSLv17WcccTMXG+N0b9XQ4RkqewFPMFqL6V65bfcQ3hsqKczreF1Jq4WmqVK9U6RqlE6z +YL0JTQ4mBwZgj5TMcKj57erUE2l87M6cTU17djkM7dZA+HYRvPyKeUcvKUC0Wud4Da6orCjHPR1f +HzgmSqcXztY85wYadDkBotNYx8sq/WqAscKS0kQ0ROTP9OiB637DbfO8VA74fLYqz6oo2weXmZug +4XHtEUTZ8vPodyf8EOt4T5Kz1WTVMMDex1voyLjQ+pkN4ZRjxqEBd8q1V1/TE8f64Yr28kJaZArc +8LtvaDo64/wyfKQml8KnHQwXnKvtk3oOtiNJ4X3TQI/NQWh+EmwF1EHMz8pTp2/hix6v50fPbBoh +F79zL2Tqq0mYNnq65myO7tACrndOa6HOl6DFp3JVrmaoQieVRk+tsFnnn2QvfGMFkJaS35HB8VHY +SnaHqTzDYPMRImw89s9r8IcABX+qVSLjw07qkzTx6+xg3wZxHw5hQpLZLqFKaIRELk1CEjBIsiS4 +ZiVRmqvmKN236+MprITLMk56/IwKt6gfAwL5CTAGH9Kk7y0vqSZhaQsV/p59EEDtORiCFU8lj75f +YkBC0DocyQ184JlQOhmdURWAqoE3g1XeiyMn9vemGZxLctacPXzxA0/lkpY72gdzzyTGuZd56T9r +hzOdezkwraq+9mxzcSnLFGgv1wpIJUn7SCe5bWTogw+AI65SSOEG3moyLMk7aQCQoUly0zPT9BzB +LT7cxfPKOi4V3CwOEdKS2+uqUig3+XZ+QPcgOfiEQ87s/mlyXtshIUqfOBXyLc4FvYXB90+nN/Zk +iLd0btTA9LZbkSu09QSn2eCgHknqXHkY37Zy2oMaW7LJOvXko110esoXWspoMMaehsRMD74RzeM9 +5xbUD0ibktnjJ5cW3P3wrwnT4ljk3F8abh7uD71GbCXH+JxcQvbfhLrsXKnBh/t+7uvDZppaFGns +czfZx1ze+mTq+1iiZcCqGjQ31wM735L1OKmem+yW2u4GbUTZiDQQBBFaBT3oxdWn3OWRcuf+/lZA +Aa5kC1uzsYnkT15m+TaGtCWMMmDIuiH0GdaZmOItXLyNRboCMhj2XpF6Ni9S7tkOOBxcWu1v95cz +KZbigAw/P5DY4D2gDzMa+7WtAFDascrLuCBTnNFoC6O7vBNe0YVAbNNm1nHvUQrQIZVht03/P1Gq +pSfmX063zR3yKz5wbKHd8o9Bb0/AkD3OmXRos98VSf1POBKuaAmSbxMpQ+UzKQ5QURNwY0EI9ayh +4BLm23UV1Qe92nhLWPwQsy/sZIHoE4Xoad60OtaEYMSRSTVR8t7XpcE4fo+eWXvO27rU0SfC3wu1 +V1xQVcPlUFkJw0/VyYo/HOasN4M7o4El7KAfYCWFUJ+XxSBEKpUQONQXX1y605oAAv74XRImq6Yy +dAxnPgR47a4eaYVm54HwhCFsj1We33g+4+bmNlWTzVIdgtgWdf87Z1KhpOoF2DwDVi1Y8mwPEMfp +0woeHB/IwWHscQJSwDj5xkFan7DcdtzfFxf3Wp2svPU26owtg3z/9yKDvJJu2Dm4BYdRF+K2dQPr +/u5k5IsVsLb3OrSZ/WIY3I3jfHVGHjJXVS0NP4IfuDbETzYRCBB7zD3AzXUml4CVbtiCkGKcEkDN +33D1y/xkUdjkQIIq0R4Iygz8OaKEPM+eopP/5T5idSmKEyeFnaIGof8iGu6EqHev3ZI6b2+1+ifb +9JHX3StCTu51mTT9aujPbwjopLHMw6X9rRkkBC+X/4fcivyzREtQa1/7zNeD1vh3kqbe5IZl+/Ne +Rxi0pqB2yd3N+w3g95R2e2jKgPC1gIMxaUaO/BDH1tqnG4xTugfWZ5aCi/hLl60ftfNEdgCuIB2F +2p9uKfmmrJ7OYJu+jpblMkirrDzsTLAR8Voam1Qnri0DZnF3c7fCM+6yAcAWNpyQIE7R6QwllDBG +0gGsrKgrGXHanPhUnj93PMV+bg4Fej5TmH9EEDe6o78ny1mhbuhbluIatA/ADUfD+W2ZBabFY3u2 +SARVSu4Osc6SrhPxCBIVEqo7Wke8lZPuDm2Lqx1pGzWfC1NbCkP3zgXQs10eIsZyvIX0boBzRnbW +hXBOYYYUBkK5vFBYNnvH8D6hRHi6cqZTlBzYBWa7CjowmScFpnunDEdlZdb6OEE57+tDnSvcvsSG +0OlnAhRSlV3jt/s3rWrwtYBPQCfLnqT2Pp53K/aZ9h3GUK+k57d/hu8MLdc2wljPjGcOH9eSp8JK +c8fok/EbYVIY5btwIamJdYog1ba5XiK5m/kGGdTkzcI0j5HHYaxIzSv/VcKlOO4BbmUAdvq2ZPvW +kwL0Q7e3sTBqB2emC9hcsKkVSnxK4sVfF72aJkDnuHOj9J6AjxReke8Odd7JX/+GKwjuZZ3+Ucpf +BvZ8GeF2G/SkGYLGF6FmmPK/CDZO4DjXzlVt+ttsZbzHaqQBUEzVmHi2jVyHkXwL/XbBPAT4NsPT +wQODJL1z7jOAN7Uip8L4eCbx9Jp4okZwPJCGAfMwoEzFYnnwgC+fdDZEJ80uTlMcx7q/z0fypHgZ +Cx/lW8+SM0KHlAKaelbn/ZkyD7sfWWhhQxUfGf359d80Nm8iWgK0v90/ztoWea3WAqjN6QkyR1Wj +zxpx6gE6DORNP7B8Sq1n3oRzzMpdAUAKji/fzSyJsF2G+URcKF/xueDqVcttDlqA2dQ0KLnzFScH +L86lUQMDE5uFaXLZ7rJ0IpqsWZ355FYnid+nnVXF64/VOQ5h4CF9LwV8BGD7M+ZmceCbFW7qImBd +g3ypyFlxPm2lxIlGYLmglSvgGGaQ9mM9gRBDppeuSOs2PaTpexboy634+iRnjpt8Meybr9w07q9m +OJpWt3YVzq9jrMNf1juHSDE5n+v+QltKZ+zYryMzCKI5/px6Aa5w72WwX55lIJmSqNgBKqQn+CvC +TFObLAVRTmGM59NrXMe5z3IEJ3SzwsgHz6xAdrNQRyzhMaUEKC0fPCxK5zvq0CqO7A4Pu+xix/AV +Xdyw+0wWCVC+j/eGtH3pmf0OlQLM1obPotN22MFeZe0G7JZZyj3yaYhSOoA1QOR26p0tKgm006Le +0k6STEegbwISzJ3HK2w6z4Vtl4mBtHPf5ZeRN1enHN40SZDU0HA3VFSEO84C+9BAxP8xzQOJLGJs +lPrS7wetZk/kex4g/Wjmxl2rGzgxvotL3hAFySKaIj43E9Eimllv4sZFlZrHjtAUt5nobo/VY7Fe +xUE1gf87H+ZEsNcCCYFxc42fOzDp1qBVAVk0n6EoFy0+rOqidCpsAg3WXTFNskZoRBV+PW5dyFZu +/DI5Ua6TUzrrAnTb/1o17DHCDyOA0pCNDkukXjaZSvf6WlFp1OSNHxDtryONA/oPhQak4roSg4CT +r3TjeMLGX29JOTQKAMta+ugs5FmYBbXE2MCWuHZUfL8u7L4MBFtNOaIlWurmwSbid8eX0yrjJXs4 +e/rfITaSSSCY++gitwaaLqhjYZiQ7bEZFvwlSNpKwJQtKDjG1zBb/1h3x12iTQZFJrzuQjuAc53+ +xBYNalIqoZCv9wLa269rXnNzyO7PwPDAwMHXN7Lj20xODzp5RNPVirwDw8BqNCd8Rq07q7mIdjuA +J6gPt9YWkfv+NllIT23l3WfgFYRA3Ih45xd1nMjiz2W7wfK/LBv1HSdPKqTtpWrAGlNAGp0isZLl +RQWXQMreDee50Kcfd6kdVhbF62xCGdyDtzZC497aZeI/3/wGVFSsR1TdOO9ewwDdMXYemaYjDCHf +tk4UUTHDukUemlNGVjKTQx/btg7J+VIvCJuG9FlNHulY4jVXBa2KfPuDuUlF4TQxdnSUBFvh+pUx +jmSuvfFr2g6paLGYcbKqABL7Ho3CA39dovX/7T0cVz2gAJlxmI+QNlG3LaxdLL/lLcfd2QPBE1qs +Whx6RGDwL92kwHvEDTZcIvxxA/Vw52R0YVFIs75iPRosTbCyIdsbihAZJ7fJMdksODYr3HD1XGVw +78KxHQAFCIW5aTEdzkPDhJn7UC1CuMMXYtCO4BcC2Xh7SDqqa3rkh2ro9e4Erzbfv4o7tQ9kbJVX +G7caoXmxAYg83VZ7HeuXEINFA1MFHaEwU8c7oAdbjxpozvJz7a0hdoIkse1sk4ziJi2ioHjI/cgw +oClHe/aSXSrRlrd1PuYULy6SEtrIXvAenVh4C3ak1mTKkO6I960sS6zCXYfwIiO2zeR93zGzbQsL +QdxwO+F972x8d3LeYn2jQ1mU7zYrfHaMjyUqGL/m5oh21iPmIArHSrwUtt2ZkgnVZ0uYlrE81C5o +mTe4OqjiCYeEQgCP3c5zGo06t7n1crfetjf6ze5qiLv51Yv7RtbsOSyB4Xfk0gdBAiJW3ews7K1h +9ZPnnl2cGCQTsiZydS6FBfJVEFgaeeJA3QuGzyG70u+LSGGtlAw2Vx/TCs4TG6eonUYaYmewULpF +q3rjNcEkUTmTBRjTRmEHoMQ+Fy9ybKJzfrmOUt85DXRonqMZULYNrlXuA8btUUVaCVX4ZBf44Cs6 +j1wMi6VS4PZzPmQEir17gvp8PafbYjG3l+0iDok1TQTHyM2ptZqcFhOu1Ibqasl6IhD9EXm4ihCR ++UwKCtVuUoQu8ccXYA4pmLyKjLSkXw32Py+PjvtfQQAkK50XOFkYbM5fp0YvRrWGob/LiW6VIIIz +2dYJASt80ycgiVd5BnoxbzTkWJ/M4dNis4oBJTG5WcpjJ9o921xxEIev0a6Z4H8i7vWVhRqYc6+e +/ZCVB2teKJxwwWtgRUA3qRbHaNHxjzMgpxdyoLBZqp5wDpsdgdAoV0JqfhTZoxfhHxPiYxv6t54A +OC3Csc63uj1C9Xp7fdhJlYcAbvXlUwGgaFVYTLqMsXzGHiLedNj8GsJrB3SkYDWbUfPJJ6XDNRRO +rtMtjLRzazViZP0SQbGBcMOHqtwvfbBkBg7PUR256yaVxeMph/Ce03J8fTtGPaKG/dFLBfd/CmnF +lX5oTMoO2VzeQVAeYJNAjU6vHcfst6hb6o/3I4u65KAjjotcxZnP6OJ0jdAExnPNiYjsd/+2r3pB +yvdEO24Qr3CRtum3CKwGdAgDRaG1AmV712rkrzdZaTwkP4J6Rs7u7Ii2MSZmZ80+cK407Skgg/8e +OeRoZfXO4w/g+JK6YW5syt/wA4DKSL4ZbVHPLk214NAYUJDWD6XDosyqpZXEFsmtA5oG3jPERD8s +Ksga94wH8l28PbvAgJMvAw1BJtwg8K3IekHvL3aEmK7BFX3frKEN9ZlDlSSdmAj1qSYIoLPRanPL +a2cMdLgXFUchOOqf7f8aVF41i3cnxsBzeRUTxwYLhmcSrYc9UGzcZQAkexFmAGWtkSYPGHDf4xGY +c5XUCRPGfnFa5f11ZgaLPpzmrk2vvCzngvDSrb9ASJRJlmiqfeprb4Z1PB5n23SyWpDiiHi8IK66 +0gzGkVcAwhGY+YwiBHV2apVKbQRrOj/L9p6kyVLbEeKio8IIc2EfVPbVHzpMo6CVUoUYdsiUETMi +biOW6LFRFk5vKYXLKhorEfTTOdHAxrylw5daiDqNDoxIL5nunt6BKApPI0JsUj6bKBilWAxJwcvA +VPjaGQ+kgTH5286qhMx/BSH/Z+rOD1eykTkZ93pb3EnbJAk5dH0CbfZBTDUMStlWidej8YR8irAQ +Govnfzg7ciPQIGloYKzUIsnYjvep62drqUK7o4ScT87SW4qwcJ7F83+Kmal0fHllpozFFGk6eygD +VtEDKzXZUjNRuHuuoZ02eR4iGIwChl0YpNscOct/m/6U7BdZAmUMGl5aeW8+N3GNl9ZilFMmPTy1 +U0cZNn0GQQWBgCrrDTlCglouwRONVR/k4XyBktiMw+iO6aHNl+BcnFNH0ENZ+0035qbEBziQEYGo +NTDcvND0PqAaLg7G/lXgBCbj1X1mfu0YzYHsBf0mPTffa2nWntKe4EAna+UNUbG0hdHXW5sBTXQG +FZqlJT8gVGxx+N8ZGBbPrLXV+g/RGw1qsALq0VtyX2ZDThNjEh/SJ33B3jGg3Eeu4e1dn7y+8d1m +PWasepYPTNoUr0O6v5RUsPzC4lXHk4j5GoZcY05b4p/33XrZnm8/3pam+/vZhw8pgRQG9vsCs7HP +/yFTJ9sl6gH5h5YRW0OY0KFDOfcWx3GoCUD9GjD0HvpsoOn7NoEr4jINURZ3RSUj/7eJnwDWR1t4 +he/wnuzYhD3q9fz1+MwkevM57bYPyraovu5GLXDtAYjpUxQwgl/zSv4PPFW3xieZiod7f4QeORAT +8CZ2F0fdMC03DQfNXRH2biqoHDztzjO857Jw2ZmhLphsqzt4+giPXU4quwVoDYmTpyZxyBoGojtZ +SqguFR9WuoDB9faj3dDxu5ncRagNldfSW4ShjcA/lHl1TIyw1cDek1yNhruiblGZLIsY3Wu7Evvv +revgwdV/+TgGtAcUIbmuIHpZHrYOAwK96bFtHQHY3s5DdvaAGLkxeQ74liGI49wSqnz7aYgz1QB8 +hMf4HPS0T18QtPzjcNXXWiYWdcnWiMR2mJzQ0WbRXaSdEL4Abts50jZyzjsVyfJK2g2lbjPIcMis +bbfU/HjfNXDGfaZ2/FxAUUcR2iH1WZNfu2ich13rPLKc7buSdRetQ4zNlOE/zaYlg2juiFlc/mvh +9B4l3C683B4aNF+F2g+zmikBdb4U8OGQP9X4LAokIHBZ2xFdfBO/igW6yOlXfDQ+9Km5PdvA+Ffl +zCDKxttO9m86m6wIgVun0J2A1z5k1dH6RxlWX/HPAgiyWh+E4lOfV/0UPTZatnyBJ4sWPpLNmzq3 +5HV1/Uf8dTmedWEauTSbGkphFxJnaH5V5DV6bOiYumtrwo8/Ej82etondtkOvvJI1QtYsLDAsY8C ++TIi2q0REKapTAY7zhM15p88p/RPi0GY+MauXqMthFZljfQj6Ws3wizwjYf4wPUHy0gcMAFjTY9l +0B9KQ7WGeFYZ2CexMW63HcfcNuWUotygtY+x++nksZov8moJUD9ZvBjGrDlKcfih4NqlBq3hbdVX +XCt+GyJ/oS7drtRBpe4yjVPmPLyBkt0kpOaqgNi/gLjaMOwU/IYIjVRpwFNQ0ToxZGhYgp+1x9e5 +YHb01Bp5ijIv0Zu0CYPUs6NLFvFHsT7Fa4mdpQkEdVDwsug7rMJe/dLIOsegcxHPcayC9+wSrGcC +5qaW0Mct0oelImg9NeYFEAACqkU1lSA+ZD0NxyTTQU56KfI9L2TYyiS2ItDDdpUybrbdzvaLK1Re +F4z+LAZKwcn88kLJUJIsnN+MsFB28zStCMsodVj3W4p6BcNSEdCBNDiMLTTL7+rcJTE+TuY5Upxq +lOxXkKvJNNjXJWgWIVPAZaurbRBFPdXNauHQD4LcaGr1OVK3t2JiaI3LKo7HHGFnI1n/0orH11+m +t8ys+OTgvFGMKwOVCcOf6qLyLt4+R3Z7MVdKUw6meRV4ijuBpManjw7d8pWuvv4+tCDVM4/EODME +hzEpmnD9yM/3RfqX3Pjb8UwKkzPsZfQNslBTs+6kVp6Y9pcrHeCsWW743RLOQ6hqv33K6rM2BMKS +kV1nEwTtLccocTV+5mc5oKKPovWT5Uln23hYxXn8ninLFdvZFAM7UXfqXxJtz2w2teTrZcu76Rfn +sABYZPHndJk3gdwCxh8NO/f8bRFHxVj9ZNzaC3DLMwWqkNLQCCwHv/h0xSkF6mmzUtAnmGPKVWli +7zhXtdyiyvTQKWGjjchlS4yREtqWAVT1+2N/qsMjC5GS7XaR411jzne3nQI9IYEgkcfxlU2CK/jp +VcGQwFXlcfOUW1nS4vWl3U8Q3kVErWtmryQYujECcviPrDpHuYZRdgdLO+eWdcVU7srt9jjPgH5e +IVEx+tef+S1w8tj0ELxjRCwZoSmLKnc5fTU6hevfo+aux2f5pCIRDqfqyXzV+dW/OM6XafUJuL/e +tVCR2KmvhDP2DhBNaGAlB6fYbgnq79WtOI7dqJcPaFDxzq73t0M9rS0TppYzVhf2PdBh4PKSdxBZ +7qXDNY3jZ1PtQP0NexEKuFQBI5DJU5E7CLBWFFXD1vwI6+S3vFSkN2EwK1RIaCIdusMrXGPgsBov +v/ZXsfPTHt/NiWCS2YnmlFccZL8G7PsPStR4IRbMRG+KCU/hXocwUBp0SkOvHjHFl6M/MXAm2T9U +JTFgdPXZ9UqpAQDeUxHq9YVhycCHa3gHVmJxQU8pNeROiwqXV4NukKalCg1YnZNt1bDdLla0Z99d +NI80JcRJFW9yJ3ngDktrtKE8/sDFHlb8PzAFp7l5VK4Gl9/OT9c3Z1rCn8cZpJfJQU1cAnvWIpaJ +h9SWGbX49foJxM9LYeLPb1ZGEpoZ6gGtGUnYy7wgF65KwipLomXajXRZ8iJVSUXL8PTVVu/uz5Jb +m4ab8+dyRYWC2Yl1tsI4OOBDbyG9lczoTlYGFqfLpVUWfKEz+26sSuaHnzqfpKtSxX+Km1tfzbrs +ys9pviIQofzisV7LCJy7ewL9OLXTL9xQQQDN3jEjBgaVMxzLjHPsYub42JeTL9wXNkvKl0berutk +dtHD+GxSvXHC2cpUCuiynJvN9D3aBFz5QCDdXinTkCqIe203+QUq9XIrn4XPhGntY066lR2H2kGY +Zbw+HOZ6DDS25/136VRehZtxuY75pUHTOFW59xtm8VOvAUlcCV52C/EupA0th1aKORwZCwlRMnf7 +kC0gRGk77G/R8LORQSSJdTBIr9nvjVAcBMHC7YJkaV0xo0kZ/puPOfHYZefser+nkYgsIXi6sOgh +TXjNv5Zltpp76/n0VkpG8PXZB7xId4bkncmwDKqJqybdXCYtJbnRR3IDSLyVY9jl4CGm6z91ZlKB +jAz2mJsIu2Zn0uMM3t5BRiu+TsNiw8Cd11MO0QDgNrxN8uXCR1aUf6gy7fiRpqM7CogX3Z0j0WF0 +/RROzfevRZ+GpYfWzh79BJJQJmWr+knVaVGfHwwNx7F3JmmPBxj7VqQlJciBc/ZV28RQda5DPdZK +PS2aw10gFpWpxY1Bv9Bbqti8YiAwWA6kHvqBXzali1y+KaCzVOnfbEjpRyjlWk1MHFEADqk9xx/e +MUK2El0eesEM2ihGkYnvKuywTIrWFlu3QRhq6eYZP4td6p3n/mYqSuTPlf95WCo3JaGrCUarJpxI +pLg1S7k1P8pznrmrO7pQ9QOJ8aI/6Y4W95GKcuBPQLX+JvIHlFaMCWaFpwZ0Hjar3Xixw4+K2t2V +10IvXgeGKOcNhOGCwuwnHYhUCxxxhjorioJqyG5xdGO65+B17smBAS+6IaXkhqoLvdiHSaQp+toK +L72TiJnB+RHmH8yxmVPUjnO72xg5OwWtvoduSYKfT+d95exu3GcCTQ+CBf2oWwFoG6b0dmb6MlCR +x/jK9SKAOAx60EYxG7ydxMqUYBoWmsBJjN0SqJpl5ZU1buKHGnYlmNPBXSw+JGbIVe4dG/Jj8lYn +u9x7SqtFYFAg0ClYcrNYLMqKR63fZLvPNQ1nZs7oYCjq+N0tDC2xRRMpyE20Ah74T/8GnkmZ92j3 +xLtU4zE/T2ov6nHtUqrikPnnNkR6yqKEZoPM3+d45+MUcA6r8pYtLiHs5IQNo7WrsbnlTE6VhZwQ +YHYCbViXIOYkdnS67WAPibrZtyqdqe99qf2ZOMp6yuT6eBDiDtbKdr26AYy0JmTP15jNIvaZkxyi +5Kjj9rX/4Key88ugAinwXs0oc6zuji9yJdi3gfVQcMrxfBzQlvzGzETNyXZtmZj0UayCh5G8BTmF +pAg8FTTy0JckKxC8mXRfd2rShwX77y1/ucFlzEUwpsJb1Ibe49J9nWD6zl9yhocEOeRQjCwWeSwy +nuvFcd45IEiH2/+M9JTy7Csj3AogHMKp9ecdkskveOwLSYfJBX5TPDUFqP66ddq4S0g7mNXBNiFD +dUDf3ZWh5TJW+p3SvAVqKxWGQqZTL/LWBJvqRmJvwUFl37vM0JSSRXdGa70rRcZCvdqIDrN5IS+w +j2i7r9uisKhgKOh96i9U930ASR+9KIldMMDwXAsuORt4oppcetn8Aykqx6PY6xsMKSu6wrHTuM1I +fq0w57miIH25wzPi+ddyWhQaEM6HytL/Y85b3cWtsFHTdqB3/Vr2zX5LUfJfXMTKNaXkBzX435wg +MJ+rkaLfQ4Ug3iOi6EBO12zUm6hx5PuLepVELUJtlQM1AORirY2f7Ez0K7n9D/inuSM7qHGvwjv6 +W4sgoyKWtPE/12kSs+1ZEUzu/H+wp2cjNDEfbujGikKsDJo8KRBNw+ojcyS6UBR5mT8h93kj23op ++ICdYxlSrwAkCJQoVKhVBu5M1jQXbmPH2wbCK3rZGnPwW5smCxyKPFnoNZRppGlJ7vpBXxcbfiqz +vG2j3kfgYOQRuz4YcxceZmGa7b4cx33pJPQAXmWuStFDd2Dw4LsdamLVTG2GGQ0tKb5S/xZ7/hPt +/gWelZz3r4ki87WOnXrxjn3kdz6bw8vZLWVf936SOPK1PJ06gC3cHxIKmTFhyGwb0jQuELgFJcgD +oW+dqXp0KOtOOF3cIrklL7TbaXlxcDnBDGE8PeahidNOUUoi90Y273NRgXmw1HpfUzExkUzqJ4Iy +U6ON8srgHdpn1fAXFW6kucEfSwzidQXtpKewlTDhK0Hgt/NPr6WZe01UH79PtL4DWMhKDLwH55Jy +kWH6c2nfbicA9gz+ClvsnxTVMKds7uSW9ShqQ//sogJOkv0K/RGyC62qkd2mp2fkRrxl5qROLK/8 +Mf0F/2ElAJ+hUCyY+WKZr7JXu94mIESQKTwb7XP/NKbOKRz++Z0YdM94kUQ+epYoUkV2IJFGjLSB +ClGWNoaQArM6lB7n2GKJlEL4E/JgfickBiSYvGW0wHOH0t/Z9VKZLUrfKWq04lzkcBzFbvPGWLS8 +tLCJ0YCN+q9maEMKIflUb1ulNOVbKg/1jnJQwrt4eWYbc7GwCSYTP/3b/o87m3kG1CuRxksMv0MF +5ePjl8v4eQ94PmwAsIXHQd4zMFGDq+nFaIdt+sJrps5xr7P/BiD76KLxg5K5XhxD0r+YDJS4Z4WU +NtTQWecIUu1oCcPDitgcmnjtP4+dCrhCqjkhq9SuH5HfvxgxNgKtw53ndglv5i2LSL+pVNTIe1mv +UftHuJH/dx/SvYD92BIWunbF9S+khXoCP7CCPmG4um+sJes1TMjHfE3VKJtdZJZIkbKNI+FpBJXc +/tsjXUKRrUvgp2GcUegFVGbn5KeZxRNQHa3YA/mMwZlCArl7R25b02gZRGWTHfug7UxMOJNOKu8F +yweV2ktXODPjbmkxJMUmVTxOEXtwoD/gGMtxp9xsIi3psBCWWxNCkjvGT7/sxWRox9U4qZawWaDM +Mrn+d7k5POy5OTQXa33CzLZRZUmPuvNRjnSzbK8HuqtVDKAJ0zN2Jq20kRfrx85OIXl7eYd4WVu/ +ZYonlzP8X0S+4bcGXX4nJbpr7VDM6GMYKsBgyNqvaA1YM/SYBhCDFPAYy6A4sAt+VFYHNqJk73za +eJXGaxQGXjknKCbMSlAPlK6cTFa1x+VVmZY/AWOxf6dPSZgJXNpeIjJsVGfVpMhO41XbPiXP6ocI +9SkigQ7PZ9nZG/r2QzAhV+jvRbZuYnWxO/hk5k3GiVtV9uzryFBoW4dAqND2g6Hnp8ATz7hu1hMy +vCHULmrX8i6zFnX1HcBA3YlZNeEcsO47YG3BUP+PZ0ESxWfhYsIrsJML6mYxPcqWdtrcuIS73UY7 +oNQ8+mWuQJzTpHbjJd/DAu+7Vff2JDEeE9FVl2px0wD9GRH63WLSix5Wc40tswiddF0yA0/Qm7l8 +ySdKXnbiDyPQPOdOrWO8KoQrZWDeQT2Fx2w+ZXCQx0i2uBWjYA9QAb45LzKgwCDnHwxGeTZGv93M +mxA9ZIjz+jy/lLt7xxKvUcgqp99ddDthC0wmMehaK0hkqp5/sV48mjKstJSC9nBdCRBShgVjRvcp +5023yFSh+VlHEd34tZuZwtThE5YdhdjPZvv5MT+I79QjULo0aRMT3o7i9uP5Pkt1gw+1BaKlhY4G +tlYnka/Uiv2l2eQEnitP7sosxzlUTRk77ZZfunNiqh8YO0gqxNJs5CQNSHi5QdL48y0u2+E47Mol +qyaANrmB6umzo55iyQE6DBX5TD9Pa9BS2PLig1LATzyD7qQbzJGSxFFflZOIxIRfQqBaL40NFjfe +12kIHXSDgInE7wSUbbubvJXX5VthK5fa6hhJQ1QMXhW0/n+cEaEad6LBaf0btfAAwJA09FUKoKuT +AHRZ+d468D9L0+pnUF2DJ9JwmUEUdwbdje0gQymFbnFp7heiABhjsG38h8ADw5zlnL5IugePlbPs +cHxTu+Y5MUnv7c2ZkeZjHL/tXQkuyS23fdxYVCIxsaqF+pkwdwHNoBMClV2dHdCAksXXV15QSCSq +mWpN5w9yRGcOyd89XUukSGoMeSaTYu3zgpXvGYRQtl8ll2ZGSsw3gnLb9m6Bel1Ci0X7FvlXXU+Y +3XWcAD+XMu0juGuhzaKD32siDE1IAadkyv2w4u54SLK4Rbj/7mDGK9ekMhKGGapU35Mft9cTeGVL +3+3E3r8hLt/xAEPBKmqk4IsDTjPHdgQguLc+0V0gFX5/T28IMMbYaOp2R9QwQHOdyEIPeKvlLlvl +vcWZJsRmgjbgUxf9ER4qMOkK2wXooT7L4hMkVKzKUeHrSLIPPWQzWRQ4hq9mU1+zQdEYKn3VvrED +ymcDuYvTgcoJgNmpQ/iwzSnghbOYTAuLrchf3bAysgnjhFUVU2odbj/dOG89KGMXgh6oBSICychE +BR0D6PPRy8WYRLVxa488xgwWpiecatul4KU2O23P2x2pzG6xvafl0n4lQc03g+Lni54HhncO/PpU +aQV542eAW9BwkjC99YFIzMtKT3J74G2u7hWQBgQayeU0KU5jS7ZWaH7Nz5hpPv6u2X9H1bBZyFaq +sxEFphT9CBi1Bgj3eTiI4Biaadf5blXGcALcIJjKmJ86YVRQBQvF9IeZbkszFl32EZ8PNyrrF3uo +qq+kynUWMvK3+Bn+ZcZ1yLx2o/FSArkvUtMGXC0BqaOFVM582/8t1U2Kw7vt93YgrEm66hd3k1CE +u3z/QoboK6fDsjzue1yE0OLC80qQVHvhf4B73xQuEHy2UwOHqqwEMzvrA4+DvCyu8VhcN/B5PIqY +tY0OOhI5sWzVUqVcXg4AOMHYErdvZnXXFn78GjeCf1gy7Xw1mWCtojbeAzGGnwjXsMIGv5xor/0p +hDsFVIR74AEDML82yI7R7XSVT6pM1K3km1ppFVZ6zcK4LbGXz7ZgHkv8QxcokaWYdqd74hoTSa3c +L/GA0XPfVlRYDbzCSqugNL+5B7gJJlCfpyBGcvTK//HacSxjSXR16ioHWDhk3uGyuepBJE3XiMxm +W1bYHo42rCiTBERZHjfJ0mjnzQS6jl0c0D8L4f0u/hL6rl2i8Cq1LV0ZFNxM6js3Tjx2brrgCWzr +YtuOJlX4+HEYNMXsrBG8Bv/YIYCwksFCvFtNs6odvPp+ajBwhBUw4HM4DW3IjUPdt7sz/P6Fav2x +/0LU+cjuKzWq0JM02l3G0VtelvpKo6XGH7M/Yfe/wP3Oy+aLG3p8cR+/GY2DnVASLCe4DyUKpxn0 +5wGTScAd6WD4qn4ayFEvDfkZ9otepMXR8Vn+1U8XvleOrngamg2LTeJNRcicbsMmgBM9d6AJ8Sx/ +KCruayAXOfXst1Fgra8XKWnUYIfvNcFN8DDFFvfNaQ4nYQS+UP4XUX6VdDjaR80ijEOahbD6Crb/ +4/Pku3GvWVg7GHKTP9uSLU9pPMPc03PE1AJObvEBE/Yc1mlOL84AixU8rP0+e7FiAug31ItPGJhS +WWINgNIBT88w63Gqx5JVB73U2s8vB6EcqIYCgkrIWnBgyLJlNM7mtDhd7DfnzFVuTzNTqj8ffSuD +o5Xayqtb7C4F09tJ9nTUd4ilPFVebLbQg9uistN8ReZiwajWU7FILLNH2wwHiPzW1zkULrvE02XS +SKQ6m8zM7YEp6QA5NONxHX733N00iKqC9qO7mYNQnc+7G2T9l53W3rGp/oUxKtM9WBgknoI8ba0R +EnABO7e/biKRz4PeF1mmaX8wZx32u/VtstYitXSnrOnxnRh5hE640UI4lfGw6WiQsaV1ahLfwnpf +gMpRDHfbHwgRIzbLcsKKVwfM1F5ZeXFd1O0SBE0tHQIyHSLnpRtBmEzur7Uauu0N1vCKCyKjrXma +3oK7vrDMmxTVvZKiBKeQfhIzRCfU7v9fG7Qkg+p1TMdLSGREFqwF/qovsJ8m2L1O7DHh1CpoR80+ +o+iJjGaLAtdMlOcNGGnr+DuqP+SMlUfe/hxlReQAFhy/nwRA+U8chA3BzxHIwS8v6K73j5p+0nV2 +DwkEwwIW/G2ipmbsU/P+9bqPCRt0wLxxvVTBFy7oJkeqrYCg1WY/0n5mrZc+8g17NYo3w0H8nwpZ +O0wTZgXoVHTQ4xHDpXdQumFvix6ijOuaRLDEnM2O3L8aj+AI5KSt2n1ztv0Ml4Zm1I3N6eDSHAFb +A8oWKDpH8zzYSx60WzbP8vhLDt5RQw3qkOFBYHsZyekb5/iMI/5HAltcIehNHso4KdA3YwxI4cDN +1veaUdVAajkycPXLcN+bqTmjGXdnSBNbmULz1cmdsqfHQ2fQW8DJowvGX13GKw1MNycCWglq7d2U +uhpjLEUvijvfcpzL1MzlUYyes2uxVZQ/vtfHqDxvkw28T8GWlRUpWj3eDGMtPT3tjyVTTRfL90Ja +Y4udwycMf5mSVgQdlxlkz39KPtZchbWvzLCN5Zh379Znrfs3/PPSWacY9gXk+fqv74PF9IJ/FZI3 +f5P/aL2WBcFLXFM3t3rvT3/blPI69pKNm98qEmfz4QsRQXCt1EGpmCGneCv2PM0VmgC7DW+YyJTN +t1ENxIb71dQFtzqmvp3xRSvrg9mkuetpQN0psfM6xV9Ih5RzmzrS8353l3q+G0vbZ0cA0zf1pVBv +9SMInmyPqigj6pQ+Agu/eWFxpJsbLrIBFFlmkUl3/KBmBscEWQQdR0AHw7dw7biGrRSp2KRIKhd+ +A/cUyXC3bFSelb7Np29UrWeAM120qHNHWy87tHoU4zrNcdE2nIkC+veWtlewkAkFDQV1qwWDVREY +wHOYHQphANwu/IMZByTFpbwitSHiLGJgqctGaHGn0no0T8ojA3yychd97v4HHnssQs9sBCd1VuoK +IAXUjwHew+DhPNGU2EA/n/Nca6ZXn1GbI3z0d8OnmYBaR2fz2BbkuqkHPo/8ojJ/PNEh4s807B8E +d/YywyR4XSAAwT2DwmqOVjLP5EEpdN0Gr7XVbLYJiRm8YVAsxlFCo4KEJGqSE4whEm27uY2/LE+W +QnGxnmVoKdyQIcLYz6KATzkAGf96DM0cgKpGyH3tyBo/GNMlVFmCyWYZauzSWE5DBRk2YO+4P5k1 +cPjpo7tZH0rkWaGpTTQuedSRUI+rIWY//+NruFSWVi1UfOOG7OuITDDx/Vm2yZR9vd1+sRy5upMZ +Lxx5wMC438B37eYq8vGdWc0+L5JuJ1ywcZCEQ7GggJfMoKnV6mljwcS0vxXz3bBgPvWfhNDwx+M0 +c1/3QNWs3eYGS2e8RBcsV7pSYkcXtsuLnRoP6Rs1UHnILiJealYquSnRQFk/YoI4dnMZDJtUkubT +9WJZPN+oCortlpSJE3g7b6VXlwxukObsVI4OkUuLBS9ncUJuCtxkI+5O5p73Bh7jf8m/h92v9sL+ +OYS4DBlKDXzdZaXVi4toyKsJVsLoz18gkQ+9zcb3SyJ1PRQfhUniL8YMv0xp62ewprysDg8Ctqid +SzpfdL4OwYRzCwKYij+1BtV+cCKpiv7lmONWZ0LIVLTmMnNExC/ht8jmD0zNcNQtzxnlj32gFJy9 +ecYGkiauzh+C75viJvP2aw6H5P5outXcqL4vBgLRYuTGb70WUpBsZnROwZukxABifiEnQe79aDVl +6G9+Ce0TckdBP8beupieTOBJJOzyBmDbFNFfwswnMNmPOSmAHrdzr4lXs9E0NfftEwQYzZrHjg6D +oLVMeh8pffKfcYqYXA9fyfoM3FA0KBIVJg3oJKhXvRCb+3khM6Y3an21uN/YSkKy6BJ47qYNam4o +/zMrcL03aA1eelmzm3+r3JJlTp2magjcO5B4VC7mh+BJ1Y28S8CvCt2BBVoFqwA0eAE9zhw+8gPt +8EkQJ/aAqfqgZir3bi7+C1t2UcMYqht3G13h91QbxuIjVaESpirU5ytvyuQRa1YuCwLr5/mbxeJM +3f6DHQ/S6KW2hlQ8r5YyvjUDxiLY5sG2uLcZwlbMJHh6uXq9Z1ry9l6EC3hRlNiFf3zqt8Srj4kO +9ZTGtZTCg8Uak8UoxLU0OUeygpVWoEq0vs3qtzeUrs3rq/X98BHwFyJr6iJ7ewbRDtzA88IFjzt7 +izJbOfQPnsTkyOniaaZcb2tJgcE4G3Ylzh66ZeZXx/r6GWWWg7uWeLU0xc96koZ22xR656NgSKqE +a4TDUjwOmLOcEiJiwFiCsAUAv0ynTk+g0DJhZ0XAd1DRxlLJ/pBAqHpf6yhfU1MNP82kd1wYT8aK +zyAV3yGKpZ8JD9fQzvgD0meq8PM2rFewwPEjCrXdCpvdzQ3ANgD/D7qmmoKzIh2dXXdkJUYYOxO1 +UZ7bNyJYEXaQbH7hH8i23w6IW0Xq3Po5fv3B4GvepfHfjNTOAyMdL3zy0lVrjcH47Tb+4jhEITFQ +p4A4sDSQigOpPeUyJWTmBPZD+fSYyRqyUGBy5kNJvcfi5q3zgt1Qg/uvnUjOk27OoE4oS0qwgcN4 +0HFhq2+pgTHrM3SZ/o2MeX2PNAztPJNMFn5XBMLZhnl4DJXe3WiIZa5fHPG9Ctn9zzriQsvlj+Th +A2zY7QW78jpkBlTRIAxADUgnHeAMT5KT/84m71Xx7pOBNq91uhkMXZH0/BYB35PgEIjs0Qokgm74 +trJ3rFaI3CeoQFSwUmgc9g66hA6NNFGTllEyvdGucgaBV56sA0we+ihDN2ZOkPIwOxq+IhZmdl92 +zOywsbOFoL39SiXpJMpR7cRSUitgfW2qK1LViVfQu9uoxqwyZ+8DwVVnftgr2Gc/27uOkgJSMtBU +mi/Lz+uiGPAd2l6T4tIqUWdgwSf/3uw/KnnBOc71hY/aQ8BW8mu79fZiTMtVCOLi25vqBn0pX1Ez +RiPfLsBxpjxw8zEujs46dauAV/JX/VSWgyqWOrVUs0IStRrWt68OEwTYQoGR2+yWRdWNBqFYjk/h +NDkGu5fI2yZ3iQYh18/aZHKFneMN5sD63WbEs0DQRkqIZ77aSTSbyK6rmNV+p9AXeTpafU5IvJ/b +iIgy7iGGlsbokvJGvLfYD9C5k4D3i4L32yGbS3ZpU8CEAOrmF5Ez19+5p5Ud7HuW9e0NgbDHJXny +W+5q9EAG2ZTXm13iMIfGRUwsQy1oWGOJAk4SzoLWW3nheFqvmJZ+Rl60FnjK6tbmYhT+D9jSBd7a +wuVcjN1Jj9mapwi4VzlHm/uqFG6lCfwo/bSTZ/f0yaUN10vyGhfYaeoe1oe7TpaGRQN7g2DtHzu3 +Bjjcku1soZ0p6cRHWtVyTE4yPaENbQirmnj+heaxFUWynczZ1VmGnLebNP19Wec9rpOY1sM3KAIz +8mIdaGoS6HCQ1ROMCVTVaFkOSgiCDXNqRVYunFJoKs4UW4a7TGUXYoAuwk9c5oqer0XVMw7E0gOK +i0spY51uANsPWcK2rJsjg4R2/isZO3V/Dcu8T6UopaIXZepP3JUnW6Thx8HzMH3h5ny0mZBJ+8yn +myM+CzDhj60Lkh6dWqLlf1f8CVqOc+m7axB3lIV5S1BwbS3Tzp9u18yrjQRhKXc0gIu7wVK2fQFV +GRrq2OolHmEe6aRnlcPM8o4OjTFmyCJDqluozO9F5j0OzXKpptR+E6Sc4Bx4IZXYZNIbNnUF+roe +qqgS+0HUh+M0vJc7i7g2m4835iho838Ay1/X5SilGDfkoYlVwMtT1boHhcJnqFbx18rflcq+mNLP +F9lzM4Bmq5jJ+uogMa6dNU6WkkBJrnqy7g86ENHo9QsZjUehXIyELvGhtJ/LJeD4YqZGefe/P/a/ +f2MlsH+5JQzsJbPYZ/FtCeRS9TME9gFwsfu9p/xyPw9wme1698icPs4YcPZpgnHIQ2qMfgF7YpoV +oeQRbdEx7bNSsIacyHv/EhfCB5jNCHXWkzaWvDkD5YURJpUBYl3dRwMKc5iC0lCC2A1uZoRHhiKv +3bMoYqFQ8//dykwOT9B9g/2TGpoz2KM4+Ky2j6pLvnjNFnc286+qFlpAd95+calDcJW0zgRcogrp +4RbEvlhF4qgEmSvemxUAtqyEib6YwDe1GcpKWW8ilGO3tOABFnyRxOUdO+OapVxbRfidrSQeLrGH +yBtofr1PAuDV0YgHfn3v7xUeGuiz/64O0lim4M71scx+KYAF8kEwm6Gbf8Q0eZW49Aa77exeXpPQ +ZIBQC1nqsnQqSe51r8AvQB6R1IXc+hrQK5SEudMkVk60p+EJND6l7R7ELSHKhDCj1upZQY4s0pQb +U1k81buv/mWTT7m93a1Ry5GDQeWs5vhWeZltiCFj7vWNXFPDuRuWA8fGTE7bQTvHqdfgkou1y45t +UeWGCvIxYrTr+9eQF5o1kjqa04gOLEu9l3FymXU9k66T1c9qVkNqG5+wL+TaAxY1uKhzOY/1YO1W +92aW64XoDOTivsEd2kYRn3JS7nqxAQf4pqEmnAwerlaC0HClX3hQchcerAnIeyP/LV/KQTHj5nft +wVLWspC/Hu38A2dCqXl6EWdtIcCLxyX/EvLRSR9iFLxtI+qokPwZincEq06B8cCEdsl+39Kiemey +n0X9XfKkSPMb4hnAK6D/Fal8LlyR2Rrflf9UvoPcG0dA3m+yDHJJe9fFGRoiC5cCQrvdup8pXr/z +ibuTv3z2qSlKHRSEJ4sqIAkaxNdGLc4Tt1NRGCygI9Vs2v3UCT/d+0XPD/FXrx8wvvqGoAc9HlhK +J4gAx13d0O0VW/Ueg0uX2YYog2kvsGogUA77PVJaJ+PnPtnlkChzWXyj4sfaavGyQtPC05yc2rKM +QU/pTtY7SLHiuJs1khhR8U/bSx55sJ8OFitI2EraTvwUNWQe1yQVso9yfOAKDxjEaS88lr6+jMvy +WeAS1/0hOZm5zax9k/iOZA4H8Zy+yrvpIoSxkoI10UAR1JC52/rOLxteCbZZc1f/t7A/mLis7KQE +hl1V8OP0/3a6sNT293coEbWobqT1fQ+3tk3Soa6u0RP6xVEXNqf6lu3rYbeFPFxNh9Zn5Mb4bnkL +wDw4btrxz/NMRZD/SqSrRYIcMM/ZSZJYmJt4qbFJ2MBjN75SAsgdg89O8tHDjS//EXjULlvDMEwm +iSapzndTYpXmsgdQg8/UtIgM8xGMfbdnYDxtFaBLUaW2MDQQ6MZQr/pC16LjE11VU5+shTrjCLsN +YWO8eC+A/PQQopXHsPYD23KLcK8+69aOfv3l+jNpbdEFx8t7/cGYKMyvpi2gv0cum4o0JqYW8uOw +R5opErYqS5BZPflLgjs/Wq+4QQDShvkCgQkD4+nnFYRytnUr7M3qylxdEyc+9VOCnXIeuoasOtaC +VLhPPHBWTA3FEdrPv0jgxJTlnphILyO+1LQImnH+0Vl+wXNoYffeNH8P4bn6QbodzPzy3eKZfw8c +zeA/T5NsfVUuR3Rq8qNEfYNn2GHx/ajQOGtftozz6ggNKg6suInbuEp+0xzrXTZe6o9bH/IsxFw3 +qTaMhhpa0NguWCsidVJn88B8yQO/XY3szbpn1XeM0ZKwCwoFXZodGrkQK56ZcG2REL2IANqh4r7Y +y9rvpMLCGKu8bZ35kA97xc8QLNM8KnhEJ9bTNQZBYACANuzRW9RAy37XzVgWwkuZh6WW+IuNQ7gM +Gz2KpW70VLM3/XO4aPwe2IAqpOBy73w+bwp4ZA4eXL7Dd7Ims3OTlmUqXn7dcfHYFmCyvdYE1o1N +XjvmUpzO/i12Ks67hWibfFBw7SyBLShMVAmWZssRXPhAheqyQW0RKw55h8SKiPp1eH2MESxAGRQF +C4I4TLdCMuaGkkqkUQBgjF9yrBJdQSqx32OmOAMPYFMRyMmSwumTNx12H9YztE4j4GLB8r4vS9Kv +xd/YaTOtjbAyKNGS/JngRegj2n3p8soHvVdlMpNNX+zw1as+ccTlw+H04Wh1HrVp1Z+ZEuwbhVlU +2ENXsuv9BlutL1u0R9M+CSlBv7KzEBhtGz02M29vzQcJ6qFomptKNs6KbItKOp2e9vGs43Rjdsyc +N9NBElnmCUVIs8Fq5v9CqjpoFWWecblHxhLF6kE2mpcb+Lp56Uu6/vskoAeyFmma5gWdXGgxrFCm +1AAdtABnCfM3WH2HGH9Dm7HWYwWaYJD0T1J6WwqYirYjayT3DpfAbMbimeZC/t8AmU5DI5CUmYev +veboK/NmmScVOmjFwe692u2UF3scWpZdY7gNTgxnhqO4jth7nEs/H8NbZNVdzm3cz8CjB7kkq5fU +kn24/4JafzGC1Wx7iUrC7/xTtSdnXjAo80hKw1O4I3OGHC5jQBmuhHVLQ8lE0TRj4ZxVaejGwPEE +uUv49ZX7i+RkgFCAck9mzBvv+M9FFVB40JrvEhpTVkdffmHORNlbHfEtP76Xn5wWnC+3/pPyUGjw +vO5Ogrox4Vt8D2GE+TA3tG+4a+wnapPXj32PYFBviz7kepoj6OdrP69/CwnrkY/yfSldVVBrWqG0 +rrsJyzlKZyeo6OF5IHQlOcYDXlKHcl1jdG5xaj2aOlIW+dUhe7mky6hWbF0CkJs8c2Y0R+2x9zNH +MnKb93rnPV9yBGSnFnsmet/QC5N2Ikcb2+7DcliN71LzLBrS90MrzSCX6ldqqXG4CbbLz8n7xl9L +H0Jm0ydXO44L376yG++7/XCSE353e1YpQACTF0x0T1dp4xESKjZxF/aEfXH/3plr+X2FKr/9D5Zp +FRzFqgu+FJpqv20oeSo/hYshP/dWnMlubw46VDkkdDX5tFUsCYgTphJK+fxzN03eS5btWPJigbA4 +sHZ3O+c4CMLYjUmCFs8o2u3s18pPmIJmaBJ4VrhshC/Oy/FhanzzUZQNVKWvdDrMxyxJWvlC6IjN +xbJcJpXKWwZ2iL1sye7NBfRx6N4LOaI0d2d/DojxHkv4vaT8r7INtZVcxzobnRg3mSgRZOwHd9cA +7Js/XT4BmCkBw6S3hWerUBq0wjfeEBGBM3M4nnwmXpvoTfQY4BbDWWdlA3QephJjufLkEt7bZeOg +FSlaLjvM6FhxeG8EDfr1Gi4Ag9PJAHzRRFY17v1gzt582EE4/b938KiQ2FJRkbYiT0eP0q5s8CVV +i7NQs1xBrWE6wQ+A+wa9k6wTU1Syie21A6c2jlCrE8zJZ+Zoit3Ox3FzYQKg80ZOw0RqkxphAt72 +H0b9WHDCYpzjUNGpPw26422ALh4wOwQv3H2/T39LXv+XDg2qAxXWCkjZJcHULegX4A99UwWWziX/ +//mdLBn2VpZgD/UKasqtOe/xkaOWAsu+EU01dRLAptltbfpHzwrIxQz3ZnfzApEij0OZTBrQRvhF +18siSBACiXxbh7SxsBJ6hYxywopfEQu+HO+BRBvj9MxMcIo7HYEDPckDWUyjLS9lfTzu7joGR+kU +UILmyZ7RQ4rtGt0uwiu8DmNCrs8Kwcqby3NLx4iwk60wWHKfzEx5OPNfIycL2vCApNuK25LSX8dG +eA+R7NpR4cPOeGsKo/6QNkuOLo6UsYLwFTonBOGFkf3njzc/8FMnzwxfuZUPoakB+DV4MEP7osmf +nnDn9k7cWGRtqx90LMIddSWdUbBRclJGYybtLQkN0ficB/jp6lxWubnStaqvbpDEiZNWHuuQ1qQN +rTlYLaxWUUKFXFaevGjEuvjf5tk9VIvCikYLCTZAeGBgMmdYG9GQpTRWAYR21tDs5cyvJ+mb2EAD +LrYZSjX4lLLRxwdg66TYZ22KN5OFi2Guc3QW+c5B7JxoRctAgLzJBAA04zSrOD25mIifn4qEGCpb +yf9+CKbzUetAiw4+osmneZQyv5Pd9EGhl0gOSIqGHooDWJ75Vvgc1fQKwYMybCEPoWVE6UTUMArd +LRAk9zx1v2xcsmrOV75WccotSDvL6zWGsUfRuBt8yM1Wh2vY6RNEzPs47RtCiZ4+HeGAwPXBqSWO +ratm0JkRx1FgE+lxbaZ4DV1a3OvF/7FT9hY9JzvvSuDAiKiNa1YxYHFfjIFdYpMQP16wYpNeYR1U +qrzV6Mpd4X5eVDZTEdlqGsrjWrTVQENduBZgyy8RHi29qM2hMHj0fG3Cdy3kT8gNnBZH01MdlAJi +t9qRbUKEbbKUD1D8CcpOQIdd+oUu4Vt91wfuR3H2Iwex2wqVlyrO6IsVV1uJlFCViabhgwLa3ISX +g/h8J/szcp0/8vzcoylIvOTXU46wjcKr6sh9UhZ9ENcYQ6R+72O9AH/7MVOksgTJAX1a/G0WyVXn +RnYdw6tHcjczRlb9qpK5VBubNuEVLfxZs3tPvLQUxRhntq6ULBaD0v8WugNbFII/Hx+w9hBHgg9M +mCaxltyOt8bEqZRsEBNiYJtQaEjmCitFppqTqhyFo5ukSQYzn2yLNE6iPvNav6pRwxUpPnEsU/3F +PyHA5PeVfk/+oKD1/0XRDBxK/jql5lidjZqLA9b+gC0gHoYZc0OpTNxnkahRMOct6fVLbvDziDfG +Sj31qbEOczsTJDIgI+ZN4eYgxl7Yh6+/3RJEzUWWMLc3+lAGJCwMulkVZgl+M6s3ecEBbPJcE8BE +rsyqFO/m+6997nEF/lpRzUVQ8LnhvIiwTRDFlhjSgm1Z7cn5mxbKhAOdKv98AgedDQOO6t3SDS35 +SRIodf9l9+1Olr91ved/MkSVShKqka7FQ8eBrw6ma3Wu7Ul0xwQ+Tt9qA4DBXC2vRYCMkMPa9mpo +dRcgQNDYv1JSJJJJlrcroibxmAQ3MiJjBPuZqLoSnniK+JDA63lO5rzOVehOKNwZ0vTWImNLZr9s +2FYc1vYbWlidjz2ltChRY5G8EJGYaucKrLGpKBCGmBQoTr1A949rAUXrwi1enz1e+Se5L8P4GbN+ +C/sVN7WpYFdRVzS/riBnfBb0Z97eVD457TO9Ij+55fg/FDkdNcrokXxvhzUFdOEZxM7C5qiyTOeE +XYPXM3BM/qcZ8d6eSm4tnydcg3JyVW7zHf2pdmZoJiYdJLzITocsdqEWSrIOS0d8skWC41eMpVOi +NNO9FOhJyzmH7uQQU7ul6qZD1x3A2fpo0hz2WZzpe/jvjOTxSw/9dOCmD7psax/yDlq9m58hbGC/ +tyPiJVJa0wcVgD3lUCsR3qvt2nrNanhSJoFiqzLKC6vio1IlVFjZU9YEPPwVTeNBSoJuXLsjdje2 +fWayTmxNXXApMW7uKAI2F35RVH9uviirbLwrWevdzILL5lDonvBTp0jC7NsfZCNxNcDBSvoAlgda +tqVzmblbzk5jFbO6bKPeZsy4PzBX1cLd+nZZWFxam3DOn7ZSDax/bMv45pwA3wv3Ss6w9li3B1hr +WX/1F/LkO2/MIytDfBjixXKp4y7eRHGCbK/h3/j10D40XobA6WZReTpW3eX6dcURQNK99qh5OPKK +0kYUQ2DsU/LU3I7/0Q8MQAvNG02KOoQXIwr9J/127EP6nDAjPDtMn4nIpviysO19qI94XLlXpSG5 +wIc5qpsc4ggdQObHNIG2eamsuTmc4EmvvZo9tzzA15IJmUb49zN9r5eUBcPjS1NGY2hT571hgdjI +EfsvLjoFCcxfcwmwOyY3UaO5k2OaQGAFm94C0oCfGZzJalhaRN2RMUeIaY6qj8FtEalXo6XJjS7M +YFxXLSBoKC6yC3c+Fzezh6nPheJ7xKd1JDWyEhTXi75ouKX+7GgAuJtYDMJTcBd1PQWvQzQQCFZp +HGTKNFPTK1tDez6Kk920uK8DWXtVnwrT0HGH/9zO14JvaklGdRjTt/WX2ELDz/faDi0m6hyK1N6s +VvWPpPjii4n9FYXwtTDMqxlFj/wxIfqh61NLySOiX/CGV0iVj3IZu69J/fLr1c5NlA/rtn7eBkJA +WDfSEulQ1RWunArJHatKSG/Bt4lmXUiPZohok/3SL+bWi1XW6/aC6ri8EMSeMaVAMZo6n6Yl/oiW +kW92Y0uo7hDXBhlo4XnV86g9cyv4lJuY3+Py+6kqn16c41r0J/XwIAPqyM98yIm0fjA14IwaN9tE +6Y+S4kvbFGJm4qMtPeTwoDVs/xXLi4DQfXB2BtKb6bhqfFAvNiQlfY2NAHTNuxpdx3OpJeDoDMVB +ZJ2HMUoSmBgGYrvUNYSHcPKUr3sQ7ENXANRhVFP38sE/G43gw/d556tr4/Om8EPKO8Cmze6q4NZ7 +ahSEJw/a0gOFcySuXuveWG55HQL8JoL46IHIF4CN5klPpwOZ65AGFiOYoLsDcA/oVOw2uElmZR8C +0CjmLbHa+eA5kTW3fzJKDj+YQ0LzpoxrlU3bTYc6jrlU7GqTRGxI080RfRCn05/+lFUZZHV2MYjR +A2CQo4/FZCypEwozwql0YF6I7gIRhmlU2SWgBMZ8cNw6YZ1/WuKDAOQaFbcwLXqpsjILOg/O1Rdq +J17HSb6146rkA5iEZfzxkbQTh0WucauqQQvnTKGlZTUljCBPpKVqiajWMym2iu902LZAHtw6tD3x +ziIE1EPUal38FIFEFy6gtyGfaBxK02RxAhrtTicNlO0glJB3m+oF1IvKqY+pGGBwkVzeaR0u+OwO +yWN0Cg4Gmd6vcPkrSPIJfN4uKZQDVMvR6vqZbfxzP5aUhK9MxGHQjFB4x9RGtuXFHPQTRl1CoIsj +yEq/2bdX2UqNKTrIIUOqXCBFRSIhGnqzNgqHUBpgzVC6ECbPzAp3GO8qQz5rniBkCakbOnzogxxp +owhNJLoZ9X2qONhL5OHJi4xMx3DiMmZGWqeofGUWhIylaJT3FVO+/zzNnX9V26VgFIrBIvsBkatw +PpjvAnjdL6Q2F1YGOTVbGv16D7P4gcvmOMpqcta4ljgRivUa7sa+cYeVqTqVAkQTheJyVmNFzVCp +UtWw1hz5uGilXzLFjdWwqNxl9rAm777fmhI+q/lgr/EoBLZrijUagxyKu71kOPNVSWsFUbQOOdB1 +GS/udY3mANTEelt14QNSwIlL2p5UR+9QHz8RbMTb36xwCCR9mbTudmboD+NB9ZKxx5n3x3E0dtyR +uV03QEwt29W7tf+V6KHAGMIxMRrYu9R/ZH/jfWhlba61kmjqMLLAKF8vGCM75wZ9X4rwlkmS2OXa +rIR8FRCTGKW/8IfblT/Vb0dFv4a9stUNz6xPBrI1A9U2r1kezyuz+GIUsnQL8su8AO5jwcWy/kJO +0m1aRmcoy/jxr5nkHYeGM7EXPpQORXLXYy4b5nH1PzBtvt+0Z+mnkKKDk5unH37yKS2iWheEeb10 +Dhn8DCykoEVaCGOMHmme2jlgqM/3uL7/aBZdCel+6S8mxDUIzhA1i9iwiJ5ShSq5AGCRYDGT2o89 +aiwUfUlKmc6IGhr8j0WqXPFG+EN2edE5l2t8kxFVBwoBKhroSKkEGtTmlLN/OTGV5V/gs2Iu4n6N +pTbBTjg5Lyt+XPiI0pk3ZbhVZb4KnsEMOktwXQrSaszK03mSFpM55nmWiwc27eNLdmm+QDM6Aov3 +pivLqLP+6l86jRjerqJD/RN6549kBoBtLgGfYbWQQM5MnvxjpcgoDI+izwt0pSlr9Sp9GRp+vzxI +Xb/qsQejh91p7YflBA7f+9XWOypurmcSfSyITwJHA/T63LxB+6ieX9UUiDLJZGcnPEYy1m8y0owV +JZA+ZhIGZ/9JvlEvw72kTwLNUyBUP/5bq6AlwIc1l55rkiJ/TMhDyAKpXiHXdqYgd4NS069r3qyJ +argypRGWXoA9f03e+WfzjM6x0eCg9qH5p814rw7vNb+tOv8n6aQE4yKVsHeMbw2958w8+oACcpCo +6mO4IOpw6Vg976mDwJPDurX5BwU+2hjXnb6XnqaA226jBppdRipfOO/+C7WV/S3ybvRyO6INNaAJ +pO73Pme2yzcja2DFYYkw+Mny2dsHdHCRoxpUTTZYaekPTF/rsWaAyiYIxCK3LsHQ9LQvozLgnA0E +Gmxk88n3UiITEfKnnMTHuc9LHz1BPIpa94Y6jfowtGJWCjDlK2FMTUWzMpsFdZM0IB90tsxrmT9l +gbUzFyQBBY6Jbc4Xmf0GCkAQmdKKW/L1GItvtQ3OqBop4iZZUZEsbTgGCJfQcybDoboYMsq91Yaq +5RrxI7iYRL01E2B9jCvqIG/H+jzJRMrhSi3m0Kd+oZqE0sXTJ8Fsw+s47559NYKWSuV9uyjKX7nE +hmO1+qr3iCqd1f0i2fcTwF7rRuzvPPBxd49PHn8P/L4XSwAzMisVC+oo1B3DOs+v7ArJaV4PJNhc +ten940dqcem9cNh14abhWL06HruFk3CoERhx8MVlGrQzvgSKJ70Kr1Ddks0+J1RQUtLvASyF6rtE +sYnVdW+oAJWlpqdTbcv1s5eTaTsag57Stxb5d7foh0Z5EQaWPgag5OHLmRRhfZRL9T/nCbFuCOnv +STI5o6qEVhHUSX8opQ1agSjQsUmTOLugC012k77txNz9n0hBHGS1od7wdM0jbJhQlnc1QcGXLabz +fK+HJsR9ki+HY1SXsfjAWoiiwQnPl+Jw3VOsEvwjg7sHwxDqoEqAPHTIQ4rZWVyH7F3fSnjM/yxT +8gyrCp3484hhRBKej4K+/90Qh0mOriKP/YoHrWRvzqJc/3BQDIEmbEykwi9WAJCAjXkBT//vOLY3 +2r8IquvvmyBX0uqyfh+IB8heYrs4uxj3Id/gXIU1Z8VLEtrQO7CVAj1l7XXwbVj4+QNh+3FQ6NcM +/CA8IBTly9XN3SZ8f0qmbBNjLsxfN1Hl62EKrPm4AgnEeuhBOZFFDIJmIwSp4U3HuPMznfTNE9yx +IrLBONuXvl09pd+6bk7HF0NemqyXoC84JEgIiDc79ROGrJ5/77qML6Zr157RcHVSQSdWn2igEX7q +Uo092ZOCxL2DXFyxYJTWbwzpZVkEUAudcEIr/T9uMmHI5t8Ys5CeH3+QzRyM8T7bjPXy1ZqxaHYO +ChGB2dqUxg8BVO6giVHRSDC3P4iOaWMRnSuOtNLk6Nul6vCTy6N7CjjAf8mPJVTUOsVuDeokAZM7 +sB7p8dZ332JMBFkTQ2Ldnn4XDNnxfoo0p47AjIgbiuYKqxtvv2XLKVv+1FiQfCAliTt+xe/OqrmS +ZpDafep4Ayb7lCbSzrS+gDaggwYWkdlY5o5Z2Or0kZYyUiL6PRv86/OJnZ/IP+pfY2DXph8Y/7mf +mmkZ0ZmKDX1Oauj+7fCYgTzHJAnvrHGkhktEsX0nky+DfTc3kXPB4ZsnwmjjgDadvKoN8WWGjla6 +VyxLxkJ6fGmnhBB/D80xaYpT/Xlx2QogiRjq+lYjQe6/9wWlG1olVLl2RjdK0QLCHlgfE9udaeSn +m+R2E0ckTFFeMjzEMtDGFOH2qSAdSOHBNxZJebDyWFe8UziNouxu6yyMrKPYtQswKMNJpnrsCbVl +8hWtC6E15P5eTmhqik53FY2s6GVAEAi+vfqOWuirjrUFdaONn8cawXSOy9VwCLexn1Tezx7aRaYr +L7c1gMxkJTehcREi3drNuc1ghxcqKtsP77FQhT9jrAjwlcIRDpDXGRCobzF6lt6ezsu6JYRzhhf+ +VK6X2bcMgxUBNaRvJENM/lC5DfuxAnh3xpzEDJ2fbJIk9CK9zEmVJA8PbauYawdGpkcW5X2glavw +NTQlP8m7budvp4NbOHqDCkqiOS7pb3+NWftC+Q9JhkrDo2BbfMwgTZTUO4PKHh4nfzjI5HEwT2uU +BISXDIlDyC7AL61iyDlfGYJQESErpVlVmB7z6yfgbzHAm67x0NkBU6g2r7RYNMqj3UAg7JrRSvep +9Xpn3p6Rr2+7VCXsIdOCd2L+rQoBjExOOI+hAmJ5RWg/4/14EgJQYKBXFmSuDV8GpFJvYXXfcKx7 ++DtQsIjXDfEth83TI1bRrE3/dfjHL/1wa4sTmPC5md+zAKKIgwEAAyTU3GRlfFmEpGkKgw2kvRb2 +L2NwhfIXdD75rBfrT8oG/N2aeDeGPBS1HF975Cn3NO8xl85xMUiBmH8rjY51qADfJpXnTKPsgQWS +rxtSEAsn/nMaLiCEA3PatsyxQM2mwe3f+jY4Q62HGil1dXOlXOlFEpnABJlivrUD6uJSIMfYCxw1 +pjbhzxcM4hTQoVbsG/kz97VMHLY91pn2G0Cow4mt0R0XyTg0XmKdaa3UuS/bLCpeJdkm1rlOgmR/ +bz51BBaKNqC8RlCuhZWTtMPNe9jlZX7xw0/rNH/SXrY6khKahuTQvZvQvCR9XPV06/L29PXkcf5Z +RBk+Ived7r3rZ25qMdk6g4hOl1EFB0wHXpW1QSOCsXah5FfRvU/Fvvkze+68OABPtwdDPYDKkAR/ +2wRm52/y5pk6wo/NIpdM7yNYR6tygLd5+tLjcA8W8h/1oW5OpQFNflBaCBHKqjj2D51sXw0T7qdR +HlA2i9utRGXNs/H8dGHOVInAmNZUQQkwLhCO32EStfg+AHfMnghbq1J6bkwZIypKAik+Z9jeWE5H +uNKEVmUsRaU/BKqgoDRkXUTalrVDiLXickn3xOCTgLiTKHgjvDul9scfEDYWBmazt9YJgBSaWv39 +7CmMeUOvkRl35VCF1w4eAM5SILTtMRvDXiRfA5D0cgYjl5J4CM2EY/jXzaGYZLLE4sC7IE1nO3jE +l8OB1jjD9HeMtJg2yQnEubWH5SEBgreKvZtjqOdXAsvByF62NcfoFFRY8nPKSyWPPEN8v4/Dt5PR +u0IC85e22ZbIkuKcg/npZZcqhbwAdF51rlK0xG9maUEZuFUuXOZgr2tKydDupemV2oLjkQyvcg1B +HYJ4beK6kfx2TrUUoHYJIIKyrXnIcaPJN9KT4Kh6vdGkV/fk1Pt9ifU87Au5VJume8KCdbDeBqOj +GXwuM9tJwVkeq383NtmOz/IXHJECDZ9XVJhG+dHvc0TT/dFfQtBoKR+lBOTue5qqXzOOAzUeZ3dc ++3S3WVGX3rBnUJdaEHYsHu2KqEw5VR34UN74FvIYfnzNxRJ918pT5PhyC3FQ7poEoXrPC9YISEbz +PgmUZ5bxyS1K1EL98CaPdVm/iPpq7IqjMa5eesll3lTcC0gPDuGL+9UFTKjU3878iepaG2WzGqyU +n0brMeV3TzUtRhOnqhVsPyaQwnSQnHW3CrOuEO5dBRvwgOHyo/yS51f19Q1qaqTZkTSC+y3GoAZp +D+WnAP2sMQjlRrDPveS3HMnB7EyqoSwVbuTZXSbtrxbsb8dwR8PIgzHCo9wTTnQ8JcVS7Hwm5oSO +pPAT4Ype+mOvI0OCyEzYlmQ7xbmCOOqx250itbgSVXAXQbzRbJonmJAiytWcUPtozlaLUx0F04x6 +iEyhLbB+QN73zc/1K3o+y5DD9yjCwRFa4Z2W5IqWiI7yU/F/opXj1elSkDNtyxa+nUCC/tYQmuJm +sy2W6hCcjIQjUtX4KYx+ROtKDJyJD7ZL6awuAXeaXU0ON+2N4Si7q2xEqH6USsLaqEERmEemu1wF +gB2ZmD/+Q6D35HKOiQQ9MkG8yiz92MO3r95nTaSXDvjRBdWnKtbrt0M9oyyIoZeApIity/yy/+00 +/UZ23v1k97/lZWX6GckAobpTGswJFQ5H/2xRHSx7AM0C12CCCPYpNJsmKtDLvNc0TcxgEZ2IYFmV +WVBln7mqdv9O8xQ49Iyzf8PTQ26FAlQugHsHPP+VZPw4Bls/4wByVNv8x+ajfFdj78a7/44Hh+t4 +cp2rwk0QIiw1thaTHui5Bgbn8ZRhOQnL+LXLYewgyjyn98mUwKaI68yqEaT8W/Iu48lvly/zlGks +QNBo2caZV4rf7NHodFvfmSDMKdBDieD5umx5gg20R34im7yMO3gJ7bJLW4B0e4017OQHiEGAnEfl +qUbNYdp4HYPIkBX62GglZ/52R/32mAuI7igopyPJSN+Ot5MdnJsF2xO/GNtp3PJGVlVl0BAEyrBh +KPqi1bNjMgW0WmfWkFgm8wGLij2lKwQO3ctMP+xp7WbWomeCN6vZFxnlWG6kzKQ3XBsZjzoiQRGq +CyZw1V9iGTiVhgoT9fHChihvfyRYe3lQUJG4x+ysSMw2MQj5Ey3wN3ls35sVHSlSot0yIRi74KSx +c/bvFHHblq2mrApiUnqRgY3jd3q4L0S7JzkcgCKFiYx3711WUVLaUyTIdUmZ2IvgaAjKr9xLJxeN +bLPyMLq+gZ74Lg1B4k3eUPIE7IT6VinBcN42S1PzmWpg/wFDyYwLkiuAI6KguoefQgsRTrNcXpPd +HVjqLA67ft2qJWMuIA/PZUV3TTCn3QLCvywwimu95J7fPNsOeGRhD4sMP1eaiYpVi2n5upEovKjf +vZMC5Bcie8RuXZKsYOSazNajrL7U7euCNbNDcLt8r6Ouri30HMGo1eF4EqmQHrid2uHfFq1GoE6e +nkslHapoQ765/2hI2k2K4NSgCmLoAJ69DnrV6+X/vJ6a+VcpiKGSbLn0m8+/Q3HXocDgqYdmkhTi +bOiLYaX7zMJyaeFjjVoxrMz9ocofZtAFDjP/xXrZTeWCCbP0tvTRLN1SD5qxCk5NHiYfnSi2eMMu +fR+3wCeA+5LA/4IyrMKvWEsXJNkviuEwaypoF6dMbTDG5ucADhvdFyE8uQaEqSwBYh8LEfSm79Wu +f33HY8088qbfQEPMv1oUCGVnhmoJHr7ZYDeDFd0rg87EkY6rRHw9CNGq+AHOVoj5Nl+wix28M9nh +bYn1PJC34rYQfUOkuh+mYwQfYwfYGKphygb6Q91MZv5YjRERWv6/0vBvA5VUalD38lBkmFpNgADV +dDUT6QXQoUxZICTKH07NYJp9OE2WuenvkWHO4S4R+R4MMr+oCLZlpaj8yg8MPzk03SSh8+4unhNX +p5b7m5cYTLThHgeDreo17VetDdEbf5MDscvSZZWnNHBDLC9l+gG8Ku6cmgzbOvi+ZZ+CKTKgVuqW +LM2I1mr2DurO7l5Z5pKWHFuPZmBWd7+RR3ob4o2U/vKk7uG/9+gFCZhDD5glibKVdmUqGGwahCZa +igkyaJpFLpFpB6Dc4n4Wdq15jxV3db/+x2MJfh/JcXX14XXMUKWSPgRxwLOQFD5TDUAFWTAdzGhN +BpXpgxLI+7iyWsXO+SjhrTelckzUR2wFxA23mF/NmPl8VdrZQmP6TS72n6AHj7JNEaLkyFP9yKl+ +ZwDwfpt3nzeWwwAz0kZ2UOkA33DROAYaK8HkFcEIrn2nIgCeGDqTTzSvauma6r51/kZLiS6X0Utz +HXhDLsvBHh8biSXovqf0kStbYLUv+tFclAIUluhixvsZ8K5r99wo1VyyCyMp7fHY+Us2pMCvzv7a +hgCkAXxb2I2UaMYfPKHsKZQ9oFMYnM+DLokthUKkDdDrV7gBhMggqGjHEsorRIoHXKExY80J54l2 +6aalmebXG+528S8jXRMfh+lOUwQ3JI1rH1NZOBh+slPkbguw0+x4Fk1rQmFFX+McUDfjBbYpi4OC +UMi61xniQ9G27MeM+8u/hNuZuOuLxm9NKWXdHtx//jbXA3o/AQoATUSW9ozpSPxzPUA1peNwspBr +QSb/UJtgmZqcc/qpFIDfi/mTiAqhtVuHIZJvzE1jqpWidy8KSpcxTTkTsvZifHtwntxk8zXrN5md +H4xmr/g5o0AwxeW2kVttL5221hTCBlsQzsdprozeVaqSIT5BNG8WSuqHP9A9s3o2jpbNJYm4ecce +Ia+/64qplQB8Tc/SEWKYplXytZ709sBjrI0WgCITkG/vn3I5W8V9GbzqPdW6ieD+V9oa8d2nNZVe +Xj3m0LbSwT9qQC3dIKgbTJgcGKaFeSthSxUuFv1ZrG9L5KBU4Rv2lJzK/v9qg3fHsfoDXsUpm6wB +HKd4kd764ijXkg/e3/HcL7T0Pz1gLZeuinQohc700BwutQIBT+448cuOoSjQALg4PGeu+k2CVScu ++jbzQqhSFLPsc+3gffi/NbvHY/x+mmf5gZUWFCqJ9xjrSiQnTtrtTYi0CHiclGdfH2Dsnle2Ofsj +wd2rLXoN0GgVIvILrHHyxf/6vhg0Zh7lBCUxK3KUQu3kCyeSFFvTBTm0zQkZ3UC8LzZ7UFHusyQ+ +EaqRPr8djlsHN2H7tn4grauwKoBixVe4AzxojWiYZMgFqPnoZfeeTXiqAZhe3m/eFuryKwNhzLDe +Oud6c4OcUJFWQMYDlUTHMy4nJLwb0wspf4Iws3ON86HTv0CNMC6swsVsfBRqZq6kOZfcb3JiZort +/SzryfkBCLgLEU9Z8WPvBAao9vzthLzyDnMSDAmJi1CO0HKDCg/1/PsGd0mPgvYE8fPr6n2oROoB +CVy+i1tlCUlsGLAK+SFHM6QNYuZr56Hc6mesl5Kg1+vppipi5ub/jJPBypNDkNU0XlwRQpiVSDoG +lJggaD95tLdzVgHvDlnx952fDk5tswQSi8uP0ZEMaOk/RDO0E0lTVmM2FtUPrHyMWf0VBhtRq9wU +TA66b92ornIuCtsnZ4wzojuADq3K0bXvOs+144XU/tSSl+8VUT3CqOx8V2r+SlcW3FeqBA+7DtTw +4uCMEGmNw0RmxNZnFasdjKAzlz9p5rv2pkmbwAKKC03l+Fcw+ZtuYXzAgZBQucEiktLj4LcY5uMc +x28D5abC8rkqulFCpMn6MjuMC0dVty1lAM66UN2Dxp/zf4oTjktc68UabKF9VYzHF5G33Tv0If6n +TxGzU9AhrfNkFTuI9gwjYqBiiOPC2on64a06OuDF4n/PNk2e1QDWghZQ4jNllHLtrGXRhKNHYY8j +p62a44PkqM8edqW5FcitGjkCxL2lkuYchc0Sk0vb0KQDxjCblV0tUSGt98WMXf0RGkl/1Y2fXgNq +WJoPzoWtrTsATFtWgO8NbrhwoiXtNcHG53n75r/raWTjkIVVlf7L/5JVj1dRA9xC0YUoynXPurt2 +5kUM5l+wBjGbZVOOjucScaSza++loll4KVtayJGR8SHdZU0jkeEhX1fRRfM5/6TmLLPyhXlpO5SM +GmPeHms2ND/mZN6HiiP1DgcGzpduH94kyhMASFxmuyao0Qml6mdWNH/bRoXbgMfjLygFFq1jjkUZ +jyi/Kw6l8SQBv1BvoRE3F15cFUZqKX4vUpw1wrHDVXbUnNqWr+8Bq2iKcGkcAwUcNQQ6HOjxR7Yl +m4a23HOnpJ0vdQGmMtvOg2475HMPkEIXjlTtE7i6hHbnxSnBRdMO29YT9ytxcrXW/Wod8lgJInuk +LVMiFx3jAwTZCQZ8EbW3ynBA1K+ETgRHi7MfFthN4HP/l/g8CoDPy53dK/5PPA3nNet0ktHYU2sd +mLTceCpTVwe7MMTFx858cijgJjGkPdo2p0fSePz+2lMsMm1pjtxxWb6qrvJrcGXRXly4ucRnYZ3M +WG6InqV7Za9mUXaWi2RjFiHGTpAt+ZmOP18DZUMGBPSlCoP/SxyyvBaL/XV2UUVL8n/F2hChnZZr +jQFYNjxr9Jc1/vmsH/3qzqknCxQGQ/9OWXmavcguJcbVLHxG1+UOmrCKyLYChghgB73LjKaKcc1z +RylhB80Pxy0tld3j09Ng/ppEBCl3IFZwntB/G+Txg6ywWVP7uccUtWgskcPirMGPiLweYR5H5hpl +ST4iu/cb5VuitPbKL3twJYN7X9uFvea6WKk1EpPhv4gqqw1J1TQW+9YeZXMZaAddHSDkHxOUaWiZ +Mv0CIBHNGJKTLkwkGmqSFtmVDMffF3HXWAJfjIQfJz5R8oMaBWXIOocQ5wveYym9f8R5+z1XN9y9 +SrLWRCuNUXemtl4FX7nfldaExTvcpFsQO7KisCPALsjvb4yeOp7BoNc/jP+eRtFb09DgeKIprpC+ +RFLj01PEwn5ZE6EzKNau8TTSX3bYJ7RCnZa2E+NVEvM5VIg0h2p4B3Pi8gOa6ieT8N/7Vr3GdWAA +8SwkFlx1FvzRvgBM9RitFyu71I1NL8zgWcTdPh20YdsqURMiah9j7d5yPxvqY0F0hD1doNzVlvkO +L01wtxmXkKNJxQq6/sPvzgqYpJ66qqYVkNP6G/MjeQZysrRXb9VyOqWGqbW/PB7E/2Z26O/nm1qy +z5FuckUo4k1jXKc5AEmpZapCAWRKbKs00+YvV+RAPW+GAZMa/As8Gz29t+vjQyGyjPMTtAIrYk9o +2MFVp+ag5tjGfaD52VOBqBN5nQaJoQV0mleDJbhdCxvsSl706YQY4Q93o549sXYCb3C8dCCbm1MK +5g04dFSm267hlO/Uh3LPsvA1vTT8A1X8vqpdWzF8WU7iCgZhDkElX3AUWtUl1ro8K2GtYYQlXhgp +O6a4QgbVrLG1JmSijI6g0XsVRdho0+vgH7ryrvkz4K7Ub1II0OUn5auRIZpqfRHhEJyx8mrgXLlM +LSQp3UaIhYsX8iPTlYSrfFCu4Ju6bOcf0JPsWzZolAZSRZ3vJQy61MmSxXwH4cdAIgjDka89JxJ2 +Kt7epbjRN3b4j3Im0dAEMbihTidm//b1+yNX873YCEdk6tzWeAgpSOGDweIgbAGxiLGpYeUCyyA2 +wocjoPvPEAzm81n2YdboXlxuYHTwq3edK+Bu3VJAaZ8/K16FtJAja2pxRTZkSJ1VfFNRIwD8EH8G +Madr0PrRRsFKeL3eIIHqCXTKUszWORdsUhx9InbUZFyDM/tiQm9/JsiKtpXuD5v6BmvTcgHzS4nK +gC1n94AlDPQ7+dHMDnW0/AjeUow03P+zS5luxX4vyrHvmBT9E8odA67YbMkqCNgcXRE/DP5o4c20 +/u92XaCuuwYH52cwpge7maeWyZy5nvWx63QaKydHmsabK5TpuBso62S8UrIMRjLRmykv+JVRYoUQ +BGBQZW2wj9h7+Sszl4HsQUSGMhVOJnKbm1Jw/uF+CNQ+Tm3+Qn8ZQ5mScPhhKRb6OwQUEKTRsI1E +SzSjDt1WjiNOjGLcxnKPTvOk0acHwWLQ1x/WWHKDcuE8cR66aQ2qs1mDO6YsSTzLue/cFX47j0ZY +NA/Py5rfxog09uPsOzogn/oSVxfNmtqhkAXveFnP30YogsrYQmDM9bYFABmB9L1ifkKgAqF33yk2 +8S6fLArIh+6l48aykFAGquriQ8K7jL47ad6v5LYSCoooidXkMCI+GyoSUouFdqY/WvMdNnuLRaOg +7Vl7mk2YsvCWFCk2c2UsVVCRJ14fxEupzhUnLvOD3HeJyW9AhTZUttVXX2ptU09ESpw8w42Im/7f +e1vz7HfRxpllB0IvRjFQo4QqKaYeTiNmEeVyDClwcyyB6FH99JfTsAXl/xbA0dtvPxu4Cr4NMKnA +yCJ29LaaJ9wHO7Y8w7cvplYpRh/0StZPhE5gCm5QfNGUdjtiwcqQ62XkXEtn9v7ylSYQ1XUeRuLZ +TXjyYP+FwJea3KUpVJSt7LosggqaTuSaOuOlN9aIe30QlMr3rnNoeWu0gC2dfLnE9JH5tTkO/X1Q +9ewY+ZZtpHgu6/KU3h4B6tvE5aYr2qU15sQccOsv+KFfaiYPaVFkNm/roAhMeQiqxn3W73+ieEgq +M2OHkXeQusl9uw5MMNx79vyQTOQ/11V3kWY9xGYViKMrXK9lD9Bbc6lKteBxvKferZI7faaZUOj/ +ju6kQszwl7UuoCcUvN/sdFa5Io/fwPLCRVtmmmbtf+wrFXTEII+imFWxdt2o3GDifpkWEn/eqZdh +mk1incsWEDvp6Z9IIGbvD2E62PVaI1OwzojRxKumkT1M1SUo/4Gh0jKg2sd5B+FIm1BvspDv/Jbw +HKPqT7ZEQT5ZRRlRUcjZ4D2PIX2KxqAb0MKB3Divqall+GMhVHwxSviRa2NNMqTPESfEsCLp/f0a +r0bJj14rDnGSBBU3TfMT5R+IZ7/SEBsiRfBMKTU/QUk8b2xOMxI5gGUugaDnNk/ML2F2J/OSXGtU +8o8HkRmiNmDs73hG7RbLalR9dZhqLg9x+oGhSAAk4lPqW7pczRB4LSA+I9U8DJblnaTBKXB8fOVr +B1JXxr/PIdoDrRf/tlfNidzQ7gb22DyspJ8rCELea3dQ8QSFbEr5d62+rHScitVY5MLErmxYlufa +Vo/Mw1qqyf2wrxX+eHbJSP6ht5anZ2mVC+C4NhKpn7/yAk1gAK++CxJ6B7wcWyirCTkU4JtMYcyK +vAeGWuFqgEKE2s3nWOxARRXaOOfFMIx9BS6Ga6pRIzdzy2eSMkmyjquZmajzSu59Q6Ed5pr92JUT +uItGUn7IsyzgW62NtsCESqrKWu0pkXQcTf+xfYnWWrEevNXLWwBFdJfcITEpgeCRGQm06hqZ9XYn +/st164K0l4H5cwWzdqBG0qHGkqffIuRwJRw7vsYiVEvHuAsVT+4m88fDP1rME9pjkAJogqUPG+Md +YLKQWAv//J6gx0pg6Q0orjkZ1KF8XuNPJ7IYt7OWwS6skPRNEZwwSiqN9Tumxm5nUN+c7pB7c95C +VC7NE3Pd4K1ZxVFLia5Jr/gg2Ym1eRSuitPqR9fqWBwXEFOsvE9PS92lkFk3eD+MpnrEAPicur5y +8ldLQC4xexzeytbf1leSvn/z6VtY2lySh660BblUKK8RmplKwO+LahlQ+tIE1CB5oVUVryErRCmt +akmKID6jkCDa+/FTUS60gfDcUV+jVj7+d/26Lk4Ma47EWFVbJhorKB91vnz9KxsQmi9smigvaf5e +azi2+HcmYieCChyEIQ3Z0YnLYc+husq4J230CVUOdyZTrTlJd4iIbQXtKLMV4uclnNVzKAcifyf4 +yyUpfhygYlECWIowSjBcV4lYBqWW2k1DEVK+Em/bNmLNOPiTZ9sIGMsoXYvZpJKdXJVZ8235CgVW +CMnS3B6LXKoPj+yPLjT3kUxsmuhhP04e+3gUWLLd1CjYNYC/Wp3pkNp6sKBkFiABOM20x00UMctX +NzlorxdxKd3+p498ZhVDyDfuq2vpnzx/JGFUFPC+Y0Iu8MH2/6fvDiulKM60q75APwAY18qtcHE2 +xKLU1ELfvAXuHrxx6BOts6xQdOV6U/1ShhGHS8bRf5g+FeBV2CcBt5oVWvA8MjgUMUiFnNGvODuT +xKyt+4+fe1xey0XfbFmkTZEXdlh6zM+Evqq7xgK155bgw1/BbDdYmDTova+sVdAx1Dh9ghRgsQUh +I/3lUOAtGjXxRpwqyXzJB3cdwwM8N4QK6adJIN08usnzkeTnEkjEvn2qiTRiH4JA7nnly7Z452e0 +V1rM4qVUrRGOTzi4hRJAnhEMxlDfDUva30jQXPwgf/uJx68KFoFoIz0TKDbtxGEbmcsVUDmvkcwu +rT6I3DLtciYrfN1xP1/FlipkoLFK8bgbrLZfo8kP3i+3sOtmEt9WB8ij4z4DgA6VU5UgG4wZ3ywR +sJLSCb4Z1ND7N39OJmnG+fHgtiyX22bAJkon4nDbQgzOfT2gmM1eowlTvGo1RRTXNtcT5LXq2E56 +2InDpHrYgY8SlnjFE3OzYu3K45aQZm0SvQezg7C3I6U8JZBS93zqX92KXeeGdX+2YciXgWMPCTfE +MK1aMdgcJ8Ie8IWfKLbFfeeGcWIxx611yJNRBgUj+1uO9D6giFtRl6LTZmzNzapnO7iNZzzfkM2m +o961XscSz9TXVE1ydbK64t+CU39OF3s2VdAfdn4U4/I6yOggTLxLblcAerRic0XG2ukPJg53E6Ts +lNwV+FOU727eQB2EIg2rmqsKTgSwpnc5iFodQUPselpiGGdlGtBIcCTpaXyqM/O8sOdnZpDZsPam +FHZ/bdZoyO0yCO/cOQSky2FjxoMnduf1evcGlr7ItydS/gqDKYjteU9veSYyIhd8c/zjzMPyAbGX +05ia6eW1+4bPFlNoFI0lLc9OiScSNcK21HCA9CSZrn9L1GVw1YWP734EMjQ7xRwdqTpgX1Xas+Z9 +XPzzWS5jd/jOhs+v1SF+mltCjSCx42jZIWHjgdaZ7EWl18cHj0/Octze2OULNRp72Z+BSGTyHOU0 +kh0a7GIG8kvDrJdiXYNdSfd4maRQm3s8NCW4G4wqkAsoJhgo/k+UjRK3msRdcDW+sDE/5sb1NtfZ +KzQ2rw4sG5liXuuVgakNWc1J1AIEHLHiTJtS4qsNnRpwMYIlC5UzVOSuSnu2z3bYCMzzsvS5d6Pp ++x3FneBvY370liQALmtZ82oLvRcrMEtYFqjU7UXXNZtcQ+GeYXsNobILfBdO6tcgE9t1jIg8mpDy +2tVTsXO34cRO98DsgN1T/BwkJfsG3qhIxa3/M+XkzCd+ExsElr6ii4jiL537ZF1wRcvF9FpWIgyQ +QhRev9y25QKn2ObVYJVBmKj+FvsV1w94mptd+0T0Y82I9I11JIsfFOMrTuLakaP4oNUhzQAAU/CP +tKxm8hD6UG/LaUX8PIfXp+wcqedYtY6/wQf3abNqmEt1RsreAO5OLJv5xPRNZWn8TMeXbLJ2K0rV +Z3TRJHp92ySCdzlPXav82d4QMuVtJF+5JfUS2O8Apstb0N0C70LgSQobcJRGFz0Nao7e1rrNfDi5 +TnLVfZotJoC7+mxAQXKjbvJmvQwXtPA8hwsjfbmRqOzFqujU4Mj9IFmu5aYpYPdQpn8equI9uF9e +9ZMy7qNHBGp+Ri+m3ruaz2ZeboChv+ZBsQBsy5u1TOXQLkKmvyHJcD60R1rSo379rjfmF9NerWsZ +bIjAF2xM3P+VuUAMHHg/wub0qiZxAJOIwD/bbOKmijOYnUZab8q/IW6CaHHcud1uIvhNedb7LGjZ +nTLzjdIa8vopv3ZyYPkgDK5mOagPuHXYojjUqxpLGohN9lIrqJiGk8YOKF6waqUQsaXosYT/EkGJ +Ecs/XV+UwCwPRVFpeqqN4949vifkvYXAkOJEogT69nXuwy2vd9A7qF1F6hvA202muZpRLsK8X0ju +Ft9WUjqOwKNlAf8MX9GuXMeAe0z41mo1qkXZld29AzWMMW8QEeMYLvNqcowL4VU8aZeC6p088SyK +OFJD+3L8gkGd3fl6qDc1PtD3Is6qQRFd57a448ewasFX+pUhLKkAVJeSj5V/3x2C/2IWSyFtIy9S +Ycyf1RvZDLY73A9aNraWBrAb1eQ27L5Sw5iUsE8CMPlYmOpiuAhhPeCUvypjj9PKisLhTYMhkvWg +BIhScdeFTpkenhkTi9L11y+lZu/hy3TZF9QJ/SzbD2IwLhX4vTXg+t9tOyHKD6V2YKaNro0zDi55 +F5X3gBBGhPnNRmIgTcwCaE3OG7yAVPd9him4QjmJnIbzutl1tddrLMWN1kKE85DuTpwNhXLvyGdi +U+3aDG24uvG4b0llogGZ2Qi9ECszUTKpPJgh/RfFlW29i4TXxOfNes2RJH/l/us0Go1/5IxxU8q0 +gCXhC2FjArsjgKsOO8wUx5dkD33UxfdFtr3x/pkSWuGza5flLMB22pJX45XEYXjc+sS9Kz5S3AeO +ZGYlYpHiG5XS+cF0ehNYFSOznBN70q0saNSvu1er+Uqd7gGneuvIJbry67re8GFvzwaDMMsv0HER +GTQ4ZMIwVDboCPwJ68oGX6igBzRVy1NI/1kBlnsDhonynjBubnqc2sGcwuhi2dP3gzkk9jKmdxHC +8dbwY2YEMokUZDOFMAPR14+qjaISHpAWdP8ErXxjpcyLL0bT9MDvvz6aW/y/4jA258ye05Wz47r4 +XTkgdTaiI1B94ilhjEtCtgfHQGQW1Q4295XmQXhCWa2hYFMsUVDshA/WnwW7W+ps2Q7EKIVXQlP3 +fIMn+XO/Ule59R3p2SxphHKqogU95MheydvNNEJha97R2tnZMQgNysIe3mPflQz4gthWtTVeVMMg +buAAO5ev54XIJIXXwOutdTW2F0vMex/KKL8jQIBs0MRlfu19C0SI47c8uWQy6OThkFMEweuEnyle +bkD3A+N1FUTgGVMSOv6y4GuUXkivsErR5EOZhAmy6RgJSoAqjUF6OVPqs78G+ASze0HrtPUTOTn3 +Wf5O8hCM3ai5IpGid32uCTZGdN09yn0L8XdZuFxCqeyflo+DMQCrkXpYBDB/LJHT/V31Wc8zZSCH +JSd9JHYJFuAcc5xe5Sx/ahguFMaCdjKz+fZiz1ibbVweFmiD6DzsSUkcuB99PQs8ZhoYBJqN9meC +JeRo37d+wUeW/uSPyxSPx62KDLCV9uXVwqzzUEpUoAFRbPEQ4VvTLeYzMHbj3u9QeDefW8pex+qu +ziWWduRUCc8ZkElczrwW65aVTNDC1fPzxLSOAByL0DJdpWahrGoSve92C2ON3edd0x7D8OUwt+r3 +OG4OUItQIeEIuo/e7MievtTyaTAxxHffuezjGl8kw6Wgr4FDeMBxPyvl5aZWwVzaDzLteWss+rzi +xrMiU6dLgAeUuPFPas+vdtxjFryg8S9YMCR9T1zEUcHOAxX5ekiSX1pkU59iGgxgJf/x71sq8s+X +LExn2Bk9jHznSwYactrQdOKFUf1N4gmk5frYoPantVtdl8ZtpPsKFNf/XUQa9+jmraka0EIVqysp ++iAKmLbdKtLTDvAnMHBvOQ2FMH+WYBwCbZau/KdDjnVX+y3PtjJslJyfsYioo41wf+2K79WKY08H +efpzPlqjo9fMyspFQtZ7n8AyWzcn5UE0ky7vON18ErEfJlh6mD3UYaueVlLwvnJepSZhjwVDrvLp +o5KtwiX8XmL3kxi9rlWbEGVON1SpZjdnZs1pYdnQ8aLM7cRjGj8qMjIf1/jp4D8QlyCk8nIW17oB +bQnYtQZb5tVezvmInDgj5ujaUwnrKfEk13wn9axxt0vzEktLyOB31F1YAtrxdL4RkmFxnaopWq1Z +yhbvmoGovAAKcF1qaU6ylJ4giKgua2MkSCFKCM8zDlhg8Hqpgn3Upd1KimJEDxw34mJJf1VUaE+r +MwLLfUAoPsSkZE+JwJxs/CCGZz7WfPX7/KJtgcttVgkBgWdnqCuGiFKsLs8/1VkZxS81dF2hS6pC +Zx42JKNLlezz6L5MPocvI3mpeN7nJXGeAgFScedHnclQ4itBE0ANOS88mWRAt8eto3WRq1YAX/Wd +zk8Bl84Vru4j4eGzF3EZkmKgZ/k+CUDA+aYwQv3xdISXRLaJj/2OImuQTpsTmGWbxhXIQ8KpGxEp +DfGNVDnEoG8KundfypZ2tzEOBcjXpJ94ja+xJYWb3R5CsFh3x4trzaBU256j7z823xkW1llGzHpR +mkM7Vx+UptO3CBv4GmOpSckN5nvp2apTpEnK4ZdGY/GE/xIW9l002ooGLvIfZfHvZh3ucRKjN7U5 +Da2JK1zCZvZd2ep4JH/WSz8VswFsBpoyzwk3Z5lRuh6FIryZgMpiFzeqjpi3cW+YsR65rrWiDIkZ +v9wWIL5s55xbleSpuqVEYAtkPE8NabJUvavjK5Hc2RXMRZvMUvQq826p5S8xdcXVaBqIZ6ZYNAbz +qyyTW1IwbW4djAzTODXmPqyOjmdxfXXoq6ESy3hdnPsBUAoj0Iwnf/LzQnjEpCLgxI6gUvj47Igh +EF59CWqCI/TOJZ5iSIsB/2k+n+dcXh1HmEdkmLh583Zb3zldOnGv6T14ffc5lGVSOHtjKkrNZzSn +CRgsoCBCEALzm5RKCL34mVB46K14Vqi/JO9IgK44GMwf9hD5RrxFrtObjmC575bLHpZeIUc7qTSm +0I5saFbYyn9Iq9FD91AMVbZDCgIH+9z/GG3TTqkU5qjgw6fv93rAfu2YyhZ6BhQ5bS9RXMVWuLjg +q3SjWVqqiox0LxGNWVQNTn3FhE0d6dLhLP4sPs79+H8mYvu9d24dyOfrRmdUV+ffeD1b1S/2IFqn +I75vOzwPTfwSHes2VpFPB7cI8ZturwCcpGIge7WlrIK7xuKgdlNhwkJO9N30Tpu8s/uf/gzRSxU2 +OOJ/phPsTpG6BzXXkYI3GNy/7W54lnRAXl6LJn5E5gWrdHUi4JiUe0pr4FZhYCDP8rVI3NyEbGwQ +GSdAW5AZA3jFIisTM5qRapj5r2Qnn9V43iGT6xZm844t8KKAxM7S1i+qVrd+K9nw+5JGiDcuVqkE +DrstZOq16k0nA04wASO/SwLn4UptDso/AoIg9MZMaJ/GtJGDXO5ubrDV0+ztpPAxGe5swhACmK/C +Al70TGJF3Tu+YxlWcCodsN2PT0UkDMwxRIKO6ctUPR5X24F1bn335NsbZztTUte4A48/O9FGV9aw +3A8Aprba37i8XAQtBC0qDiWRP94875wbxfRQfe5hyxU+4y+GKbCBF1Nt+WS22BXIBJd2ZQF/qOL4 +gWwPWBgVZTErvRzWUKQDfTRbPj5F+/2QmQT77f1gn6YegX3pXzP/Gqfq7Fn/8rIa6Bn1LP10fL11 +oJP5ThrvC2BS02FjSKpOvknAqHrH832f1S/9s40kblhwyu2en6RmvM965zM8CeeG1bInhUroAlcK +7rCHRpT1ZpPCwryS6UXM0LmEAzTC8AlTCB+jjWGHqsmy/asHDHWgLi+0hagQz2UZ+f7WgePtugBS +HmskZQk4k4OcjodN62QuwpqsYiI3C1HTY1v8GvngYJETp0e7iTJeSXJTf6MZw2zpX2a2C5FOmPV4 +CvaqVaybq7IjeQG+7f5fuVZEteC/KNf0UKXJLG1KeTHcVrMpL1hB13GGcQQhztBa+qn8qh5rcbcw +daCgPYDvK87UDtYJ2m1ncF2rW3o+l4vMUd6hFTmRj+jQuvvB2TilMh8c6MjWxKG54VTy3rMdl/U5 +A6Ztn37JJDefvSzOG8Uno1MfCwD8gpfWP51E06mBI0dFWaNEb4Mm3sxqzscRG6DRvc0WsXQkSSmH +epUPnqLR05rhIEu22lKOTjBadF1wC744VG4VK5NfZGUeHLfvAJ2RHaboPzKgAnRnTU6VyAb4seUR +E8HTIou4i+Du3FbIcV/ECr4yqc4u0H8QXieb2hY/cSOuo2WbZfxu4tbY4neHhLsGTtv1OZh3a1xr +3aSu+U2sqE1zcEOkHyOcRurI6ojYX35lDXNVeJNGCdXuxjpo2ngo70TROocYP5qjHx+wG/jSYLo+ +e8OY7nSVFv14bDFrq765d+z5k5Fw0E8z+URCpH/LADTsYzThmXsGckZnnsrtfuAmbijXikndE2VZ +jQ9lQRvBszBIhdCTAPGECSqiqM9M7WdgvYDkRFSXXMJUHIgtmu9Ip8bLRYJbLDhxhB4q6io8/L/z +sefMaQUdyyQ7KwU1dmgUzKX8N4vX2hFUB7BcXt41mbjDXua3sbmVg5VcEdxoM/N8j669NJHcEREP +Uo3ZmXI6TJgf49nL8yR1TC6hsDCjQR9J5bRbAjTEyvBGWH6DX8OMAywqmtgVLFdsdUo1tf6rC3TR +wbgfTgC0IIonLZlJgwBGSVDP7dOBEn2LPKtV1t6RPobvEBOvrfjyKWgp2ipRE+OUQQQkGYuMeUkz +lQMVJLy+KsP0/ez2PowsiglSlyz4rpFVJllr1c9aNCUy+k3HVJMDY4rdDUm0tKmhFJkN2vEC3hZs +3Pz4Q74DSqagrtS8mhgUalk1WAcEVuZ1nfNQuunv75q/FYWj5UHa1AWS3GAal9+gO7+YMRHkhjLR +y8A7bDrzJdbKGI/fAj4Yihmr1O/ZNDW4Y6jhPoJiRnaj54VDO7QIETl3nzl0v+rS28aa4kxt2AHf +OxLbbCoq6K9N7xACXn15NtjRGJyL2ErdSjveOEyawakM//JjdbHQ0m01W01iwUkpFANKsmy+KlCZ +5gPddYme27Hlxpas722QdLa1ZeaiKRqHkKW+mXt1Cex5r9saInV3cvfU9gMYM3V+ra4G/+Hywgnk +tVB/mfpWG0EkP/0JxeofjzPLLtJ0UNMCL2lwVSrXSvBgEkxyhQQd5XSZihx1X/v3ZLC6YYPOjkQj +AJuIrqmEoCW8YSEhpCWAs4qIb9vEIs0Q/qIa6+2Wu4/n3ob+W5qh1lp3H2qsU0xxWkQFn5PipWp0 +AAMU8MZtGHgijxpijw3K2HISjZrDwuZMVtmFk3l2FKygHMYGODH+VDqVD9VikD4wEpYpRypWC9Hb +FP0y9Bep+lCQkKVlLrrUBrsjlMrAB6UF/ndnm2vGMKJbnKIq9OU/F+HyE1EPy3LWIJA/3PAoIvaT +rYB6xMADn1JXr2aKBvqsIKAxigJFpaLOMcCgg2ZMgHtRNlD8AgeX1ITLLg7ngPsrTp09V4v9n+xw +LaSup8e7lTY0hKfM23TTg+pj5KMP5gtjvN/1WLd2ahUIfP0zMyqs0FVzj3GVs/bDDwbYk9YDpkj2 +NUzv6HDV1+Bhebf5TMguqDCCXaXB7M62Im4qkI1L55pE2AjSwa/QTf6R5Qq0c6tlA1UDk3G4Hm+G +FNsEmj2zwyMPP9F4bSarR6m0b2KeY+KUqh5klfg5UQXAErPgi2M91wZgkSQd/xU2v9Gk+kFT+QQ7 +pPQzDBFMv+jjbKypFCAST1sGh1JV2IPS2Td3PRvK9ZB0k0LxZZBAkJSiDXChrJvxGzUShJfF43mf +a51ac0e60Xs3xrDbw8yNSY9XhfAdWfBiJTQCr0poOeqlqeBwsOpjWzEN8bz32Kn1EPPX1Tj8nCX0 +32dZiZRpQP9d+vUMyJY1tpHw5ve6Cin/HuoRMfxND+KqPJg0JK12riJABb7ZLv5h6B3yJVeuqu1F +tqRouZv0qgl9xwKHz1VowX47pbjRHxNNCEVQJy9GrjHdgInwsoFlcxpS01kSbUX/Ic7CkNpiUJXY +4VyKpHYuMQi9He/5ZAWrKN12r7Tl6yXD5feg0avRhnOfMydnFt3Q00O7YL3Va/6aKnMoJ7wrrV7J +UcDNIyHshx8bjL/VR/qiTXloBfbq61TPPKKYRzA35QoR49rVuUk6tbl7GK32gTEgBfPy9Yea44kT +mv7IlQCgFfshmr8DcTt03U89YUY8m+AyMj/tp6VC5jXT4r6Tpu/Thu1EpXGpW+nO14FLJigUH+iC +HKUNAr8A4gkvaA7fTS9d18gj5Uhs2K9JGXMJh30Ep5fIO4iv+JT1zo5mSe6Si1h8r6mHjYacDo01 +nASA7LzvWVOchBjga29sQfu6iWnwZQuxDkNWWTE/oqooAw9TL0OzRAuX6/LTxVBeEsEbeyCrRNEq +/zX8MSa7TKdnf6xNj+SUsQmqv/7+XfB+rfRS0L7crQwoUx593gJuC6uFwB/fIniZxAeoZWHXutfO +7513rIhEudUo5WB8BcMvVGpz1BqSA+fMCxt24M9hib5whFDEuUVkRi5EcB1mgOQA5J1767clUCY5 +qpmsolerdFJgFbVRSR/oe1+2nDDQ//X26BiadVLSN6+24S3pf6zlCyTVEYIBqXJrqG105lyYCEtj +DqcYhdp9+YXdffJNpjURxBfh1pYVRzdVIbmfy1+xgxgNZHEGj2HCkijWZOXmyhteUvG9hq0gpqin +V46XGDsZmEqdndbvQcdciDrf16uHAJxHbE6xkzLjxPp3UnffPkMtqm/fD8VOFd4Jjb8eTLqA8apr +4zdlTg0Vbx2PIcXcVVUpX8foBvnDmIbo5TUNhksYcSvGfu0vKmLM/8S3lBCgfc2RWMlXPoIxN8qk +YCiMQxRsg7nnGDkRi4pTviw9qkGyoaZ0VlrGtnwkUT8JAvNXEgx+T9wVMD6J3agB8/kPCd6Wtaoo +g5zCHeYtr5Sg9M5diuDQ2pHjStDh0trEAVXLrQuW8Xx0ARoexwdTTnafc+c2AMuR1O9mLj+x7oPh +203RP772Lj/jVCqTLvEYzePZUlscCojg+zUJjCcCVdVSar5O0SxE8xKhbiUJw7/E0uQNRmq9EUNT +ByH4LcQEP9Ir9+RugAU0/rRNSAxMNTXJnaLSFAbQPRgmPwttpL8hpRqNoLBWa+iK7XprCcSWz9Ii +s8SZ0kOiVxXn3Hh1+2bD9dzZLNQm5a6EKhlSfV9E2Vx0OBAOpQR0Ka0wDIgN7Eej9XGkgmlwpNwz +SJyy7C6G5LDEU6fDMA3BZrtzoOwoJPKJQClWWBB7zrVh1J3bfDDkGaTQcWl1SqjH13ycKpZip8Io +pFBJyKCnDU/r/8rRqz6Np6BmgkRHpHn/OtpyN4RRs1RqXEIhozkCWwbgXrRLxNuHBnmeN+hjjk3A +r73MKjOCYG5663nGOA+0mgMW7sfi/uXLmobcRvNlGAgLjiJ4t9QZI/2YGr8Edjj+lFehRM+eNmlR +Bv48jjy6WJ9W2L2LI7HpfX3SCUiJ5akwjLUI7Rhy4j30cmZbLsVn94yWlnAYjJwb4UEUTBYMfQ+p +VA/S9iZbQlEyUa2c9ZI4YhfFk6VeQQJEtsOdN+HyDNYCxMF5mqhFwPeRgPx7zECfWsc2KY97b9IZ +pAg+/6N7T1KWStU9wWx3nbSqGy1mt7dTtWTHa/ONp1tEd0IVHI5F0CECTcLB9p5ZwMCFF2/Vq2uk +vOiIsv8Vwe+sQVfeAw0DExq685kYZShSv6Yl8/DANgsgLGAOW1NHjxm1eV865drjBWF5vZ6TGH56 +eWr8L3U+Q/Hw0I4/PsqgbdqDQU9rqwuyqCKF6RzYzBuRgOBTQvzY6g0UGQbTizbyYRkAp5aZYPg9 +VCxYCApdpXpYMAAiHxJ52Xlu52f1vm4Yheel6YVi+beSD1LC+HJrUKQc4Q3GLCIrK5PZI3JKjIK1 +cq4p8V+X7rPqfrgmeFMPIaljHJqAzv0ox0FG+LtP0q3DnMVf2+PgpNAP9e2JMd2HvJHYc68VrEff +M0NAxtu87IqumYEgEKg2NoK7YQ4fTMwmMPRYdTktIvjRerEacSpXG1ZYPKJBTKuYgaoKKqc8SO2O +NaNyB+PFL9jTQHXMBqSCMUQBuvhMvNq7VNCCgvPiPhf4zYu0N9BI8Ra13mm8jWprBhtLEcTMpv/O +GAHudJS7WaSwfLskpx+DHiLdGMhxLHVQ3lGlHeJP5uwErjUCW3W3KXxXaBivQKJmnZUCPYrrfoIV +AZ6kapWacOrtFwIKZCKx8SOu0NmDNxNwQ8yIc0d38j4lS7RB9WfQnxVjvoVaE2cjd/k2fiDlr6g5 +FYcYyZI3u6qPGL1cQdoxHOIOlqMLj/WBDf+lRkZb70cQZhyNUkXnQTynarPgmS5bjKdoPOvj8WnR +FHj6GaYYz6AMrVusg8+8h7w5zuSupA0z4B/hfmIpCFDtxyVZyF20xplhnBWb7qIe1cMvyFewBC2R +DbK1b9uarkRCzlemquukw4JL4I+gZEwRnPvuHmd0hhFPS8ADKptq3FUb6i4T87xoXMgRstk8m/Tq +X9L4tYaAobP2WeW2CS89Ch3Cpo30O72sf96WaiQFNkEknYXmhH4Gzrc4b00AQT6LJT/r79vq9nx5 +E17uVa90qLNcWFKWkU/Y37kz8oXQ4QPM4oFWl6dMu8Ru34nBa3xjaF9AXnzG2MSyKvGzJQQBYYr7 +o4ttkMojRJksawCDA4Un25RlckHHM2Pqs6uXOXhbHBuvztrsMzzSeqr98jxK1CJsLSm43qGwCZH1 +UqZKwBQuZTSA535J2e7NTtOKGVmA31015+u39SO0TJ+Gd69kmIgc1lqV2bCMf/7koxfpHaBQigX9 +mTXSGK3oFJwX4V7rBfOGUuobf3X1c1hleA5BNtjYeqC/TCXzwEO5r8X3j4O4j1QHrzTqV74FBFmq +UCGHL9r7h2HmlH0ZfVzoCI9grVKRFnqGf4wnjAqPuG7RE+KbDfkdb4uDg6TML45vQQrLl1WsbrM4 +jOmg/p0kLPyV4JQHtRrOAYNvE3UW3dMNIlkfcAamQphjZjbammQlH+RnZvZPFtV3PordlPdS/rsm +4tfsa9yM7ccNwW4LJRLY6M6mHBwJDljcNqJU+LLQ1aRgXogjrGzdPxbWBQLh7D5amNKGb+fKqHhm +syO5lLV1s9XdhOEfEVbzA/pBZ4nSWzJ6/xRkws7bS8ubcXPyJ6grmJ3H0GxlmoKBAmUtBMGIenyV +PPnxIHVXxyAirbx98YKVW96WqzXzkQZIVhm9v9W5TSWw+12//nA062cHg5KjaZEDIzDT+qsmlD8X +mudtSi8b4zB+uDgo8HRXraZ6afSPSflfkqUJHrUOxAtdxZJr5S/7kU1koElU/GNTmyYAynVgu2yS +z4xiBGRDzA/HsDO1NVZ/k9++rQ+n3BUZqJtYz1utgYVcWaDse1uG5t9oJjAFA4FSN2NGBSrnD1tZ +Cxf0SHEGP8rup2vRwS/rwM0Ey4oFRK+NTFADQ/iWoC6Hgtimk2KNVgH6RTuzmEdMqVSzV8Eye+hH +aDoPOEXNa6PiYPKxT/Mu/qZ6hsqnqnacvy1HfCxvbFWErEuhuhkdeJPM4tmcv8eE8JNxWXvt6tTV +p3HzyTaFj6MBhLwLA2+EUbJdGF3cXZCoQ0/Cy2S7BokO6J8S5qBLziHXrPuDCFgX0G5SS9aoq7Dp +TfGxyM1kpP4NDvtBYJJK5hgCSKaxefWZ/FhKJwJ/hHiSes2qMSgMbEyVQUegdx8wli2WEk7Sgr9y +aJQlEhKs8NMQbGYpgptIMRH/K5kOM+uxDVQ//HtTd4svGoE8cpEweyDmKFlErhjLY1bDMBu6nzM8 +LGBlvxWJkZd3f47fPtJdh46NMmA3DSWayg6qQDVOjREEAvHcEJUejowPnAhqmeOJuzhKaVeGrbS3 +0Ey0Z6t5EL0E3dSZwc6GoE0UX10SaovBs4mx+zEvavZa8+gKOX6mv7S1VtQtzvRMNSQoTHzYXT3/ +3gc2uG4kdMmslyuCLQFaLJJrpVyMDe7Xl0q79Zty6z1hPB9US1/7no6P6CzcEYZBCToiZhzQ/6oU +ao6xhN9qBt98m0bNrOWkfIosDU02QChjb14ZawckQ/BgQ8tosMwOPRz5JHiocdNekBb2YcTkAwKd +e4fC+iAK5M4CQnMCupFlt+L+6Em/io+piWbMy8/qR4Pg8mTzrcQr6ZwoyiQeartrZE5SLCuwHKHc +XVIntseWjzxUbu6VfYgi9xcUVZqOvYVtfXqXtzNrFOOBHYoXTbIoi2KRCHejgQ4S6DF21NDhFt/0 +7oh5XCvq9wx4m/ACoB/sk0qgqbOci7ONGjedyZ+kFAn4iaxxVe1LcNHtwME3AIKVkzsp2XOX4D1f +2fStVqMomxwGn+jkEjQfPELZAThCdCY5t6LDdcVP+oKLeHjbomAs0qhoZEbgk+uTATs2MgGPN3gM +UOj/SBHLqdO4cLIegk9HlRqeVjkacFOouP2xMCXsUHvTOhHBENUwZlbH4tRNKdt+TOwCoxfHeJNf +qnlgwcgLnY4JbjW7CV2hIIb9xr4LFYGWcFRwBzNMVxlkxEZzC/ew8wrQEI24wHYzjVMTFax7fDir +YenAlwGO6MLtzMVhyjala3biYXFF09k/pFMrYJT6dTf1TS8l2Fm8ZIBA8WnxVX2O6B1wtkMgNjLH ++V2zw+k+qJICAf+7wStEs5HE7XhtzpFCXQ57nteZwSRPeDSc8Hz42yu/Jt0EI6xPhWhYYbD3datW +wmvZzrTBwUQVnOXbAEslSzeztICTA7MUmh6iOMO+mtP2csSQxZXqC/eAu9eJP5+JCBuCoJbcrVdB +CWtHM/BWPrNx1ndyqwwsgau5j+WGVs4hh3rM4nrq+GAAz3JyCvAidD7Xnpu+KlRKuwrQ/7wdNXuX +soqYuzTdH8PCdRn9e1nj00zWWm9ScGwYmHoU8ejkzOa/ov9snTlJHbWvmwbSsJ7527nEoLqHtN2v +e1cMWzkAeel4ExahproXWys6ZILl5Nwqe91SEkrr+r3PSS7bUR4qwkAIzdOyhjNQvPYEhu8w5HQ1 +KySX+I0b4UtuWpDMGNtOZ0RMPDQ/zyF/fPRP7xINdbVmegNFwwLUdkuzZLUamF4whLEIDklo2z9j +6szN9bzMfAOENQKslcCHq0UIXePX0Ay6XolAxqAzbrjru92HihrfYP8pVQNVv/s9sI/Vy7iDNgSa +BZVn5WxFXycQC6xIBt0Ga592WBi+PuQturmjF2AyAaZRatYFq5R0mTbkO/gwYZw0LiM9xq0cpabU +bTP4cDr+aessJyJLfHB4E1xmCIPGv8dqFSdO5nrpfrBlabn/bZ1oBoCsqJ6XwyyW4g+/3ua9QcQf +AHOspoDrBCh8TTChRwLT5wHCBPUqYHJUnAInNHLAKGDX+zpFDpjaHUrrVB5So5tyb/qWjQXeMbxa +QNu2EJth7JWpDjii6OfguEcuYO55DyHK/aGJeOHr7G1amflXsTioYH+u5gWwlZfTyhgmjC8OrnnS +YB87XQC6BtRRT1TqBp54WKaV67CgSk0mDTkEYFEJt9odJHkitnVOEywthbw5zxDajdT3Ok/CMiMf +SLNhdqZo8zPhzSWOz9wHswVh8mV627q4PvnAWxaX/Hof1ESDzKTwyAIKobALvxXsiAVlvLizVc0O +HznvpKDmOYe96cRswGIFZF0u3qbxSpeF2FauGWBT1U2ak2ZgujXD2EyvaJ+xow+cwPyy4j5alWt1 +npo/kqx/cUZicrKzrv9a1w94slFnVfulObn9spl2gs9h1OdsoMxrbxrY+1ND3xFMhrdaM9mdCna1 +YDy41cWxQk/b+Z6Sl0JYKLwUNoJRUVLQBcQTOmMCA3RkSbQoj1ZKJz0nY0zg74weDVCHVgj7rMgD +9SqBFA+CiwxkVPlvguO1mxkC6m43rfSxjrcieRvU8sa3AhoLf7683XwG5R6c5atZM9yUZisD2aV1 +NNM2IPpJ4JcF+IKrj/w7v8c/pRDN7tCmswihgQHxVv8szlETUIy9FHZEtIf1yvjHHIlaaY8dbILl +VdOPjHIXK+0sv3zKm7jm0mW3+L89OpXdlcDPTJhSWyhrG/BYVufVJ7ai5tFVdK9TO06NCArDCqvQ ++UMT+LIK40bQzr99OUJLmUMtVfu5XoGG4GQqP/gJFc4EVkC3PeOHVxGCdvdlBDj5rii6Fiuib7Jm +I1rhe/iCuzUwjGJ9iYWjpHtjselijy5UavoSrFkDu6hzkU6TvAOwLvRV65EMiZDMWcQ8PvJhTnP8 +Hx8jcg+f1fbn7OmnwjGp5iKwczg6DYIOW0sNFIfFmH6g366puPFwbC8VRno64sQUJc38uWkpBjH1 +mNpq9+RuBIyOv6CL0Cb9QTkLnJ4Xvl30zsUa6OWj4fIAleoIIqln52jGGjwr6oW7ILksu8auVzT8 +nuyoDc7DIf5quahF1B0rWWv6AN6qkX1APr7NYwJ4Ae0xUFXM91L791ym+GripN0/+pLbj51iUrBO +TJPJr7mgOvpEYRJVzMcoufhvgNDegzWjYVLRiX3Uadv8wv6T4BHkajK8KL8cfKNyI13wYDblX73y +cAnt+dyUHhnr21GTsjEsZGMnE9s1KiTkUFGmBqG3k3VN5GzLJyxDK4apS/HJyH0CH1eLrY85Ps3g +3pNGmBVuy04VVBn6ZtMZ1WTy9RdklKjVmt9nnKpMAaPOBTjo29s7kQUWUigPZRztCDYmjPT6/VIk +gRw6YwgUpsRUC0XjpprqvgOEag+GKxm5bpPKik12mtbkG+OAyYe5Rwi60m782X7sFkDDJfWWQV5J +dz8fBYcBolMymS34HLOjGlORrM2f3yHJnNj/j8hVy1ZBJBxOAZ+p+VnQwSgH6dINCcfyptJXUOve +xhvgCT3Zr5asDrVfHlq3eu0uBZyK8KH3mbu2V2Coxh0oBrtiV6HTG+CKaRFo95uh7sVTu/ULWqld +oEf7OHjjDACzAVcQfzu16C7hoBUoECfe6YPc3UH2+r5rqOVnus9NiLR9w/Dm0iocMtPZ+1iSqQUC +mFnHqtgAJfiUvro4H7QLgwHLoNXXwKRMDfoYlvLUzjRYJ5P0cMUYYSb6rrlH9RNzn1IPUh7RnH+g +RPdTKmzpJ+kK0NrocHuEGtjxRaQ3qxXFGdQIAEfrbj2iAy00N788J1xWC66zO8aTtdo+HnKsaJ2q +EnjNMWLGM/zsg+wIS/SdLAHpCqGOQvkZe4pVNLq2bR9BhnXkT66wXR28EjOn8QUHg68Ozs96KSHt +jy2E8nVvv7PiMXH1pvfRoOuYsb92U+b3WVDDFXwxHgdEAJst3ZLpJYMO7pZm8ScqWfKctVUms2rL +PY6kqy+0BaneMiRxy+4ExGeFNdl7zb2kDrVIKAIlniJWxVT2NFLZKctTLF2diwMOD9pf15GEfYuK +2wxF9NAMqYnpmGtNzssu1/UV6qR5lztDbiCz1mHqlGo9HoE6qcmH8tEoJAr42HRr6v8yzCQ0ZqyD +PzixB8KLZ/ddf9FmiEw0DJI7SwdrovZ8OVMx8iDAM/FYvSmsghEVzP28FdLPx9JUrFYeRcjYBMdm +lDLEnwuW3xmVuzdW8STkpFiqbVNxHOEDIxslkLduzZ2PsyI7e0RqWZElwtL/PRfvoXk74dd1tP4x +ucvCRX3QR7hfxc6E3Ucpqm2xOCspb+R9yKiqT5GKFrXWiky9Ws37i7BUAY8jZc9uzhIgkgdeSAbW +xEgVuIKyCCJNFHNKsvfnbxrHC9PlJzLEeZff6ET8N4m0AjWbsdwEcceoXmIZzvsCh9pwppj8GaoY +1tur0kb+azD7GF1MHUvRuVDpIEDomPngbrT1yskoFpZre0MnRXhI4C6a+g4/fAMUVh58U6dU8JGC +/IgRRgItBP2yMw7QazdXm/W2tGh2+WcWImPSlK6TsTwxM/frXRNklJhQCw4RpM6Fk//EkBZGOu70 +J10+nHh8h05hKljUXCulj7BNuDWXIV3wlJmMP+iRxublG994N4BHdX1JeKt8mkwUEUBNjI90q4HX +2h1avSwSir9SRVrtzQRYtVKUX0EG36EWzTmQG0BYyWAeJwKsaJMEXnC4wO1kwnm079S5Ogwnc6oh +p6smbbYUoz07noLKw4Zp/AEbdLVKVL1+q1Op6Nap0P39w8wf2h6AILNLbHk/7b1HxpXD8zqB+MFW +LWkKiSkxaCZU/gdy2CjQLUgec4jRhFcdR3HRfVqgc8RI97UTvinD4BWYBpwWzkGUlb367sOZAtFJ +YI49XCw3OXBAOZETUQqTRYt5zG1lTxXh3/tAEqz2D10/ci4KNzn59in36CGnDArrm3jQPRd3PGJa +frbQEDaE9KqRO+FBJq+srbmSBlyKdA2KuFENYB46fh32NwLT3i58JC9JhG54tvk9kzrnVwFf6aRX +HlTfBnNi2g1TYgvFhKHCwpRaZYHuaVpBTU3XwNlkE8xQgqZ1pogyvbDTHxyy8DJKszNB/2DtLhYl +pybS7eYoK5T5P8P7qALA2+lITELTl5oLw+7dOz1qHn0HEg+56UUexw0ehZL6HWL7S+W8fw1UXgVT +JUI0lISkbGN2B8TS5mMtzdoYs+aEn/uZJyKX86o7El09Hp2HEDa3+1wyHVya6G3sqHQs4fcdGTh5 +0Ib8Dvv4IFUXoBl4iw5ObqxfEfYYZDEcfgQsq0OEnKvkDRDdjleOC2WdJKC6QVSNSNLvbA3xI0YD +NG1j6PRu3/AeAS+HlzkS7Az/Y23zP3CKg4NEbCPO2T/lO+RD3R+1L+wkr7eiKXwHHCZGBfEtgelw +CVHtxbkZw+tdP9+mFUtuIrE30GyXoun+DWxFbkbWCbmOh0A8tdbvxhOl23INqah6ZvcrZsMumrVl +WOZSK6+w1oV988PBDU9t7MfPAhOaXwozVnA4wsK8WnaHm4ozTsyUnTSud0wqp8Dd7zn0kaI8PJDG +DEqPNghPv+cmtTInCxjE9RCsCLYZFHQH6aQIZd0NFrcDvMG5s7NqJ/+JSbIDO7AkCf99nq5pmVW5 +ghZyFCBaA/gOkowqFFTYpcbB4n9h0KQ+xS2B1gGXMOBR143DLKz/WJxNtA1mQueaBoloJ2KnZi8h +wLiwmJbe3bgyBEGNNZVyj+G5B1hc3p2RQbCXSfR43UeQwROAzuRA6tU4Nc2KmjdL3Q2/o/BxKcGC +KUL2sDxEJ1lGKoLkKkx4uUpDrNA5A68lHy43PYuKihrYJ05JpLXUM/vFNrUTpXectj/dWhTs8gu9 +O2Vsll2ZQKvZvdBIToOS2kDMsGvJhpnu9AQaZOI4H2+YNCDuGfPzE8+6XktUHbiFaK1MY7wU3jh6 +yOZWi9Fd2IFBs+J6tP87I7qZa880yA41GcBIWNP0rLTWhTV5Lfqj88yAfkD29GWlBXPRYTznxscK +KiXcXVevYuOP6/Mm6wK3i+Ln6uyTr++3jZXIz9Bz6L+pPIgaYh78c9r9YH77LJp5M46LnJ7WRHqM +A/ZMUJKgy/mu6FiBoVF7whNUBX6b7fiWXgA43LAtTfCACA+TnjkGskVjvemjGH1cj6KC43d97r+K +8WBvSirUjoftGzG5CZHvo1kHat44gTfhaGs4nu/X/s2uClpZZptL4RVY+5uOr+lO07XGbPz8b/1O +GvlE4NCBuOMmhKr4Y6ZiNBQAqyeZg2id4lrWkGws7hAs2tReQgjcbuYY1or0Uuc7OkZkQs4z/NVf +b6/rAoTn9IBysqyo8De/QgULMuA1qu6s0y18R8LeWBHKSfGrLCHfVUNAoQqNcyyzi2gvB3sjNhwJ +ExOW7+n17cWUoJeFmluV+kT4gd4QbeSBRGVjlwqaRzUV3P/USWZATrB6i06mPCgJYGMro6UTt0sD +WesgQmB6N1hCSonLEsFlf6O/kLLZAEt9+mXhDrsQsLgNjKUm24XDABCVhDWJn7hUGnJFmAn693xx +m1qoougrNRNRfxleo9cch3XA+7ViPqt3t7YGkHNzJE3e8USF5s7tQE81PQ+poeESlrustGFfk4Vl +NoqIu+nj7iBMK2Q7t2fZWDZEhDHmeU1LkLhoSsXuDpvpZZw8RukIojjzqRozkTV8EJ8XKXsqGsxj +WdWU4GcTPLGwVeL16UNvhqdLljpFZgOSlE7nnQsuCqcUZzOshtLlouNt7Yw4XwOZGtomNkcH9zFA +/a8Jib9SyXNzwdbggpOffrbyyEzGOwpbWdJOPfj9WSLVS55KSc+AIiGAUJLjWb88t8BhJ0wIizGJ +p/0gICH3muhroeCGPWLWj+G2IPoTwUCDFBaAI/h4zdYOWYen2htW2DytYJlAYVC7cAg8HbmOKqgE +SQF02OTgQDOWCtk9vNPvDvr6/ENAcbtALpIVgC+zbPp2k6Zb59SSqYo2M22N+FgCZPA6kFuHqJ0H +CoEaGsIS39eVNzdNIpMiwZSwwSyxjTVmhzQVNebZHRd/ek+aTFTbdf4EyzO57mhfJwyBBZEy4zHm +WYf0AOcb2Zy0wJRCeBG5G5GiTZpuHI7XYg547VygsXhQ7sTy7EAdLNmeM657nbY4hSCShkKK1G0j +IwzelDJmTi8P9ukdzqNt6UpMxva1NBRixNo0P9C3J809obBC0vv3GCRyTGhqrhWh/pZ1OI/MNtMN +VkQzyvQcHbth+Rq8a8XYq8oBU8cUJFsgA4013wv9QSeNXqSCvwD5D7imHK7Oyd0NylKsoCYxxwYn +5/oeflk6UCe5NT6hpNVJD+palGEObVMO85Iso3mRmBGaZCBMvCWLox4QgOGYwoS2crKxayex1tc+ +Dbpv64hLL2BaM7kc+tswFmxmjmjx9Rd9+Y4HoAaCbOT8RWcyda9fUko8omESk2oohQ8J4BFIsE0+ +F0aJqVKEYLqFYz9kx3zI0ZRp/gUNCdQ7ieawIJmzWy0TCGflkYJOkjtUvmycyOWrtc/G5Hx5wsjs +aSsptz425OB0r3f0kzg2dQZQqDNM9AczMQr+x1iKL4QxV1SZHeGIwhQ1QZNrxpWNAOZuaC5kIwPh +KZ98WqZmNnfT05oPPMV7ydO8j6x1n+5hdCuamhSvxpZbf1wM1waBrN8S28oWvYpXeClp/QdJVLYV +00fduIXqv/unN7po0Y8XZpBm40PqTUUYp624SdJygEexHWcVXk9YVO16q/J5UVsUc6AlMOAB5CIW +2njfhVlAU1PW3eN9sQw8yhRpiMYK03Z9bvODGA734z6n9uyhFgl1DIvb7y87M+5p2kGuD7t0GuUa +snR0z+rcNSarhYFFP/ADfHLRKqt36n12HK52azbOaOF8smVFHMGiC0r8gJizHP6LFDc8kq0v+A3Q +SsCLAAq3nTcFgLZxduvNeyprznN7oyPW119lTLdup36IQMVXlCkICOyBVWgqfy0z60S8ijChehg2 +jnpExozPKsSlRKU5D0jzKHkioYal+CiKz1Cd1C8C4u9U9Lyrf4XTPLWHm+ZHLDjcruPpSSMOmHll +l62ZL6K76t1BvW2I6p7w99nEyKC2ZG8UvBhNJ88nvhFab4fg/BvQXm76K2Y+2waO+fDKxKj0gEoC +2Rw81LPTIlLG8VpESeySMUa7x6AqdZWrNn6ytZYLVu7Zj4ca7k4wVPfJTpHmuVwUA977UbiDfpEa +G2QXOscoSjbpoteLB08Rlz7GuCMG2hV4yIlJA2MSgJUW9WLrfxKIlycnM3ZqHYOb36zVQy/tLMan +TpzjRQsI14L3VPe8fgAGtBu6YsPww0go2qxLY5VH9Gl5mPOlIWvb/fc9IkAeK6OPhUB0/sxXZRQT +QR5S+c5sqpma4hT9zd26AaDcipW8UXV/UpWe2EPjxhilLdvuJYPbW9xL8ltsjTN+4VRPfFn7b8Jv +mLF27gfrxQDsL5gaxg5YVxumZPWs+4LUR+vIiknQKYfZD3u422/rPghg78u7IwEOn3lH9wftoNGq +pA+JQbPoa917HiFXeXCm+/hvq0w80IP9DdQmQAoHRS3bxBFm7LcuClDmwVM8ft06FUB/NAdzhxkF +JZUelOguRVDNeLPQ+Vgeaz737dbdpT3qeFX5lsbygDJzSBwBBaCfb3ER0+d2ycCzJkfGBgXJcJz2 +HsphcN+sJ9uiRVRV0WXWsrYisl3UfcgeVbQ8jw4+aEkVFDAPzkkUdBGNwP+c7jH/C0qS9pT42Wpy +hcuisNj7WBK6jXVvyd5dupoc+v4TsFXUnBPOAGlbxEiZahPw8aicsjTUOR/LJ4NcqcD1OTN/tSCX +gYcfCQOywIEvOEJmJ32IqLz7KHlxfyUs9CGFuyX+v5SKNaoeSLczPDN/FREO5fbxe//FXvuplGju +Szjj+Ug+0LybyLlez+dMNqtx+T1MY2TOdAtyPaQVG3XoGmtefs3ChsZjRvllTCHwuF0x6W/mNkrd +N2zo5E+B+TT3qNlaFyWZRKWbrTEYbjL0npt81SMil/N0KpogchUYJdaiUsOF3Se1hme0J7Qrn9eC +/d3KVqouZGc2H6W4TfoBgBpG+n2UdxgeE7oAZMHigL6J57+ssVgclZ3d3K7YP7QlTjAd7vbJC2Tc +V9CyQFjN+0s7hNktG924kYnRMaKX/MDKcboW05abymcVIqdXncNh70R1J7wa0p5ZDpeZH9YRnBT/ +KHgx1ZPovS5dYjyWSNItBf5IbRcgUwQHaFWiS2P1RJsStonflgvS5LGI7wjixGOHpULY69hCaPjK +JFcWOPZ/TSlYt2vZ2rM4bIteEFWNO8zRz75so4EE79AWAgnB7jnubt2nkeHkcJo0JZuCybh0QO0R +MVgFcBngGONMRwRNElIirrYu1fsnfx8Ocoa60f+Vr8uWk72sxv2eFoU2Cmc9YCHtV2W/KnWO/UNW +g86IavM+cJbRGX9UVq5UXJQX7jvAKqWZVD1DK/tVc7Ogv1Xb8menZ/625fXLdlCo7NUucjyb+Etz +kh2Tp12ezLqCPoNqH1H48gqoy8r4YSIsh2Y+/F+nwbw0joSQuOwuWDGyGWrzjtqGP3+/+g/gMFw+ +oRPg+3tA/FytoZMCP0gODFHhTEPM8dOKJlZMqa21z7BbkTEJRI72vEtC8RwG/Ebrz1HqCUIhcGpT +UaaKk9DzevAToil798cg/oph0C1lJe+7D4a1XTJ96Uh5ZW1sI+bKm8uWThpspnLid4HsfAQ1zGPS +oECiQi2RvIS3svt9wlKmMbCClrd/ajgEHacNsg4WavwigC8H5qnuDdypwF/ZQt0hT0yLiwWMPxv7 +py4PtCVrJjZ9gCz238uBivwOOjGq6HSLs590VyNOIBr1pSILHFe3i3c9/UGCS69k34Aa7+DV2yem +6IPoE8DSCkRbyJ0ZYeT9ZMEErFSAfHf0Rxwa2udZMerb16s59kSDkphTeTYwuB16n2q99/+eJfP1 +uKGTJjRgT6Ggz1+E9HO8N8+aaeCIJ6z9UY5bbOEFBPvDu/tmeyja5M+cm7td+ayQK21GXp1iw/x6 +xRPvQ3qR8pl77QamanA2oLjOgcIRtySKAthiOxskBEntwNyPWLsySBSPFxxntIm8NpE6QVj4CzQh +Mqj3aLfKUWoFCZfBj/6OTRFtoFnB5ipHKM/dEjhQrEu47UnWjRT5e66c9+CECOjX73Rtclg2ubrA +HaWU6d8sy7kfqT0MzMg3xU46OV0joxsC2W7+5pGzFTMv5r45+OBtKrTgROxw3e1RS+Fb9Nyt9F/y +ny30buwuooL5W3M3jFlQuzSlfUs3SoXXKw1V+DsF4X8plHbo+yGOjdWXpg+RuzCoEOIhHgMyCRN2 +Fa48vjJknoTVYRgHcAY8doDJk5F3CnegVNfFY9J1Hib1SeltMG6kBYElaQLBMhEI8JZT1VRSPRZe +6nJgWE14qhm6mc3Kat3oNDdmD3drcoz/O2netSWz3+sMBAmFJw+C3K9sfA+tBvvYpZEzIffBzW7h +aGfm/op6y9cUkNs5x15m5DdwoPHbg9SHTOFQeku1tayogXnD9OwPJg47moSOR4CMV8FNxKWPM0OE +uFIfxRtyNergDT+LiOsfUyFyipnxVktOWEhuFxDxc5HIU3AncxUxVbMW56OH0jCI3ToD7ywZYDJp +3/fi/hILDcYUyFmY+A/fXa7DOhZyEn+TUDn5cRJcTyQfEpbnS3BLYZ+xAvDFfcSD0EAQiWiJv147 +upRk7+slczCepSzoY7RiJvZj4pW4YBzi2wCmAIhNnK3Vbg9LXGDJ30oPs6kkga2qaOST9n2CUbLT +eU/emdmoYlsYCUkq6Kc4K/CtfBZdZ3KSc3PvLC8Fm5wojcSqGvTvdEUqnsmyovx/K60GkorrZoEz +RABnsk+KCrNb7J2Eyo+B55NXGEnutMPVeR5lK7R5+mhGEI4weQqChQeOrmQOoLaOMqm+uX/y2MR0 ++Vu8V0l7yHwRC7PYvZydXyJCTTAxFYnNfpYIHPDVjJPEdcnSF8BIXH4f0eXO6W5AuABejLArDAZr +CTufx7Zi/M4HSTcfmTCAKJY+qdVQzVi4yXdfNCu5CPoUMWIHaLYH1HleOE4kNZ8tE0V698242wod +Xvc4wey0KkHe3I1X5L7a+hDFh0wAtYUh/0iEaju5sCYqoOcNFCifmqzRM7NLXNeqMvH7ahy/lujb +jMhzCcBrKOeIKw/pwr8OacsWtOInK+hOhXxQ+tryRAddtmu1u/4x8SnbfT9O7tNpre+i9bzsJaeH +lt66dfmy+5bqkMs2pmpJYQLkjuhWylYt/fLusOqbZe7ydN9wq1q7D5u59RLw5ZL57lEr+M/dUOkR +kMdgbg9aOVUPlYQq9FUqUogycVaM4XAgVOJsqlQu/Kit+ZI1fwhSt4NdyL+TSucrEtgWBC62F6Jt +Jq6e8tlwdHD/g3t8pkp3GaSARBpwqcMWXMmKvV3oWu3MRJ3+/yiDFiSnkAlLTyYDOK5xCujDMo8o +pNVA7HoOyqZFQ43RdkAH9G0ryWwreTA5/l5NY7Yq6K/vDVnq1WUTje3Ha8wqWdErP7cXIPzdScgY +Q0Qr9QnqVvKnF23DcYJMwLpKG3ifXQXUCCwW7a6W8yHh26yqO4NgQSAM1uxkYORFasiJC06g4w8l +QA8GygAxI5Z5TYW0+IVBiQhJ7UJ8novoSmWlqkoEpNtw8UH2KyXqlxDArO76Ft8TjSfW2rvjPStp +LzmPbSP8mVvRA/AKFHxdXLdm9+VXUV/BmY9Jg0VofY5xYjj6vET9kiKhYW1soI1HIjqvquFCF5o6 +m+bBn4gVqsE7lnINFYPdrk+tHR5UGGs3h2lb+9JMpIm8bI+7Q/l9lc9TVeQE9UNems9lX1EYwKtY +b8+WTQfh1w6ue8d5qZlWht4RdQDvBLrnfga33R6Yjyx+4FsYF2WSP+Hg+DYeiMmeKwfRmGRTgzSe +QoLS4r5mocETGtMuCoosrZybVDBFD86NMwngbp8JhRWIAtci1ujrjRFWCduM8n6T4D2ZsBKogvYe +RaZTjm9Za5Ef3MknUk5ZAxmpM7tN/3dfaRIq1I2XJfBvAoWAPY7MsZ+es5wfaIt4JcUq/pX93FQS +UMdKwcYSHJyE5C7p/ZV2Pvu2wqfJ04F3Qi3B145ZOI6VXaaUKQe5sGs3lt3LUYRb70N7JPT2Yz4L +XArmDCM7YJ3TNueUfLPgYkBZu/AQTfxf/TvQc/+3foNTn/P2BztsCjXPSNdTT7wkXk6pmVxSRj12 +w/ZglPTXvhL81BIsssEwm1okYY7PxYF1Qbfr3ttiYHWtnPKCzeVb8tZ9hZ5KVEBWL22zItwAOfvK +LbXpwWqIg6Dg+7oBK2v6Wj4YTP/t2LEIB7/R138BAbmRZoEkF/nsI6K54YX/yGkYKdFk2Dg7qyI1 +Aun5kT1o62w2ZJ9YhF5srp0ZIvBl3Vtsfan5L5jKH3Vzemnto355M0WZKLpVV07JyMVyp9onJO+W +21dIU22xSLIqpm8XfuNVE2XkGXMaelNDqwLWkhqfOX/8DRYSbhm8w5MTZ1rmuwM3r38Zn4+kntEk +HHfeTLVbx0DiRDbe3Sieot99mGNqcXNEwZygknNo8x0sqq4FUz30rJ1mYWwdNzrW1mO3u3ak77KS +hW0s5K/pofc6pPVdce4Zfvq7ToKdJ4b2aTwDSLFAkBjoOl4DNJhLFGaVv0bUfyogojM0sOhkhdyy +JAKJopIRbOVnorsze7qDrUIPQvncJHbVoF9pf5W0OF68ahivRzcYg0gsb3UpqqV25XrGtgtVK9S9 +ch3cCvW4/pBCAWyhoA73uKHxVSeavDonq0kou/umUetUJvQZ+/PpufLeHhHvxQqXmHpcR1w1S/bP +0bDSJiNWL5bfswZbLICT283RxiCuoMHwvFrs/9yqU8eHTvEdbrLdCJTaHkOQhnRfpEoOAsQCs9wo +0nwewHIxf+YDafS9zHZdTl+Dwf055rHdsbJn7si/uUJ8Sab7KigVe2NWsb7wUqlIcGF33w3m4hJK +l8XazDkQA9Yh2OWxOVnCApY4eEWJTjn4a3pe9VyhReltVVa6Ywjr57mFjYMNRWHp88uwDTV9NCcz +J1xyQSsAo0wT6NKdwCE9bJCgBYOxj2CX+e4icFIw/O2pUMYy7C/2BaTNy1udt3EkQiPccNrbE6/q +tBep8mYzqNljiI52NYTlaP9Kjqlg6/ofASEiZCgcXMMKjnP8SDnYsvDTrJuv6eVz4TCLioHL+nki +T/JUEDSVsjG4KzmalnTViA+HR4xa5T3/JuDALHqwE755nIt7x14YTwJi8aeWMvohugitTmJUQQ7A +WOd48m6Fa0TBMjmd/b2scjfoPSaGcBmIjJ3uxrEsJRU41/0EO/OTj9p03bkMw0rYRbzWaZ6KqO0x +TwNi/NQGzAvE0owineMbtCH0dy/QDZa+Fe3elzmAkPkSh6clXdKYTUOJ+tkwsI0WK4a3k5fhI28T +gW2nu8Y36oFX/o7DS7XEVz+zm3vtR7Xh3WRV/SoFdGJI3VR24Cys4+pytZPbJ+4k9C94sSM10h5o +hLu7WOhYcEaxrWJWzAMIOXjjwbtpNXGZNC1w4ZRsIPUxqeT4M2Pjmdu+QnXieZN4ud1rPhOE6r7K +jpnpZ9Wi+7/cUou0F0CXhbPrGVymKH90RQEfAm+xz1DdnYEplah2xYK5k0rtbKAPOCnlegq3EJH+ +3JyA+7OYfErvQZJAcQNxgqKDoeK0t1+QeU8QzfIZy37uZZ4eP++r9ApE3iwhI5kBRNUUvA7NI4GS +gAl1plrzB0tXwWOcuQywPyBJLwVuELhv3b/UpG3cB6DckRJw6R8Azx2bNVGq7lrEhXfva6Xan1fl +J4DH9cSKIbMTztPWdNqPNopBLDKYzdPJofx/BpVgUqTSUxy/UYewaA0F3f/QzmdHAn3pmk8J88f/ +CPgwRWXDg28Q7EeZ7hRfpznXl9ko7cuK6Hy+zDTxP26QYds0rhoHvaBNCoDw4V2aBgTexY/wVPNY +36TeULXewz5ysnL9GT8isV+Kqp3KaOs72l1DfrGp73cvAEAQYsOp3q4+hRmSmVKpqEFh6xIC+KyH +yHwWOx1x//g16a2JlBoGTLgXzpm39HdYDVXq2LdOTw4CN6bvs7ixE6TInsfmN4L87sSkXakVomkL +Y8HFOUKYw+I+4Gqw3L+PV735kfa6vRpaHBXDVSZaZ8oD5eWB9BEOoFZ1VH3c710lOscwP7LCIiKg +AuB+PVhv7H4tJSwT8Vf7sufbqlWPYSC+3/VC2+BqNqlD00T139AmMUTzVt94gY9J5tmi0f9ak9Vg +vtHy2kZfm4E7hgqTZkJMJKLf8Vs5eT6YNmld/JqFRmPbz0417JkW6RUQyr4EtNEIT44iVrXASpuX +Lv0WR+rG0HoGCJ3ENX6XDHprqRnQluO+iTUHE8NWIMhSJV3zDwnpPIVI71xKpDn5yWcNEbMgsKf7 +Cuwo4UvNMJAaB0AOoUaRnO8uz0HHUur+99k6OZ4pml9KgC+R66OmNBHJscf9Rv3P6ZpsNT465Gbd +/1jCVrRaSqEELdcOGe7HsFRc75s3jyDfHDOoaS8kpKOdRjzGw6Mtpe/R6b9yMoO353Xqrg8ZAWBR +TRJXb6nZZHbbgEGEnwMN7+HJdQceHaPeRBoxUU53yIMhfi09h+p42kqwI40VosvHF26qt0Ag0v/k +0MFyVIjTr4ZLQ8sepUBfdJzuLMzoDMQUNQKxiljzxEdF+dOcyZYyZrseslKwMfPu30WPUS9yfNY3 +z565xsYTWCV9E7T0+KLc6s0+NF1aU1HD7b/bdNErx3LDI7t55EkP5K4MmZs9qdItzYfFmhqtgpUs +h1OCkooPh8RB4op8CBfj+0dtx98WRhng1pK7oL96EQvE0pCnSyoWQCYYLuy7iDaDTBUlzX/9/7sn +gFF46rkizZ3qFv8knRfW5bfDEjGpXy9RROCBDMngM3zfK5Q7E56GFLl6NV6nJR1hUDZAwpPJSPLS +QIQvqAFXA8/lmvimt3gvX5zldJddSITibmrVoEJHGyYeCWQVCMKrtra1GhsJvzf1vCVrCVNikQN2 +PbV7Tpj3QMMAjYV7PrtOnvR6E8BLYk3L1X97y0q6/u6xE8P91TVJSs/aHXIDWBJF34hJfGb1nbPm +eYU+7JPemE+4dFt1DvW/eFzxC/aG/qBF+VWYW1oIke668fSyHUOiCfJqxbbTk2zpDk6eWoDbFKbD +jJOT52KDdJImy7fjw/c0J0005iTShvsvFMD+0Reh0+86fMy9/gQCd7h/tb8e+aiytjJ/3e9oeH6v +KpxVB9xLM02g+OnM7OGUReEqhe6l0bH6ycircMlVyv3SSj4nAw0BvgUDsNRWNm+tAEyStkUyCOcZ +Y3NE0/+7NwmwjrY6ovNh6QrXZwoRrX8JPVTOaFmtENVtLe3psBz2Xr89VZ+uGMUb0m10Rl9255ry +pQerIGqwVRpZfo4ontJJeXK+W6o9oqmX4Er4plwi15E8IrFtEGiN5BPcmanC/cNPvkY5Lg521nq3 +ze/VsMpB6N4bGM5UcRix+pomK6fR6QIObQ6Pk7w0ONZfZBZtZLBMPhrY6B8B8Jn/Ujtqfp//TGq2 +PzaUoEjn6hzi/OnhWpohOsoM5OLhwjQeCwsOeO0DME5ZNCJ85Y+ptTMsMuFNIZJK2EYzk+ZNLYdd +/001k3Mj9mY2vBMHJaE7sddHfN1C+6Im+fJAEhjMmAVhHTa/ioRL/M5LZB54gJkIDi4FifKc1FhM +CCKZlNIfNVBI/fk+XkjmNqJxlCZitTzDvtTRzSWmtN6xof8zQNxGkMqEGA2fyeYTL5yT/moJgRUg +rj00kmT86ZtWvFYBXmBsE/XhwNiiz19BXA/LxRl16eGx+F30CvRsX/bOoQR4O3zZPAPJEtKY3aps +UsHN0Tdwiw3n+xVNTqEnidl2UhYBYfkTcoevgxXk2ONb6zA/kfE5GPjHeWsqGYXqOi8afF/rmwAM +pMtIGRnz5yxHbZ59buUMTmrzIPQ2nUvbI5UMswja3sjStmNASloEcEm4JDKPq/XU6mh7+8QOESsu +0zbft4D5CPhJtjfwj2YsEyzj+XyEaYzZFB7nnij9EflXuinTEvJLoeziH/5wUhrJw4BW2YfMY3cM +dN5vYKjd53tn4xr+Bkx+KbMd92k+rKcXXY+2psBND+77wMsggmgkHUBrhk+dBjKcdsy/RQ3bvyhC +OIXJhOWOG1aNLf71EWbf1eSlq8ky10J9J5qUDSspSs3VkvdPzOQe7SMZwUkpkLzASL640AztjpXH +VC4iv+JB0gjB892Ph/eRhSqawLL6+G+EWWVCY6FINLl3ALvCMNXYjuBQVBPVmDvGsAuegvHRFLp6 +agvdC5lvcUAZOAL58vyiU3qDel6Osgn0Ga3bzojlvLl2dyxTuhhNNsz25F5WXhz/wLgrhnbp8wU5 +bbtdOlwXKHc1VSGFXuf9BzSE1M2hyOG9jN0EGR+j4gGOn2hJITq1P8NGjtFpMaW6XiZpF+Ql2+vp +8TT+z9nSoxvxJlaTuMx9xnpd1GZhXgX+gqgRWDtMcQL8F2MU2zWAQlBI42odlFG9aO0XplFlKTK6 +fPIJzVwvFyAYbmr3zS77olifHg3R8Cmuc9mMFQACkx8XTL5sZv4/I22QAnGaT4bYmNVjpg4jDKhD +oTDgN4yaGMZrNBHZEksLoJMMSEZb8AbVVjUDoKhiYgS5K/QBsKGHQnsFN26WMXjk8h9Sa2d8FK6K +YNni+12iUntUw7Tobm02J2NWdrwlR2n5qOgYk+ygVIMnDI/l6qdLUahUazT1VfqWry01n6GdjygT +vqMcEv7ubPO5824DSj+kengm/vJssokmOZ62p77MJAar1NuIj2Sc0NJuv7s3YwWQ+ACgSz0skUst +zzRrhFJV8Ga0hqE9/CQlI7Mp29j3HNG3Y2ftGXCTebzFXkfyeCuK79VR5C3motqVQrpkMXPb4THN +SKEL3MGfPXqGT+CXwp6a0K0To65fhTyKdg17AshNcjO9GIaoAies3vkETvBwFvMy1blgEboDY4gS +vvIClKYS6kJDOX3bai6L0vaTB+RQCOCl0AKipUBDCnSY/rrTfhHHDhCeK+O5w1jjxET/gdzp7mH5 +xUePJJt/SXnGoszxNU5pfqVT+r1ZT3sDpnLl8UYT1MaF9iYRv/Ug4PoWGGt7rb9HX9hGI4v1CaaG +0042Nq5WZhHesytK9PyI11mykvoxPT5lUhV08upnw2m35grkxhs++Q9x9k035DDVq6Dax1hm6SsP +uKpf+tpTvm9kj049obnV//wBm2jvmjjJdJzh1VnuGf8jXzSgb7R49csA9COKyQZdLrj/GDIvRDfM +Q69k9Ri3xHUc9Jd4Po2jP6AqnlGWl0INkpJU9zIU6i1Ik8up9ALgjkW9TtjgNTj0jWXPoWbviOpP +QbvNFH2FtfeSOXi0HAcePHEV8fwsZPyDMcpFHuxCt2lMEHk7TwRTcnst/Fhyo+4dhna+FRVTAxWK +vJjsWMFLSTbLnM1xpUMdzjL1+ffZmhmFjo4/2U68GN0aMk+V7ruJ+mjW9XFrT5DYqOoTFuDzCcKK +eKJKCjYBCoLzpEjRB0l3O+Y2YLOZO7QbVc76SIYLJuDqhwinS6WVcdbJHQQLdD0g3C7Z/092qSKt +zb4dZFvMWLHSIl5YQqp4/zndoJ0EfwexhpIq3iYo0Vtnb59VCBSsWCtJrCZFIe7a0978kUoHFh+h +EhC0JWC6gNTbTLcWK8WpI5SIxAsXsPrldQE4QuNFG5yJeflD/RQCsd9cdFR3PHh55oiYYX9w6gBH +RcBC3kTBbi3XRMXKr0AvYrcZ8GuRfR5zXO0vgbd4hL17jR0oIGPxgn12slS9qrx8rdPtmSfOxsnN +nGFRImx732zK+JTWmhOblazl8Aal43hZxQSneJOU3nyVzyrQNmz2wVcsTLDqBhk5knYZKlIMcvDk +C62GSF+3cc8SC4rCB4ui1cNqZz3fa6xTyJyDSSzsUUhoX1xYWIkoyS1uZKhtA/5qYaAyHsjRfaAU +QxofDDa1G9OHvV8u0DH7AwShDF/u/AQr1yGjyh1dhm0t45FFcUd+l8Lw+UsueBQAUqzl7i8vsgjk +jdXoX7Fl56JyU8xdCPWzNOAjU86zen2BK8EhNj3dGvGGCITYFiB0sCx9sjp7kiPHQGyfjX2AN9o1 +d+gsSTuohpPUbzs/8k2hXe1p7Bqt6c3hVhyGrHlXg0gnWqm/VpRjNj4FX0yEUddrUUw7geAZAnLb +abefrM7tXUrCuo3UjHMODkqCfh/dVQ2fSVqhiYc4sOcbjGX2Nwc5DIuwm1+D6nEKIjacUpNBRKrB +/Obkb8pczWitHVQSHokKpG7lUwxkOwPi4RF9I5UO69HNoiAVt8JPr6x9XE1UXZ+KkTjRMG1wwk54 +ofY8MG+UmsnGMdEwmkIZMqFhjFIzSyyrVpk0WUwjdLPV/b9FmsiIOf3KGsu3rPlqXhzLsNdDItFJ +Tt9kwr0aouxOstOjZjNqKjTmraeHUnAMBKDa8CkXLCcNsR5P5+2gg3+GWm1sSv4sZeeyVm2c7Lv2 +MDvUMx/pnMYi75poEtsNmofDINgpK4+5TmgbvQlkkpqKBd6ioXsdpQTDfLpKrl0UbVwrBArHT0Rs +jT3oEuBlJiGD6ifXkC8oIuyEZBVdu6qKjFRa7zJufgahWtKOHDR7hFV1MPrDrDyOOkJM/dEGwai2 +/FfyI94Sqvka+Xqx1MZIP9PzGgobApbqHEboucqLJRdaoB3rkwBNcK2hyXL4/LyDZz0397DeNf3r +I7adxFu+n+E910fzwqOz0jBCnKFijUs3T+zS9DAwTDJoKAD5OyB7IK+KsdWX84thOeoLIKrfhNnG +ePzeAWRfH7xvbnN3LzSpuwrd35IhlZ1sDwFLrUptkZ3NBwSyCJEZQm3QY1M1qzu7Eef2CwMGRF3J +wLBlduvhKkqMJtLBrpmpFZEsvtqsIPS8s68IR/TnPo8Yc5M6blRJZkeqCgxJlme9m4+e9kHdu8to +3zPuj3R3riEu3E7lO/uc/CE8BZmhayJMFASRxrDZigMsbJC7hEqBHlaqMCiRIXU3XviEZ/SlATfi +53qv0tvOP8CNORVeHvCjWMe935xEUV6EPR3s+wgKJWjVOjavP/dmbcNfCbj+/LFCMd8/d+CyJx3S +rJZURM//NeZYzggLW2xU+Ey79EIzB82Skpfk2HvR3+9i3EUZBdEZsDqCYBk+YEnpfLYWjSVjZ/F7 +jmalQwYOTgpaST7zzluFcCj9rrtcqC0K9a/5QEjzSy/I6C2DV06a0brXPRn552zUdPx+r6vNwsib +dP4TCFsL8ZW3jvJQuGdw75t2IWu/RVKVYRCAD7ezY8WNDd6ZyE/aQcj0OHAAeWjcydPI+mv3EkVT +YnSo1goXtbdZHQOjL81gzhQ4V+yEWbQAvGl21EVBYmRRqJzoS5s925CauGQlqXkll/jvB8fI4WNs +UUKJcfqPUIJuP0hFqdPFbPkh6WZxzkgj/MuTWS6GTTXj4viknO+1Y1gpArRlZUEOqkZtzUtHSDXT +W0t0xdPI+/cJUWvDKADGf7IhcAhk8AM+bKvT3DylWZ0x/PcwzYeUIznjwi1RE86VZxA/g70/RFXe +bvgeVK4RXhPgq+76UPP0vmdoWNCjWV0H0DN22OGMe8qlB23KT3YapwgyFW7yG2x/g3CDIiNjTgqV +bUDP8rXkWl/2JS5CeBrubkn9+EShX4dbfsq2jE7kW8tFY36VTE9cjpSosJPLDYy4W9Di1yMESUSs +vHsndZ0maZRQf58MII98Dsh/OqPlxY3kNiIvlN2GjLdvff5eMez5f3JmwY/1898lDVR3l6FECQDg +gDAJQo149r4UjVUkK5FegTjZxqFClUvFexsbpZyTWXfwuzAjRAq4DkNms2xB6U4ExS/K+TbSXOu/ +t2iX/p+bJARaxlgVi5VEj3/v0TolGKkW5+iFP8LVDpxTYS010urdMI/pFdE/5UXQSviMSJjTImWO +ehDkDOfjKj03dD3aOOESF1Ko+U3eg0Ltae9QvlnFJxBht1ulBUDsdrnXnhpaXl/uP5IT3RgjUXrg +NsNfRjxOge+2xrcmuO3LEpg4hWYq2uVb85LPPFcsv3VduclOe3HIxSn5a6pAGHkTYrJz04lOZWHi +Lgz1GqaUIbx/cnCknFYF5Jph6vEO6grSXTfbrHS30jUqZXt1gezxmTlemM8oh6KRSBIb1PWb/4B+ +FpgX8A2xURdOtajTZGYqsu0AUYj3EQKoYIKwkdOJKQPJIkr1zxTC+UPn2SxnaWGYbiL3Fk7jBk0z +8PMIFVD9kql7wEVdw+Tipzaluu8BOy3HxqlUIhI3f0mJZpAdFqMSIL7HoMEwn/rX0ga4Oph+aZX6 +QH6SCbIa+5nL8MDCNCS1wcVJ2+KUxbK6Y2VzZQxy0hEwV+R2B44Xzic42dxAc8yoe4xYmh60hBrk +srnQVOgT9ydC3RO6VqAeTn1c4uuJLhcOQsMPO62TIVubbRsHoDK+2T5o6SA0Q1qBhfhPeEUGSh4u +DRbEhBoVijrFHnGHcxt+D/hsSpRtOWLoyBMZ56+dlaTWAt318wVZBDMbqdC7R0a8myKxJB2khNqj +K63+6+zR67N5it2DUv1cdC1HaKVl7vntUHMjnWHExtHY1ellwHPgDsl73sMOuIk95Lplq5+hyj0w +f1e0pGNSi41sYrN3o3lLKns0T1Mp6EolDJ5277W5rno4mBr0AdojnjA1XVsk2JmSbUQEQrORHloR +IYVal/CzIOSjrxk2FnO/5NS4y6YeLffqQZAJpdyjJg77fI5IRywa5lxh8wYy/JKW1Kz2YRRcRQWA +b72QCqoKd14kWCqSuWweFTZEG36C5C+VVs9meTyiHLW7B8T1lDLtG8ic2eTC3+Ge7SbMG2sRgx8t +pk4HQugD822OgBNnjxmNqTNgCNkFoJopLBj9scZCinnL+BU22DnJE6hioO7w26B63yu6aOsyBqKA +h3ErK4mAJX5NiS6KrKZw2OvT9ZKEjXmUHNs+5SHzMl/lJbr+H4PFU3wKIUDj8Ep50ke4WogCl7rI +YVJel45T2EHG7dPI5+4DjUIPpZdiFnZtU8/kWHfukzDNmVBq8HE4ms4tg7dbnWjOC39Uxk3ks98Q +E5k9dTuKPskrQczaXaiRrkyp0v1UtFX52usgIvpGFxvoNMqR6d+oZxxZwNO1yhSDjkVT0+QCw5XS +u7DdAK986OW2voacYXsLv05ZkyWtE+Fa3bsbIQZFThYQSDl1AvXgtDtD9hY8ThqRyedjML/ULDUG +ZWQWgshcsbs8V9psEzbi8YY/ko/UlDhdAQIyrEsdY3eN+M6LC25IIVme3Sc585JjLLyvnm5GJUww +5Bj8Z1gbBo8PqtSZyQtcf3CEaHJ1CP44XteU728zMMXcrvPvx1ow+GvNCCSnOH+HxMxSrAgc39oG +6M/r0IM6gphOlPfOgOh+7hcMC16HLT9bhWHoNjyr67WJFg3ItWp4uYO1WgihskhTkMkwaEe3n46E +0pgjv2SZkQZngA5JRNna9vkngF0bCm0ie0f8QygxIDtiVn91ky8w4kN+MR0Yhm7V4h9aSIOCkCOQ +NFHxDSO8g8NR+oItFczmnQig9woBvsrr7woxnyAXpX6KVZpnAKBPcughRcXI9rlez9aDRom3QAMl +EzwCYeWMrlmbUoBeY1Z2DciMUeC+aHEYqK4+2CP1ags9D5B/gsqc2O+2e4g0+CqXfLNKDikzasxH +mQFW/5Mp7QOI3Q/+n31CoZIAncYFeHBGyfWG86qyqI/UmlmU76PKcQoOF5eqKOMc2/0WF2IrLU6I +YsYvMTpDF55Xua99t3Kbk+o0/C0iUnTK/urw/KJ+gvSweNH8ljJReVJfZrmVVeuWkQl/YdDaBA8V +Rr9OdJ59PP113ttf3g1RmYV/foX/KjYFll/zp6M0TUp7w38dgTwT/qAIi5lycm59R0ivuH79/jC4 +sc6mWENoag1NfIp6feS7uKg3bPYtid+hn2BiPynf2BEDBmQypO5o8/noNq0IDqIO7oVlN/8lI+y6 +lpdZsEyuQdPTyyaOi2OF0jMOTMLMWqL+r/7yEax2wKHMis1ymEO3pb9Yw3oUDdWH15pXcXlNn+3B +V03pV921muZSjrug0k2k+3AdUq3U/OunmLnC1VXCpUM0ayP2KTF9c/lhYpSiwTSjI4fdUbRN+h+G +eoOuda+ph3dZ74Y5bpe1Bs8CLq1OkBHgXl7MPJiWcNfeBxo35f+P+a3CQkJ8/QD5W0UjD2OwJzur +ByqKvrPs5d22LUGoUE5QJ4TvDF+hckHIVUxu4zc3omDXqJIfKlcZrYJwE/avQtjQMUcFGRhLHG5q +oEZH35YXb3FBsFaprPktKq3hfxGKPQx7D7XPJheHbZfcyo6+NQh66yTyFBrbUQe/W+8F0jxL+sv2 +wLRWS88iXM+NtlsE9Abx5yF6pE1WBQRwvtbyB4y02G3z3xp89LHDs+OqpO4D7k7gdVS4qTFobKt5 +cUQNIS+UsfRbY6wenJfMHWPASganjU3A2oDltFZbttj+66s1P9RVQfuPQSKQNxFz5nMFch6nvBoq +0amSuC3qF8NtVfMevuqKrCThuI8yGfhYWJ05axIVvkn8aqKMyYVBAL2GvTOEVi5QOwhGJAlY84oF +o7Qor/HQnpUwmd86IcgBADOTeFRFX7hWSOWZkkIsH6bZCul94M8pJVcCihmFLNbDnVfRDOP/Su/M +WzQ3+rUvdptlxml2tyRlGLbn21DFs0N8vsHILJU5Mt1KN+UaaxoDplK535nYZofQBwuTYrrppWfs +aNMYJdZ3CTpHwqGsAiaTjckgq5lCebNMyREcKEW7HI865vUMMyO5zEGPON9PqxVhQITIjoO9iEHs +LcWj2AcKNa5TDeCbOJheSuenrtb+GpcKIudV2CzoPKnVPRqjfrVmB6wUSjYuoUkNhxGQ4I3O0zod +STxmiZ+V1sL7NuEzIQ+fAcuTvYwoqvLyQa278/MZq6NN9QuSs4Jc5ui1TvPJZFNanhU8kf1/88TT +KtKILx6HecqCdiBTknOpCqke/RJn3yntrHyQKv//wL8RAIceEH9O3IUYJjyn6Ddyz7h/e6CyFMoJ +EeJNpqid5wl3YBL2YYZl6r83AZnHXomUiEQdd/FqifD1g4zs4xWXx6IfTlQeMKclODFkZ1tVhy6c +0SUSHS+/O+dKrj31+wmsePa0NDj7wCXv40OLsqDFPIKFfoZCVIniu4h8djpY2PasvT64KML6cNtL +FnHLeSuFCmdrEK7UfzU7lsLy07lLr9NImXAnMTSK9QCUZPmPRLvaudvJWljdrkOauZSmYfzFUeFd +S06lXWCcJSiWjoWwToUGoSUPzC6ZbMP0QIj8Os1fnVEZweC0L0EJzxnyHsbM9oCTb9eoGovXEhob +YqO8oVBYUEmAWtOkojRSMjVwb9JZu7yEsG2vHopBQnbOhmxEKhcrWJhadi15L6GHA8Q1iiaJkmGs +3eEg2O97ASJPdijPIYqk9gT9cOkXusSNUpkVGoZoDXMTB0AqdAjuU+8kET7xvEWqhfBF5IdoLMLq +teZD39V4/mv8i8+BR4NlzOCckG5Mel5t9SJufV+wnX3yG7+s7KHoaOLWuQRd7tDU3J7NrrTKqvmZ +/drgaIbNXjXTuwAOa56Y3P69w+9Ir4oFI74gQrCRG+KuC7QPC+gQqcCAR+g6GI4kWk677nHkexmu +Dn/hLasRUdpRUuDNSI3kInxbWIUyFi9ESmazfzO46XrkLQH2ZBZS2808XxS87dThj4EjZ/pKFrDK +X8L8wyLV2MBYX6YN/HWHTipihSQ1IET4i6v+02Va6hZt24tFDn4J8mkMXwCAwGeiO85/sUrApd2U +8rYdC3oWtL4Tm/yQnCbQMEKzujV/15VZvQlgv9UVFIbzofjexYHIgqn42pJCpSoHnJK+3VJCYQfo +1OFmIgDLg8AeoeqMyk9JyWWGBNhdog77BLODlnFnliBnN8Ld8pca4FZcZ07pKw2PGoms9ZZaFv3e +hs+vdRB4omX/8ydofFqcUBstIHI+f9/VJHnI6KxWp3rbGSZxF0NMp8DVdbWrV2dn40i3hCR3J+EA +agtT6u1LgrvBPp/mLAX572k1mN6B89wHLPVUWhQtvwk5g+oeQWi3sEnBaZoJ9zsepebwzSHCPchn +LGHJtzJeA0cvIPF1goEsk89B/maxuKWsGG+bpJB1rVumh9PG2mG/yQ/3aQ8BCnMvGw4BSRKtpJj+ +VmjR6ld8RG1EBXhIZD1xuKLohttJpynPtIc69FXMrZHgWogd1N5AHYKxEJVIMXkj+D75wrLrQeEV +HKYXU0J5UYM6gYZF3oE+0Nx0JRqUt/bmQUUae6CFO9e0CftJ4cjdz+XZuVjOkvplI3bYGqNPFv72 +to9zoYedWd63OCcMZoKsb3JBIyeNgSz3VOtjA5rT6frg+A7MF82LGKhTao5YoU5jnZ73k3CtVi77 +MKGcjaJMsHhmvR2sxMrhYLD441bUFLSbdNw5Ya6bPFug9RuzyxZYjLjpcQt6cFWdDDKE050DSPZh +I1p9ChHTPOKkzutCDMcJR3hYOCRKPFDKES8o4x6DfO6GaR7wijbK8ZCXC/BQlG4+etMSSBUkb6qH +ThrY56+qIcgUI9xJ/jNcnb4NW/ODeiXCob8i1BDco3CLUwAXuCZpb9ILDAJYY5H6WRrgmA3aeT1V +D2/Ys3DyYt1NSIe4MtOZmUvbBGWdr2bTJ/sRgzyclsjdFzVECqzGZdw8akjsk0UNfJY5CTwmDUmz +Jzd35akmjvr1J1E9lPMgY17LLK8DXPrGsMGVw5Q/qKXPrL2eKD3RjNQmtNrGrFoEtcY5BlpeY5Xq +TSZRIE66bEHcJsj53XQXTH3WtJ2fnTrU5S8obyKesG/AzsShXjGj1dHxJStmbQZ26s829xMUyqKq +CTlbHFPHMAuO96vgrbPLUWj1frLLVVTg9R6e9c+pNI8fdttsw5MCOdVHp5tlenW8YR7NWy3oHXmx +ekYdq+P8fpHy0Ud4cZkNz0QytT8ojISQMWf4DWkTbvatdi/u6V6aBEMM5UJaSjAgvpnwTNi07JRu +ScwThxXf0E1+fcA6ZsqNMZz//cY7RzOkUbEgLRmatcrDmF5zp8aJFGe3jdUm1UvHniSSH+psb1yK +CjJ92QTFGYRghmWt1Jx8p6GuqEitkwWr+xTWx8zszq0qIzhZc0hv1G38Tcl7RFHQPSF2NWDTntoq +j0ZsXaiSJv6c4AfsN+ROsQrxImprRsFuRDaUP1F1a/vZffq6/ziHFGCr/mxQQP1GwdNydWfxzSVb +8Hxx/mMAjd9cyXbLetwGscmBB3qWpiFPXdXMgGoQnipEjwkn91n3XwcnAT1MuDy7u838YKBhKGz5 +gBVkOWjyCNRdqwHpRnWgr876irei8zJEI0EvoMZhmulZ6zeeFwjYT68EuNhrTrDawJV9uDwA5kHg +vG6pnofirJzbomJRLlvIBsaLnp2RK30sUgkSGvRNeieumGVZmT/DlwddGnrM3K7GpXrJIr1v3DDu +LssT0AEgTKtpZfjlYaEbZdMMBdB+PftBvGmbPaZ8bbOFPT3B8bf8UP2+VF0Qdbi6heDfgoVK3ILU +ZpopopQ3Kl/xS9Z5PueGKaINTIxnCQrtIBvq0x7Ji5mgAvCt43tsI7vLS1CdZftp+ZYauYqYcyF7 +aJERkm7Gbtj0eIa9oZBb6HvN1DO4d0gCWX513vliRTUFLuyKPfiFTakXb8oe3yCeslnpTzyIut1i +kMILU/0DQb2/EY/oUTBsg9DNxNy12uBWYR5B/NYJTJktod783xbqDJBBjQQZC5nnmZvTbxSQIg7w +pa63UTzPMcgkSv2ta0b/MsifkDQ/HyPYqbGw7CuFDF3s9b0hp5sj76gG05qMupO4B7rATvoVeE/n +BOoZoXIFUaK2jaEOsjOHAXh/oxm9YV6mANxqTOrDPE1SFDPKLWAn51EYC+PLn5ZTThIbmG4/xUoA +fV8z3rKu5igRXUxnVVhgLQVqLZ0tI+ZJ5tdsid3XrjSzO/D3aQwc8WzL87Erl3JO9aWAmLzPxM3g +yBRvNRB+udgAXPoPQ/19I3r9binFGvvHSCTpZqQ3vf2zZKN/vYiFWBMO7dqpsebTBgDl70eyMCE9 +A0dHAQ9DAvRbI6b2QH2EdtmAFdka6NmUzrOj0hNa+8rTrvlGM39FCN5kIDY/GuQpIu+/6TMIjnzc +szJmCGZxiBCydTEW5QyirPquEkd+Rqqz3GRIe8wFGtkNgxuBgrygO8JCTGLroF+Pt7Y1SF/zF2Iv +1/ZMQBZUKMivfCq4NkUUuiBTQg8T7F3BcGNGzk2xfNzSAikXErxIHOs+ktTLHJsq429B9pZDNFB5 +d1T6sNezvCNQuWCefJ6zDhqwFW5/TiktcNqT3BxuM434gROlVCyDLqVhF5UpePAXavnx1cZGuABF +TehXkuvIchGIMmIGA+grg9lADGPRokgF7dTGpKPImFgoz1NblNRJ4u/IDXJ8mqFX1I5zVfMsi7Oi +U/rm4oiMazceY6MIyHUCF1U/+v9ld08TYDU1pkJvuSGRxU/L52xiGpdnwPJUsSVzcyfO1T4TwDcX +B96BEnQRAYLvzT4F7FZ1gye5sjChyH0eOp2z/q47o++f4IaaNFnrD88QZQSKAqXyjhnSeiO+JrDM +Ar8KXBE0NUp1iELYqr2xt+ALfPg/cbsV/uE3Syn9Mg/QroTTh2X9NVFQatEZIPpUgEDYXnb0vg+C +7QcUahMx438Tj9dWQobJwgCHNGiWgjaNQuxGYu5R18fUPlTvVPmrzf+kUAGWlnFZd0sURT76rpAS +/NjY5XC1oH6quk/kK9lPGH7vmLNLbhFZsjY8OyvWu1pTmBhRzevWoOf4hD/ZMvfRJHlVkmXCu2wm +Ro20rQsZKli9srGXK0NSq4HWqjmwtNXI4ffiYgnGJ1YlP0iKr9d0nQ68MPm7SjZm7sEfCest9l4Y +5dJ6r3lvLRHNlcfcpdsi/jdmmBNYigkmnCvLFfLUPVj4pUoK1gJOjyFF4RYvR3uATxLcMk2Lf2M8 +FpylsTGIugFsyiuWGPRfdEFlDYfxQuhvTbC6A8vF0UrAViuO5PuEo98CnL8Knxs+PFRdmgXGfel9 +TEHsxhWONmgvljent8g3/B8F0i6Zp1/YFxX99I8RaQrFUbh3TYG+Uj0+8YqB3WoQHVPWvLtnHu5X +7+jBDtpEpsJz99UNrTiOGBdmMJ6Fcc5oHlMrINb3fx4M0PLLfP8ORYW4r4mYIRjndHrnS5h9+Pds +X7gHV6cFDYiNEi558mOSs/K3gwtznxlaFfF1lmjiOw9Lfm/p4T1ZQbxx8EChB74PO1iGhFPRkI65 +fcDQ3rlc+/JPvmgopSCO4izmdzYYsNfhewNJwHGUUXFNV4nmTmAvi7coFwnAb1IbwRu6eGmNyjae +5LxwGqslLtA51DWV9rV3WPJDwb6QkZMKZj6BPcwfTXLLKNGTVzdkJn+Q9FjHrIcR8G61mBNSfyLy +2x+rCTDERpNyad+Sj6V1UnxfsiH6PlPnnSEd66YY8pNTQd3D+0C4ILjNseVQVpDEuAS0ftjouM8h ++uLD9hwqkBu3nxjHsZakynlWOb7BwBtiwiJmu5GFWuuuM1YSD/edd0tPn4ameyWcAwOR2Xzgdm4j +UEKNipSF/DyD5jjX+G0ktyj1RXLKWc1+Ibw0KVSgXZSRPsO75HOzRSEb3EfHNgsb8BqnJNs/MA5e +H/O8ULJJed94+GUOkx+G2ajZStmsP/jml9s0zfL4lno5uNLrAN3REoHWwvyo8SjJ3+JRlvw0nVI+ +xx4V6SnLfIjKe1QI1GIDpZWUAi8GNuKR/VgJDnz8OHC3VjrXZFf/DhWZf1hvyIuG1cCuBn8W/4GM +D33GIKlr9IaSDZJMsrfETCvfNQaz9KBXZPIJOcdAM9vSZRqaMYgijMzOnyAS2IQCCRqRhJVpoppk +upEEOw1TxdSSRMTG/R7edWdCGHMp7B2Obg1YPje4MdWXEgaTL2jWg43LONif8TgcZvVZFZMJH37a +q8XuRkUilT/p4fN1BzvBsGGJz+CIDineUQU/HED2cyuFJ6lItouTr7/mWCBfqyRi7w8+msEXDQeS +9WSelSzYiTfKDo3YI2gZyhoKFMgxchRJpgCSOgJB4TmAmTCzFer6QC58LlnveBxSnZ+BKQHvn4Zs +jaOnSBOlMhxgvrqWbIczCdB6u6ZRhkZ1eV6Iie8hfymRwjWfBKh0nnmUl2TWCH8Lfww/ftWh8Nd8 +9Hm6CoXDXAn8yxFithAxmnmAEKZloCnd/LC99zu39RhcQ7PXQJZXYO/fAZRUaIXNdSXCkaarNsar +E2gHTtaMapFapktYsr2Zzg6LYfiSBAn3XxBXrcWsSTGep5nUp1XMqWDUMdBS0BnQnvM84r6TwXFt +IDesFZ+TBn2FHVzb05GDszXgcvd3wGVt2SeJgqY+qJ5G1GDFvknr5VOxvIGosmkauAAs/3cMask6 +sTrs6miy3VuduCOp0wxHP+7Y47T+SQBTTsBCULsoXkVVbpEjZ2egCK8BQtILSlft6XQz9EnIxORM +gPe8hD7AgE0DHxUfAsDqZJQc/IX4gyjYj3SMj0ZcrFfYNR6TaavVRF+x1M13NJRy4JUL7whGhwf8 +uqiT9c0D/qvr16xYUK24Mumb59Z1ekLrNxw2UIGaE0ogYylMyZyS0XSIz/pmjqw2E77Rmyd5s8aB +MdbC4OY8A5OPVB/VzRyuvnbjltyx4+Fmbmzzm7xBFr6DWaWiq4/vU4P4bwUa372fIlfJK+VkXI/I +AwFR0hne9MbUbUvccjEedrLsV06y93DlWrvOJXQvQ98Da95UgmCVfNgb2EDKUt8EalgKtX7peZiy +xSB8sH/JYZ5xuo0n66Lm+CmQl25BT+6H8aE1FhVgF2gHqYsMd47OT/gLL42GorwzicwTR9rLB0/c +Rm8kZ2h2MqkG8SmPHLo9EBbqAWVysbnQUksuqKljSUcQlk9uAJRECFJyH5fwh0nBg5Fjzxc/Oeia +N7Zi/hi9/ejD1BWuvx06qe/Rzf5fSQwktzetzUczk6iHyIs8MwPjB5dsFkG3+vOT1SlV1AIqYdYf +wLgpUIwOQuR7sIZqNAt3j7g5aTm/ZmtGKz+RFGQYKFVJ2WkyhWSk26LC6vIIIN8RvqNeDZLwMU4e +mAn0MOB31/kgzwoB/OgNa9hsrI0pW0OAt9oxm/bhmuLptZgwIkp2zA8YW853Hm0cv/pm/YnqpZpf +gLiipfyvvINilRZoKsmi0zjwGL5bt7s0bOe1FcdL/kMXHKLD7HGOCLuOa9fbLpEFF7ffTbIHLR+F +K+5AFRm9bMdtA5vFc0tatAntZKFyILNqWlE/WBxyHS/hyFJjbAlGMwt6gwSnIGchtU8rW0mDtVvR +sCyaQdPYCGHgqUVrJfgszo5fI7FmGfAquFKhiOVf0RgKlMChOQ0yVhGz6NdaQbtmbAmMLzHpweaA +z489oaSj5VNLafgaZbxj3hklgd92lWzeRFgjWkj7Qdu3Xrh/sKPCUraLqj7wqccdBszyjue5iqNF +77ma7K0rRRRAYzAZHuTpAO0B9oCvj3KLap8pC/rF7eJravJUJ8gUpGmT4br+UfJLTpUffFAB+l6v +N+dDudQ+fPqlZckA59V+v0iXsc925LvU9J4tg12gDIJzNj+4JqDFt8Lqed+JCrZtQbaOe0ZfX6H+ +5KmUJlK47rL6eAhJybvEK/akLSIJwDzC7IpmxAotlPbn8Z6w7l5xOCfABhOg+GWdCiXTf092mM81 +ph21na+LHrSVdjCsdja017tqs7ZRu/cv/5cfisQaZVc6r/f8FkRcAGLbWQwjSzYPxiYSygmF8wme +s6y1wEEj3Z90TvQJrRz+wnwt5IA/9dn9VY0Qi/yJzFndBJs01UvM9eO+OIIuW/3RmH4HGNKa8yqm +Nzw3iRD8nO1YjwcApaFSHwW37L+80uDwfJIs8AhtCgdlR6dvBiMAjwhCIloLCoQBBgpT0gmhT9+x +o7Oxn7/gLVXG4Q+7W4dZhKpE8R11CbekHaNOmH6+YeK1qSQedp5uVPdIaN9Kh6fgsmhKIpOUjyCd +bBRYAtNSk6f9yofXIMWe9PXd1zD/bTahBi3/xKfrbCq46WwiG2cH5sCca8HhmBs26P8mRMNICENQ +ZVMvWN/6yPRH1XPTFnbXzAYyqm+NnqnNW1n9CP44EperMF7UElUYA7q24OqRZux/YaVNIgAOct0M +dC8NwVS+bd3UGh1R/r68aU0BvDj9xoP05hupGiQ0LpCSHt3UG7ACk7G4EIChs1zte/YzAnOgpa7L +K2ke29jlpd0bd8xUvpxYQQmi2Xg3k2kfp8/SZLCjP8gvPxWP+/hoEcGbXnDQ6P5VfbKtYaxhc9yv +PslKeMapO6/w7XbIkM27L8KJrBgYZlnATAANnNky6DyUN7Dl7VeFNyxUZsqh7KEqXjecc9RQb7GR +OdvGBdKVJkH4QC9+xLeTMUPvthYdDtkbHDzdcMHj1hhpG4ogPn6CeDAIDdci7SYQQQed73sRPU0m +2ttTnf+yukYLbSc4cV888lYSwTA+qhhjx4es+Chv0zBFCo15ILUy3mgPhYFDI8FsA1eylg9Xj+0i +s7oqqkTn1wO8sB4TU2nmdT+UWTt8X8sok/sMGJE3ALs9zgeOKHHWHpiqtas710E2dVc2Q3dk9DPw +cZ6JF4gBLf340KTHbjJTSt2oKmjFhyWPiLPPMu9PFBZFvHITzGeiYZaQB/IoQFdVJliZbbN3UEPI +ykDdSLmHr/5z1tAk5aHozL+lUv9+NLuIGKJr9VhYrdnDuqHX41APIdUNTeZxpCwG+zEZ8XpYtfBC +dMXhU4IZUPBs7BYmxbIBL7mG21Zk2Z8nuj/XqOilXzEjY9/uSakUc2yDjEhENzS+aBkWpvV1bobU +S8pclnIif2M7txJhgQM03A7KfxvD5HLCSHpnIVELuci8TW6j85hFCwYi32dQwZFQYpCG5lnee2Xy +XrnSvildJiM6hi2aqe2F748CGN41tlD07rD6lnAOViv/9ajfbcEvjw5GOszep5Id4ePiIzo3XP6w +GZC47Ivsar9Y27+798J3dbRfnrx4TfDgXmd1azJ6Qix/yXLBW/bk89en1jkthtghxHqD6SbBvVwe +0syu8Z0rPeLP8L7ByqJr8lKWRDsZwm9Q+LhvENfkMnf6fYm5bmgGUrSSzpFQm+cmIn5OjP4xE/IB +PAOghc4NWSB+sKQPl/gGhNUUwtWnMMJNA1rlZB0LY5oPOu7uhHfPzWPtyOsn0BitJiQDRA6+Sd+U +ZIEnRAZydCKfYqZ6wGLWnpMDwVFkmJGrGtFv53vm8Wm2SnTHElYXxD6hAxukTVRqR79UbGkLXLyX +Gn1/QNUB1VUnXaWX/6ji7P3rRFRxAWAahHxHEWSOr1XX+MDefI2gigQxS8mJcEiDCYO5BtiJv2uP +UUldwlrg5DuxFbLcneUUnujwVN3PTkGXl7OMjmUiD+HuUPgRTjKRqiNEvSmKyVpDCBQNwA7HFfrg +ZNKWKGUokUOegMT7YqGPKFh8r9IY+PUBb9HQ7G4pC8WjXVvx+0+zF1Kc3MgfWeXUEz35qw/hKhUL +xsDeB5aNoLfw+5hAMW/5/DrptETIZu3bkAVYXiZw1WXHvDpRtkiqZ6Zw6pZ2PmWiO4K0fYuue279 +cqKhi8RoQISqSE7WUTfe5jtpGS+MDnBMej3RySaNNbo2zS/JrMkV+fMsE/3zjAuJl/3v6atEG0Yr +oslhx3LNFhqEuGEaE7mtwv7MR5ecTcw1+yZEf0mIWk6aybZa/KSlDF5W7x3L+cmI/I/VJ4/Kb8BU +lk+BulTtRlhODzAUkSv3EzYRrd5ln+9hu1S2tRi4mL70HU9N/LnrN5ls9Wo110J94jLDBJQs2VFR +kHAkq7V8v+EbZWHvjoNfwYpVa3cI+ixCpm58lzDTlXETSSoIHI1Co6knQbFDfJWvfmKxYJcq1OiV +PprnxEXkqKMNV/7jB9XKOJ7FAE9n+dxCm2eICGiCGq34jcxlklC5l5ADbqhkREfctyZrAZkbICpR +HEQ+rlc6rshof/MHeKxiAaTBuZhVMopHyysuPxZ4q4oFB/VbANxaetEM5tahlvRRU8/zy96wwYVb +O+Eiz02V90bmd4Ymk1r0s13oIYyDD1ynyYTWKrx2na45hn566zVICnj73OEMNvj510YWuqXCeniG +q1MHPvKgBrUAywCYxBpyM4cqEjKpt/2psZqOON+r+4BW6CAwWoml3L/E3IzD4PAd1zStTTCUzqTN +RWmHN4g3DRz/SEpe7ErJGTir9zlIHVx3u5fcF6EQCb0HcLCV0pIvDjKwYXvizDzRG8DBTo3pMmf1 +0BLJa7krWfIz+rS2vqBMDs/4qCHl+xrNmUgRVw3ClZBWc1QDmqYjvOh9/s4UW03/tNytWFCXK3VM +LSSsMcUR7An7fQCC72D5vylYGp2TdY865Ixa7pObDXVBk5w1k5babaE6m0Vas4mExRB5O/XBBa0C +LPrbycpY9ttIINcZtvG3mZKqjmfLafydMfOx1K/tAl32urWqNMPLMVn8+Staaamc6Tx8UQfdQhoR +zFQKlkmXxnx2oCMq2zqmiwrbzcHj070YadmEqO4JRnUpBv0rq5ZmCpWw5MAElMFDqnsvoun9drq2 +1rzyW2iTu7a386lyJKNPczJYncQnUKcdRhYlqCowh0s2zCu/etFIkmqGpgV37FYk697CO50utKXq +Fmwf76NQ79oB5i33QYVxPJTWIL5CL3/IoGapzugKvMW0nEVp1dfj+kNu7t7yTxqsbiAq/SXsAHmL +ZuyMEKNPVjSxvx+NrzxtixZjBQ9w/K1BBvt2ngLLizYLCIxXl+iyiCAzqoAQRkb/9wdQY1PVJ7H9 +zgHMbJ03u2eJR0WlmYFTomGWbDr0JSe4Kqb8fGUaBLSZgwMN5DE054CwUhEHhw13FPrqFPj5rTgS +Xiz8N+nBkyyfF9s6Z5HfB8ebFtO2lA1LQcqQogfxgAyJzYLcn8teoih1fiHABHtog1SnE5EIB6aU +IZmZMuO7tDdIeBfsZ2HaimVPBJJji3uh+psFi6543EmEAMukVUYj98ZBpppJiOOYikRzaT+MVOCQ +mmtASC7Mu4AZQPah6nDbuu3zCdCAix59BveoSwNhlrwLxxUpxUr7mhUT5n9bLQyvl4ezLRx8rZnB +6LddQLuUZc9Ul4llMJmo87SQxOS6Anr3JzPxsQfJWsFyJbZLxzOSnrwgEmTjOKKU+9sA05b4EwOQ +RzFEF2828VDoijceGwgWcRONuIduDDnNss4VmHf74FqGvSTTht/cg5trf6CW1oZhiufsy+2qnZZ0 +UBIHSljKeJOxc6EMCqQvi9GTSLfkWOP4wcH9Zjwe59aU/sbxRv1i/t5CXkqyTCZaLFza0b2GAF1l +DrmOtH3fQ2jtGdRZKBfgIe0O0g9Tp7pnf+oFFwIxUcNKuvmn+A2azFNkj/88D+799vjGyY9/Mg4M +pN0CpIMKY4sMItadWaodb+VYspQPuqz7hYM1XtLhzflOGJHau2YCRFO0daGunGTgd81J9uPG3Imd +qb5PUNGfCfxTQPgVEHwSFDWq0Wk/p1fb8YeRM0Tn2xo2TcqTaOFcu2E7fXvWhgEGcPZYQKF+kDii +po2uKURYdcRc7xX8hH9sX7QuzI+gIom8eArjfFBbdK+4cXFu4PYDFm4yvWIxxlNIVg3Odt0cyD0K +SWr94rBsBy96E3kk3pXbyOxpfpFeMBUCo9B5bLgNmZfwYwq8FnevUjaW7hrnqDJOKgwotFh1VJua +MNzofJxSsTMW61Valk1Wwc8eFILyNezDQfOQXr9HDZ1tEKJZ1fHqd7n7Swa21gMLWHZaZVkJDmU+ +hRI8NNy17kHi1Dot7IMkVKhDxLGyc7AvZ/tasiGQ1l3QOsMYiAguu/PH1WE2VP6Cmysd1GGFXt7o +ba7N6RpLNkBQc7zp+eZ9qJS15wZAbduL6DCNel9NTmzPqmhN9l5QohBGW5Az8EpDyaw2Cq2YhTL0 +NROGyYvok1d7mwcQ+K2EuU2qIqGCZUCMNVG37+LDfspZsagcjdgUSCtTLAJ3YLsm3OGhSZv1ahoG +5UlgD95VhY+R7gImxYsMPQiWoTP+fpLOBHD9//Ils7SIZBHGvKsZP22d3hTxw5CCramhX29ZVW9B +LlOUtF4i7XuvRRsJlxt00QI7w1kidDLv5q6icOyq8JGlQEjBGt8hUVEkwOHUZHZjAZbE20FssP5B +/7i1rFw/AFLGbylt1hCcFltiV4qTxEgubB9SA0CU9WKpZwjTghDKzLbs7i61W281/bv/vl0lLbuo +rptIiwvZULze8EEzJKTRuwViytAcrMxN07AnJQ7hllC5gQVeZgmvfEaV6lNOEm9hMM3SfY9msosj +amOkaNHXdaciSJPgEwEmLSxQ5z1JvHI8MS0igEiaKwvD1cDv/+EdWsYabRuWlWMTmr5MLol7d2x4 +U9dodmOT8PTgF30txAc6uYsm1/vTLRLfsECt0B4fudF0429+dVZ9rpWYNcG7CrZeMX5O8HcjgYps +q2Bqfe2twtfP0js042cQOzPH4RaOdDi83YExZcb2CbACUyZPkVAXmat5G5OcJOZy9KZVPOQKM14I +bCxzxo4u32gCvXNrfACc+DjjpF+6YcBlF4a9Vwu6wROQqh/vgVGWOQZ8QKCb6OHRO5OuJGxMEA/h +5qLA4S5rrd3oL/aIkz2UjFduBmM/xrtkNbxRxcRcOYKOjrkpM03RjqqkfvHxsM8i4Qz/aXxiAgAT +VyjjEzpcTt2vhEM+YWtjpNgYv1aK68QAlCsuo4EgnHjxM1lq/8T75tPGWwM3tlOggPFbEkPnxmd1 +kTmzonEDSXRIBg4ckeM8mnMhB4eGd2go2FLDrP9/4cqNSNISiZtsLX/XKdewmSwTk734+e4U5bak +MSphV/zraZldRu/27yPeKXAEYlYIJuS6a6Gxt5DO/UGwpmVxGJorGbQbuE2awh2AfEVfrhkEp8n0 +kSkCOxagIyaAhE2L+YF+zuiKTwkb11ndAsIikfEW2ar1kOI4+jFjztE2/SxctbF59dEf3dK6DqAw +JsWuoI+9bviRTOaqJ7D+M9VJY+wvXB6ygF/eG9sk/9LwN+UsHsTxTP8uxcBMnqhc0HNDG6AjBju3 +l2PeFdRkc6I8DvegLJh8Xfl2820PebuzP34lKUnkks97JMNOScmtNPJ1S7WKVKdvAf66szzIdRt3 +jO4PEijbvLcraO8gOLZ/XnU5A/QMTwD5iKD29fB6C9+oMa+4soGUOD8yLfkOePhEeUdFOBk3WYKL +pFUvArE2zVxwHGuJmSYa8WYs+csXWbgARcxS/BQzQkGYISmqnAcLZ3Tl0cW8mvq29n3vYf15AFSv +5Wt1jPgLnHJOq8UJ0EZgRQaCtPQ6W53CGGr42IkxfeOoKcCzNwpekcRi+7G+OxvBihOTGrsFKJyy +ZHrvgrR+k9tLpqi+ohTRg9oYwD+MNQ12G0hXAbnYCGYaFmd01MuwEJNSkELoBK5lLwvnRY9CSvrS +mVO8qkSpjNmPtxTTtuCcQ+YqItcuRlGE15igOIPkyUVouzfWrzOLS6GTjPKpSH9wbjInmvHBRBT8 +IHyu/wOCqqZQxXUpCmxU7hemoujrBIAfAz1+fBOC1/AFS5djIwDshda9jvQMzXS/TJ71raNyJhVh +gql43XMpjxiZw5U1rGAQ8NZOVUcju6KBfr0vvlHgCYqp/KXcuCVjIU4CnmFglE11KxsYckh96aPB +69zqqjsBTdfv4tjY0korzcje45f9vKsXYvJBNagPsXq82I5vvFmWYFrtom26TPTPfu3VXgHVG1bY +3oCw9HB2dLo2gnaaPPFVIT9/gq72dSpqjmLMoBQAENbWYfXtvW28UhYFUk809HDElsuBPczKMjj8 +6f/RFawm5zngoBU/0MZ70fdD/b8q7f+k7J25OPJIuBgd6pXSwV4v0J0nWZOSFbBQcjC7YCXW9tm9 +BbcrqXUMWtbE1ynkw0ALLlSjlRFib8faKeDl4h2i4quxvz3pDQHsFDil30iiE7R9hzhDLkv7tJ1A +y+aaLxzO3ftmbSzerPItR+Pi0QkcO6MoCfuYXJd1wqNEkgyGUmqanuxNzFFhuSLvxT/0cti/2JeN +x8oBxTqb5TaT347CMgYHRIOLNWnf+jgwuUdq4wpQnZGB2mJ2Zjb4tdolopYA6ZOTEWIuhuOjdl1u +5XKLAWkp4rONlvkMyyz+aRFLrOXHDp8/z6G3AScFISAg8SWzKHTvLbAtz9FM/iv7zPpaMjVezJ6b +xFJwZ+LdcqRctQbjXYSGEGHdHlbPQ/nJ9bVZDXfN65FnFY859mA5xOy089mT///x5vdsb/jACBfa +lIfBZqIJwz0S9kNEKmpBJ9iy9QoCeuKKVK1/YKsntp9PbT94z1hmuNzS7w065xhoi4Pzg+pWFGpx +tGMus4pmqQ9GK0kl9jx7EsLBdXCpUNwDxX3W4FcQfJSU+qaICDDUE5RkPfD33UPpqkQNM1Q6CSaS +uBwAZmYWfWBX7tI7+35NeSyH5kkMw1x2nOhaoboeJKtH6JiJJ/ZwD5ixGeNRkrzSPs4x3Jd8o+YD +M9t+x/1JuZEO5y7OeACmActL3kZERG9takZcqqChBhN15RTWXK4u3ujk/8t1GuP+pxjSmjFq062e +mXR1uqSy6/4KCjwbZX2N2v+h7XB48kZ4QRDp9RgSXC1KfWQntX19STkiz/HYlKwwf9LlpBOO0fzj +Q9qsridMuDoVjTfNMkxhLPGjpVqWokCCuZ9V30ZeiGZOtPriaz4MorSELs+cv3NT4Kco5Z9AMQWX +tj1skWGc+bUXV2OKyEH+GiuaA+zh53c0/Kv8EwRtuFWsRR8Yf5ZZD0ukwdAtaKIZ8B+KtoWYugVb +waJuRMN2tp8LV8EprsoiJFy533vIjQZKBziYz5jj/aE7MwKKk6CDqFGTCECmWUGklQNiZFAotH6n +9g2fies0dKR6wAbW+Bg1cdW5OrHSMUxfB51vqeH9TDHPYQBdmiu0KnAbAzTYxK8VEMVctOEedOHO +VGSIXFfrBm83F2TtL5VJDB4++qgIjIGwNMk2bFRhp38w2alNUYWzHpxzj82aLKCU7bmAQ1xmMDSK +Hg3igm195LHatXi4XFnEnsRKyk+zwixSlbCwKzLigwuxkyOgl4cBZOf6yDacfEAs+ryTc0IbJ2Ve +8e53SwaXNm0F/I+L3ljvQ0eobnCUQgSA8dfpIzeDK/i4u8s+nj8x9rO7FJIIV4JOljIvJAayqu5N +6uA8WmQh8RG92qI8nfq3d99rmclAkPPA4NeMwX/mA9yzC1ewU3TV+rg3CQTQMEaEBXrYu5iMAPZd +ahOGqKUeZHHnOfV8NWOCj4bthPx4A3Zg/SJ1yJPD5SUPuz1//eBL6VVMLqPNJzEG3W0nhaxRFGby +yZxpwHaa970VZivabQy/0mOw/YGWyVK9tUJB6z3XxpMmho3ycX8CssmyzyoUNMLRIPRwwjjgCuUx +6Xv0oqxns4e8jnWwQ70cOBtE3rTB1r8fE/dQ5gaGk3ljbrrecEJmMH6kMOjhtdACI3qXSo7g4TCN +iksJRKIKiF7o/kNiMJKlwCXHBUFh4cWKCuzy8hfVNftW3aAjZj3IzBwPcPK0xRCFSsg/u0oXsbOA +8N3jcYeoO1Q9YKPzDW6d8wJmy9lyj/WeVPEYadCm+4odvanv13t4ZtnAd+/yXD+pjVgGwO5GADty +JbCweH2pbH7xHgHSlolDvzD//IQ0/Kgng/p0hGK405OubGcWL8bI7NVBOJ6arCxDKRgBCxO2I3xK +VcYefM44UYuVC3bOcO6yNYj6BrgcoI6YaK/zvQNQjmjsEC/EsJYW1dPB3bdkBGaygw2oiqpeE8E1 +yG1Yka1Hy49psAwasXOSkQwddCrheQldcKWCBfy7vVotHc0Krvk+MDJ6nLawEUHVKX9zLpuGBtVL +Nbh0BkshfGiI6HqNdDyb86Ki1++Jg/m0i/QfIhAz6Ut++sJiFk0WRIwrCP30CdEHoQmq3mo/0PbC +Lyeg9YjDzOJsCgiDOZakKJHHinlGHiFmxSo4vqgSfLjiN2oGF5KJ4dh2RP1Z5xFKtotT6buSJf9k +lkxCGIpGvyBZJLcrAZafMWNiLNv59s9wEjJfuHgeHdT2PeZJwODJ+lt79py3eLyXRDQXmWB4btRp +XrCxM9Onpafkn3X+azxwjXzAVWSIss8gwJ0dCOI04xru26A0a/135yVzHEJp9xV4DxGmHGxzh10E +oEFEdsJfQe4eXWZQbmfMJmKKuJOX8O94Hvh+rxM2bSXOMmwjHtCHqluF2Q7JRV38fkNMeQCEEv/+ +jyvRanAdipwGGjjynnO+Gno6xpqraIfpvdhwcHP2BaNXhIeyKV1OPBzNguFmyeMJMvByNkj1wSMq +PrHNOXS6KAEWdYfX0BO4lOvzazYm/ofJM5gFLhMGH1/N5E6tEsPC/+/qd8LItzCCqUjTAGlt6Jk8 +sswlFzfn0seExuNuglMv3BXQwDqk2fbptVaq6fAnBYFTnumYIeYGvtfXyqlQYKxVZzc7Q9isZj5f +vLB1hfkdAvxR36iMdv+Tamh1GKSgmm5H13r68jMzPHYt7MZlfwb2BWc8ZgKAqHlWBwYFxt8keqsm +7HY8FioolPNd82PaxD9kw8QyPSZ23f5fFi97aXAoxjMY9sugBVcGJFZR2yPMup51h2J5K6+aYzgg +nFh/squS3xvwvDYwz00AYa8a7evftmvzJFMJLgzbqtfE8DvvXKzZjzscrIFh68535vqMVn2ptyhJ +ODB/kAEEzLhPhkzLBhkotkItqAnIOiBQeBoPnX/uHBnTxd7ewqbDefgWIE7IhptyLzXNIVXjRERr +X1lUMUDxaLPFYWtNpvbJfWYzrdNh5MFZT9xln4YoeB1YNYR4YK+13e/41CFlFO8+TFF/kn5r/sAJ +bdDG/cvUnfkzN5V/iK6SY7r3Kn8D+K9oZbuCeELWrs1ux11d4y+XlvN8zOIFB9KQ8wJibBA4qH3g +eYxrwUO+nLiL1Ty9GJ52SqAF0i3SjMf38SN7ohtpNFM/N2QKVSYyulPbdtss2CH6k5OtIRnMlatv +BGhQwbzHVA1y22CPX8VH85llM5O1ck5gn5HMCF3TOIfaL0ZSloC8t3fglB7j/2GnWSAIebOZ23G4 +Ehvm1b/6vXgK4jIF8O99RSrPp9cricjRnBM1PudUCxqKPzMimum3Ft/gzBWmRDxCpmlO+H5KGJfD +j1YtdOPqLEYAQo3c0Jlb7mF8o9sU12KMwSsSxzZujZVauVZse6GhZPIbsaU5f9aJjHsKa/Wd0W1z +DZOWbwS9n+TwfpYaz3CZMl4ed3hlibj2Cx8veC2NB4jkbGtQ9ppU8jnOLN/Ts4oCdGuhrLkzH8NV +cIo85LEuysYrh9dW0KJl+UZ8q2uTJlO4BsEIyRaCQEnMbdckBmx0iDsguFIlL0eEIz52pZ+0L2rA +bCdpEoIa81aFFH/NFz4REQ4RhUnCTQthPWQcfofVzF3qnx/Z52KYgMLBUJbboVKrdLlygnRsyquV +HN9JKKtVN45wXyCvWH3L1H2r1yzO0AxZgc2yjR/mDZRRfGxe47326qDpZiEKNEtSu4pcrSGktR8K +m7lKuZgJCRs5EI2UUgUb4taLmy+HNJdM6bwRyqdurS4s0TJmnV+afhfXBQSjj4qOOX2R+Qhr2t78 +DaXurmBDeHGQxXGChBDlGCcrTRuae60nj/DBLSUcgQuxuDa/n6MP8w4OAoXwVrU/aklgrNocsc2v +d0BpQoU6PHiSbrjJNQH71e8ayA3SNjYyCX2bxulABaQxa5vupz3B8t40MnFxGAUurZX5RJcXX6eq +icgTngtYXT8StQ4CxYm7uAH2UN8iJOMhMhhQpMYa6cZK4os8v+H1d1pNWZjEehwyXyZUsuigrYw9 +UlWIEXZhNnBIt/b9bKJGYRMNbHjronAeL/86HPDBG3bIcTlTmQwPsfntsFlu6hVt/zHebU8NDQI0 +dRzECbkJ/w3epX3LHyZMDquOdmzDQgcvxsHXLUFtic6tZ8iwciEpRGmTDORW5dNgvnqvkbxLlLp1 +Fbw7XrgiVE5khy8ut9hxRd4/LvNLaVm+MTuRIH894VgLJyl+oLEOKexwVBtUifOdjPmCHrANsPIu +m1EUYy6ff9OJKgtZJ3nls1OCQiLydRqxvfqtrfYh5eXBPxNrBBUNE5BsZirhioL03bRWAxYWkzhV +9Q0/RZ6FMBPz25kwm/FbOxXVtDNO7xDR7bHGwckui9Oy0ueWdDzBO2AfmzxqVGMMWlIGFe/1T+3m +OZ6swbbDIuFFhstVewEuomNlgtCy8mpie4MIiY5R/0ddrJeohhY3RIGsjWC1ulnqLzYVqRWgcxfM +ZGLQr4/yKdDBKRAiQG6QY4NlPJKYdwUiT0vnD9qBozOyammGrWjKB1XNETZ5VL8wkPs2TrR93J+k +iv6q9lrwg8n48dbxk13b+q2ABpx5GCCivnsMBca9SQ6eZhQCcaeiyp5EKfCSGgDgeLUUw/O3bB6K +W68bFsPt5HuEU9NNJk08njJsWNgJZgPKUk5pgxV0squBhHoAMZeO2puhSX7dfqL31nx1kynn2T0C +A0pb5GQYaB5qsP4b0RX8nSodggo4R2dg2o3o2Tvr+CqGyPkU8BE5zjfTLPq2caOMhXwLmzTzhc8R ++T6YEqgYrM/9CritmZK/kf/ZWf77D4rqTJIKF3PlpQcnUo3Jh7pZ0t2Ip3b09laJX6GwGTi/+kJN +KW+xOKgzR4OjZWZy9W/2o8OySEHiEJtiNMy5hYuLVGHE1Q7GbjzbPbdfFOzbkwl0Z6SNKkDb7f6Y +6Ddpo5vVPhb+cs6uiB02bAXvIUkCzyImm6oiPr7W8fUH2bQLVPi1wYDyJGUcwj6sGPct/wE4U1of +ehO8EKOL6FbIltTNamtWtvpVJJqjrlCPIJ9CAK0BST5VMYug8UjfVHZA2mt6vdO9qXpCxrQOS7Pk +uPc+p5vQOc5eumB3q0M8w3hHMASFBeeGGWfxJ0VoO9Fz0StTb74fLLSFxn9kK5aQ8kwP5advi+L5 +mQry6SHU5b3YdXf7i+TEKiZafWyc6OpBIXPY5Ztn52NV3ah+jxVlvSQwBghhvcolY+3upsCGAGjr +A59rY37dFeUqbQl3ZxzX25jNaB5cMwmhYK8W94NaZloyFGkJDOEB1WdwynAX94zf7e83chZObSSb +3QZE3Og5N6BCIZW+0aLOhFF48hXy6ZW174sW33bMS81xUAf/asSMfQopgSWqUodjJjMAELNZegm1 +dlGdXADr1jpr1s1BOF5sHmPylfADuXMK+7atfwqlZqjqDJkvXRXpceZjyL3YsEB9tIFiXfRbkzaP +YSxGVjGGTiLQ4rbirHW1HpBjfZduKZZC4JGrtubNOQqkXD2X2/i1Vvi5AHYQsbb94coxlPh/gkS4 +Pg+/zegSlHaBoMi9IsBfnhamtdEOvws0shnvLmkbCb6ioCQcTj8aG3tFvr67KJ+vnsDsub6jgd2N +Th2aXWQlVuCxjV+he/2iqNUnp5aRPrpMeiG7l+lKhuYs7XfQZlsK2AkgBNCJahk0Zc89lCa52w3u +SBUMNgjqWHUoaM8AOWrPAnaDpbRbuhdVmrfLWnbSfqCYtxioXldLOOAn5hqEeGjTjfIeHTuw26+M +cQsr4ftnrrZpoxk1H3BC6nNfySL6RC3/U59ZxoaTcW8xLn5MaxgoJaa+KnuloGjBs3kqhU/0EnAM +Xg4FSftUYNk8Q9TEJDMSGLu9vOeOy0+71DmvhRkrC9u5Gpe18AryGsNRyuF+cTSVtZM7WLD8W+cO +wW8iDRnrvBGyW+AoYmAO2Mqlxdrq4HiKqRbhfQ2GlvNHmZVZdZIImWgIBDL4YNlDQ9SERjBfpYbP +vioG4ljF3TXUYsgSLg9KakehgczgAIi5yr0ioIEW4NV/AvokbIGAgurp1tmQxq5ZxyvzZv1tCEj4 +Qq8eoEab6jy6HRCfFv+UykFYk3EyWrrk4X6jEvExVMBq2I0RK2RPXfkaaNdr+zDCtS7KjzsIEtM5 +wBziKmLifQcvz3uhxFZNgE3nJubvPR72lowtRvFUmVpoJE2w7HJN+wEMw2w1TWIaDT5b+lEucC2P +gv2QH8ZOLGGhrQFUgPSfuHHU5eTKuS+HAYh8d0dHL5Y6V5euClR3BGI66ee/6DeSwKDiXU+aS3+2 +EvCUk0zLqC10h5PCWkjGjsIo5keKAKuIw8RNK1TSwh/1Zj5TQOKfEUFIKVHaphebCEwIOolyWyPr +Iy8Y6SG8yIFxJGLAOjOvIp1cFwsdJndPJJUXGq9zDeKrmQ0wkVTKVRbnCaNJZYZqRk45hmI4zgyO +mczDBeknX+9b5bUOV9OoC9PUaiLbBpRnhWLOXRQpD0mAHMpyN4CQF7quSBxPIUmgs8AEnjHIWH83 +uR8R86nlB/AW7LEAEirFNUt1Gx6N2zfV6H9qdp3zvHFHwVXc0DuY3gLWbBWjhHiqVFOw+pXIux0T +dsSA35tFJagF6k1BEbVexo+o8UelbPn77m+f5jFCtyD5VmITC7um0UXHdebYou9eHWHJUWUDbXok +UzckEoUXOz/0yU0ql9DTpqZHZJoWmGVllioLLh/FqKO+uzRf3rNEsN8I6sl3yBXPSioH9wXcVkDt +lKieSK4XIPt6qZdU9VCeKECLeZr+AKHXU4JvJ+L4r9COMr4mZ+Z4q8PGUebFsbKqwa3gaN9WaNYg +txhztzUAUqZYHJIyQ2kSkaqZV0DPsqSs577QdWZMuhqT6MbxFY/GYaY6ttW6knK1jFIT0H4bkyaE +QQQFYRJ1aQFqGi1z13hQwq/q/pYX+tTmxy0uCOA9xbZrwqQHuibn57uSvNW/5mxDVyzkwRvXVcUS +bbuXa5yeO8tvY2djsdvcOcBd0guWyqHXX9+9e5QzF9K773BnHzCZi6mthCiSFJqj74wYjqLp+wr1 +0XVe/1OT7yuhThQs0MLT5oAuI4U+hhFjmsZkOmn8/oECygjoIg2U4wNqTP9B50JJom439fkjzHad +cxzjhUxp86j1AltDKZNseUVnrLZE1OcSXDbDrcQ7jdyu1ktKaryi7dcVeGhQ6nrFypzrBbP2C/Z4 +hJKTU+DNXtW7BNpG8poNNhQ+cqmay6oyRFcBpM49Ip5zv1iILJtEAqbL9FgbZLzOPW+aD4AoCw/5 +5Y7ObHvRnd2ZF4xEHkalXOYRd9l5MkrdfnT9/BwIkKgMtD1ZNmdDEvmPYZAM43/P4WreVVFkLm/E +NCpB9ALX/wFTBZr/BxBP814BuriZVcxO1nLE6L9/wy/OIkYyTm+jcVjlYSf8l08oZ7zNwR4UF3gk +DV8rsFQUZkD/SyDanaZPq5T1dvDkbVl3ddo6j4thxyS9Us1u9Q+3FyfO661ENKXCZlXlOMEIm2OP +iMQvAaRWa1hzxiDL4iGm3hy2fmvNToHRu3t5G9lOocH63XN7JPkPDTMFnxsYbntszUxEEsBLjsKf +4ooGPXthl+KWPfFUnCpbhQf86ixgleWIXF9di0nlNqr96cjkc20+6S/+sEVwZxQJUEw88VlIzAYb +yCrzb3DEL2j9uXfi97yfyFsPumec+eDNwn4bDw0xZY54zkPovSc3AkVRH7mWo8L39dobf0UDM4N0 +CZ+5fdjGE3bV0ChMwgvlLlbcEgSJf6qwvBxKQxqqu8atAOG6T652AWua9t6XaTbNH4XJg2oReZH4 +csv3i+qU5iM8yub/3S0sVAjOnIk+ZNBubAkyFrswt3v5hCIOp/D5XjBpqjfl/9ThhKWAqM+6KOu8 +RA9itnQjz+0EbCcIyKKWaxpIGr3zcFe6k1HiOy9VOfLMawL8xu4COX/2sFM9O6Q0hySkooLX5Gi9 +OE2tQA2hxSlP3OIlpRF/YLlW0bHCwVQw0Vych3zwgm5cGBAgeGqyxn3VkL96SDs7hq5oOi49L7Wr +/avhqmScBRv9S0+RJMMbEVfYaK9B9VCsbs+DQFvyUkR/wrtBng+mtLP5hPEZ9xIpefsR1rEU1f2E +3EvHQC3/tDOVWLJV4ILbCTwioqpBUCsEjjFKVU4Y4jw37+xpVViNIN8m5EnrPLh3gWmB6DLBNuPY +CNUv76Vms0+st4VXtG6c6xEdkif6TXxp7inzhzwYajkde/Knxtz3HC/hxxbTn7Kbl7nPLqcFvIlR +nqqPden63N4GCxRpV6FPyC+aJO47ZhBwiCutgXIhRrOwo+Bh5/8yvWSmyN49qpraaYJ3luIhI7WU +ucwum5/FcRvfO/GNoq4MJ0uke/X/b/o7mxA2Ix8X1/uyOqFVCFGKs1Wva7ROPNbKixdUEousPEwN +Jd1Fk1gCg6Jgo96gIF5fLC5RJHzTwyrqPnCcnQLKrZskgwYRJUKxlxGsGj75tWnzJU2qE+2kEb0V +esg9+TyK/rydbZ++7/Dnub/zytRj4QrdMLmuYmryQ+7xU9VQwLV4m7g/SLNUc6mzRlIoUGGCxz2z +Gr6Jo6Bhtas1Hzv5T0q/EHVUDZibBEFADBAEnfKuGIbbOnL1O7otcef/StigaGaOufuRBFyyXGAr +4hDQosTjKwFZRThxNK5uYGBk7Ys0jWnASkU3qs70vynoRKNso1LXo6G5gdeXD6Dp0/5zYo4oB9A0 +XEB02/LiLGoKgM6MAmVCyIbKigEQ3oL9NKE/G2bjTXPUHAQTONfyUvtBkEFKEsgxmqiGvGpeyoYl +rz2Nugr2pCi8iS8riof+PA86BHCSU6L6iwy0Nxu06w0fwZq5Xf3d2fov2mD/GHld/3Mt6niso6Dw +dAgfCjwNhC/PH1uFLzGqRtw/dLhmBUNDXyQZCbFI8PXaMA7cMpyP4GxWRp2drcr9PJjN1C24zD+Y +CfaLZT+pmVG6QK2fEIk4zf8JcEMBO4Oi57XE1mhiAfD0SJNGdSOqCzVuVKe2fI1e2b98Y4KT1OOz +8eW9C4NQX/gQn/0v/K3P4KgphrPV/CriutgYUf+v8/FMMYohZMxPZ82NqqXF1eAdp2NXsx6slsbC +yLPY7Focx3bLnZuQ/8ucb6IXsEz38zhrAeaJwK04QjvVskHClYFtjERw2qlchptAmSc3CtwMc+sz +Bew5U1UsXlvt1nzcm8oGiK+e7ISSEYJrs91hT9QNLPwINTs2TUVXLlM/8Ms6Euff/ZTWTcNs5Y+Q +8zW4h6CjRr7iGYJKHZ8GYaVR1zI7SjlVkVxN2D3wyvlETU5djrKeNZ+o6HQtLz9pliphYeSupJHk +r6f8IolyOQaYJ+nn8zERNVNg1bcaVgTGObQggMRqRjj51tnvWAx7fPk96op7VJkVqP5pSSj9Dfu6 +KFYDMEhxIFjV4Res0rOBUiOA5jWzJNteaDQznc6gOLWHU8wYFE5fZPIjgm42QBubS1R4JQq6Ss6X +cPyiGNrZlBnMa5dpS7C7lrTlx4P1yZNGxiKnQzf+VmKcM1L1l/qNOuItJweAoJFXEprPCEeqqUni +O6Gs+KsIxFFsP/a9r0uOgxYhiVPmGTj97dRZMGJOYpYa2FfY2+a6KXcbYcHLVpDd1PzrXqGLu6WE +2QdIeiZCOczMYFqm1uvtLwJpSZUhNiVnq3G3n8g+zHgL8bzhkNFkDOJQy2qRrm2pe3RASOzqu5Rf +omlhZch2j03qHeKSbWUZWVrHCp1BI6Cs+jyNRuHRY/FnHYFDfhF+k4gBejlHu0DzTfaEYoYaA3IS +MMWJyf/oiHw/cs/vuCxyrFX4pscLmrNZ+kX7ECBISK85fGNYbyurphc6yfV5Ct4OXLBTvBbeiysP +DqdmIWVVfwNd8B+xSeCR2LdCjSIHrJDcFyX41DZHcDFAoZNhqgP70We+FSKZj1LGYY902XlJNw4u +pi3hILX7afLjkGpXcb0Tl3Fukvtor9pDim6SjVdtpi1tmaD4M9GvuzzF0Xx12tLp4futlMJyJPkS +YXwelGrVM7qifNSOpHufrb8LiAhEp2e/SOoOdhvDQeWZ/YMo6e7r7y7v3hn3ljICpXykgEI9DIhR +JAw33NOGBTwSBqnt0ZiGYhdDnU96VqhZoQBHHZ6NBtYebRdK/aJwOxOsLoAt8z6Doq23YKL4ETt+ +AwCcCq+1/9Hc9q3ecNhLoxLSGAvVQsvw9/iULvjJtbqFyyDQmOJOx6tuDX3NAGnkSPmjEK/I88Gu +59Yd7Nah5Fv48bhQK7lrurqVFkvEXiq2aBaR5xwxWMBYvKPDQQlAnifkS69VTdgX0q+pppZhI44e +DFadsScDmSsOdNodbT4p5nGfYaSX25vpgGr4RfHFN1+zDh7F0yD1lmcgHJ0NzD61zaHlrK91XrfD +5xm2+OhL9WDB5rMQhAWEX/JpPvoXILATf2Y/hGYH1PHpQxFLqsbhYK0pIUVHifIxaLig8q4qoN1h +kfYe0OoC1KV5bn+1rnLFU55+Tk5oVbTbVwN291CTfBDpJTzvHjefXpsW+d+BXxBP1HkL1jgUa5YX +9UL6rQoH5o7dWspHolctb0E8+1P5vb156XS6t2WyR1oB1sHoLbpGwQcjVC76BtXaoFVGinqdlsI4 +9RjnMRaW3RXUT7EXA6Kdo1pzBJo5GlFpleI8y1IFE4h0uzSpSA4nRkNAFQKkgTKMB5G6c8/O6eoz +ih8a9ZnpNkNLcjaiRTVRiksUdtSj09MTi58q/4XRsxIr/RVtHXV+NajyW+yGqL8YxkZSAuMZVvo8 +OKPaYqFOVykx05DDELJyQmfAP5EAQ1od02/Ycpjf/9JWj9FGbl7HsPHHziMR7f4GH2XaSWHO5k7e +CtH9XCl1nK1OWiwk8NFcnSPVZ+YYSh5l4cJAIsAUOuqe1Oi8l1F7g1GOpJg95VYXVw4EK8Ouvwm6 ++OLE/tRLFuDYNg6eUpKceCMNPWLbmSJJTDBMkyU9APqiRkxgUVoHOWBXonu6W4bjhEs497ExTBXO +WGrfSl2kLAbj1E+TMWeqmV6b2hkMveIGdLj6m3YiNdLk6BFx0BoKtxq4Kt+YFWy8N0BN9zCfRx0o +tyZfUJaChJw1QOOQ3xGTKtanavyjcXxiG22DW8Dz1kp7myXLsY4NGIfictoQPiUmbZovhQFdeH4G +Cu8BZhARiMFxGJ6yYkO5G3mAhRBBYvgvPz1OHdRB3xWEUjetJJfKsGmfTYhe5vdBblfYLJg5yFGR +Mqo7rDmUn9nmhI9xcbRJmUBxfStEf3U8CaDUoI7A54HP1i7Zs62ZDgJVRtf3q+Qh30e76PWT9yjx +As+UB57C+NURffX6zwHk+oPS6CVII22SGlxL1MPmFjAm4MEeOSwR1XrrR9wMbgELMuUlDpi7C/PX +k9uepnKgjRQrwZ7a9zUCsx4u5A7qK6mKkw+D9BpnmcYj9njrkioDW9z/uq6Hpj0n8qA6/s0YcF6M +bzmpk9VHGa5+EQdCtwADnxaqWggRI6NenarKXOgPjzvX85kSMzMX6Ql11s+YoVxc4zrNss3xeCws +yi5gfdEznJa72OkrIYFarkpCdVU3ZtUr8J30ppBEiTU1GJY6oQ0bffiSmbtNCpQreBf+vypwFXA+ +69dh/TXRBMEqHBTMFqfhpCHsqALwkikOxI/RljBTHIHdOEa27IHjudLHssCWtA/Km3osm6S/J8hE +A3biihCQVUJyxIcRjRAEm6X8sNHPc6iNtKUTIOvWUwdyMh8bAYvEImrxz4lNCjZaye2+ihXu80DS +tyiLiqFxZOQGd3pVouzQzkf+KhMfEamGMsGzFStM+0CtJwonxu5GJfjmZgsKDOhXwUIoLyGpVcHU +TFlqdDjlMTXV8yZ+xSK7f43eBTPlGxZWUQc6DE+X3h1/tjAGgFmPOB2sDAd5x+IYbphV584UdrP2 +xRl2P+fkNWNhFiccLlztHpOoFn0pWzpb7vQ0pWHUMjuThbADR6UA470131r/1G5N95Twrf4wkOki +yB53MpKO3AUFFkqwn0zLrS4gklTBKjdA6gYEXSx+5aRTifyCP2BlDQC3jzPEu7R39vnB+amqCAre +xN5DpTMXNLpPDsYL8LPfoXeMcYaqifS7H5HkkkAIrtUBmqccnU3xhRajz4MOuYumIWMAxduB9O0I +zSrl2DakDyf3W+akYMLwLZsPi2GOC7wFZSFwUX5lSIX9GEEFLWinq7A7sZhvz33DcGKUaoEH/zAf +lJaVt2DGg2DCmIkVQNV7e4OdXVcfD4uQlXI5bjoz7DZegAEv+Z4qR/g+sKKUBqkDlbp7oW1F4F8b ++82zu6rxKv7QPjXI1wSM9T+XIozhZQo22Wz0KLD4280Vo4pU8yGq9LnBKOc84+tqYpADxoIA4CVE +WB+LDZy1VObeRBZ5QNqc9h15ePvdQP/GZgZgXeLXH1fMY4egn5KTSCdIaQko7spLqOh5PhEqtWOR +KlMOw/ELMoyQ0/x9pewioakSb6BqXxC9bVGN5/dkKx0NMuFdTvWCAWM30B4atcqqOetvkAyFoTt/ +o6SPhxdE0Js/CHpEeTqADo9ZXUEiVHIhRvb4RRXwhWpryckraQoUbA4kD2JIumubRrv0aevU50OY +668I2TnHAIqmQtCwfzjMoW/q0f05HNnlMYyK1YNdp3gMurKTv47CsHNXc/qRvntp/2LrkYsbdK/e +VqNEPvnkxZMPCWy0ubOTSWYr8bgYhh3ByUU7bksqs8GEPR4TxW2IogLmxJJL1SwNRI1U0CJx95b2 +YWFzA7BKIDTk6KCiUnnomQXN3FrunGNGJFlhblx3bdKwpftOIM4Qg4U8ILMzCrCt6ufX+3Z35bax +rUOeZkVKImlrdcduAt+DXKjvZqlf8ulphOlPWtnH62+ZQAVKRm0FSUOorttzbgtHoWW1dDstUiLA +CXf+H/rc/VUoO3yzE7nT6K4P80N1kvF+crwsm3UnhvzY7qMwVNff9hDCI7uJiXk/Az7USaYYtxbn +PiJh+gojsoWdp+K8TZOPomf2Pds3uDhIsGnqONyPNEdlHTouVkH7t1Z2drhQ0YUYvL+Hh8Ota3Kz +mhOueReK+LM5WW5q8ZqD9qefFR0j1+farJRsEt0o/WrUZKoMtBNj92tVo/JR/kQxXP1dXbyAPgP9 +y2BN2ZovHbLn4+UtHY+fZhplCqwlEySJRp4Qx4o+pB+ZASx5Wq8QJXxbPWJCB7ZA9nqjN5hXwbuB +BEnCuXRhEVOso1PilrmfkUxn+TTlWK8fk+8S6ak543IwQUs1EjUSSquKrTsCLVbDgJCsin58w+iq +mUCtGP/2Fo7IuPbzxay3zDErmHnFtwOtp1WSwhYNd6LakUarsPi659OCdqnTpW74b8uFSHMdmKjS +/G6783HbobIEaDtQ/jgtRg18IkpJAjSvQLv8kSPkdSbyi6nJ0kHSZqjAcAoDtwDIXcM3yjw/w73I +ZjmUogOH6FdZjEB9yPxu+BZxq+dQnt8Fb/qUFbHFZL7HFUm2moe8KQCetu/OJwbJXRN07gLv8/Zd +3a4DwKOGvcSLwZkMJZGrIqh5dw8vR8Z6MqUxykpiXKjdF9SjCkDSE/hFUJx2ivuX4IkYoHeUbGh9 +Smta4vjzWloYD3BLyqlPBYIb8OCW34JV2akkmWQ75OHe3cXXXy6SAHhZkj5YzJpLKZQ2rGuDf1Nz +R04T4hkl0ORfUL3Rw5ODq6uX9QfVtPYL6Ctc7RlSlphhxp8u7FcSgVnlJnPbpF9/Smo32g2K2Sm0 +9OMtU3jaZTQZH9/CJLtjLBIE13BLWvIHRQ3XSQAOk0/vV4Vy6aCW+juQQ4BwnoPmx0ebkXN8Bzg0 +Z/4n4TyqTdlIppBdzH4MC+r+YR8tH8XEL96FwN9sdWOLnRA5hK28BPpPIfxESCIyRWVxy08RhJsH +OxNhWrcgwKYPxoOce3N3SSOIM2yPSlxVKZCg5K5SbylHSJeME9pycNg/F8BwjC0Vp6X9hEkfpy9f +7aj3D3KvH8Zc9Repcnu1lrBu7hosjkK3rIIAb9exDiKOQFKabccorQpy9Y1dJ2SKZwZfRHV3sr9T +LtS1ZV2qAbgyiFZ/IIsDxr8f7YQEMUoUcbuUnXj3SRy4+ZQWecCk48Ur+9M9Eve5kWP7azTf1yUk +XN+Wd2Qba43ghnnPerutsS5q/MZ2s/O8VxZZlr6Y16Q3Y/6xzgpgbMbAQm2nx3fl9IOgdPHR1UHf +d3TXijleGy3bVNIxl0LZS2N21/9bJEVqUeVloqQM8odnWV5tYBmQTg/igA5VsEsf5eKq9M8TeNjj +dWRpHtlXJHGecD9lVfMdH3U3cqDCrU1iVDce3I8rfjzUvU9svuIJm9S9T+OifGgs7HIXGfHOWjFt +bby+bCIBGeZK6iTunGUcZCVdRNMTEJABDkZJqLF0EOkQ9fQaOblmZyFYrM/FbzERas79twsdS9Z1 +7gDTS6M0tQq6rOD09vYmuSO2SVxf4OR3OqQHHTma5rOKo3wxSP4h53LO3x5ZOJS5TGlgcOI5MUX9 +ATY3pbllUa0CshhCeaP2uocVZyV1Qn7TvdyD9AbD8Uf5lq3OAX120TagopIDKtBhmP7xAFkRwEAU +XfNvrhwchZFxGlNbZAm2PxhzWUxAq5ZXVAHcYOUpjlWzfWyndci27oj9DxPReON+iFIp6pPJ3jvA +amAZ5zytBSbpbHc1lnu8uiN953ERX/jb8k8mVqTepIbTneGN4qLyQSKamHULvXdaG3AfrvFFFaLy +wzEDZT+fSZL66WVExQmEzuRGPQLGrZcwFUFCSgnS0Yi0FBujB7n8nMY03fs2nuAG0umZe+BCDO14 +MC7qNsq+/u5qgnVe1Fw//iaWeb0YAEbgWsXQyedY8bwfjrw2qGeWZZzXnq/VbnQ6EfaLONKc57zq +iN/UySMlXCCBcPDA1aWUMMxz2ftWQM3hZZwLej+m6QfkVPoDk9uDK9KptgDotu2pz3vgJnxjtrne +naGLrWkC7xcrV0FosdJoyJNtF17TFdTSYYQcudw/rmvYf6vXPD6fOUPEPtqP/b3ep5bF6jedH0TC +3qGJPEKGYtmcwFcDla2YVFuxyZRX92kpgn1TokadMq2TzFguYtoGrSG/REIdocHy09MeStZQjtIW +z4pLHGe4PCjvKilDsF6V2tDDiOV4mXq/JNuPI7e/rNmTcMrw1AXVxE8YXh5U1qHprtHz19OgdSxe +EMiwDXtfKSbsXKnL8SMwS2CB8FXUyxKR89PmMFJ3IPyPxiBRpxtPURE7gJ1GJwJuZZv4QltkJnla +iMbj1mDkVBh23DfQP2cmIM2v4j+pYtunnsejeXCYDNepg5XVXEKEeV07/YfuFiP3x1mCPjXkwOV7 +j3kwGEkTdehEHgGd3qzVqRhd6wkGTusyz/dVHKIc9sjUOs0YGh+I/p57NivwHh7aF9tZBJgFjnlx +l0Pmsldg2OSN9O3b/ijobHe0EgjxX6NQBdm0Ca9Jl9z7faOkxzodFjR2jyjVT4VcpziI+2O23yh4 +59IEygoNXaX5GQw/y3FrG72k2iBI4wdXvynK3YgCGGeQUtsBMDyZATNMPKder2yEkN2FLThXDfo0 +54J5qzEH52AU8D/oTDektJ5Bu29guOQb6J/DelmtLuGsqi1XvNbqlvuXfgxtvKKMt+7BYi5k252P +593fN2SITe/cQovV5RCYhfxq+/lse6WNyXyic925TmcmvN28E99pOpMN2azV5gPu+sp+/phekQRU +e0DnT6Hf0xgMnOBwHoA7xZXDk77YZXdhbN701Vihtjaimi+UTMOjWD5f3mLgS5Hv7n8vq+DsNEfX +n3XqnBzRi4XC0wGCjvw4sl+sSaA2B+lkgJvE++54AgIiyTpe6IKv3AglWbQKNPWnZXtAbFWpvHAG +4gh++Ax/icdYqFPte/A6rb96Lz8lPOKFnUos9xqFQ9Acz8mZWdxTbSJ7IG7bpC0I+rmxEZDUFpL/ +YNgyqz+3QsZGhcOqUVlKipuTatdlqW5vspd7NRNuuKSG2cfps15ZW0s+Ki7VrlbDsZk5yzW9IDyM +WWpSq+GwyDEQlkdgnmzhPzxrfpqqMKoxfqfh1E+LbgOmjiBm3nL/noXkiFPcSvj6lKKH6PaHhbPr +n520nadK4B3NVCNNZCv39cY6MhY1PawPLWC2Zdy0HnTsbivqUKK6qWTGtqOZ8weuRTQyE1V0Dqpa +b3u3BhoxanM8JBLH0KwEQgOjSvFBPrzpApwmTPptQvIsjulRo8ASOUjl7SkwcNN/23L7yUnSs0Bl +WAeXS9TrN58BXXlI3l5eLOwdZa1YsghnIH58/HT6/q7iwlkVVEDJbM8ZMF4py1/j5ZRL32Kvq4ku +CKAcXTktE2fCVK246GenCIIxZ6DXEey6H/RZDiTrWtnazhAxIpJzVrZfx6ZYwNhgF7Tb17p+tjwV +PR25QpCsx18mC3L3ocAUBiXSnuFqs0XlWobtcBG7zwA4MsqB0o8jcXAtbWWGIU28t/CxiSBGVPpo +XgqZ1VqzHNjVyNiMGaLLsfh4o71PKJ5nMhN8CODKCSNbXiFJKYDgVZ9G9xEIKU5QPv4s5OVLxuBg +kIRmSoxOlm42A+GG37Pt5jdbWgMbL0zhduWIQIKrmIBuVm0OsQJ1VV6XpvkAwvfTvHRGb4f/dlW1 +ChAn4L2eAJF3iBxxzl/5gbD02SKQ1IffFrC0oj4nAfdOYxV0Uf5Y/1MSJpAPUtDvbQX4EAECaRWO +EyRX5au1mLnW4KdnSk0m2jEiJbYmto5WW/DFTDPqJng6kBg598X85QqjJpl227DiGwusqiWmZk95 +Lsq2t2rgfe4uy4M29G0GobT7hS0v9jkobWHMGOCyoZl/QAmytYB0mTOlyu5oQ2ByLzQLryk5yNkZ +I5kkOOU2v7fnYRNWzN5xdapww7fiaAyQolRAw2ppzNADV2Id1GzDepIDU/fAPRrw8uwFNIMOwHa9 +jjN7U9IZpSv5UP02PJkQJEPMLeogulH4CH0QUC1hl1rBYSUyvRWxVrr7REsHRUqbcNWYZ/CC05om +8yR8emCHbAush5GDJCh3/SOkeDQyGR4msr9WgCKmcglSDX15kpOBPbUt/3RGHwxFTsSsD3hEyyo2 +8S8K34Q2OxZV9my9YqKkQl71zHPP7rlh/4RldnueXU6Ud9n7ZSzHndOwgIEFJuu8K+yUfXrHnV9J +n+HAM/4cRCMCzkPqb3E9J+K9iJjZDmedYMxDDEI624obos9dWshvTYot7xnQyJ0zr4q7H63z26Db +zAvAqK6F7y4/EGrM/3zxNqlbVGOIE5aNjMbjlCBYqauQhTF4DFTENI8NZWycyuNZE/fQ0e9DQNok +UXTw02DHASNC709DXn2rMsq9a+D1DncfNRDlP/erMjSJ4ALEnPHHf1fPLRgX/ehQW70m/hcrLYMs +7wogsQAQ4qZqlq85qtKaJWLfyClQZwrvYSxlTk3kWC+hQEVWiTAp0crJUbjKfbQ10Yn8i/nTNaTH +E9IWKPOIyw5n69Be49YTavT3Tm1gSgxjfdP138wV4yYvtjNe2bYtiMa2ydkF04aN49d+xpZIdlhX +8OfIkt0MO67aOwfuIQhwdl2durLmXWedl8nrVMyfnvPgYfl2HtSFdOJK0TPWntPHhbhg6lra0V/u +WNLdJZ5pSW/ruOI/iqDcsoEIEjylFVqnDTye6SiMoTOSyPQLkKKyOZRbbsG5ADhuCCeTwTYs1eYn +AjGc740Ft3LicAkTLrDbJkaoviYU5+RRLqOH8oMi0bGfoZfwKcRlbhpp3SNrEsdVbpTd3IK4wc6z +z9dsyS9Sfbjz+u2T7F0rKQ8xuCDpS+V8mafNyWInKjYIG3wnSZElb/QKlVTwcBsjPcEAAaKC4sml +gb4XoIFzMgVZZB0x3nd2Gz204cEz5RgP7kSR7KMlFzuStQ+3PhpUSsljhVDTnTPdL+D7oeYgTU/r +0qoq4Va8vE0kez1pWqdPJ8w4mHYlf3gLKaMn1dieCJ3ugv7D6y8trC8/yIZtjduVMCtXvyH+E22L +Iz2Oavm6thy+ElVEpWdgXKft8ZfGf2UahEh09AmdGpB/Eu60o1sH6LqK/RjJBflIqpYvhcwhQ2Je +OW9V4cG5qY4CSEfncCxD7jM0KfNDzwdSxgELOYulU+3+u2mIyn2rcmiR/RGmbsY7V0Nyc6ol+lt1 +DelbsMY01XifMW6NX+u/SjcRIVDbycUTyVbJbV/1nO+BQ2hzDo4RCMuECw0H51w4beuzf9X/4pjC +jsvH/niYkrKLrGqUW7XOwsW8Kq3waWGCGWbo3HT2vGEACIHbPID27BzF3/Elhu1ncqQCP8Ct09J5 +AFU8+zaP8Pec2GJy0LAstuxXW/LAqeNRuimb4hdr/XiVnwI+vFZEFYZIO4/f6A8HYd4yf6dkhFnD +Q+Yaz4sskBy3ctDtia2wHd3k5d98uRIze/OxBoz1AvhOIJ11wTLvWl0tWP2T3cS+rGY/3pHoZ2rV +FGxudVv8DNIZCAvIPKIvy9X4Qu8SOQXJrKik/S0uI5wmgQ5GPntfQTvY8fXFjTuUBtu9lVzuFYUy +VBmuOaH0ttpRFKL6+PiEP7aI9B6YD5F/IroZtyHFi9gFKT10pNJZzPrw4dlKwW79Nh3+097OwDIx +GBwi1Qx5sAO+zphHyCrvo2JNOMKVK7+zQTMDEfHkHcnpxuD/mryKbxYCrh95TSvUxdD3RhM4cd/q +9KJNKCLVzrMU5T0Pi21HGLfBi4OqePk03HCZIHKIxPG2NKNq96+E7E10yI+sXDwWxRYtsF/ei6wf +ikrmWoJN2QMAQFCLQJau16nFd3gVELD/FrovUAihePYqWsctnhozYuyCaWIjbD3U/SnnqjWbU0Na +JXeBVXxOXCax+h22QImYmRs9fc++ox+efjxGmp2eH+LYN2m5+0vFRyr8oKw+Gx6qf56eXKqAUWHf +f3MWXRdKIC4J41RR+q6QuzwLKtIDOHfhl72x8mrphOWM+MdLWvMNQN4WD+xORAIRQodbosTMKEmu +RkAsWaeaDAER8dUFY8OWv85thnSTBxyV4Paw38IO3HI8ATRUucZo7BPwRsMr/E/7Cz84CD1V/veO +ElsHa/fX+GXr3XuivAgJnWYwIRwAdkbEjQG/rv24103ImQNfxnaMFN/q2E/L7KxQKbPT3EVNek20 +fGyhQMulLa3lRejWELY7hkX7GU9wCQP9J24f5eUhDK3lAl7DOj8yNP9LqVKzyxlJ8YnyRhfLRWJW +tpeOCnqe9g6CTUbkJx3ZQq4zn/XBnNJ9E2rDUWBgdTqH0WoNllHCqsMQP2zF15SC2YkZ35ZrD9Lq +vVBm7kqdncJm0RdJnbswA/shXm80QE1iyJvjNOnL2Gq8v/J8Nw+Mt4byOTJskAEp2Vu2Wer2KIeN +4n+90RLo1OjM7pdJZu/Yf8Ubd4YmpQv2z9p/affZk0WVSExOvJOsuYve5KZRO/fPSkvFEsZlhtRb +4T+gdlZ+UglyV7e2YAMPPVWbbB7BVa5n7HVv5qM4rAvvVc8gj91EZylfg5SDBqpYc21e165nLUzA +gq7a1mbRmg2J8Rk38ROOBRZ3Rkie3yD4yMW6rkpalGrDTRvjrmZZT/QJ27aENFOh2auHsb+zyI6L +ov3TZ94vmx/AX41P8GVmDVsX8nCkAV6SRFiCdp1WcZT1jKGvVaVVzpqoxkO3/bxf/FSR30VJQGw/ +so+7JnGIOh3yRKB45Tuj8uAxl43YvrjzAKnee+oVOSlpkcVzDAdzDJIOG5f3q5bjGFLbkj2hvEGE +0xOmGvEcS4zF1EyHvc+emb+cDBg99Sd1rUo4XrSqgV74Kd8CAxX9nOOdVD+1Crjr01vinpQVLZZ9 +RwuhaTBXcLlQawaZ/AdtGMtGHturObtAnh9e9b1wIA8lQPcyuRk2sVYz1ZoaQ+hPwPtvWdBqFW9b +nmfaMru1UhIkNRJmy+ROR2KJSv0l5fJ73vAo9fVPXzC/Eke32V6qI8aISN9nxQIZOdFg0wdL7EwH +eVOrxPAMT6ixPKyvNiPvQiy/YBg9JzekL1/xouWGhTa0VCC41xoMpKggwkjYiD/RiME038cynIDi +3xSyCOdm3TuJXUvh4MNTk9+GL/RPgJ7i9cieKTirKCVR8aow3NymlgJ7kdxQSXm+IBSe8ZVldk1U +QMM9AQb1iWGdwlnfI26eqERF/OOZ8VHR2RG/3eIyKBzU+ZJYd2i6Swa3cVRPqzojh6i071VdpX+w +5MNA/rc9q8yD7smLttHD1asO39jO3JdESPB0ZBwJHCYcxn7lvhBKFScO2tHchP7rM3TOQp4OxoXw +y0+MvbXuj3XZwSwvHUxGDKyEz6uDqzyOgGkVgF9hu2silh+GnNDuhhGTDqO73a96KRhn0EjBLVfn +6lbbfHkEUaAlXGxKtNUUgmtiXRjRnnUe6HMD89tX7Rl/DNjJkp1dL/gB5J6rNftshNYzjBnYB3nh +sYzHUKrsYGjL/TiFofE1v2Bvt4HMzPTbZNE99ffIsvR1e3uHvk3WQc+n5ExXsd5MAun/Eq/IIc6G +zV9EEUoH6Y810uV/5i35XFRfJiRhwPF4xVDwVFz8l2KWgA7LJ88wvvYB2RH/VI8OxPqoDe7LVL5o +UrRU0ksLhHzZx0IMnKmckxeOGjP1dLlt3tOMQ0fm9paAl8meEE9maup7Wnwx+ScaxeWw8jKs+uUr +19AaL0hKPR9YMttG3El/tA0ElowIIPSLXUVVWGH7vZQoWafqsBszDbUuEwvgsZxvoMsgeL7Cgyx2 +m+i1OCxj6euDjCkfwABs9nvo106tk05zgYlH54cyR9IcNFRpPBb8brHYFs7ygSs5SfsZ8aoqrkPc +bKJnv/4agnGHfF1gyemneUtzk9TEupvtMUIZQCm+ZEKLfyMt5DM6WY4EnZqiTfVqFHjrkA9gRvo1 +MsrLXT4Z9I9RI0+1KFeVEMvnqnDUedPciw0N3vImNiWQ9zH6ftecP0kEpR+p+VDkWshTAJorl3NO +8KnDfwAOWpjYAn1zQViSuoF54ARXkJDkko7RsOC9RYzNtJqwGb2Uj01XQvsvU3rrkF0QYKlGwIrP +wGGBoABU0PMzEPH5qu7Qaf0iCrGvBu1fmmc7loQQ2RV1o/RssO04fFHgfh7hXu0XS4T9gIHBmDhS +U+QCUeO3CEIRsuXXdX9YS3hm/gpwOKodCg8kYvEY1Dacgk06xB/u8W99ZpIxj5ovIdzbk+nVinWI +WYZdO3GkiMRZqyD+zxgq5KzeyeHSEWR28CckRXYowDAZYwE9e5gTu0dSApfijtraDr16jD7wucs0 +YlOjIszREqqMaxyP/RK9UPyHXGOkpcsYkJmfzM0SsIDbpDmTHGZ2O1tCIHUUUfOSWqXJHkhSw1/Y +iVGLGTvL3p+b6PeM90QUD5VKxVWjbKydUB8n8JzGUjPBbFBE+vz7M/XZ/CgY9dfWU2M3rVhSGrMH +ngvLaR/j58Ok4VjK2S1GNJfiV7W5dgS5EJ3Tvr/fwtcxO/XGNJRElcRIGHFAjub69Ca/+3gqA9WT +CkFh4u0uLH7+7kfYOSWmpuktYMyOKFbP54wZ4NrB0Tvt1PSUtFlbpPRbLek+NbGC4PKr18BvdpCh +hympRpCMRSOyrN3GJdTIDllvjnDAl3UGZX2vlO0e7MsuUzt2m9+RUIi2HKmCVwyGUIFk2h+9p6cI +pDTqaY9HWx4LmLhv8wdEzgzlScQia4FIPfrAco84tOPtSgZy4pNa+nDmatiAhpgk8mDUmUn0iTib +Lh8lGNcecIOsL22WZJyTVjOUCjXiEiKyJnA9qr08gNjENKFGPvJoy+F/thepnfMwPzO6t/n0WxNi +WOzGMH5QZrQTxgACDmLCXAWWZKz4u78B9NWsnxC828wHIm8xTFEcCUZWPHHpzSLm8gfOEy1kz1hR +cwlAqlK5wG2yljxipvYJbobKel9sxpE/Y+/l+LBTZ/u1llqfkyT0dFmy4GecgPwc4N/2u7SLbdIo +L3hCxyhYoi8hs94YczzdlBT6SPKF13zKh7+97FLVsGV09cB5aZnbwpp8bAj7Ia4mzkXmsKP/PDlO +UgvoiIBGMsgquRSzOoQUDPoFp94ZH9MWqL8n/n1h9Nnl/8x+7EQcGX+3Pdjz/JmpsAfvOn7qLu+s +A56Z4EKLfG5bYDSNzpE9QLFV8OH9LtPzo5CEOo6aAURjmqCRoC43EBG44dR3Pa+w++4BysoRfpeZ +PplLGBA9bcsvzu+w5Xak6JQLaul+YujXl9G/UFuOL8OFu3qm2az6N1p4ampEeZQyzkhVhWLWNxtl +q2xCYMg/4/zRS9IPm3r2GWwIlnabdPa3JAaa+9VJN0hww9K0Mg7TCUbheb2Dnhmt/a+3+9aU4Nwr +gpBb8e5KnI3PGIx4XwZ1dJ0jT2jMq9iTNHuQ8Onb+hP3fEn2JoQZfEOUxJ+jNbMmVJEj4u6MTr5l +sDkPaC6adZr0uiJzLgabVNaq9ai8pF2kjnoS5Edpet21+XM6QIhjo7HYYHYO+GJLMhkC79CwuQG7 +M7aSBcXlfPHWQVWx237weGaxu5EuHZ/iLeZ9ddG9gQ+grReP0QZQ8bimL74Th4majM/iqG8of/0O +9i2ec78X23Sv7XjkjdmtBnMw5uqeDoBR2FPasA3N/oY03FUaTWpOuuLBQm1MY3rcd8xPV2JT87ES +Bzy4MRfGSVSLegs2HcROCrUvKNKrNeD3M1ysigwIT2T989GXsMh9/5a2ht9ASOnELKSdbxBlcc6i +cV2rPulZYtGeimTKrdBARyyJLq3WyO4khP3DUhuYuvloHRTx96v1wWcQyvNCMPIh40oacy36sCRG +omixLId7OMufjb+DlacVJJFuC10Ji0HR80RqfsCgU4Tx8xW8qExXO6tHCe87YOsgGULWgEn5BZL1 +CsfGXzNZt67EDW2V57pCNeAbi9Zh1IrhU8qp4otjMeBi8AknlqqIt0qx/4GT0bcizoQJTqBt9bQ2 +fgwYvMqmumVgrTdm6+uVrx1w3TlobEAkvC41gZgvbpwDgJWqJ4Y+5hOSqYgNkWBhd45znNvugbx0 +FWTJVWW2m3IvJeT9Vfpu9G2vz7OIPczFBheSWnhrCxG/i8KlMUVm/7372nhmPzIzO2OT5ONHEwb9 +8W6duMs6VeQGxNkKKI7qLepE1ovbdv3UyHGpfys7NqF9WFQ5mgQYBeyib/U8oyqeNMkmvCsgNfio +iGSrxOQONgIxPRLOZ58L8zoPFr29+A46SnyWcKeQW0P9a/6HFUK1hD8apS4ExKSfVGVbj0dZZbed +7dbn+7mSwd1SY93drul/bLh53D/ySwZjUM0qCkSO6Aj7TU8K946I7zrazznx/UeTuLKeCrl21Yzl +4qRosyqUEfemyYzt0f/Fam75vDt8cXLEz2/+RLIJR19Hd9ewqd1z1jbMQ19PXjqbeqflQLuQUK1N +nT5pi8eVQkKpV08KZTsSXVvbCXHigce1877T1IX6kUnjeqY+kQmd74ilW7/w4izIXC0dB2wQYZ4y +3Xa3Wv5HYDVLD792935pqyanX5XSjcDjRY8J8HZorOmunQyCSz2uqoWbHVc3SSkKb648TjdYQAJy +cQPL7lIil2PE8B/aFKE5A2ju2ZztaKZil2ulUZT1C4d6MtLuu28i3gmQkCkmkwzT6JRVTjgeMCu4 +PyEO/sVSEC5zmMz4GioQqBIHtP4Huzq7dOg8CIU/jsDZxG5RixjHFqydOx7DBaTGXr9z5xeVg6LU +bQ42n7i20/vtg2lvv8HFsJbVXU0KSPdYEptuz01kg4yb1MfsVfalFb7P8MNbTLzFT33gRY+1yChb +0ztVILTZOE/WhxSosES0JIoO5xpBD3yIcBrW0HCefE33H5AYlVemwpWhI9J3phYG4iKQGSKUlxq4 +FlSlf6Cn/AAK8Pb1Ox7MBYCmW53AnEwwKvcRosyHRSdDeC9XA7Xbeiu2PwPn4sKQLQlf1UgRRfkf +9eRY/LIJSWc7tpHxCcDTmQ2v9zdEmgUvBj/84QD6L1oeAi3iNnuu1QVgxW2KBTB3d+uHuyzdSLct +Pr4D6INi8d0c2/5OQXh96viSqCH0N/kaRrjOXwUW+cNiU4YOGpHSj2Tec/iGKqjkMtHLteBg+1t8 +lHyF1JPlv31MAw/j2CGFLrEXZyaZ0TuGR9In17jc0CjQaWmiSl0Kgge4WE0h4lzbjMWFaYgLeCUc +qGRkfTcbDKPv2rxhGb7qYjbs58TGt7shCD6r3OSp+5CeEISwjSrKInQJJRJRWoXUo9cnwFK2SXrd +LHGvLbDySs4LOCPpCqO6IrbjPtXI1u8LDSWFMiK/zNf12OIqlwpqUYT0k9Rd2TFwR7k+P3D1YQy6 +vNQI/xftA4PzzsA+4Bfe4/niOb9MO8jB0MnUfune3I+tRmZYyM/Vu1LweHcBzzm6CklmykuANYZQ +vyGAeqkb48gb7jiylt3srYIxCO6AagakGhkPN5+Nd6NZFkc+wTrJybLhFVGtxnHTwyXR+YMSra3J +QwIuvj3N+kIgHbHca/wTJrHQ9Ke9/IBqMYHPIfjUCNBVN1kkafv20mZmsf5wueuGvWMAc0LQjG0U +vgmCIM5qf/CPMCMocIVF9QKSWPf9xn2jmnEvHmct8ox3W1XltzWMOAL1WSvgNH+YybSzyHZrfOWm +15zWfOpzV7Hq4JSb958VRDMJpfVutCSSH2UWBaKpE/ZBLfWdHmBMVy2eJg23vxKmxHXaiqh1nhtB +Cwm9nBf61ZVAI6fbbU7rbmKOVJ20ZBH5UC2J5F37Mwlz6mD/2BDSudpoNmiXBzwxC9CS86WBG4G9 +Tw52KbRh2dA6I2jEjcthb61Ac6lCvp5MZrkwFboMQypWEDvsO7AHPPaNLzcqpC6RhwZ6yK+O4Awi +cyz0Yst9g/6Aw9jzy5agfmPe0eFpAtH34kdFYLJcqv8sKZBAJ0QDH1X5bJY6m0uhxaRfsXEcFAAB +vof6is113ygVGOMnERBHS9Erd2qRMb1fhxuGQyzFiknLswICH479+vYwhQLmN+KO10zCE8xpim9v +LhrtzWtk8gVoY2aUOjRGayjskSY62GSxjyGyreRmuvBHef9+1hA18dvLE/rlZb0eXF6HsDEf1MKu +SRkxvB6UJPeoJ7BaFIfyHQqzl8O1YyLMLDqFKaBmiTb8RBvYOx/uMn/tnywFyNNpVFqodyGd87zJ +wK7jK4lavzC/XmVakyeNDO9mRXT/GH9N4NNctGGQVIav+XNBnt9yGp/Tw0UgDeXbuHAfQ75lx3Dl +jYlQQqjkghEpGIEm5eROAbfGc7/OPlrbAkKf+0ZEcK8yTzWeXq+3+EyJSR4VGrO5RvmPp5Mv6QjK +F6z4NeODwebS3UeHfDg6cIFy5hD8Ze3ZtYch/xyqgBDzqU0fqyvr6fD+unuS4xnTNW7EWBENtIxJ +ddVoSh0m+uAcZRFCh3h8fPTpZOAphCRW1/kK/TSzo9kelS1lmYIpp55/fBeQrvvjo1p36N4m78f4 +mJi06wfNuh2TQq4rg4drtuTwaQtD6vQYC3VQyWt8xXHuHnsoRDlujBSrKHsRZ+EA9iFPEfS33CKF +9TNGozUQAFloqIXIjW/ZNb5AHIkUz2JGbk6W64xujpnhBsWnGc0t4Qmq4xwPsXnqnWsuK2hPoMtD +TWMx7UDKQB7EA/KFiCoRsyxYuSdTXDgkshN2sA24cn2R3MCwej73Kg/U/LOIe2/p2Kf53C0GGBeV +gy2Hmq9S0WVkDVzJZQQhw3+7YqmqPJ6X6Aff7G4piPq+jJo1W2XESP4gELTASQffdDAOH446qbvj +69i05JzY1S10Io+kyVj0O9eMMCJVV7faPD7ps5c76s5w+a9d9efDIUk5N34W6MgO0kJg573Al+Fl +XdAiX+s7J3fcB1E4jsXqabcpQmqaSQ8459OSRbELjlQTgMILh9hPiHZP43H+W1S41pju6L7mbScj +Eyx+kSOmVrzIosLpZZcs3XR5Hymmi8Bh2tMLZ3rH2hL6YgpIlEtzcfWqmq+c2DMGACPXm3DrD7DI +secjdk2m4f0dJDCRLYwjGy1Sz0f/KPi2K+X3AmCZLGRejyqGFaRDBTBTMopM7ccinqYE7JONmAm/ +NjYzfmYDFL/jRSUr+fL+vM4FlwVR6iHnvECw7+WYw2iEa1iDVi1R+Xdp60ATauYqB6FaSPrP5tdx +GduFfwFSGKpDr4kjXw3IpHt4WrScrAKIpsRDGKW4L6EvlxFd8Kgp9IFljrtQvlMFW0zD37sHx+Lm +7kgOFWnCf0Bus/HJguNhMNllBsykgenOc4ORFh/C0S8zEv270H2EvExNK3DjwjNwEVkOZEJznFYI +iVzy2ZOS5KUcH2tICQn1ZKX6ygAEyh1+pukL1vS04TkzXiwbu/ZhSoDosxbZ8ni9t1zqTwcX4yoi +KO56a49woVkklP45Vc3or3hd0JxaJnesOARe3xkoZuoFKfyknpRUj+6ZmDMgS/eQsF3+d4LtIUZ9 +2i0J80mt+ahSUpsUAXcBSPh6mx2Rin0pnwmvGtNfSy+3lQQz9k3+gZwl7ebIdf8bWR2apDOuOVlu +ZXP3eY/W9HG80MSxoTu24pTGDWrK7l6ioCQmZM4C0XVf6L62etVz1BYPyDBqCwksjJhxlrpdcxIo +6aFKV8U+q/Z+4a6t+upomb6Zu5WV1u24gma/7Rql3H4Wv4zkRDxCky/LWuihDDrloSe7A+7Ot4sG +vMVhoMGm6VBVBhl7ZwELrxkexysu5x5x3yk/Wmebh3+ib+EOCzfjZWc9xIrEPBy2gDPWfZvWxvG1 +UxXbFQRtEiwYV+Sgk54NzO7EY0CKNzfcEWClSuAYv8hT2RhC6e6OczhiFzUzunqQg8lCL3MbfKIw +odGMKoTh4k4WvSAwVZM1ddZ2m7+P3fXn1dXW+b5kmhDlXPNtbQdtcefZWhXLGjRbtPy0sVbKS2d5 +BAtDCxblFa0topKCnacUinPkoXOGnTOz/SXypntHMXFCZ88Uu2s3AgDe8K9F/0xYW1wsPGjNzVhQ +CBP2aPxH5JsnWywyh/6KHcI0z+WYvLjGeh4LsgNaJPcahcGPkqAgvB6AENKNNeQoTGgFxOcSWBQk +FAMB9OKouS/FIVhX5Bcdra2cR6Mfk3br1svVeg5lF4qPISGIzQGFto5iNf/TtVNgcceKGsXvKrIZ +0A4dRb9uQ5hqL+bSoH125TrKLCt8jdniKzefAInCh0ts7tDNinfKFUdHF0PWvEbetj/TOjtd+HuK +52pcJfwikWqPdm+VH5vPoxMODXFZGcNcOrymwGJwm04FWjueLDzcp04k3eGgR4Cy1L9sw0M/EiNN +Na7iK1ZaQa54T/S3OYbNcMWzTczRtFQhsM9r2GSrQ2wk3h6ZdGhp9EHWEJnlYPOWquYidj66cpMY +TqfYXFAeoldj+pibWYPMZXYJcGAyZXOZ6YoXVvVhDMEwIYJ0euSUPeyRrVVjMcT/WelaNgrDs67c +ZvF0s/wnm6Br9pBXNke/h3CaXl6HRwlgHUdXFshggVZfRleM9fQwkhmGgn7FnFlzuGapX2L2TUq7 +QdANApGbc3Mu3BWhSKQOJaMvpQO1OuRjmAWB86nrfL3GF/fzPV5G2s+3xklwp0Q7HL6SqKZcoGGr +I+oqKmFLV2KtAc+YQQlXyjFtU8eSZf4euK3E917WVPDTSe1bPgIvqwdBtUfLCXzayB8Te4wH98yH +P9Ep35X27w6S8Uk05npyihr/voLiI7ydgvxyS3vcY9BK+U1qXGueSkSIr0YeyOfhp9jKX6ZdrWjp +gred0v6E1dO5OqOGatnrNmEkbsbHMYYg97sapEGd1M5wxbF6fp8D+4LaeyQp1KkNdzgr/+57r939 +3zDI7aWeEDbyvFL3WUnUeYV/n+vQ+fKCxTRH14uoNr3yb9NbSdb7qlVuk3K+QiGY+TXi4pak810m +1tvakdfQn+iJFUXwMYd0Ckw9znRCFr1T0GG9mh+wsV1Dx4EVeQfAmGu5wMS9iJ7u/wvk3Y+ao5Gj +7BRLnXyssxJroqftnk60nCBYabDFVDJEAGYwUsDTK3fP1tmt5s2gfqkHUrp6GWhdAAXTYdzYCJIp +0V8fB8CSqhSLmL+w2XtzI/l025alEM2jfj5mWLQLm0pyfZ6tK6s8pZr+wHA55Et+L9AxyGwHJUkk +v78ca0YAV9bqyCaQq7MRS2wH6LXWSEKPUhOKpe6hGTEubtPjk3e3JUmrV6GW+9+hxefD5NyouE80 +8ed7OjnZnleC7q7fjhRXLIc6uzo6qpniLzP/DHdsagIiVzIZdCS0xvsBLZoJI7UhGVlmf01kLihV +tQ6lRaV3+2G6n2eGPOXFdlhTclNWQ3BBQhE1YRdIBeJkqzFNDyVFowytKHWzs02kzl07pRMwOczA +FkXrlErqb048Pi7x2TqqLwWNVkQXHaXo4eF6U5PQUf9L5+5e+8qhq3Eb9AYM/pSCUC/ePRyiHCQL +/rciAI187Df3WaWHfH1MoirC0drybF2fJZVc1cFiof+41O69WYa5l5SX70cqEdSgsnfw0OCX4YUV +voHtwJZPQtzf5Ivq/hDgthcVAFA++Rhj7fqfniYl7WtHkZaWFBvQwJAo+oh+pCc14JrcjirbU7rt +4JySSbtROV6lKjfcBH+unJre7Y7KXIwXc6hUPYZ5ekxpPU4rjDM2LfUfdjeteWBli3w5FSM6Nmx+ +tZh8pffy4NcwJDDvTac5N8RivfEOp6eJW5yrunOIZYVDcWW0kem0za8G6nPZBzUiWrz3RK7WZ2Sv +7rroUwNJR9513Q47eNNoKg13iCq0VN7PPeNeUUIwOUWCt5XVIgPV+4hgYhwp2JkSVr/yUBNgaWGt +OiJjt/yYoD+UitzCWYCFE5fFjr2qnCRHjMBeqvy9BOZ+ZQxcHPqepUgbFRecGr8F45+ORwSRwJTX +iLZv9sVwO7d/FTf37UoxC26mm14JTPwGQjCvdwzsEpk0ksB91Hd+OGsgG0duaA24fEdbbLM8GBUv +rM1x/x/aKgtlJYKVvfAi2hQqMdzP6qPTmJ9m4xsju6b60uDdf3NvRMDl/1Za3Uo3TDrLatpPFccD +6r0J4Sl+xHyNZQqkl0C56jNXP7Mdd8ALp31q/p9aL7SC/x2opUqBccin8tuUyc+6+RjbX4fT+C4g +hc4hG//EwfoVGpunuxDvzcrWCUj+NChISxEfaV2TlCGmJhnzDvqtYgsl8Deu/EkxlS9rydEj7YxP +nsSmUehh/1ksbjYH+upgdmeY4RKn9+CkUQkEcb+WI5Rr1s78pA4PxYLEMxVmIKBdjwQC/RAJkUfF +J/N2FX5fYC/dQVRMqZnFClNWmYle0EksmCqmlS9pi57OCOa/vvpavXafmNJM1X1PIaeldxdFVOVT +BQu3ygvhGFbwE0pPT56cNexgEt8UUaUpMVnsRNguybPZMXjfNBZ4aU5FKA/O2egO37lxxC4VGqbI +dJ3RsPV+9F5N/YQfXVsfoNykppjFGYvqkq1gkV4s501ePIc8cwQlZNF1ZjqhHJm9S6OrYxaC1/ae +MaA5G79MTenXN6MOYYRys5J+uq1YK9OuZnvP0rVB+DqgaAKNxECKxcUKDsh4jwCB+O3ZCqPBegoC +htOwkY5mOssZBp0YhlOGW30IQXx2NuKlB/uKzIxH7AiTujpPk9XYj7PR945FCH8t1HsO5yhpfqn/ +Qrw8wgHQ0476tbVPpVEwaAuVVD0Avvx+yzGzhRAAPE6rwjy36axr94u2JeAYpaROj6fJh6L0Rxiv +9r1f0DG6k4W8eh1gb6XS7WQ0kDS4/8YxSlBzbNfudrph5U91epuIy0Zrh41BMP3tUMABw/B8KK6e +i/57b0TlhkxPn5LH9HWMRQTzlXoB4/bO1MfzPOrzODLpc18OxFAc/2RR7oICIAJU+YkVHTnNLJ9H +R2gMPTr/P44qXUPRhQ6sCEc6BMlgHhbKakNFw5JYCGxiFNpjrazLuvAoWL9cfdi67T+I0a8Cloyj +IvvPo7rGYGUf2iHVtvd842TiLNNghjp2Q54iPFOBtfihlqt/qAPve46cRQoJpY0WukwbjeZ1TMr3 ++W+zn7kP+cYKH9mCSHUVas1RTdjYkH914EflballehnSUP+RjE+PeTQAzY9uwdEigDJBLY84Xtd3 +FCX23rIcFYyLfHJToLhkaktEdDBViaXGtPZlvL3zIdP2SH/cK43oPbsv7aaW5qsqEGY9mhkOMRBP +UbfQBpo/Uc780dZXlQuc0P2Bae0w9H7T8DPkkDmeltGzVNrv/zGVYqu0gghQLQv7T+T+2Ku+Vig4 +6rGtODOptw2/I6QQXX5iiIfHPisYCVO5bE36ozXM5uMqwqahKvYoZ+o31ETnREMWCPwP78e0PUv9 +mJcRiHkWq3bywRHhbP+GQPtqFH6bEwdJ627JeRY5ob13jchDHqHwT0IftYdgJuEiBRIIX3ph2O4V +8gf1DZNvZ5RPo9Enl7dgu9/RInan6A2r++kM4U9hCeoJrpaoLMAi72M3FHu4L11TOvhRshsrg2rp +oH0j0j15i0wYlLvXYbidG/MsUz3jMI/CvD4bE9zlzvTE13IEmjGNVgrR8P07rVogjgroeBRqM+zS +ZnmPD54P6yyj+J+7ii5ZEEHz01YmYUkWZFqQy0EU0l48TTVHWBv501NY1zyd2xip7IFxroXwDxcZ +LDvRVgx+W0N/fpAuDUNsvbhXc8xhSFJQOgQrQ41IYMkcWXH1m947DbIeps/sd0mQ+06YsDFQe1+C +/JN8lisyH6oeP+hadYrL6k/i3Ga7I3zIau/Qo2hIDa+EQpWjiAs1JfixrNszMR5wo44sy99qJ+N9 +3jDgBntIONM1b/eKXgJhNPCMIxehQj1ayPUUsPpEZ8WvyhvziBtVAcNqnhKe0YXhGojfjUOidFYq +n8RZDmAsOQcOakcqki3+C1pWQkpbNzUiRtqG3R5CpcmsYS6TISmdJvByoZ76oR7wZrD0sMOtMlxm +TDlH6FMdsQzWM4R6C/u6/FL3WIpkKQjXEW1dXqxv9W1attzg9isbT1yFo6Kgywgdcy95QllSFkqn +WEC1AgXYq/Yygb2ATNANGKTwVi/Yuheg1h5aOrtTAdAfqH+Mqhgbm8VsdDdMOg1McllRnrRlw47g +71jOBJ9uoiJHzzclx/R+xIksur+ZDxc3TAA192u8GGJabN/HGwdn0YC22TJIBLQfpMHUtl+A6QRQ +iKydxXv5mxw8eCdFsEGM9SaTDy9sdcje9hjOXQNNtrV5IIhnBYlyjb4om5elB4UoPCNLJ8+GAFZh +Mi96ZH7+jJYfnRoaWZFn61sbGcQaAINf8l5wqRIq0ZyGdwGj+QUXjApkY/Mlpgi0San8VHuPbLkF +9QigrBFjd+HdUTZiPzkMxo9WX6RbZ+WDJzs90sgs360uSVXOBoimGXJXZVSHH8kSjIfBJszqO8i0 +oDiFXiK6l0h6j3s7hEfAgdZjiGMiWXOG7PUdnCJP6j89IwOGushjgJ25LE922AbPctUZsj7TJQ8k +d4kjXP6GyP+51xug1VL41/h1b5ULztO03bPfgFgXgYqxRa+1cZKvIkvBZJAZuMgu9SmM1VKmJJbj +97qUF5sWCpUZPs0ltr3K0sOZQ+NQlYOberhFD6DmKO4MF5KnnsUXBxCkkXvEGQy0tSoYXhiBhhG6 +HeppjykOAET+OANr1oVZ3Q1o3eLa+qOgW5XnCKjA4SQDO4vbjqgJVL/Irjjwg00rTUU9ZU/jxrbC +HGhgsuVkWBAH4yqD6KIRvj5lxuLlmO2ffejNeUKSua/WJ6MXxR+9+vDXE8LLVoYFrbuz6caMYMGc +n7e0lT+pM0DMphrA3OWf5OD+yYMcPKo+yeTCxMoIwBndpU+LwPcrp7Q5GXfQQsR3Si2uFMvX6UHe +tbN+0af+xyYCKR293PSY6/NUuyhfeTsqO1rhxYyvFuTWt6/qKxHJ0H+z9VgeoqzWRsuV+A+mlSCw +iWN1GcaU45pTO2Meu+QeCLZTnH+JuaoFGq2DKzGl0LuFJERl6WokSi4w6fQtczO6TJ90nhVCdqBy +WpJET0dg3kXKFXie9x2NqOpQ2eIcYgvPRX03OgzZ3yKIPzjU0ZsKwyVDWMH0iYBQxNEhuF3N9WdT +5inRgMSDyqxV4L43PRm62Dqy2jdZ2gngs6PLVTS7IRKKK2FOgHFOhG8/nSbrfAKhbZoUMJl7E+Eu +2aD1wmepB50iC42E/ec65t/Vc8TlbxQuJHJMscFO/1I4wyyppo0L56aNk/17t4yB9ewL+0eOX0TX +nSt2x+pclo4vY/eFv2wFfSFn+RbmIJRtOfOcpMW+AI6Gf1p3YYpNKA2dRGDaQLN+TTE0w4bW8EdX +EGWWuibwip8MskGkUUoySXNER9DzzGT4ieQWYvZHZM5+wcs9zGUTfeTcGxpDgzjWQdgeciRLuOic +Iz/D3dSa62nzLA4Pf5gmZqEvFJBU4ncmUElRyf/Enh34rGdgVSCf7/r90FNft1PNqgmWe2mE4jjM +QTm+BikRg1iCIHtaq2dIiNjjhQMuTNUHGpCfiFtcv0Ys5ffAa0LzBoP4m1ovoKzeMQtLbclICSu4 +7HgRtcYlQ0nfnQTjwf0OmARK6gAYkfJ6y/CxqLkc3w560aVQEd5EfbDhsDeDnfkfyS3uQ/2lXBEe +0cn2fZzXe1OZ7QdBtctDQn2xnSplWXbzcafBi5BrUArS9+Qjr7kaz+fV31aoh2w5GjIzw3kbiO56 +DMuSFqD7H7lAMOQG1DkPQ0GBWeCYPQK8TxAnGIpjr7nIDDXAZYVDfOI+3sN1GQli0ljrCuf37aro +ujavB4rL2/CNXIJf7svdaaVZH0epEKNfsuui/6SRnPRkKB5LqeHykKmgzfk8kSvm92RNcHJ7uZyP +0kxBnGW9dC/MHVb6ACx3vZzCykY/3L/bgZcXsoUjZDm+EqGP2ZvWMT927/AdOp/EHUa3xacDitjP +Qas+WGYuYyDAD8x4ENs6IeG4WsFcmXDzlIxHgoQJzl/lNh9Jm8f2vZPyumMLXsfLvZdHmM9+/jBA +f8DXap2KQHRX3t70GvIJ05eAC7f/cwwNJCBLu9rR8ytMbNHBLf/N3E7OwtccoOxsw6W6jjboaXnN ++3rghY48fmxZh3pxBk7xIuc/S/wpyX2+w55XIzOdwbukDPoFeqeYIvpvxSkNyiBC/Xfj+REuj2dr +doOPZ40YayKydyZa6FlmXjccNA0OnPNiEy0gzdxpFtu2LSG6BH6RZcY+yMsKWv4XQVPeEHShTzz1 +Oi7auMenPtBvNQ19xmCb+6qmUoPv5UdJ6UY4Z7bBdEAc0pAGdkHmp9UXhmekWBQoULbM193SAXrR +n+CVjGAQYKhcEe6WettdEmpzXUJ9isYqIREb3a9Mtz9HErU8h0HUaVdiJmWWzsrwY0vKA8AXQOPy +y1r93Ex8/VwXfUePIVGYGtlBdxT4FkIMh7KOXfauSAXe7D8DAQ8gcsdR/gG3oE7YSYgYI63xgpbH +t7Sf3wnBt5IOoUsshN6LevtQRkJiz8EYq0qNzHgVovy78gMbZrXEfQ66bTeeW6WwVzyRf/bB9Ke5 +j0FrpWhtv5x0OZX/neuflt6X9guoUZpYhf35teOIgjvZhx+EBqy8cSh1dw1u+2Zovwok0ivBpK3E +fbCcTcSuLv/mjHJjMR7ctOP/KxiUlGUspaeP5kgsSML6ZoprIr2vwo1hkNT/ppU3en/aiuy+QQXx +0SgXx6YWE+0jayBaumiCX5eCVFyp8Pl0F49y0ufgi85QPy18tW42y5QGOAnwyacgipJUf5f+L41+ +L9TdSmWgTuGO6iZr4qmekLY+SW+P9Rahg1JgExmfP5hJuYevjnKR36xHFvtFf4eo21nirWQAItC4 +huLshtbzbmdwWcAaHRIrE+4PG2BYykUgtQHgSxHDelTrH3k9z71HK7ZcnZTV1pzAa3rJy5MhgQMe +HUbrOtD9AGOpYgS3ZmQGZdUXGAD8xFA3OgtalEc76R7ed36NpjlAyWEItCvyxdf8CDLiWsCOkT1g +GP2a+eseiIXsHfzxyuNN5QCstBNINTOWXv0Fcxw+8FSbriD4s+HgzF6ENGQ4ZtTMZl2ENFTQXKNo +lZCSNZusfBL5SqXohML1W9M6UOjSdevoiv+Lcn2LA0zgI7p/SYFIHiCougyHYuZqnknvjYf1hFgs +cREnra6338Q9a/1epUBloGpnnvRnv3GJXlSobPiuwDxlndPKHabOY4pL0ffSLaOjnohcXO0a0y9Y +vuYryyJkkBCCxfgg/PNjrlNzO89kjsgyJFkxCYud0iuXaU7ggp4ur0DlN5CN8f9wgKVmAaw3nM8c +s0usfA0EhaSibwXgndqvwsoMcjO2Mv3Ll+3c/0NdcD4/U92y6Rk1VO2hN93mBibgyhiul3yJ0+bD +R3Gcqh2SHb24rKBcII8n3qRti95yzJSj0fwKY8TcHr2Ponc9mS9EpLn9pqxfs1As1bQO5LaV29F1 +kjz6gpGIS5fu6FD/yxNCpqS3Nta3AZ+Z6sMBWjKUTcAbIAZxIZ2z8EXKJq3YAA8tnMxlPSBS4zpO +8RJKYZyJOWJJHnoPfb1jbFvEkSHYy/RvFU674uakPQ7Q+7sqkxlBrLLKflyoCNHVx3X+cwAZ/nFa +Oo/WE+yaIo2BLzH7ltIoCkdXiIDy9oAaUMAfVFqfNub11joylxGEUYeU/haZXIewMpsuQAzeT0GT +z47Xq+XibKatQ6f6ifbi59PKWIB8RM14oss98E4uVZ6KLQaI7na1PYS1hC7VVlk5Q5dWfDU1VJ19 +WXjlkJLZi8uclHgDY+vr4Z7aDS0EaWrD4ohT2SMppVypYzb6sx3aa3BPq5ZYV84HGDf9lxNrj3LY +SmKJmWiVFSU2hV56VkoR7WJF/fc1dVN5xtbd5/lE/GtxnZOEIg3qW1Gn/vdwvvBh3bLY7LPvNUUA +0f49J/Foujk9oEOSttx35TZy6mi4JTdB/r3CODtTASHfc2KGV3d2SUV6dl2aVR8T7XmncELGL2Ey +sBMXcMlC+EwfEyarqN4/Ujt/vjzti8MjZLmQJdhNMimfIF0b07kPTAIzgQ/XFpsDj1RaKzx8AMIl +d3QQhjIpfGb31I+Ru+P2R2N8dWMGBfK2YiTHVlAjI+om4PVoh32A5hELCmSFQVlVTJPULY/s8spd +Wj8RAH49ZNu2zoUjYxoODjUn+7i0ewi+nWKemey7GGn5P4uS3BU2lSn0A8kHeWlUvKVGCncRe6PO +SWKfEJFuU2TCtrdEVYvfIdD/LLtx8apQShpmVnVUd41IzfQFAQwXekHRv/V0qDQtuSLetp0OiyMB +jRys4SDFPwWbUkdWklas0wzUUA8MNJ3t6Wqiuftg6kHMKNfu3KJKbpl8xfadUJyuGQ79MCs4sYG2 +w4ZsggYYCleK8w2Z/BB2k0nWS0XOfcR37AQzsPP5VoK0wB2seiWz0mNPMyWYfYldk2o618Igs/aZ +51ftI1i/Fo+9oBXR7DIg0wF76PnyWJMMbZpafjukyPGuLKjHLpJwNHkk7wl7iRejjDl9xmmDWVxu +iWCksTIhcZBljpz6N0sTqnbfVuEaxee2x1TMaBprV7Np8u+OqO3dlVP1gopmQOlYMHuRXhrSuk+L +g+8QdlyBVqqgp0qQEDbSFx05ULHojrO5NE1xoHHswca9KE6RiFmN3p/LeCxsUrYfLLrqJ8ubCndh +mVpaRXg4PREZUJgnfb/TLbOG4HFWxCKf3T/5Ed2VNLvB26F1602Oy3EKFmBkNzADqX689YWK4DM7 +wk5qVMGR/HEOr2n3/wFHxFoCIL38O4/JLUmonDEqbwOUp10dDpe3MzJuP5AmD3noT314/6h99y82 +bvbD/pzU6x0Hv3Yy+NKEUt+J2DglihB4a6uGmZXF/JHC1xZh2yqEvu6LM0Eo31CVc3oTyE26uDBJ +bwdkdO7NXFUZ+fRma5xv3cCsp80AlekT5T1EkMuYUS2VDNgk1PIeP2wLc44+nK4qA1cHFDNLlu8n +gLTJXcCs15vQZW/idOLufVnih5RJIDormsVinoi8P/Vv9pjyySq3vM1t2TxgWzeDnmb3YsUSD/v7 +FCYQBSMG7RAInIsBKYRNbmQ09Io9mVFF7s2wM4AHpxfQ2F+FPls8PTLbXIIy3toXmQ/8EuwtmZys +AFwg6KD5eZDN97n14dXbJn7sNuhxOsJad77uTEKTSIUpDgihk97ma/aWxf1haiC3MWXhhTAx8OH/ +YsaQYcfNGzLgj1+VzyD/zD9gSN4ZiMBdPm5ygjPlseLGncXl6b4Tk+7T4ENcZFZzeEvckIcPnMq5 +7gDMa7oW1DAlu7ZGhbhbslwb6XAjVhJR0wi04uuve0jVi+vXEt3thYiyVPTKiO5t0Pfl4bwaWdT5 +UnenoiGlc9z1j7HWSt89ahDI3OBarGGkZxyaTLaM+7LNk64e10yyzUi9b/ppsmEnT1dW+0GpBYSc +UErebvSs3rUpG/pBOrCwEyZGbekkTkIq5C5OlDndYV1+UjIU1TzIIddHmDezyAUU70XJeYKvcyka +arudkQaF3BmdqgDr+5N/xkf1kfe2McdVSUpX1/1LKLt663I1Ry/JbTXydWPI3QKUFgkVPFeTYYP2 +PQ9I4jiK1GRBMsa7CIZnIrBaLsuh0SsJwP/CBq51GpSeh32iM2VARNTjPtoY93VwNUiEyxPQv0rb +i1oiRybuE45dQ3TB03zI/vzI1V1SsAL6HvQWXdoY3cBC8VN2nKSmpZdITC3EZu8f7h8Xj6U30dpD +ic8k3cAcY1cH6lkpurkI71ooxYu4C76cyxFE6tZgZhOI3rY4t4lSszU/qGl/kqAl+xE88zbkUHqd +MtXt5n/LDwZ152urlziS1t2qmUdhUyBORWhkAFFtNJAE0qru1/B/cONBOsPZdLNv3J1rU63aC2Mu +qyYV2GoW3R29D3WTPHB+vNwrkejnlQh5sJnXPTxfE2WdNk98YQN12ZAvT1dUO2uoAvuK8rVCK2Ii +WqvVVq1kkHH4SoT9W06pNpg0xH5MNdyZF19wrP66MM6+iTJnJEhj7ZFToLu/W/UDPdgpbuHGyZBo +GARTKcdQFpTUZzuN048ihdCG48spomPCamOw76iXbA2tqAfY/kFNfXAlw6YZAwZk9sexr1Vc6DQN +TtePSUbuLWhje8xVF2BO14KlNwIMYo34VybwsbWbbueRWiilujk8k5P5qY0j1bMUKwxdVloqBXCH +NvKXFhsy39wl30DIIfwCcOSjxEF6keFmBEtdiDUN2pBCMzoKQxlV48XS1pBQommO2OlzmYZp8/ym +Xwi2XZ9AH+u3lGB/WynCZyoBV852V1yKL2IDA4PxQR+YBx2qxnTN4E0EnMR7kuZdw1/6xJUVejiG +fN83/8V859UVnVIDEfctpoarZgqHw9YGpY+F7m8Wo/yKPjJRPZU9TK1XKP2aevr9LsnwmiiwnYtu +BRo8B+MoQnnPP3pekPrqs8V08SlliSoHCVZtlOjQPcs7806hiu9z8sOXbM3GZjM1lo7ursNv3E3D +2sMvyq2k5nJvj+Oz/iW0IBrm12PxEtJyZs5MjgBdxzTc0ih8ucXnUic2gxXBt2jKslPH4XZroaDQ +Ky0SovMVfr2naKaTZLb57CEecqojqpR8HNS/rFeVR2lkqetpFkyGhRdCEwkYxs8xLEN6qJKOB71c +1XHd/jaDzSgFJruXR27oERugR+CbM5Qc2On1mggmgWX90fWuyaB9vkusajIVVFJndKmnTFvAXFt8 +Rr3nrxmJoF7syfg3KnHgAQpB2PfzHN00jiTvsLgcjcWJm1UnE/GYII5sv9NFjwmF6BJma1iWiV+Z +pzFTbQezPySg7x9qetcpbXdWXanOpeme+rgBbk+rmkQVzr2JBnbCOrWwESPMbiVSv5gW7df+v9YW +DR1lPQugVdMyhLr+a8Q6CnR2C39r/ZqsYiADEiLfVIY18wkG2ysqJyEcnvOf2WVeTQWVpdXhQN42 +i85ZaLJDGWDIRl8WtzxZawt/4vLNYeK37alAZabljpauSNB7sVy/+ZgheOGEuW50iLAR7d+NT5A/ +lSn8kzx+pyFLpTO2X/nwTozG6vOAzHshRP0Me3ka/huzNxvDkzDqZecKlljauvZhcKw2Imwd2wHP +EJ8pjkjuY9RyrepLMcRTHa4B5zjrZo9zKPQ8KksaYVwtOUWAIzw4FOt1IFoRpfGgAOQyFDG45QcT +wPw73CvaNyqsYQIgRb2wANoXERaARCrfORh590YeNFbHeFjL8ngEtJXSdYZykmUy/36hfOXOICBO +CFegzxZ64nsfpqRETnRJJ+ADNq9Qt84VBfclRDuHNkLAITsobB056/dkrv2qPIMZwwYXLvwU1uDY +wFUCrRvWTQL4drdjvDNKRAeCuObUycEUuaeWG7Tln5wnD6r08MXv7RbbVcYdz4UAePHZBe5q5SnT +a4FLPoUrWJnM5oPIVW5KpvVaLMc4bHSvzUo2RLvtfM/ICS/cH4uPA6ddsoqfWbsU8zmSrIikcJ7V +iaJjOkWHvuH+srXU9aOO/kKM4eIQDHjQ1WhQWgN597W+x9mUCWkxDhEOR9P1yCwWLLLr4+bQwpcn +kd5pg+xlxFjdFM5HIH2HJU1hL/eHxaGlBJjbOk7l6v9w6ZuvabfVb/NjWtg4lkxEC8Ia5IjPsDZ9 +xto0lgeKNKW2QRWb73RoUrJ9NxuX45HqX21S63X4tDSrs3aJViRO0h+vv6iYnzZR15ftRVcHDCKe +sgdoWczf6cSsk8kYQx5RCrokChJgbI8HzlLuef4CO+xi8j+YSL9aFnIUVKR4lV+U8BCLxbs2Oz9H +pn75LsdAopVxhAt/oL5PQfYdScOJs9NTCzTSKPMOmuLZNhzB8vM3ftqX1TLZPV+e6rBOVd7Ztv9S +uvYiu9IKKc7PEST1zUPGFgJjIAXBLBH79TBX4lc698x7FlopBrr91msPUqVI/Rqs5hX9WMR8gduY +SJJNf8+DjKx1LemOKC+/R2dNKewG3vNeXKazNhqtcq1hapEplP3+gAu9fyyvD54yyYI2lc66JyDG +/6zwKMWE0tJCTgtR3jUYVjTxLEjh7YETL+smH8KO7hwcQhqvU7SBxKhoMLqr6+igrBZfsSwGJ+FD +Ix1206shKtPzfcYB8BCO5jFfhosedXgq5lBQ5hWO3foGN4wLyttq+oaZ3G5u4kV82Ymt0n0YBUYH +INES3tdfjPxDY+qGm26Km5riDNwlJIrq8DShSAQEq2+h3ekUBuOxlnbK99x9rcRfwrN0yPiaO02p +2ZDauJbcgOhwFnu5LqPyZIZrMPq5CsaI5nfGu9YGiM9pEWltvU8FC9fZx7/gLJb985eOqqLKupJc +ubzZF80nV1nNqJ7RQSuKCLCl7SiIkSxgmIvqZ9YImGmtHh8M2pfDEcA8shfJUvmuGSTPoxeVtCPr +jcsxAuuxHi3NOKYamslaw/ZofQ39Np4o+5V6xu/EZXR7JvZd1eN8Hykv53Lgm76wEbvItz+bO3yj +mri3IK8l5q+AyITa96Xr13/Hdq3pMbE5KnSpynwxWE6cmNqZt2D+K6T0x8odKHE2CBHW+aFt9Bp1 +swnru9YYfOaip0E++tNpf13hBMLdthG/KtC+M1OPyfidXbVXUvP+2rFeUgVFWuo6GAcF6pdnlKOE +L9C6Ie3dIl+ZAOj5ybCJV6fCC5KsEl+eIgH1mZ5yxiiC3Zs3YvOLFHCVuEqk54yLpWofnkcZBGKB +ZXXbUKUgHmLjrzqu/2Vww9kpBE/+Hr40zXPheYSg9My/OJCWOirGn9LsKUvUqCNYz8CUaYR3ickC +Baf6LQ0hxKkFwy62fD6jgvWZahzU8UZHEr9MZp8UmC3aqmum5sxGDVoLHzaebSZwAH/kZEcxpflN +eYAqsiXk5f8hhWmdTV5FnqYCPdV7sPzoUDaRBM3vYq3KDCmP8zhPeLtwyyC+cEEEdRQ6ETwtgpVI +Q9/Iz/r6mAMnBZD9PPLdZy9EowzvJbwlHbVbgSb6e1vAv/Wlseso9COmwxMvQU4BuyFHWvlHZMdX +ofHepNf1ZgMqtjv7Rm3u4m5Va4WYQ8oXN1fn97LROjq3w2rm09YseopGZ+kzAuKzEnkBlsmw8ujC +/kzdNjT99G2CX1aIgX4TT2EE6CK1wAbTNpTydNrVBAeT8mKxytxB2qzxv6szL8r2XajNVu3lgrQA +p+xpwRn5l5bT8zgWnRjDw2h0CmsCSKbpciygcVzY9lISpQRnlIsAJf90FRkCgyAGsWHcfnHgEokK +WtHsHIC5ooNCEdCeoiqNjURxwdvJTjpuqWkCMbKNRusc7OAudZLgWdqJuJJQTX6sqtA/5By4/1qn +/N1zNFPejYmVKij4c7IDOIXQhukdJdxNfnWioZiJ08AxJmWERINSYVszd79bPNrVGBGhkJAEIzIK +8//XOc24hT6eRBzxavaxDW6XT3O0E+fndLWCf25C57aGMF+2Viny6KM6jLvwmsbWzYkjH8RjzyyK +kZ7kq6euukL/zI3y3gWjpQGC4yjQJ5o8K/t1hiUXuuZB0Jq0kX/j0pxAg4wkh7Ghr/i/4h7XtS5E +60l3N2YVGymuq4GSxYkX+QMbQ4ES7X/ueWdtsdbLXTnkcmLLYkq1CF7HXIcx1vuBFgMxcFT9edLX +qXCZY7WWs1HBVQb68RjfkpK79iAjZYocS+8d+ZXqRKyX7E6d1ZXjQFDETkw4i6L2TCFRsLRvnP+C +dyO91i+T4XB4EsBGui0oEWbUw7PgmmkOQz9ESt12/wlZcxV1szCEOgbKbqEVifCnrUiibIA3E0tX +en4thlyZKJF2L0aih4+0UuoPlbXUV+WVrauJYZpvE6EwogNS39V0+BuAh8eepZ/dtLbYaEMIixcS +QHWhzwGuZwFi3nE6duRqBwKijQqoM18X7o7RbcXlU2V88BEKAwm1Vz0m+RttJ5I+1sPi0oPiOrsE +KJaVhZbPVitAB6PD8AYh0/kUjvAtr85vV0xE95JarRahguaKAoaGA7/BwtBLHSL2EGpX2K/Cp+LI +KebTQM4HojCyp3Zen9KOMsZNrxYoZdgwYJZqwhJw4HLmTM6xnWyscn52vOmS79jSKRg8nopWO9Bj +hfVpbYuTn3ZcMy20+UZZlL5J+8VV3v8PnmSQZ5eFMsPYUYZS4mGQDFXQhqMl/sgY5m8obeL3mSoC +4Jy92kBvy4a0W2f+MlFxzQvdAm/uR1IzXG7ieJ7K9M148pkUqIRiuU+z65cQm8j/KAzcJTFdGhQS +mcLIQPVWYjI87fz3SMcpCNUI4DDOZkf6GIf8dAenNdpAVTqmniug5iCVOvFIfF8LRA4hTMVIX2zj +vZQwCX9H1vybwSxEry42FEraVlQ8cdcgyIIBkN6e+DtR6K4hy+GjDcmP972pA0S0CndeLC5jzOmf +mEFRU1bufDJCD99cVSSngwg2rIFZBexJezBWsP1A1A/H9TXGsngWVVoVVkxK39rU2EMziNoUuOQ7 +m7JVduhSqFO6GaotAz0jV/b9u1HnZ7VR1VXxKCv3qmDvzjHXymPCjwG0HiTEWXjT5Mb0oZEQQxl1 +77B574IabfwGAv3zbgtzfGumyElAio5FLpNamvWAkZC4XPcwrcEKVRSWSTbuDVA2fdQeEYyTKgUp +09eQJuoD7/eGI4dZP2+WnDVAzq+XAOONJJ+xMrjcZw5Fcx3dU+5UIRY0n49DGdzLQhpqed7QdqgA +870cGHOPQ10THaqPSOvfkimhiJWU9KFAC7zPggSPdFrTNBLyHwR09/irPA4pm570Xrb2B7N0uqRE +pOAsyTz+rW2nrU8As0mPlhwQNP/ylGaQBUgczlj/YVepO4hlRCCCm/NHhkHFWv8vLRyQROlkBj0r +pGP9ZF0gOF932JG/1NAy4ZGyu2prNvFUQU7LMhwldXNG1iU/HsuUpJVAZUVUKs+ihbp9rGN1tjFM +9+uJFBVyNgBnpXchMrwBUYKG9PFhzKXedYgQmSUaxqzrHWVtDrepEgF48bCreyeEgvoDLCve5IPW +hVS9PvhUhNXuhu/prrkUs++zZyOknPWJvcNvzCrAowCAtyIkIGUV1sKPBNv0rayfymH61xW8hDak +bb4nx8RvHoay1Sn2YJka6fi9ynM+d/+0vyJCLeLyEnixberizWZUGV8bz6GbqTKdaMl0YfWmOjT6 +oGEoVipEM/yAsu7x4PlWrgP4YEllEaNm9zs1irmjvbuf3lF+aC601u/EGQ4h6inriMU2m82YtH7P +Ant7uZriIzsv68VSOo9w37jwbb6tJwL8nnbCkPTINXlou/DKlnkGkADYgFI8x5jNhi374BvFGkkO +q3RWB8upM4H2qN9jrZ5UTh6DJg4IRB2D9K0otVG30TLU7HxeYB3vdO2AWevb4Fz3TPNaS8vmNyNn +3KwePAhSs6t1cEVcHfLh8a57zoRyQ0L2YfjyAqsgaLxmXAUTbhxH6BO+6Iuvhmd2lu/BaqElGcVE +qrDbv+6LeoXbTBS1C6TtREJk9I1NPfWBTGWpWX/kU/1keQ0HAIfpNG6hjz2ehuTGhm1R0iGVcrp7 +v48Lb8yfkgrtB8Lje8UaDikABHeFqwdu0ULi38caRY20sxL/o6iMm+u5+e+esOO0zQ3duyMZCulG +6XCUBv1AK8d9wll8RnUkiw4S28KNCzOXzK7hkYrjGvByw8rDqmgWH1dBOhGcFXK+HnHHizZlZQzB +tIH14DfWYa9keiVaeCMd94d+nSnec9PXIHdW4+ppTpoANy5GkW/QURh4OTQ7ZszfGD1UiJV+Apjy +tiL4z7A24IC1toJvBRjkHQVoOhJv2Ee+JXo4N1r2fAWWEyNlkbySFIywM7Agm5SutWQCK4zP6+Lx +6qBSPqpMkCLlqsRuZDvPZ7o+CazNULJKvWwtTY61zjDo8w84kImbG/I1GQmM8ovSCAnZrf6gmB9U +69Q6r3XRGJ1bztwE8QkU/S6DaXskHKE8iu1eR/HjiK+baGkDQPK3gKa71yebiUlzq5KfTUcb1phQ +5Z99JU4vVvvA+A5KKUoP6EFJnRgbcQ+cOXV4Us0/kwulRph+nYbz6ZuUziw6zv/851u4Jt1qCOse +2wI1gGT9RSpJWPPMEbv/qnKZ5LypkGY8LAEufSGG5YkiTsT+l89RhhIf7TCcfiW4inSuONzXvmWK +7K1WIeSIjZlm1Y4eOZYCv1QkSzMVpZtkXg89+RnTc19tL49rDrjKQqi5bjbIMcLnVjs7694gkGyD +qbKf7PzBPB8ovVZBoVYgU+vWRgw0vcwindGQ/g3K6GuQl+YuS39xhl4qSULOYMfBOaKdxe/6u2gf +bj4G7IHmA0IOwH/ULilrEPPhtG784m9z7AO604zfA4eRFiJ83taHBR1CJ87fZ99M1GMxF7E5ppNa +6JQQyCDiGIaQS2lEro0l89juwm4cxkffJ/MY3ul7pSsJN4jfRnBAY4qxX8IHB7gsNwtpfZj5lNtB +156emLAD3nmSwMis3kLqCB//RbpJMiK+Go/qtCME7baODq2Vd4rFT32PeOBI+goU9XcrtkZ23hBS +k66VIyk88GT5Nv/5TJ763rhi8Dnx3uo4ibZAdDLf5ngJqR45Z5T7+30YBhGY/ZRafcIdl9iTIGgM +uns8/6Yfe03D5E1NHbTuT/HCIAzYwRhoIZnbL+LobaDQdlyJ/j/ruGZsAEu04pcFkRQ7nMIbQHDx +FhC3QvF/946LMtVdtjy3VwTz8quZhb5rOkO3is7iw2XrNWuVEWYksfihSeDCOKNt30uRsQBdDGob +sh3hkIuQCYNaFhi3H0/IRn3q9ec0JN8W/mGUaPLfzTnqM5/0xSQ7yFw68tn8g4eA5b3R0mbl73Zf +kQbkLUhOHNNZX73+8Y/SDDw6K/2VsvUShiLvJgB+DFSuRS70VNFY9Lp4zbWxegqt8YKkMGifo8wC +mzS1/OK2NN6r32JV2D/U5GyI7DisAZ3YtK+Q0GNrMqWPC+D8OJuGYbg9Fgd7AMx5ZV9yaddh4uke +eJTKfDGZxkIMX5SmE2D5zTLjhqrmM7vFarYNx9qkdWXQAazuI+1B6oBuO8AEbdy05j1nhHlA9KJi +SOMAlQhZSdR4e2Et7oEyNb1D1oTPXzjZZfTwtI0g0X/F5V+SFhRxEI5swBP8GUqvMjo9tdkYqfRq +1or4wkTkUfn0pJ1coSFUDbxoZtHv/bLqquXIHcK8bSBfzQq2+9YXQThpfZWXkL826znf44R4edC2 +lLmtZEQsNAQmVccqihSjJ/W2u7L83GZRwv3hbBFVzhosAZ+pu3KnRMuYc+SVFNTCPKPxsqt3uw+l +7Drl/O61qogXybsCs7wLUxwfoJD/edVnrZJaMC3KTE0dhyvddVUpW5OE61nDXcRFG2889MRblafn ++Pshkhi5dt7O4OXhHOxsQvdW5tBcNcln8+im0zunPA8WtqbWj/vV6PIyR70hydoCTLIWpCFTobRE +F5r7LI3L+LdBdLQrhkO0nb+tLuQDeUV1D12TRzw9Un6tbBDXHxBuvBGYwBzIDQ6FPnu/aiVRXJUJ +b+iFP2N/RgMfSLBoYVOJppoJVsxM/5jRv55m8nQJ5ydeP/IGDyY60snygWNDqvXTBQ4HgMzu/4g8 +HpMjSI+0TteT8W9snl43xrpr7BrGZMaPFwgtF+s02srX7jMhaWqG+HxgB9F/tVOndjCltFYm2H6c +cdv8YYR6mZva8YU7IKihIObEaQz4FwaOHJnw+ps/ZZVfNJrTjKJbrzdOFHTWEvX2OvEZQJnwGvho +GgYCoZvm9Gi4eXGkVUGeY+TmFmbiPlo/IyabUXqV/TJ11Zj7yhjC336woDuv5ZMNPRxeEfopL/GE +4M9WTUMaaWdQIBHHxLzFg2aLEHZa0UjKz+k5urkb1OeovlLb/1HqP667KiMFYByd/tpIVV31Nsgw +SYP1GWgmoKB9KeclSYm4OFPkCQGMh6nn61Pg8YdW4CbqFr8Gb7pnJb+ssYqJdsRFsxCzT8qwD9E9 +HF/QdlcumUBHipYq4GdNMTkoZGS/V+3Grg+l5nGk5AP8ksScTs/QtVyQpm4408VV80VhpLRsINhE +FE0yWm4PLzVAN5RzoNy5Y5EXb2Z/03FDwiggvOL3byVsblThNR/7PPL50R8vvlmUBNGwkon1OvKX +qTyG/kSk5Wv5BPlDIrqerp3UG5PveA1LYV0FQoq7zcCQesI4POyiAEO6e8DxQ8ILd7NSa0rp1bjp +EfIbWcT+Y/L9Vo0F3fJrF7CzwUxEPCOx5t5nDcKTQldQQwZYlGfJA8ImbGPlPIeziHKIJBevZk6t +HQkCXCqNymnSM2B2xeVyrhTkC/ErMAOKRNmTV1BjvElZkF7Tc0pkzsZGMqb4dETXkY1RFsvFpiFr +lHojOGF1TIu1i4SihbJ4TYY9C/suKbsG8fopzhHV+k7pHE0w59bGEIVl9uVj0MH4uqOMoMhaCDp9 +fY9gFxGuK9u4LEn0jZxMVBzkcLAQxzuP3boxr/aROai/98Miru8InX/dI/l8wNCsSaOvibKtqm0n +vN5Ywes+z9nmHDEtS9ig1JFcaeyrbez19uLmxWs8ondLM5GA2h78vQ2yJ8zVZhzDuj7qQsKRZOXe +fCgYump7VIDi6+SQ9zG72IaWWNO9XvPr3TY0bg+BziCKRuN/fYer1OlUKqKmCC1Zt39JIG8F2enY +gYk/5rXS2+YRGWwteJ4gq9VebcXp6PMf45Jpkv5H8THdmD/jt3SkU0WFhB70ZZk7oiuv2E2+pDOz +hH+69qTJhUtcYoqLSMTyCQBfVc5GiiGzlE7Ecl28sKDyapWw2jvSHdsEldk1oZk0hc+lK6TLOtM6 +zPiWHVKGMkOBDNrzQTrGo6ic4WHJwEHTstoQAWEifky4qk8fjHxgQv19ypILzQ9fG1gsscGSgqI9 +04iLmxq3IFlHVZH4bWCKWjStRCK86Mjb4RkF/Cfv50hncTcZl+rJ/lYVcpkfkFj/C/6C3r+YZqEk +R+2xj0xPzxrOPkmV/R8G6nIVKTyn6xfYrTHxLd26yhESV8m6VbaOtQcKLvdNueVY82GAHyZDmkcf +K9hGdEihECcj5v1S1Dl5OqpgtMbj+oCaj1ueGq3mRdacT7Fkw4Rxpat2k6FOPNaBWB0C/KYayhdq +Ipbf3pHO30rxcUYA/W/IP2vsp/ooNW5rrObIY61U8QqOU1Kl47QACAXhPXneld1gEyDsVtw1csY3 +HiqZsAVpguHAlflLy3wWeHtQEFEotDd867hj7kDK9M+tc2TpQcmO6wYGVh/nXpk4LikXGjeI2epP +GWpgpyWo4K7d43I2Xc2mbH2XQnNszKHvGOlsdb4kme8nNoQuoljoAyx0ULKsyItYuz9DzSnQeb52 +kddG53Pj1w8DUUIiqEtJzEapR6ICoPWzWahG3HFVW84gS3rDZ22uwoT2lpiJmXK6cWGNxjondpeP +JBT3NmNFTVzv2E/tyEKzVbB0c8uOqe7dzv2jvlT3cfXAE9ng9qX0NO/2MEHPBqB7nDbVoHOPm+v0 +kNCnz4saLh3fiEcjnWN3u6JEhOUxCFoAyu5yb1lH4bNxScxU5j93JN3KiImwjHeiLtx+28jDOLc6 +8nAB1CuTLYlfevcCHyUH+RDgqrykOxKzrv0aGzd1rINNm59qva3jDwBPDhk+YUxznOJT6ovd7sGj +KX7a2R1JAlBYrGNI5ppm5jb0qmrwuqR/S/AUOSWubH+uONqGjzmkMxbqMnVA5IBU0PWqt+EsCZl6 +REPaTz0IVYYbtRllCnWKDi+ALO3USQT5MaiCRrVgZ42XESL40QbbPQPDZ8dj1+1nC+ZBkXUq5sJi +LKGnndz0nwWw2zhLPW2cDG6LDYcCn3Gb/kKXIoTZcaSsKfJaAaJMLWBwTtTkAS8Sk0mTKVypQu2r +2+TmjzqAtLOhz1Pj5Ltb/uSWuLRaay2A6SSdVD6jcsIsUYQP33vrncuOpuzluApnVd5KDtHh6dgp +DdaI5+Z48EkGBxdhLabPm/s3K6rECBYnJYyOhvMo/kq3SyDZmlUL8d1JDhESrYIZ96vR9h4D9W50 +MX/fHRyium/rXkakXoNcQkaonrL8lpT97dAIMDyOeJIOinhY1L3AX+p8lLladi2u/bmFVgW7WOOA +7ehtPCcy4lOzxU9FXV5PUEwrUSpiW0VbK4BVE5zan8RZ1bWybA93AYC0h/5/RuZmTmXZsrA2pgN4 +T/vfUrYhpP1JLp/9HHlJsW6BMB2n37X5fEfQAkJ5aC0gDxKh3QbhVC9WD5Kt4xaAAp6rOTrUwDYM +plORsO6nVycCnaqZChKTPmC0xj1/JBL39a2Okbb53qrX2A7KxuSsZGbW+e/70iL9tmydJPFIn5iw +b/Ndz5f0psySlIdEdnCgzE6q6BhWERt+cOi8geayz+bIJ5+Nb2ZLfmdYNnvDDHpNNAWb2Z2AeH+8 +WdzWIRfkQdOQZPZh8VkqO2jk4NQ39WzthRAWu2BHrflKLoTfREAIpeyal0Wv9xZIdMlCKnSZjYKf +/HWa6y8G+LUajbjnqkVLvSVhwHJk505uOnXLoBiOgdUmDMzS3pw/6fp5Xgs1ZnyO/IgGUW7hFa8V +fxr59Ytm+3dtv3zNwC80Sl+QdltuWU0mtVeZSELUuAgSwGbmWP5sm0E20i/JxCenydasgBqmKeea +Nh8bkFGNGDRh3T8plc7/UM/xT3UTaurI0BXTESw/XkSGQJK11MsIyKSWQUAqMkyUhy2xL3jcZcCa +h3IQNRtkX/IQwVkOynAUuWhuP31yYUA97CCZQgAvuUHuUYREtm1MNuos5FEOlkLkbatRicUwrGhA +rYrsSoOOyENDmue924zjrl6ZB/oILPxZHomqzW/Eg/xmRu74Vcy6LStsPCyG4dhGfzBjnURI5XMw +c+Tn1ILPKILpE94G1i3b7+6eWmDYDdEvZ7lzxmV1NSUhu18Ly9Fv01046EKUjIsaOSGB5eQrz2q+ +FgX4G2zv2xHk2cKTUjtCwVP7pkIJHdHnwLA0KHqNYoJez4OPfftw2i9cQx+P9KnSoCTnRBuNFMAi +TZ6rBvMJ8r+IFTKKVWKPw89Le4Eo+p0GwV8GIgxLYQXkJapb6JJLM2t4kn4+yn/PCRNQSxAQ4nX2 +eOxgwnB7sjf6HUhNYc0l7h6NXQRnEM0JYpRsz9xmZpG6UZh6eEk/zP5yYOHoe6BeqlwICt9msMqJ +2f/4A5/YU+nKatCntVJvFqxtzYfA+Dtt5uelIOF2Ir6FfrB9T2Nq3SzxcbbBZALajtnbwHGLV2fb +IeegU5N9loxlXsBMr/8H7QwsvhNVIgsSvEzdJWtAiugQWGKzAx6iHLvo8wXuxRuTlsG6UN49jIHv +m/U1qaZwkd7ovKVturFnS3JPgELUfRBINBKEbU5bgxilD8Ui2voO5EmWg9+5qmTkLkCNB1BkBe5/ +SGBJb6DsaoVjXcprqa/2IgUmZ7hI49rKG13g7uACdUTvdCYLh4wEHOoSZwqqut2CedoAqVuNY5Jn +p1Ybb0Id5UEWRADfN17Ofcd4D+/OEDnTs+TUyiNdylOYnJMBjWucJRkhaU/b24ixz51hsyphbXAO +3il/Hc1Dg1HdvcY5He0HcqJs5kH1QUMDlBQyKAz8p/Ogg+NytIbSXxkFBG0hTDcsBo9fyTVWBl9C +OTjL+RxX0DhRiDA+1HzPxzPNjVZdi5ZbY+s0x34url39MMrPEUKJY0Xe5iqp7TGJKFhm0W08jJWX +bHqgxVgrRqp8z/Yqy68h923eIjBCp9LDVB3e8Mqo6PPjFkQXUi0wO1qVAz5iVXougpwgFFOJlL6C +QixzIiB+Ggq2LsClFsSr08fkoQerzj6jdslRwchBB/SnG4OSn4FsUfeOsKWjZtd4wtaLXcj7FXIC +SFZzRySu7OAKZkTI5LO/oGvz5ayBIDOlCFk6QObFgnZwpKZrRFNTBvJmZQltK8Qs0u/qA3Q4W8cb +a7E3Zh7UncYpso3s5WS+8+dPIEOUmmRnXeiyti6A4TzurHhd86ofeOPIN1hE2vCD4YLO2mTArn91 +8FlY20nId+TU+69mkMkO8XhStiXJRKjbOOGJTNt58yUmxwUNvFxccUjBJ29QCHVqUvlDVCff/xgN +Kin2J+HsPNQxXlu+Wxa1vpJPetxZ/va/vlpDVQyob801lQYvNla96JYqTHz33qU5d6F40osJ5ELS +KPndeD2TmFZAb0Fwu6HonD/rBkXeXRQxQ9sW+FfG2eDrNMpnBUp0uaC+Z82J6UlPJMsMr3g23ys8 +NMh+xXzuz1Zf/Nl7jz8+iyrMEw9tt8sDgF7qKd2CT/IHMArpU4V6VbHUfSfkRoanBLGxJEbLAB7G +UWmQFjJeBaMfRMtM31pOXUXqcbexie+QQOc5t2aThCRVw3zb3mA5/xq71xsNbkl13FluHHzr6xfx +VO3sbjbzp99oGT6R3sNE+jHe7Jwz3iuMswR9mSc+JH/ZZHBrdGzk5lQm3uhRoBwCrJuywJ94V7/z +MMpwCjUMSxNW5lIDX+B+ROHpFAK+55M7PPGXeuw/8YWYDDggKeg26/yyo/DW5aCy+tGDfBO7we+A +gqVjIfIG68fJmQZDCp69csQDhrnmaHI4H0Jcl2UxIUOlfcn9GGQ2SIDaoIiR6FeV4pvzMmYRXOci +VbiFqjwZNXmx6zOx2ujk6Ml2LfRIQdPJzgaJ24VX73vFGFIXnDq+4ocaXsFKc6LMgS1WLBr8l2YF +qAgo+h3tprEXfd8+P/p5NJfFYtZO3yI/8JLRifrdnTGxoyQeab+ObVlWfVbbV+OcCSG0NzgDILv7 +FD/HixbmBCmczRWtr5W+ihoU4zfNplRUmX6HSqXDEs+4E3SdPgm50c2iW2T+1VLiAksE8iK4+J93 +hawr5A1bUWM0Nma4TVc4qjoI+0+ATyLVlSAxiYeLag3AFry2h876J+oj0qqvmjTU6FmyTk87tGY1 +I2nouDN+2J4SS41LLeOaQpSXFlan7uHwYMcK0xybAUXJUBydAX6i4OPx1dxGlZqyd7GO69uGeBGm +WyaFyr+SdpsRorBzvPGzxNwYJ8Ra5jq3x3E4j5JqHf6k22uD3xoSdND4u31or7/kjH7OLSqjsS7b +mL9a0OTv3q5BqhJC8v+fxlLeIWKh9+Tg3VdwsCK4M/GKdoHap2uxg2xuTREGWC4pQ2XXMcVJmBCX +j65y1KpCptNZBVw6SX1lr+lSeZImnM/o1Az1CiSAaCpen2ozvhsaZ/MOY0WDPu59n7u9IsXxIy1g +WNVH9iI5ku1YFDDI2k2nBYvv+4h/7nkdiSUP6AHT2zFCVD7i8IZVU+Zhnlk+elRGjYBFBhFt8bNO ++SJKxNH4mUtRvzvKTJGb01kjaw7jIZxZfoZrkhpYehK19Qm0d51ZrMe3enCPHLEiiFziOjUWpBf4 +CtP3hwGjLKv5smP7U/31VZ0oTHOAHHnn+MC2jG1yTiDh9O8DCwQgeoZ0LL5Ax1HJVlf70IqCGLAm +GwuJepIMKEXAhkP0ZD1usfzjJ7ADiZw9XXZuFTf06LKS6tv3vxjKhvw3HoQSJ7XKw6Ewdr+RVzKX +dPbFEMc0kXySmj6uf6xi+3v86oYV1D3K1DoD2k+DIj6v3H1Jv7UpCDcaJza0MBwhE31+X4BeSOWk +qgtxwz8KV14QzlqcQfkcGOs3usxvsk+7siUKVyckxpyeKe1dnwBUA3uV7X1JSWdGhB+WEaQQlCsE +oAfbLxLvDIHxPvB7OHt25d02ak0uTpFaYVq6Gj0PQaqEl92XGJghzTC1rpKIgQuwvI9RDr8s9BT8 +mz1UGaOtwE0PFpVrTv/SDbtNVU+/1wLjZyf0j8TxyurGiJx/hVdNF4ClKJTfpRPwtPVdcnuAxE1r +Dp+SeQEe4yas9zJCq9f73+jpcDT7f8oaCQrvysdR2B5SOBR5EDK2EEwBCwHj7o41xcQF292hqTjs +VX53+zK4WlUVqJz3r7iXBrjIAGR73JjovA0LrUgBoKsUdFWd8zKPNEYeZ0hAJCFa1K41PFp5TNrJ +BcZS4+KgJNlTySgLrJJerMSW3VTOTMcOW9Mp56zYd7fN38bN/LBh1ylQZUUWKiBRlncK6DNnzTxW +He+qNmLO3mSt9GpN0WsAWMc5HxZqPAcUQSTZAffOKqR8Ptg6kaZLzzZ6TuyC7Aq7g/wH0G+GLrZi +1aO9FNtG8TSJAvZUSoT/0fbYPP0jii4JgBG2D+N5ehBQVHABF+0Nd5/jbjjZ536DZ5nIPgttyYED +ipo9dsHlimayfoXTX7yHZVs8DQzvvMBpNV2d7Sr/t9X4oMI8ZbAFOKDdjOzcOoRZcCR2HmbPs6uv +iD8UGqXhb3nfaQWtuFDt5o6h8JQQBd0w5Jb4aVVgIc0o4kxo1uFZlwN2RNehUMEtoRLFHDE9R+93 +MQZUtG8SBnsrhcPTIITI99wMVNNI46xpDiN/8cOjzfYbWzU1/qnc9TgH45Te9th1Mko6gjxseihs +RIUy/N1VhQcIuen7h1uuU0ZvXUl5Niq6lWw6Ax1LgtrDM4j+H3J8nsnksRQqlhf3XCM5xlnGfHpy +fFme+kJqEc1X1vylIkzlbOPjH1G4ofFQycd+SSuVzmFbKznNKEY1NRznkmQfPPCmM7tETeM/1rUT +iaVlU0o37Dk9oaErskdKdehn/EwfTMyA9/QqytaArluCAgRcA/z2EmZQyek+BJpTTWWHlU/Xz1vt +7GYWPcsVODMYSfPc7nJYHcLVyA8dzuZtvqdIUKfB1GU39lqzUpKbnmf6vJbZjOyC4xQBgWBqKC+t +WFo6bkhvyeCcJ1nsqUQOOKS/Vo1+4kOBP5gHqnkl53/HfJm5BDcH1hQ5ZJncmmIxnEUJjtny8DGG +2LD0/u0DmZxVB381j+clzFuioG2QtRXP+F+8Jv1acDUv4rvUmsC5gBL67MIuqEVfJUKoO1pMaupq +ogPfO8mN0bvL9xRqOaK60RjGWk8lOjAivpq1HUNxDtCECEN6d9pUbajr7ztK4tvZjXDqK0atuqVf +5hmYGH52xdjJRIn1o12JPJrplwYYhky/gZ1mTbXogpLkkEG0yUEkRcH/lOIuUod8+cmi0SCJLa8K +SUSZpmzyQTB2GWKYIKOahdD7IdgeQDWi8oNTHCVX2PlNewQkjYOLho949Y1apnc+lWPYrhnhiuJM +sDaJtr0o7I5q8cDG4G2Mi0w7w9+TPweqKNpAVQuPqMGa3LoEDs5x/J3zLWjMT49oHlOf/v/zGbs+ +mQthGbDO0kaXMPZzkPVz17tVfHqnAumauPRLTa/jh/1njdbMXfzhXxCANXnSURJZan+suKRhUs33 +SpuxJgoqukHA2clRgOlfLK19uPwy1dhMFl6SANPjdkqjGgaB6xHEZgeyDnnKZgzz8FjbJzxHY9HA +Wr//hKnXJ97PLBteT1Yu8DJ9g1d1R0U3nZoGgDq4K1CjEXuAnXKzjDVUgL2OJ1wUQ1ACMTLqCMNM +h6EBhgpB5R1Fe6Yr88F+Z4SoxaZVphlEsZTiC7n9O2JSMec3rxfkNNEcK1z9L5apmy02IzLXlUqE +3WLE6im2cycVX+oHBoehiWWg6pDrl1Zhqg0CafESnAz4uJbhr0phA+LFjrfKOL141Anlb/fNytpA +ono/zbUxr2PwAbndiEcj6lPi26y/J3hvuxXRoIjVRyOCSf48stGIqj7QtHiaO/vDoALthNwUBTjS +a+FgqC0K19p4vG16YUpkPcD64Fhg7+VHbFwfIBq34cG6WZOj2THWlEO0FCMEDEbF0FOm23Zh6UXJ +sJUaih2nk4xx5oWyPRX7CAQsPhHpiwM+V1IePmmO2hrTI+/5AzcBXnrZ3P/X6aY55EzqB10M5cxI +wlEnt0hU+SjFnBePzf8hM96j1SQH2vRKJ7tiBcLN+H3R7W9TFpoDs3HdVOcFQo1vUe8pqw7pHWwI +u79uWIprRDA0MbSkMWW38YbJYrd2fx5S1CjHpWtYqKLP3lAhRLzLwkjV35Qzlw0oarH8uvdnL01P +8nHCcttv50vxYP4lnvn1bpSPH6umdUZxGynhSIv8oBzYdWYtC9ibLaAXpAQ8bhZ+YCfNPJ2Fgkn+ +OTPt0xDyV3gMMAerB8FVUnTePH5ufXCvDhD8QT+s8S4YM987g0YpaJ+baLh/yiPK0xH7xFxHs/NU +dmDlBBwUg3KOMlLfkXKyb06zWZjFXknsj/SYPOvjR1K/l4i6O+LbZzj1c8juuImb3Rb7rARSmVcA +JT7zImIDdvGPKj4rzqtecpsGgXx/xlkhxZBL1NBv4Bu9VktEoTHW+yOruG58t2XEOIFEiWQN69EO +WjeEYWHCXrgo7Wk+x5p1CSJJLgoXSVZmv6yBySot8ZD67laH4LAW3yNL+s+oZgpDBre1zRzb7l7x +jLFK++Kn3DtA9E/PLOzYb3SMaZlW8daVckWCUVYW1RCkC+mUa6soakpiTRfFUAvNRmt9mj5txyW5 +pI4G03lAURRU03EpeigjyePK9M0mLU7hCHH05q6/IdVOzfKo4iLueN55UEp0oarlSf/ypRVF8j+N +gneTvQgAzoEwa2WNWsOtRYZlc0Fux27YDFkgB1uAqkkz2tdNc8BO37IzTHgiRGoirLryctYr1jJO +fswJlkW5j1GghBfhAvWtT5sgl9Q83A/ptxSF4NpEyRueEpx6I5eAroGBE3O4vQ09OrHad0XDBwnT +ZfpaxbnvP41psey+/7YpmaEJ8PIRsaC0lhK9Kk+HHdaOGN+bKHFJUuJirvJPchTxkOXi1TjA70w/ +UXPyBjCZF9FObSVmENPeCNmVHEFeeZe/PO9ZoxOkKU2PWhBWkEIAM0H623ewXoznzxI0X5NNMvNw +s+x3y90PbPOGX9W4GWJXKZ1ZE0Jcc0vraNNH0vgkadiKlaWouUf8c0inLE5qAZoV+oq2yROYQh3r +vKTeq2eo89qf+WEFOX43uDnNBS1KSA01qBj1vBAGCTXYEZqq8m44Tx6/awFPXZPwaKQSDkofDK+p +OqReE7K2sKk27soXphsgvs0VcQKv9iFAzULcWASa8LrlNh+jx3WaOR3X17+F0icdgyvuXTnmBAs5 +ezrNbY0ycC5dv6MucK94W9khlgF68PajdOzkchlgDeF1MtODazlrFpUc/SE0CeqECzohNp1LYymT +Te+s6WZhfhccYOcTQqtDI7HkVYhcoUtbQgYOojUBqpykfAlKkb/AzLy7Jkqr0XAWL133CJoV3Rzb +7Avj4euZgS4hiyAtt5nFghjYpnkZoVgPae1W5MFR+ruIyGUcFO0xqGLPd+252mx1BNsGK/0u2frm +z6pd+e31zqO4Xb6112N11XwM4u4E/A1PLWZ3QzX/tThRnhjx6h26bisIStueQyfaxIdLFTi9pfyP +O3BHSoF6Y2FY4K8hoYBK/X8wfxZmqCQsWe9Gl/AYpxEsxXz2ZlkUFJgvLsjGbrkLkitR4eoJYMOR +htoAk8LTsc6KeXw3y6ae4O6aGDbu7jOysoUPkAPU58628f1ySE+A7+tka/QUWgEK6dVHqv7cVagI +zLNGl3LborLk12xwhVUEsUa/iNuHr3d5K+52xIYm12FvucUTRBn1gvqslZzsTkvlIGfOJ6iwzxrJ +QQS8/kUOBXyShI++zlLv6Q6p50xSQmW6Z0I/oItqE2X+otf4IcZ+1jBoEhIZvxtQv3kgPfAf5Vg8 +zfVOOamCQ3e5SS+voX8Uiu6nf/q2FBNIfdO0vo05RK77bsOusVcglVL1z9hM7zORk4JacntbkV2o +UyZJMP5eRhJwU658Vp44RWks6WhHWpRk8ya6kBs99giwl2oiZpkcNW1ImgVBtbPkaNgLQs84pzGe +UGFgaO0eR+CdXRA4eIojpQ5OOi5Mvun+XHtOhtygemIAX9KmWrCVaEfzYXplNnHjgytrZETOarPT +Zox9Rz0bsx9ncyezSgdXZ/xr7UGWozhCPaXLOMRwOBogPT4cHFQKckAxp203b9f2cxcU1i3rUxqx +7HNaG1m8yQ/2PPbR5AG0fh6QP5FcCTdEqJFptoIPrUfiBIKa9oJqVTNOr44pjSf7kv5E5VnXVtp7 +/yYSsbt4aIf0h31ruSJDquXl44U/cENwxgQwvNxSLQMRiCRkkg6Gyhq6FRqFWzZIsjfJuDWYrpBV +3oahV7w6EjOCsksSnrYQLTZyw8mO6FuDounpUyBJuoNMlF/AhbYqjHuyarv9uh/58AHHLC9/37HJ +hP0JD3vOhnoF9XnzSMTM4A6ie+xhzyXGMCwRVYvD+dDWYo2TR/MuNGI3Oo7ZU9IWrgVHQ2RYgMpG +rijJkTu8zc2RVUJAFcVHWAUhV4AvH212SFa7dez2NefCAVx5ob3EKDOHtRjWblrXHAV6Rfn9yobE +z0Uuy3xPg4+YQM/4lMNZBaDTRJ0eEJ3jQsFgC6aAOWTx1EbBdnjVV1FDHzgQvY67GUYsIcNQKf6I +UCbb/gmC1/j36+hLvqmsgqD5y5JQTN5BaPbEbiScelh1qDK3/dLb3BEcwnehwWY1sClJ9dvSAdXF +9XpvyYg5aDdX/2E8COdR4dCBi+h+7mSel6bTAY7mrCaMynGCouiPSVpT0azYltB80T+IYgYnJkpI +RDEXSyGuwXXu4sqS+UDZDebPkMJh+E5ai3BRHmOgq7F4htPRv1nZ3CF/E9dz46haCOPSQ/UaC6MB +57LDmyTYz6kCmjrs9AqkY+U8Jnx+ocI1qQDb7YtgUJyZCkI89lwxDShlUkcxe819bRyKYilpnjVd +ZZJpA2N+LwHORqifRHldpRdhYwCej5UJ+OOMyxPTC3ODCTr0QwhEqbsi38dpwnbzQpKR9Hhwy5WK +wCQTUqxwC6cTOtOYLVgoNk0ESZeL5mClWQsoMvE0PcplIdPNsF5TLRg2CXsSgLfJXbCSsC3ekpXZ +4rbSQO1+XUCImVHBZrQvzArB5HAc8cZTRLNpz+eOglVPbZfKdreRIUPzOeCt7bhJCuDncSipphoR +7xdjUGfOdJhTUQJRotnLrvVHXWmlSejXRAY5rlYHJCbGOC2jf4EYL0m74nvmtcvxtgiD1BMFi/6/ +avPDD+u90+OnfZtOSmPmty05x7s6LJ110qjDDUg4fGPSHI/sH65OWBhWJGHeP85tDA4RqgjcrVk0 +wWzP+HEi9c2s4oCcc1x/LhQe57w1EFybogSH1arnHwx+m7TmMagaH8yVxXKpsiP7De/IaXH7ISVE +HhIA2deIc5c3z9i69GEryMtyU5Tq1CAzKWp+DDItafe/qaTR3mAQkWhqLVNUIiz0ASfQYMDt1TSa +AgBQBvPsokJI5BBqfwDiz1MiQ2TXPZx7aMEGSdfdJVDff3qrcfK2QZeWzTGKwqasyky5jylltCNK +L0ke7K7wjE9KDKXRMhYwlKcAf9cFyL85kn8wO/jaK+rpRnMwkoFRwMPcq6PiuSvbZqQT+yLlywVv +vnJNmeNSqwS4VYjhBK1m1ByiCvNSKnLUbe9uD1ufca9IJ0rY1XUHbNnfb9ocULJXmu719jw1EG7R +opiBYuQzK5DON1ljqwFcFVZBs9j6OCyiQvGUP9ABfIFNWajIkzWRqHd7jkm9fx5/mj6ATA/XKYIC ++Qc9Z+iq9uQvusNtnIgKHxZwcL2/u2RCH3KgzjDK7k75Iu3KgHgxczrMRKG7uzF1OH84F89vu8cO +TsRstUrgBMnfFuUffokgDOapalmmV3XEF9prGqc54MqoyX8scNZsZ3+ytnDFY4PQRcqyeTTG6IWL +pht7y9Fs+3oyMOsE8qY6EnNr3tqKKoZdBRu/z/VwLlZ+qaiwptWkbFu3lwvxirjBFtkSTDBw2pdj +n7NcCa+ZJiHVuol/F6ksXKq9OQGIq+EBWo9wOl9jGtEwCtdRczU9ezN4e+ZO+iAvikLvH5p4GlJx +zNQ3n0dtIRXMQtHE+sHm3WC46XUKX0IH7MV53cIaeSl1uIFMlNGFoTFatMM/os8KKbVz++FbwvKi +WOUQ3OrjPqzqkuGhMcwoCjfpl1amWs7TijbEDyzRwCI8pNPfrCvgSEx0iRnjFzKGmF/mpexsuexB +ztbtKUa4tG2Pt0qnplQuMQk8HgTpaZKY376Xesw7PTqEptxjLfla/UFaYieSXZtZCzD3y2xxdUTq +2GihPQMBodGL6Q/h7ZbBxBSXWwJ9gTLhz0fcaICcQz8jyylMYCWzQXU1q7m67uX7WuH84OOtY7JM +FVgi4TRgZm58DViCI4HZzyjz0//BFlown3KyU7iL9U7yfbEMwxGoZ/BV5/hrL3SdC9DxENDen5xL +RN8J9hlV7gEgUWjLJrdom52G2qPXJios4W92Z1ciWzo+Sy3wh27CigQDXvEQS3HTbbdwiX7AHRxE +HAjzTsYBSytRZdAW014GwO2T55AapqtAcvMFn2A7MtLwWqTRgnFg3UjBswMQdXY77ruidNqJ1hr5 +vmOW6JGQSddmhwOsCGaGrvfSXKZtWceY9n5zl4t4TGXbKe8JhemuaE2ptoRiloFQdscDDbToYgf5 +7Mfc//GTNs1KLQbICWtl8IVrdSdz7URBN3XlCDFJEQzzTyWEcdW2uxkzzvvhqkb/P3z8YQFGAGBS +nxYX+J5eCHt9K8rE+ayB6P3I9ZXO1OM0AU+t9eD1ZsZikDOkjRxxDsqT4UPj5s2Imcgt5H5DU3sm +IF1f8u1l3pcjsZvFSGR8MjX619c5x2yHBco9rqQWd3dvrPqC9E2yYb2jK8xfySokgkriH9kAfMkL +jFI/VOv46ZlQ0oP7zmh5YUEK4hZxETsiRqSYDLBl4fV5vFDd20Sk4QuXdzr64pTmecrdsL4zApRY +AZ7KpuS/8eibqY/nE2K4tVbhsJ86wZO/O6NnUQ5a23f/+lypjTGnQW5Ii/srMd9tW5c6t1hMO9Jh +ggjUBD+38yEZGzQtukA3OilDjycYtPBxecJLcyomyM+baxFgDngRIiQ3hIakOa2Hr2gX6C/HSfZy +CwFfEp0844vn3zmmAzF8E9/3Sn+cvT+Qbu+NxgwHUkDtrMMqWsxAK6AiYjkFgSf9CzE+F8vkmmW1 +4iu85ruiSRu2KbvinmoZ8ZPXwL6kcJpt99QqbCNi20e/TtX8S3ehReDAjvZqAkZDe/2WNk2ZBcJr +H+dGv6xVgJg5ua6yZyh3oE0dbJQ86GifzMUD+DS4dj/8LE04RkC0tBx0mwAGGnGBzUM2yfVLFmZQ +kpJg567rFDqoTvKX5hAcWl1KoyEvq0f9DEqki5LQwVaZAnCVF8UwbYJCclYFoO9sRDqrqY7dgxIM +Ju7g2gLl2jsA33jMWpX6HMWaN/ccmPuJ8mh5W100bQSR0m/vhzLVXd8QmJhraMefkG2NuBVB6pNb +5YyW1t3FphweaPvgEfUsEUnx97HZSWD5XnnHHoxsg93YRPpUs77IHFrtYnaCgMJwUMspbz3IJH+k +apipbLfC8Vuy5K/Oc84U9twhjiLsrkYLzKz65wjpK6bxpOjfRthABOD1pvML1isrBubYnTwkZG0k +g4+9iK538djSPrVhrRdS3UHTx7rmlo834R/HePL7fQDCPX2ca1ELk8uXnpm7XXuoWXtCvmf5UTwC +zqkApw3OsCFQE//RXqDxMGevVqUMyC+VDOzr/oF6K14NCYoLuU4SN7aMLOmtwoFVS4CCRdRrJet5 +WIkCBKMYxvmUaUL++Il1nOYkFBw6/cI6hmISqL0Ns/zIaYU2aVWP/B0m12Ac8Q+ZhbFm6G0lvEjn +j8DwfrX6JdSlDXnNwq7Xfz3DoVttH9SYekEEkFW3eCeCwOfV1FjzSWqPTLS4rTvXJmjyHS/+QN6u +Qfyov5gsLy021G7YBWPiRdrq5WoIONYMb5t4WlaWfW/nksHGOTejog7eVCYbO00hj+RyYlCTemEg +LXB3x4r495MWJ/8ym3Y8l+8YaeKV7woZYDiDQ3qsUx3uU4XaAz+Gl+otzedzFdNaJDy/xKf5GdJR +2QvpTkwiw+jkv/6h0TY4BHVg8AIV5PmT4ZztAlnjiA5HkLDtfUlte6y+QJtiB+3gQNb46rRilnXL +BGwdHaF1YBKzbRGR2ZfUvDs7jzoAQukBCOHdmV+kT5rO+3s/tX5nDa1uvWHgYyn80RUj/edHJqV0 +8PpSgIv40Ic38a4A1489MjDvXgSWHZRNo0F5wltPMtb3VWOhdhA6K/uWaMDr9+90UtXx8tFvmmnB +EroHLkf+wAYK41Q36b2sisdcgfPyd8eu8his7qrGsVoad4Ogr6dr2rmnWCGF6c9Adk1fMW7TcbJe +l+bgTIN2GbkSEVC2NNnFyoreINk4fKK/lhU5emUdbniYIZpNYKDY8UPkliGG9n4OZw1UKvJaqL/D +Y07C1393LtVylxXvC+2f+/iCSOJf8/VnG5EUcChsP4eDOe2FZQUoPgIs4ky6g2EqF2Ev8zW44Yni +iOTmc2SBkutzSvRkRRaM3n6LhjR/yk7aHzrfDcyKm+jXHVfFMq0BpazrWZPPECn2KOed2kP2AsTl +IoXEGhebzBjkd87uZcSuNcV5NxLnn7O++h9c/v/scn4gGkhjbwuqI9P43xOG8XQthBdsj+xPWQL1 +TQX6eAGDt0S/zKSnK7EEsA3W45Z4ZdxRC4s351iEE1AQCyM1TfQbzKCsu4kH7tr/v3j+6FQhCKHF +E4y8n/JLZDSsw6KWEH0PkSuU8rpVVyS8I9shuW6rvdNhCbjO8TTzzlIEtbMpQSDCX9GPYZTwc1ss +QsDZQ5bY9aCxNdHFO20sHBWbK6H5AUEENtnvE/cJt5pZLuNTxLWA1o1M0tEMhbUTbfrWnLt7Pq5W +XxRBs5Mt9eM+PzQuIK8Xd4T3MAoKzux0YCkyxL0O0firo2JXmAWYt0AufgmcSr5n8rJyS8GN0L9L +n9/fd1ae6G96Dj+LdmPtsuQnk7Mdexqri2Kw2X2UJXtX2tHRILnjpI4N+Htj0epHrVZvMo68BAb1 +gY0jEHy8Jqyl1jTMd0qAsln2VVngtTgtuSBs5iTJikunowRnsSoqWC1yuE96ytnj8rkfHjZWG35F +UoBn9zGeauucAoHRbq5wP7ra2GuX/hkYKfnjFtDgs9zONzIFFNw0gKFlWNcdjoeYXxOFHTVbMXTD +O+fkXiWiv8TjS9SlS+tE/Vjm91uyJD3Aj/wiDrFg/afWoFV/im7qwnrxvO7OkB+s2LGGhZtRFC5d +VqNz6Psj7TRMNZgTIuvt+XB2BjzPBE4ie83nXWbYqJ9jsaluweXlJ4x19Tyy6x3pxJzFyEP5IpCH +Zf/LfyW9n1GW3Dous7xOOAEauMXLzk1RRTi5ihy7zvHf7SB8WBKbU7qLVMGwG8AwCgH0RpmuA8Xv +aUPjGWdcErh3h32XvIYjGdG6zpLBxzrBaQ7kfh5ajD10vxgAIDHVrffTRJrz0dfsVfNxqv0HigSD +spusG8DQHC5MxuOfi4GNvhvp3eaap0qRK++qD17jBM3B2tRnttb8QR9GpyScvatSHfSdN4M0SL1B +H/2EQAxysMuVdFKrmAJ2nnL71eay8GdCeRfmNWphGz0bh4SP0kf7VIEdsJbJCj7dRWhpF1lvoT46 +uENAN/3gLXh1ex5PFiYbEu9CljQESoT72x2oveHZuf9yKVA2MxbINEULK8EA4RHUdr/7/YLMIW/i +Oapz/y5sCIrIAR+fGqdLh5cQR11f2b0fWM6fYnhMKjiX/nH0Q0ew4nBYFZpUe2QsWSIW+Kc8VSCb +9AXohlUrBeJ35ol//+9BmLoo13FXeuACLUwtgwxorhMUYMb+iBE8dm/hi6jlFzWkPuLOESb2d7YH +6yOLKS3V1XbijRAxerl1DzLHE1KUuUdbUUkYApflzIYW2Jp/IUXX1jPt7gbo849ZAyBe3XkNW7C6 +hF/LCFbabn8DrLsHjElEO7OLhlyuORGmV9ljoaP4hKBRK0lROizecO8Qlzx9C4Gk2FUAhWXVPbYK +TS2xWvO+B2v2fzWy6/FpXHWZw7OjagkGEZ1SQf3x0BRcqJ6oOAHTLToBbxg81T+dh5uV0sVNzXGv +qL/KE2YDcD2SfCLZU9/bsRMGPXgSfw1DkyUDEJ3Nxl198N0zcn1AotnWz0uCWllOcJJXASEAUVLf +BQ5vpRxpJEfM1GuZC0mNtAygcPMBOptcYTZvlrCHr32CE3soKNBsrgPqvlP/UAE6APE694PxY+2i +yq0SESSKxW2PuYn2svfgL2M3PwaVltFmViWgrVGHhlNPMZqD5BZ7H7a3TUQvvTO9gYXpdDttqthU +FDdOh+vbk60v4wWaAF4XgkqQu9QIno1as5HKFye8urNwJL21IoLmCYTf/4U/Y0vRyD7fI65EGTnH +E0MjvS8womPc8W/T6vxnkGLfGwhSnecm8uYIsL7owm0dQSO9hjSUCDPUlRTvR7jn1PeJxGGXVa2w +LsAsHyvmlKf/LNav9Hd4lnevfwvzigHrRF/1G13FtjtjWBs04+IOSOtt5uzKUz9DOhQhrlpeTabS +nigJvSvAnRplkq0FJzCbAA0yfsJ/Ro7efsgmRthUIfj/5ecSrdfxYRxX8kSzRQ4pJzPpOQo8aBAq +LRkTfQOcANEND1Pa3HhdvZGqcxrZvCrbnHbaTqX8r0o4MPJBCl0nWZGBrt5P8yG/zkf6RYTOVT48 +j/B7Cc//QGCAu3T74prP8xT6cnvgFejZl0/4QwYMMJEDcUh+idKvRCSuxnvkNxHZyUj9qir4oR81 +Tl0IO7Xqf/6x1USyKCw8C74dVqHqZ2/7xiCRPQs0uhkyJij58wP54UWugJ81+SKHhXeaVf4qBpbq +PBiRXxSU8UROsZzoN9kkSjRrmQxAaPvPQ6rqZjzh57w+9ZrzHHsWGcURfSwIQPAN6Tk3WjfVXtSq +AF6sMDTvJfmFz5atG7mA5mVERvFS1EEr8+Sdt0tEsq84uu1d47llZ8ltR5EP+a3AD7na8aEoStuW +k8rKZMQT8KnsxoR1OSZ2XjHIqYNj4IzLy0lgUZIv2D2lZ70ZG42493UDpCoZcStiGWGAH7Lg/htR +B4/8KE48KMeH8PhkvoH/pChYvI2yjweYxKEB4VN6u/POYTyPA5lm+C8+91nSYPlYAh7ggBXhp2WJ +G0PLS+AerjuinbB+oqY5+DrbY71bpo+07jBHO31iglV2AdgjqHGV//rm2nwQPfevYReI5+YWSrQf +uaaa1pOFGEhTE1wUyJHuA0/ZIziQEDa55LNVZbZLi5iZc77MjV3ZGeqGVAVUZBl8h2W2HZKWAGs2 +EUvRXArAq9Qv8iGXjJbvHtysM/TLSy6Ve4FnDQXlO46/s4W1NEqc/4p088r6A6cVrN/cfdzHQxBs +NAY5GQfxbHkbVYsHVQjDw3ebye+0tmalww1jlXtJFQAhcjeD4LJWtS9r2726UxeSyFD7JMWFxOUz +WXGI8rXqSnPvnTgmZwGIEmKtK9Y8YtpetJvO5miU7mTN8se4LdQZDXTQqLXACQtCgFCt6mXWJFlP +HBOja1oW5f3xiSCgIEEvFvCDe+C1s/g7IH+qNTXfUj/mnC/6pZOM4CDhVFQWeZjBE5ar6/UrFst2 +4ZPGattx7aRBooPrUtm4EqahtD3cqc2UvrreBZAA+kgFN4IqhWi1/nJ6XvoShCdltTi5Lgr9K2vR +HgOalYg1KEMm4BqzgFXxU0Hz5lZFttG99nvvkCnJ9irOWBaSOPsMugLjnO/E40al8AfkrLRTBNfT +3RTSHvpJK50VcpIQqd5v9eu72WInI0pHDVAz1fSHG6MfQVUmYQH0Z1csDbACo/qwrFOkQebzmY7d +zJ2PVFhmDu+Z3Ung8ymU7ibKonpST5pnOMMe5MjfsC5c/gCQdGZ+K5T+Xl7zHAxspjfo1z45Ft67 +G3JjjxdVY6jdzodPOAUjjcy1RdUtsMxnK201VZracSkSaVyo+2Q0EHNiRkWkrpmzZbapgOo8OGAx +kijGq6SVfVp8ImKBPT7UEWZsD0qR7zZtx3NPrMzUC76GvpOagFcIchptscQFofs9f3LCwG6pdHsm +0g/rqiRBtZ9dv9YY1t6GHEh8wLdxL1soQxUBOhMFaOW175FXlSySWLRyJXQK6GYOH6Axx065Fo07 +YLqLiH5K28w6H4XXnUjbKoWDK+VWuFGjACcu7Ie+x35CYxS39ZhRjKMhFwRS4KCgA3L5Jrae7hh3 +GC7VUYnDEqKknIT5tOBS6CaAWIKcNOaP928qoSw66PtLpTjLk/WICJMX+WKgSQr92OmfrcSyUcDQ +EPIR6kWrX7+ngnKk7FA/hgKImC9NpEUG3klMoItRNuzKqBObpnOu2283o64LnLMPUVqtME9n7GPP +xbjtN6m9MHSy7xH+pWB+DkL2zm/SMGxy0N2Fv2U4JZI2kXAn4rehJWyD8dx476PNtHBDaZhqHYca +U157BeXhefp+SJSAvfTfayba7WsYMAJJT9hQKpqv0/icf3XsZNemWfpZxBAchnnhTKtGNbuXsXGB +mlpXiFZadGkmNAf1jDl9XkIMW6yxKl+1zprjMaugWdhqpO9/dXltvrDUgsw5wQ3+13ntLZhSyoRa +VdoePy7SDCwwmG7Tuhz8kAh5hU3J0/emFReI6smCH7la750iIUUTI0bMEMa32TJchOsc8Grw3vQR +KspcaL8VJkl7LVdDw/brcAIJp2u8KBYr2L9FbgnxkJ7zlafdb1kwLTmOJz2rLqA9sR3MpnzlRffB +TG6neWGVXtWda9AsW0L3eABN19Uwf3pXNrrH5W4mYqGLDmWVg0sGqWS7guFTcY/hA7TP0khGw1+j +IyJtYfYu5LDITNUvrEJOmoJXiuTGDc7JVTCaMWOaZnmp8C7S4y9LMIPIant1Q1tbCpjzpyCFyAq6 +iVfJMzCmi/akywGrCZKIH+Se6VYalOh+jg5q+5j/cQkzH508BFUolf1iC2jpE0TnhiV3AjYQUyJg ++16pmMAdlj7qAA3lk7/LEEpS/ltLcGmdnVtR/65xGH41JfYt0C/GVggmCS5ZDXZ524hRX0AZsUoM +AzR04Nh3tZvS2Ua32k9ItXiIs+AAPTjDoqs7LnbM7g6HPffQG76nQzFcEPcfgQJ0f3A9edR/Ep53 +A8rlNoyp4jJm8d7IlykKe13sQIjP1wz/4qK3DhyZyE3X0pZIARkDFUXsTQWHCW9MCWAYahXlE3MX +hWpponT4V384EmM08qdQfqxcWF3vreJp7Jf7tkXKCTVQ0JEdAuVS73qydZj/aLYmXlaScEcFKcFo +cgbVZ7hMExyYsEZjADcHuhD1OA60vgVYRNEO5aFwBQp3/cgpPF5Ji3sXV8A+Xpk35TGsEHPLURbE +Dv7D+ySIDegTHpC064PnlEM/rNE9+fMkzGLmWRLgeYnQ1aQ9W1+wT3HPCUFWh/pnE7j4an1tvUWj +Gtel8hFkx4FyCEWHSQHWzjgmghFViHHPUVHZuact/xPLGmG5XoFZN+YPKl2tK9dq28m7adRlbYE7 +S8u0ADMgZ7rRcAbIKP8YCcUtvk7aJJt9LRTgTgamV8aY9TpdP4drM+EnIjkYMaqdcALGge1wMlFi +cPcmcZc9uqV+6Wo1UMcqZR+zATbYvx6e8qgUHliV7yjCfuQ/e1Oe4fqpSQuHgLtRdm2yli8T7V0M +Q0WlnY95vKGpVVRNHRdtEGYCJNBjILTnpGlWkR8ey76bTfAUSz4gYT5D1+fm/+0+pVqHazBAsWJB +eZLOl/JH2rm6GZa4alV61ZaGSqadX53YTIdxzyRZGZkHuuzMlF83hyX3LCipQJpxj6n0hON544Gx +tvLW+7wD4kNZLCD2pfn22bKbi+QjcPpbNV69zqaTgJ35DChFC34TKtDYV+BkvexqMv5hS6ASjNpv +duYBn6DHfOs3yqlozMlC48oAhIPHZg4+Nl6krFsPtcpTr2aSyqIvR/wwphCBTNYyphMtJ+doCRR/ +9JCp1sqJUop4NNNBkRPvtizN33XiE7a2pcBKareTP7B8jfg5GQCHawL7rzio4MwYs9qKxKaZravT +oFixASzXjdwED5wjS5wl/WBFhJZvTVt9/34ulTIqOW1UQgNYJdBZGd1yHuBW6RcRFPH9lrfhQi6I +Zbm2rb5U+MHJbne19sWY281YYJ0lZI2KzdpQzaPvQNRWE3oSmfOLHw5U5ig1vYM8U0/r3pY3q4ww +6MMmoNu+19TYWR5QYHAkudiFJI4e3VjD12KzK7F5mKEnzE/hjNejpMOjfPKgdI5vQnwKzZVhJGIm +yKzPB8NtaR04LwxdJEvUSL5WqNe8i+ZgIah0uzTzb3P6gYqEcCpPEwMWr/XZwdGMt6iIG+jQC5+R +47hBAoi654zRkl3f7KkoGkR84BeQzaWMCjkn9UiV6qMe9Jky/gpP4Ms6iywytdumHkKYcuWSl61r +Ub+GqtUv0Po7OSvhomyJ4RfLIa73v69VhcuHLNmBox+dZHSoMIwqGH+3790PHfZBNzc3uQP8NqGV +KpGBdyIS4f5FQIAXjs52FisiuaSaydyPEQdbBSlewNwMHqqgOhu40mpQ2XG992SxIkBU0sf8lnC8 +DBEKpD5mql1QLGvGWoErQz7GkZBBBvw4mM0u3TW8aF38qFMTS36itPGE/M9g/dbpUQm4q97stkwh +aX9KV7JDiQid9hDlWykeJ6ds8EdKUKe7hDEycTS9eGlCjX92Siy/tfyLQwueNmC67gK0O2x8kcEe +V1qrOPS5sI28hGAJvQtWubW3vzQjlGhK0qCBfj46sC0oa7fjzb3gqRRMRUrUPC9vlXVkh0XhQFAi +7i14ksgNhHm8TmgFoZ1gi6h+uun9hAGb15xKXXOOJFulQCH/NOBjmppjwFvjOXvWP7CVX+OuCm3t +Xslhoqc7/Cs1iMj2q/wiALdQA4TZG1XfbZJ34OVUn9R0TvSPCaDe4V/raI9oizAZ90Y9I78EvTNn +N9vb67opHCmCK2/fbwS4YKNxJTym7ejVnhN91cu3xDJ1F4FfoX1wXUmxT3IoTbyoCnJ+ZCq3qRuj +0lBS4aoIMLg463Vp2Gr0h3J0gmMcBn8Utx3WAr9581gV7xm4paRG6CMn1WDXuwsoAdj6f6GeHQRU +V0LQK4Hzk6NJ6JYwVWXaeLkZ1pkUaiSgPKfQiOzn439AxpBgeWngPYx+Fz135pA3XmzVPiJZkMB0 +COQI12Ymccpgg7P6ap1rEPDFnmwelf0bMcwWP0d1Rr+SUHipceR8mhJWxS7jA8ZRB/LNiJO175VE +dZYL0rsWEkmaavL3MHppCxof1alFlcJZMoRU1MvHc2bhPsO/QRY++VqjHjyV1ydB2gvDeO15MS1F +jkYaS0MiLTiSjMp/PYI4WrCHMOGlbOTLcyzg2d8KPC8ysnzaRUOlI08g5df9OaHrqGnqb7OD5wO+ +Y/CPWB+KWLlnC+Zc+QX/2eh1fxTVWGeUOatfL87izkcqeA/sWaj7ssUhrltxTzkYHXtoKKfR4wH0 +6ZXXMXJBJRrzlUkpBqbcI3yMdR5M0txPhURb0zfqdhTlKFAXkiJ9+gjQu/zjR79fyUoI2EkH9+0t +WFVQG6qRDdfaTENAk/sbyMBzNgwlskOjATEcdnre52ZJg8qo466FUEUUBmLFpo9mTSqEBLjfTox1 +DkY2MUk1UUYmndCRiMeEhNWYKC/sCTtCNbyo20XU+p+vt6cA5P9O6uWBdjKw6DovL20ajID2fbIT +Xsxx5ByYOnxaHWSETJ/29ozusXITVDcCpP/rWOU+aJ+GnRShEdyvE91RE3ajnA3obApQ2M7LnIZ6 +aQ/Q+sndXg8IWc1ykNOjzsYAD4D/icvOupBTYf2sIrOCksPrW5u2VjBSplKJ+/RHfoiblOu3PeYB +ETa0rtiw7ghZGZYXx4SogdH8H8Ae3DQ34fzfCZZjHmTcNYrYtmaIp2AQbxaFCbIbogGV/JRYg1+b +byzd4KM1heh94m4X0UmnqTkoFW+ND37JebG2xrNYgIoRri85zRp/vawdvatFEMpuaB4Czx32Dgex +3wdfZf5g0iwm1lPcqd4crHQyNPAmzxy+KBJ2S8FuaaqFp6wBOaHOw1ehL0oy7/EPIKEJOryohGOX +X6w+eyYhLF8RxzsprWifO5Nh8x8tFoUh/o9DH352/SqGV/k65J+nKBXDq+8M08exefHOJ+1ctBy9 +zPOlxPAckqlMuRfwLW51EHc+x5EZmUBfLzBKmK9iRpUSs7rtOsMECscZqoCqU4mN0ycxBbj1Ym8v +f5/qEHMLLd9YS6t6zNXYfOghkuBrqfcVTlVIjwhXgE8bMv30Wtb7DIRfaCnCaqxxxfdz8Chk6S3L +WtrVcjTRLxB+cIkGZPI9kIeBCxqdJRkIJ7hwsSpNy94Hko1b1vW+9sAstZQnJBTJCBTdfTQdhVVi ++FcntnB8jp3sqp3aYBzxjCr8ZjpB6px7nT/SyDaZjnu+tO9egxNCNBUJfKsDyuhp64w4/M6wuPD3 +CZ0qtAQh41yHhbJnI+B3L8zSA4oLsZr4tHcZjNEvll1YOh6xJCgrWOLLnP7hmrXhTLKcMIipQZ05 +aSGm6X/IHdR1/gm/rhndeKNWaeCNhwx3BCgbSf8wayrWwXZPEhoVYTRXsgoxwJdQiJMjUya6nCl0 +B8EnxkBxGf6ywccvIfDlx5BeuiTh7igTVXWZaKBUEfgfj1njktWK5yRw9Tz8PrryoKz5WDUrQh0Q +Kkmv6NxfbNcSlZqHNFtJLTfP7H6BMmabS/rdLESTpKDC/u64lcNOXuSmNl5kFH1a0AFWVuCTUpMO +xoQmODajmPzCBaxUjbv/5d3WXhC4eLk2zgh1cop4HnnkhA0yBhIVnsIM2EblCTEfjM9XhRWGBm8S +Aaouv5ixEHqruwHCZqHIM1ckWPhm2k0WY1cEb0gW77HlBPN7JNRxTzq5r0h6RAR8Y2W6SbE6G9vn +d7I/gFjxICZjaGeCSRPu9rSzjazPp5ogbMCnmFyE4Xg70LOD6Vo7ZDE+Kju52gLhxV3hwKeb6yF4 +gALroPYKwLZf1vEoWYzJdTBls6nRXwN5ThCfnJGkk36EJs8gcS15sVd55yDjKn2yLVRmAfSm13gy +02rFJ/qHNdUZQf5T4x8vgMOByqV/qHaWakRgfPBp0bS2WyelUUwfWGQAE+Zx+UAVc7Sf++kDm639 +tSQb0+46NPqSQzF9YXvr3e5pOv/NpCXwr4Sx7C6/RhmBpYvDxBON7NAIAatgmybhJcfX5U1EU9nq +sUef31Wn5GBsJ5Blp1G0EsLxnHnXun/Yvl8KH3veVnBgH97916mRmtRA8QvbGjGQXfe87oZWl9Ty +iiSISHdZwd4FFnMm7A3mDy6arxJHTLrNS2Nuvdjf85sdA9AkD27LfH/OcsOLoxMVdfyJkEsrSE6T +5QKWpAhzf9Csm5tfBUwjUdJ1kaL8tJyEyGYiJXkKzCnz1+cBIxnGfF66ro1FF5sPMhBTtnhBKMK7 +lVRJ3xtFp1qwL1VXGUlRkJdWNLVV9LzQL6+FrNNRIFKKtq8V2e3xHL7jIGhcUWsp4x2q3s556Im9 +RPDk1asustqRekAI4CoYvHj8JxIVysAWr5/cFvF5sx118RN51fbgdktqXihZPeFl6ZqjZnUIC40I +XVIuYEY96X96f2j5lsNhyaADlsLkOjFMSzyH8z0Kj2Luc0GP5J5TYWEipxbZtFqWf/ORle7HS8N4 +tSgPRzCJpkHyZzDXa746RpezI61Hon3TuNEQPCz4TOMPk2zKhvyTyE+1Q+MiY6Dn6P5W2b1E7i6s +osuW8q+BN1cqZQZR/Jn+pm9AmdEJ96vkg2LwFvbRCdromLunZ0gK0un2TGkN9sDZqhzFE+nNyHm5 +a3+WQkSCVCyDt9Jts/Or5XCm2DdnEmDXixqqWnVHuTeOGIUsMcr4ECpoxgj7W3XbK3bh8H0y3SJM +BucM6ghDWPb3Qp4o4h8OnlvH0tteHipWy3HBkflMRn3mUW5EwEXMRCXZCtORiRWYNZA2KZRn2NUT +UBz4Hq6JdNIjTnm8JFrnSvVM5P/ALyBPuA9EcSnygIbJQcLEjkKnb4KUe2EXkLqOYZg92Uk3yOex +DgwKVaPVj7et3uMPntUOoZfsUnWd3ONZMSGFB6Lk0Als1lNma6TCSxT886osUkAUAOhybttFh5V5 +eAeIQM6DT+DY6aKQFAQfT0vzckKMbaiS2iYmz5T2z5Kve3J9CjJXahdwgLVkqnTfYlvbHudBbZGi +q3c6usA17xIAEyFu/MNxhDjsEdbfk8SIKONAyjI54tIH1wpiNmrzDIx85RJchz/t1knv5H9oR4MU +yNYjjMocmh7NFZCCOPngocoCzA+zIKUW4pL5td8PQgGsJYtcJV4WI8Twcrd+mHWgUaSFWE0EGlb/ +91Ua6TiqVYZXjCV40jeVj0Bl6O7L6c29pzIjwQoxYZ8XIjRMN/tAFNpPuf/6mT9cg+N64XsaBM/F +PHIDTQPBP1CP6FqxU8YvXKGIq3Vhsr7BIo/8Pz+mJOpQodhnHriV8use7lvdu0+m9Sep3SUQ254n +PV6Q4z6KVS2WaZx6TekZMrzkQm2QQ49UvbHxzXZfSaQVp4+HcHDK+zifS8Us6teLC36qnp3x2fXz +rXppVbMWvTYzGC8A1etuE3T5bVEIzFLkWmwYTWt9dZXXUNILgfT2ue59I/PESywrir1SZVia/Xfa +Z1HUv1g2rAZQABxupBvhHeSi8tnzsl13qb7vH81qKkKEm62zCULzUsNT0tIi7oDHhKM9nw5Y2kMz +vwSDxV3DWl0FGgbx6r99z38H1sN/obe4IxSUFjhJDrOxkJIDo3ngx5mRpNC0msHoZVmqccOrrP8i +nI5WBZtMD68Dmh5e3u7QiPnpV1JnsUSIThL76lWcyVciK/j+ru2ZMa1jXmL77CRMp2X1W8d2bAUv +ELLuq76R3NptWYc3+Wd67Qazc00Yc3RWJuB64fceM7D6HJU62R3G4e0tJcxg2ymukPJTmAlo7HLR +Ig/e58R47poyM0Qn67UbfG2MeY6e3QarUIDVoJVK7hMyIXBc/lFsmP+Kg6ZKUH0vYFIjXHOJECzD +BZJ2b82n7zpWzaVJDA91oMzR6XTgxrpyI2OMRFDEG7I9YLrOvloTlNoevhMKvSk/OMSPVJ6ruTsC +eu6+85MOua0yaA1hDGIEDEwXigQQLK0QE36HkypLXt4Iq162umLuNpBh6uFUsl8jhmwOPNcNfkJx +v+/LGdlxQ1agapmthETyWCm8zn6YXXXWzEtWt8LZkV6yvsFInJuIyn180DWf0pVf4L78Yns0zdrU +7dnMbE5BCgZVtUk6glAX97nZ8D4wRZn4uGXpipMPI5kzmAawFBPs/yvdBgMKbeIVsfuSPa5HJtY3 +9ACR9DN+luqjpTubw2k9PeDCjdv+A5OkFQd+vSfZkGnOcyZuHuhU99SpxbZyWUJOCJ2I6Rof6QoW +3m+gOkmMbUHwHlYWxbw2IzTmnE1Oj+jjsB5cBlFtHEYudQLstht/E2S+w/fX/6eX1v0yiCX54ZZ+ +Tvxbszp0FLMe3nctgoqhiF9RI6NvlIJ9KYZ7Y+rgoLvMKJU2k4d9tLX6gAGPVJ9reTuXMXFH4j2g +OnlXkXioXpsO3rNZA96e3E5HfmX7iPLE7PZQP0djN+un99Dw+f+HDJvoyCIQOb0J6KGC6e8sJ8ca +zkjp/WhHPOfvUGI6RkeR72dnd8yu+ccQHDJgA1h7+rBn94Y4Kdesw0yVWtNxh5XdyadskjLNzL1f +9Nz7jGuzRD6E+niBbiubUqvZeBcmaigVZRXExv7iq9nBN2x7uOAfESCmuINsaPRchTdOGdx3esPo +dtJwUTqKV0m2fUaqilKcpulebHXmZ4112AlMBadcL68TMVuJiAzdzM0LNNt+2gzy0iulaL6QOy3/ +AObq3imweS4nV2ujHZYmD3szRkbKXEL6CUrzW5YxMKjypBuF19Tp0SHFC9M+GhIdovO7JS2jUzV8 +0A1zFOjLJyalnbl/MFcX1ahU/k6OP4XflSbtM/XwLHUhwpO7phkTmknUOsAeE2mPsk+Uwk8R9XTu +tPsABt2PX1imxHfCHRQzJYj9WDOC9zVPp3yqGSefoRAmUFEyeDVlPWYeV8EnM6jJ+aagoth5AEnn +o4wsSVz8ggaDqaZB3cY3sc81hMgiVYvVZjI5plaTP4yHMJcroYrUVaMSXi/HCjpIsl8r4lpj9KcS +lXKDc+01UVGkTfyh3JKlp2u+7FbMuyXmgL8cDqn6z4kCQ/klpLQ7RixUQ6mczxrTPgl1kx+641zj +zl6PjG2MZQg5uvVyow8y5mC9C5WiVY9yJCJpdtZXpvc32Z5A+vVtGp+Ph78ZMi5uKqh8lVcDG8Tg +OWU2LfvngTSS9T/1kiOThQdgFuO+wNq6OTIKV6fVYYNDlxMbp3OlkfTLd5jAcE/awr2MRtmlkJz8 +FUtEl98LncIarr/BQGEUeF5AObLN1xRyHWDcvd/LM/wFkAcKv5j3oIX6B9hAvgVJtG85g6UPEC0B +DG8LjlZ/umRBlSS9SzOP3qZYVj6VIwNGomtVSP/XcjLHy6mflOZmIwIokar5QM8pql8hApfY/lnk +ewfq6IUoAYQSMMDZpa9ZXGZdysY6rjf5MtFYucQPpZoPiEzPxZjr39uM8sGeYdwvrzoCB/2eDlpM +DY3wLPU7bP1nMrrif1cMu/Q50E5TIy7JdBaXhQxVxsL0aEwQ59YNvZsGx9NlDgNbSCqoluFCGh8j +k1uLE/gEC4MVvRiYan4CN/f5Ls8ltTFfkNaD/wtvzrO17XQo3jC/mDTEizBnB9A49+L0Y3mXP8M4 +SmMv8ua9Uy+R6RdNhiW4mjzQ8t/usqoLoG4jOYHj/NoiHMSTPx/HxDzwq+0OQMwKilpnQShKFkuH +WInrNE1HAVDP0l1gQiW9MFxdJJz8P9pbgTKhj1X5hslG3EOvyidBBnMA9NLxVaW4Wk7LY/3Kz57Y ++0f0E/h4vKAE2yFXUpY6YcmmJAKUxbjT+r0RtEKZPet6D5LlbacMfZQn7NdwJlY3S69pHT0PSEbc +GjRj/Tn2SAiXFuDYM7gM7HEhWHe5Om76DMWzwVsmVUhjYK6naQ4e0HC6f1uFPGWXY58L5TCkRBXB +PigJWP/akY4G0ozCjYw3GqMCDzdct/k2WiibmYuST2yZKKotOcMDxmrB+1SJnlp70d3AxuI1ETh+ +pxk8C8ssczACPGhjhjWVbBkZKQ3zr++8DqFwQt6QffyjRG20Dr+uKFz+U2FwiRB1Suw4m/WTAG30 +6otN/YVJO0z9sauFT+ibox78Jh4qKCuqE05pic7KCep7EE1lx4V2sdE98wrR3zamLZJJzoxArI5X +pUyb0BYip2UZZUTU+C9ERH1lf5EDeg+nKrJN1b95e3A1ORple0QYNkgSXW37HlmrpMQ5bsCvgXuo +HwEbz4a9U94VyNS81j0c6sFxOQa4cXIsxmTcc92i+tOwEmdFz7bzUmxTxc0/gJA6WikGU/UPw8b0 +L09KOrniEFkXbhY61REIcwZRGzaMoaPJpkjCoSZiMlGupo1EUj0fFcJ7o018yai2uAvHpvduG0a8 +mkzaVPWbxJLOX+OIb9vlew3vIeXkx4shZ2rUlDf4mFgR9+TNodfsMi9k84LLHSB1B1BJv6b+0ndp +J0N6SB3QowJS+ACPLI3dP2gqK3LSacqEzIOeZ8AK0xs9EA1mjZO+fW4eyO4HIH6Q3QgyeXgUSRwT +liBvHmBxJiMP9TVoedKzguMLyHrUYsVQ0QQHtU9QOICNKGZlBlhHmaix7+ktZLN9ybThMcXvfKAu +J6Kd6Yj8BNMz0KETnvfeueq13LzaRTWctVDjPIY70Hkg19tSPQYVg0EQWhG7XMeb7waXd6D6zwT4 +cRD5LCBQ4AdZBz2OyWh2pwcQkZHQ42Mmapf+r9uJ3Zuliuejy9TDxJtsb+pMd3Vx5MBMHSsOjuEG +/px1wSmncPXTJI+1DHMdi8U3eibgxRN78PR5myBwXpunJI/vbCtTfP4kJJCSpL8rD8L4V7zJ8JWE +U6vsu5RQ2+/3ItixEJhiSjFyuNQnHStXXIrkHE/47JYgn6udxXEg2wTMIai9SbEn8z1K2RjEjZZj +IK6L85q+/r8HSbEeAZC6sJlLCMKbAYdlufMB685cwqZl8M6LEaHCRV5/SNSqwXZKcJXJObohaVLE +PZ41YrBX6DxWGbEKbMmGlMmHQ/oxOe43dHhbI9BrbTYr3VX7K59R9pxhFzCBy/lKde/DvDEZzPcI +fcrUhH+i7941QeZNZBxT0oiC4m24GkE7Lyx8HVTZ7FrpwK1orHfhNDQULmxXIyYhW5+Sl1eOgXFP +riZZ4vlzUK3uPFC4e5waDVzuzisUsUk+zfhcDowAooaHo0ncuVNl8aWf6Oj7dIagTaPBHtL8fOLj +vEPNqf2NBbZahVx12SGLDTkOxrAWB15yX7ak0fEILzWKA6N/HjlGZHVBseR6Ucpg5qZTFgVipXD0 +p2ByGs/32cc91KGazYPrQ/R81/AwIkqR5OltlZsBa5jdARAb5BeSnJ8+AeQq6edE6Dq3oUZ/3nG6 +9BspOieY7UUZHYtgbab+nj8f6avPlW3BEoEMZXWI/bUoM9PdIVR9DR4USbKPSSqpBFvSgMExBTRv +QZksKMfpUum8ZoqHhMPeWOzekMGAonNMke8Wi3pR3YtfGDQ5pIr4u/+c7GHejpSfCuz5UKuNdd35 +aeumbSRXVsQAkkUsroyc+iJ+gTPBl64B07JC2J4ZPqrlxRDoP45o+lsKgjKlU2+twi5dVuS4J7aU +z1ziiLRzIczARq4SUGboqip+qBAnZWC5lEG2gt03v36BJT1VHnbVOn7zXXLJi+d0syjU5yjBPFpS +dKY9N/zPRrU/1F3PpwLcobh3uoyiOyX4zuBBIoWWX/HZGGmrtt0HSDCilkeaW1NMgTPQuZraLPwo +RevZnuIaFIrfBa6bviZOf1MHrpl0koQh7yELhGsEog++0D2J1QMpCMtkMxDBiY5V57b9HgsLYUDk +xdihLQA6CgVlcmKvR/k+8eGZ4C/hm4ktFdqsUzWI0We6CYcyLvNbOP08XxhRmZ59zON8sb+ZBS6z +FC9kdkdNogRDdTx20NAs3zcR84jCVZNMzaqFbNsa77ZEP2YNj7nk9p6azXqontspVoxuEFtHF0y7 +fe9+qi1N9elzic5N74WQiK7uZcTlpUoAT1JBiOJEN+Kmy+QRN+mHwfyTyAiBUwbwnAfV585HJMS6 +Cm2/rNE5lF/H3otANENMc1/hNE3FR38Z0zyTE0zQ9ywIIJt/5a7HrKYwzIIrhSh5L7ioEEZmTtYc +aJyrRckT8z0Y6gBMUjkVvROnVNH7OgudXT/dHoPzl0ynLg+ULB521INGY1OeSuniuX/BUkyxFgqO +uk7AzYO97PSNudZ0lze0hJ63fTKDqynB3Xx/yI9gZXJFOuMoVqDkBDurFQ9IE9xaCb9n56d8QV0d +yboja2DLCi6/7Za0LnV4zwnAjJYdJ/BUI9Os7DMYHykXrs6F9xasyefBKfCZUnomcPOtoh7nqxXD +ynZbrnSZjeAYSPGudqXhmqkgg9mylaTWLrmqYLnysm3gsGC0qSu9/A6gBrCm/KnN393czF3E60cO ++d9RJEDtftpN8rbtXEMyk05mSGX3MrgAfKuURS8IegubY4fNAhVHojQQ9hTh9FUVoFq0fV1X7CDh +PBVH9QJzv4r1afv88e8gbr+8GPB1PdkZLGwNrpL/gDRdCXefksN3ysSnI87749vVX3JcvOJ8qIpu +MKcCz13oR3FWmdytzm0IX7Ecsy5ltIgJCyoXmOL/98brDUeDjqIp61fnc2SzdeCk09yz1b1unifT +c2lziWu0P7gEo6lM4KtVCzaj0ASgLodbQsxPK1cK7TzO/BEUVvMLHX2VhqcxP8hRrrzGDNn2JsoI +dOe/mECl31b90WGRtof5eBcZ1T5U4nb8ndlKHJHcCcELTwe5FgjlNp+ynxxtjnzxMKGeOKCg05i+ +wLR5Bt7Rda8Pb3IGJJMCGDT0SpHXSD9jGwBE1RGhb5bQIIXLmq7cy/b/odr8PX4abi/j0xCrZKSZ +VgiI0Na94399kozxzQmqJyc0c4TcfhAcX/tKRoq65YcIXNL/MeC2jBI79CNndQh0wxlY6ezeAWCQ +N2SszF3OEwnlR6nycBWzZanCPOfjsTDmBjxlpoZ11hgkywKKjqvVe87AgBgka0R0uvjPvRS+4avf +NMdlj1FBh5kVPum5rbFbiwUKrOFdFy93eLJ/ZBipyz7Tuo10KH6WcBVdODPn3ipG5HDB+ldWSzfA +dwnKpARBttepvUe03fQy38oj/q4103azsw2c/jpaZUA8AiPoAGyF9nGxQRtzWuKNROowIb5EuHoW +Bu1Gn9gnR1h1TOni9Coaa4gksMeOh5+WArZLqSeMV4P+2el7OGB3i9P9GdpcAw0Dsud09Igwy/b7 +WWbSA1eoBK8SiEaTpheGNFaG2lS5C5zPFt8HsYl7/X+HRKemUsX+0FZnKn7Go5WRVpVubh0GDmz+ +YpebZRx2c3kQe1rrHR7ABD8mO15Y8xwTuAwgTeKdh4YTQF+UK9DH4vgbQMdAJbDZnfFMubsQVfjh +Fc47vU12mIbtw3CR3SHSiwlq8LnnrDMKXhnNCuhlPSW/IXdRJhBxImBRj6G6Y+mjB/0Z1Uoc9jnB +zPzKCwArFn/Uvi/5DnXIceHz/4IkfMoR4tK5gq1366hplYtYzcONOv5REDrGwQHqy0HW5HHhjBpG +oMv0Bep38exbe1bu9xYw6xNoLazWUNtl5cIfzoJslH8d+WHKnW77qsQmyX1KW9xhlhC2IJw6yjk3 +mdty/jic2Ao4lR+Syakk/ktnPwfOFy5sT0vOv5Hv4htQbhR4PqzI9qz0ntOZsC7QxDqmpSsysQGF +hLmc3sf0WMAeL6WuB5EBkETaBGh75gfBMTlbD1b0SaoaOwBETwpM5Y711rWZcjAnsk2HEmOsa8aK +1UmBMXB7DZ79E8GUJL21yCpvH+xo13hfmNIZtr56z0JY8NnLULTM1652k/Ydv35JbZjyCR4i2NJx +/chS2XRWw3f6G7qm+vdJ7VM2xT2rOomcnDjQD4YMNEz3WrNRaM4/65hyiGtrsEGEz1Nfu0PD5qU4 +VJ6hDRHIDYIt9Knt/FO/K8hrNolr2RQKcfi5wwIcBYg5ZJrtsa+YsJzhSOaxvoWMI1eYWH+EAk1r +qumuuLG2CbIE4/cMaRFD3nsDkpGKNN9aYnsfSZzLZxY0bB/kpmXIAIviTKcPsdlgcFObzgF5gbKI +RLx9kiCbGb/9b3/8iSYXn+xQgagjHFEjR6o82oMtLz0tnUuiKPhSBFoEk8eHH9dhG/QGrUJXaV2/ +ML1vZ/FZR9qARVsluO0SsjyBdo0ZXVh8ZSD63wRWpAAR0giRghPgPGdr5Y/0K9gVevulcm4cfdAX +WpznRLB5MWWDiK2OdmJw7uAyQ1/rzr+ogT0ee1Tsqvn0n4DrWwdQoSWgrwDXyAwIvJ0tSxbMiytT +G9Tpum5C1XhGudbQib5OnRWiaN2B95ufqtHryJuLSBaVDYPWmegwnAuyA8UwS8s3H8h2RgV7ekWH +5FVvxFOXo1vMEgYRabAKb76qqOJK9i4i3S23Fy3f0LbnYbxvzBtPhEv6DoyeOfknh4FOTJy8OXbK +0MrlSNPm0jsPR3sEhdyedTKAM43Rw/kZ0cQd84juFA1WvAtF05U36O7vytxSw4c2HOgOTOIsluZz +t1a5jQd2jjqbBC4mvuD2JRrXBYPTOlvXCN2bjpcUxaghx/Ej1Fv6dRRRjaZWvoJ74ppl9+4A+VsR +kJypl3HMW8zMyzTb6pMm/PGV2JhsHMNLmmz3KVsKHS1piUoip/Tph2mGWeHOpxvU+/NYWTek6s7w +YsiovILtLltWRzZ/pRxB/KoWnG6KuQprmWIJtegZhlWk3olUY4DrLZ0tPP5L5SLFDXyjUA6S9/en +9sOIePS27Ct8H50QvU3QdM91pO/5tHCHkSAVzsztinx+ytA8DrhvLa8UfgWoTc7tOd6pTwd+HRsQ +lmWYmNygnYtXnoiWSx3qnflrBNTjkkeAaUuriPf12iCW0WWbiXBnUZqlzoyFD483YNquUAK163cB +O+SHjpCQUZDqs/HD2UoL9OSSNioF42Ep72fNINp6X9LrAaBC0nknS5bmm/KJ1blA0lhu9LBGF7F8 +G5umPLgKim2AGOr5+aW/2kqV4Udd9LQkZHygVNeuTDZsSeoI5dMAruHsYf8kNMYcLn8aerAk3VHL +syQjeT9lz9XHuYIguUNPun2dHhKBgruRT6C1zBlSjf2MsNk1zXz+/meUWpsV24z14F3vRyo9HvbQ +KtykVloIch5JOROf7eVRK7LNlNgZgz+IjhHpOvfPNHFic5i+uaNdCBeNgooJRRSGLevMXWzBknaI +rDmqR3vvg9KPex4YNAfnikUy+ggYlvG7K2q9y/z5k7wOLONiKSSu+q29hBxSYT1YsyPnZDp+GCvV +p3zUfA1eKKsQcy1E9VSa4VVfHcRb3bZXHjfNiVajE0AVpbNTdD4ukAr9ZCRH+uttfN0T85LrM+2d +5rTNhfMbE2h8Iy9xQSTov+WCCehfGfc8GUBOpCKjn4faJudmo3VLNT8JrVbYgbP1Us3Rk74pl1Wo +GhKHT4yb3lsyoWV6GFbFQ1yipA1BKSAJJ63Dm9s3lgR6f883CmZAPni5nuhWOMNc4maAN6HQs6Si +UT+9PDjSDm8bZxKVCKZmygBrrNUDLdD1Z1Xi6esJSuOejTW+ipIoN5jc1P6aBdgxfNXeD9iOyihv +zLPorxSBcu1Nn15onzkfcNfT2ik64Zo1CvyaVIJZkXXk559kKWGFVWQQBrRhgY80QbZxvcGRrNiO +3nAVh79LylNbpOH6L3qqFpL0KwlDkiY1PRtPutgHu0H7YwLVcKY0n01TuEiJ+Toux3ooPSPcBCDO +oC+4IIaMZtIpC17RMr3pmPdQGPaUVTrXGdF9VH45HfiwamUUYuNjhmYnPnT2lFm/h7tShJbnLrY9 +w/rQqeD6g6aKfBiAuidcfkq0v2jI1+AtT+Vr1wPtWojuSYgYksIEuuFgma32oZU3nXLMy1dabycA +qEpqO2jO0smShOtTaCLrYYncGI8+JQxZ1PyNlJOmf45LnUnCWABeb0ZFOS540mcilHAsQZk1YB7u +EjB0QoUaDDVgB8eVpPJEfdOe5i4ODzU87qiI1f9I2gHfEmjnx9pnlqI+pUSGD9shwpY2hXSIASH7 +wyKqyrZR+cG73/PmCpqOqnmmYDrXXzpTJY7N6BvyK6adon+MvMdulb5k31ymoGH1tyVR4huIqGRe +mWXTmvVmJ1QPDXKpILMpCHZESwT5OcPWN+p7XbbfFy1P8bm+4XdzJJbDlh4ifNxDd9R0uNTkr0rk +UZxRNgtBjI0sJlIuDHDa4zF728JQj2UD8S4lcA30xNHxoaYTrWLBvJLVN0QDycXN6i9VRhVDjc9d +ilpmhY9oFKuD3Mm7iqceSAYkSuoShTLCf/A/Y4bc7vPQVlynbpun/55l25qtdaz7+pmmhAO8zcGs +61xOJZrsMXJmfOMnLcHS7INrxqXK8vTT7OtqhHeVz/aDS081kr4ms5dI5eVjrGg/vHFef09pk1Be +aRycE99EmQe/AM4sR5v/0gE0xXKYhGmX84dYPWXVhqH5I/i6FtacN/04As7jcxDTU2GfgakvDk2y +JrTCX/1Vp9a77HupXFxqK1F6szqkwSD2BA0vU0TT2AYbqI6A3VT2fDgaqIO3yxxMGnn1tt+FX63U +kOYM6ef9ENSH7PfKpDEnAAqlTv26+sHD6O07i9QrM5N5pSoGriJ+Oy0YLWZ46KUeOQvkdd98DSuO +bmiJGJL/bm1rQBQehanrWzhyR2/1ouBGtRp0+crzUE32gEN8/18TDKR8Dk1MeAu7To2qERQ3qgmQ +oJ0Ia9SHlXzyI4TKiI95qDEfap62241Kdhta4C9gna4rBximi/m1NC9S4SiUCghCf1rmGP0h/lOy +B1FpvnzLNj754ZQo2WsxMv3q8MsUsi+mlJFE9FlTgICum/g5W95WpH9kxuM96dOBp/VvO2mYOg5e +4ebxRWrFLjwE8M7Vt5jYM/KwY7EW/0u3BSa4fSXxFAXAD61XO/zK0ip7YUqE6LcIwv+3KijjbAku +UifRpQupBBMcnfrC7oiB/V+thhY1nTTISG14CHA81xfC+NmKVlW7c0x6qBNgSOMgEAzYUDq1tnIt +wcUdT1hWRY+b5EKmSfiaP/dB3UqZUDqLojW5vJMGZVSSNX4YrG29SjgbdPP0nDcUl01mzOQRwwrU +WzTR99FOs2P7LjnFustXt0B9Aflokdv+KFCwoFS9Bibws5CVyKNOb20KqBwSekho5t7YdlLu+msq +B9GTCMblTt/haI4b1AgIBp+wd45gHFcHLNF0suT6Wi9HBHSf8JY/ag9Ekvpv4nAnbon4fqvtSNwU +3L6eya5L5RI9E7XyZEUN5+b/0ulgY9maIj+PCKcJWJm2uS0ZZTSfAEjY7sbWPOBNXrMoI4lhEqpg +oIHsUpOBHi/xarNumq8P7w+I7v0FxZBpcPNSwbqAvuNXiAl4mUGHoRHkOmDpqqshZ4HLfp2kQ3AP +P7aEhnYdIqSoR8CQo/bK1Nq+xYBqV59bz46bk7wfV9NEQ+9QyJsHRbF64CrgZhZl8CiIqgaToRPp +1cJrTpeu0x5abq+9h4urL7gg0QxyJ6Uz+rQPCcGsAYN377TNvRWFuIf9/YXZZTzcArjgDKSajA3z +syYsu2EBTvL18TPvkuEJZvTFfWT9PM88pL5T5EnXp72MKJEPHUo0Wfvv5zFKG3euTKk1FMrAPPLq +M2NOExD+uHCu8qPDZiWUnEma/0hocq73uWfXoMuPchWdAvJgayufsUc1v5SNM0RLWhdRnOHFDhWg +0qXHOV7Z1B5N91kvEnVCPzIJnNi4YQonGAVutuVG6jmWSuN/PuENJpTqksbTHQ6lde0UlWf/5Sxa +iH9LPVc/JzgPV+NF6peD7JuyOb8M/e5G6pBuN+Rf1Y6lTBp1hv6afH9T64a1W53H65JjG+3CkPGk +vny9g1+nf6oKJdWzYq0lLK3JPVkxchSqjZx9cNtkJEgG2vrAJXy6u0LwUS+qz/ol3cmJ9psInOHY +ih1o4kOB9roG+dxMxn+67P6vjzoqlwmncrnGxQe3ZEiDVN5P7Lrbak4hIrKCJJtXHxqoIPxEGxrQ ++o4iKQhmbhVQioWNSEnTuLiSc+A6N2cM0T8Z6e0kWkPraTr2yIJ3T/Te2wSexbTWBJyb/ORQRj9V +Y8qY726sa1wK4hjy2Q0yJ3CyqtsLrClz+uos7uKHDf14UJ3bnEWk3gyRmq/suMIGxka0MO6I9FLb +aAQjZrjlGo6zu+l3k4h9cub/6lujNkuMs+/zvNFxrJFZ+10AHO2tJEf034eEP+Z2GffOzISqS6ar +BQQTE3xy4cTWDVgS9dEQKqPc9N9WSZO6N1kzT+8mLGYmxrIP6MV7vyR02Ue+1xrl5QYeAFWU9G+M +7IIwQbOsPBaaWES7GCRf04y7CI6QdLEF1HeYSaEAKnrMqoT6kdsTWXNpMOuR/ToXaZflq+nLs0O3 +kB6ZTZsCLkmwx7IJ0rqRKWvs6vSwpE5crvFJL2i7cpQchec6dBfOFe3TXmOAv1zFp5Zu5A3PXApH +x1++it47Xzg33/8X99IdCoBt679vIC7f2OEmI1OuHCGbYZbxmy5pjkdnRodkSpcLd5i3IGGYc+ux +mfMn5rMmTfhlL4y5S0JDmOGTC4eVk6rkC9AKF9HcF7ryjRh15JnM8vIawyd6FYZDqNjHad3q0HLW +Y4JokFA7ZCLScTlr06a5w3pzrMxUirGJFwtsJVRO6Ay+VzaN2Ze22OmEHBEVkDRG01T/K6iOJSPO +vTV+mRFPNTezHsW197wfRTP9txeIbXUUtXXIi/3rv0cNqtS0bH4YB0mEdfU0JEDxLYxeWvdIgYJH +1jb9YRB+94Y4UI1Sb2ssGq5sm1MJoA3CSWBS9BKSXqXr+tJFRLko5lIkt2MHcrAO6YdatG6vDvou +2tYVPMV4kejRCY3hT5RdiW4/E8udpgwB5AA678ufuWr/p+4QM/MIYc+71tmcTgUY0EE6KcwkFpdE +NKAr0WxHnul9tt93UUWuaaFLxOFPWDo1D4w8JtoKpugmdos7smM7xzUSXh9F3O4T8Z4OofXJRdXu +nWue0+SKW+7PW2b/pyeLgE7/1YFdU5ekMoLS1YQ1RN1ecUSey6Kir74XdurImzOBT1kYrxQXh2DV +wNSLpZ5pt6eKIesUPOVlVj61rZZ/v54HEhOcJBIzCwl3JYPrBGN2cA3foVsSXTSYVkKTeFFRboiC +Rt2GsVrjDRyn9jTuaaRuhJDB94GR2QplU+4w+Jhc/SIydLirRAHZp9eOBpyBfjMGBsR6L/FFTyCg +0Qn4DoLjVW2e1DLCRIEEjc54SPSa/j8a+P01lGWU3sMK4UI1EXw7JgfZ1//4zk3YB0qc6N+3jrf4 +MG5O9jtOKHmVvLvUaAOyhawUkRsqj9K0qpuV5H7fpuuXEmC7+3cKCXWp1iK80T/zrYy4iMQnRfSv +QXycMOecDZQVWv5YoUChQSf66O24UsxdJi6COdj2oSd7LVeBTPQUbKc3ad0krtFIUgw9HA1pMM7e +uQxNe8e61oLdd3mz0MRcBsrdkABqkh7JERF0J6h0sI8vkvji1nKQODjrEXIQ9Umlj4CbYMj0c7T2 +c8Wut1voLihrBZZU7r88yuc6tQDITHj0sJJztMm6IokOqc+dnycN4JYCgxHxe3KK4F3KuslEE6bm +6vJl/MQ3y0dzf6Q5FA4wK3GD8rDosu+6RHMk08kg23puRH2CnF5fP9kJtjjyjNybKPAF9Rv9c0N+ +NzsC0JXAmY+DK2XPw8Q5nTYb9UOSFMnIGoK9Xtt2qGRT/haiVN/GZF/NxTQ3QomSRR/BvT0smUc4 +N5hr/XvxTdeKll0GRXVMMyKJ5h1G2YyIDUM5iJaMt/BOhbRMaCiSRgEX63Q2b5wLYbrLBMMtVlUI +zTh4q+276uLCkGSZ1aQUUWw6zYCQzoYFZ5UxaPOSPLhsPEbxZ9qg8mBixZZr6vau9htCrwB/c+BP +jYR/kW6ftVjRdF1y2aFB1ouBFuump6OTBVHC3uimee8wz+JEO28ji278EEUr/FcAOAmq4jdYm1F7 +VVnaiGzYrDrxQOqqU+ftazyCyqnVtQNDfskEKh4+GWpbIrMLimf64+Q0V+nWlWUIOhUrEcgjLp1H +yOUPftaaVrB1UadjOF7itUcPK5yhJDPFY6WWvbe0t15BmW3yCd3lOyPCKKoJVRH8mNgayWsURxcs +J/7jo8MqJIe0B2pJDT1mHpalAPrZXnh2CAdLzkbBYv6G7TCwxpq69g4u+jVWIA/jbdscTmB84Kmz +WEBRXbAXC1xBH/qOqHqgibjP5H/KVgqDCmQOshOpqCHne+IQ884Ws2SFw1M7DWyy3h1WZa6uq371 +k3WUWotQVMrLgHfHXpyOXLmg/581vBGMXKb2SOMB23eM62oVOcEi44EtYfyv8HrgqbhKtV/1C2I+ +xc9/v7rZoW+flBdA/n0nSKzjGLViqNOtcoUr64L2dW8kbtGvDrUPGJLqzET4MFBbuEzThgo4fvwx +YLpNPBi7djgMg0WsyePKRXgk+873Lj5y+/ivnGV827iVFiB401JmWDxqmj6ZCTikarXbJFCvRkAW +AK4qMRE27DcPkW6h96i1sfvNInpd7CPF6frcBmOz/9rsfQH4U4yOx97F2AfDfhn0nw+P/rlVGLP1 +pHOC/MdpyQJBR7jhvG0eQkAz+XSZA+1ors9gynFB4UbuJ2aYgRGN7ZON5kEHS5d4QxjVzx3SCKnC +vFr09bHSYnuqhwX60bFSdsbz8k7BUOBTT6vIvTUYoQkbwFHD44+kljtFCuM6yxXy3lwgeyZz2GHO +jlb4UGD5ii4aY2zE5yse/QoxSlS03UwZ7/Aeae1QaYiZTq+AtnngkPXbOmSHJ58pvWLa5djI6eWZ +5Sx1IF9QjXPIQ9ank9DEKyuwm05jsVbvaCjll0SJAxAy4UlPWHg6tV/Db/QTBYRtv/9fjGemMffZ +TgWtx/dcWizkBuShMriT+UEw9v1NNpMW5IyDnnDwS4Hu3ozWODXwQaIYc6XWx0icmbCRH/aPGd4E +mXTDh30ZJ2mAQGKG36lktIVFjfC/rMsRx77g9pr5biUwKXX9JcPiwf0QKlcRrsGnv9/HVxmgG/tM +eCHnAF/P0zYlZMG6DyLizK3c6Bj6ZCUYMYWtoC/WfJEZwHWGvLW/BFSHoeK3Dv9tPXgYgrJRosd6 +HroPK9jUkIEIUtRYahThROOl1lfOfKTbwQUHj0kFy7WpzxmWyyZtMkud4wkGj90kPYfcVIm7FDCu +VAG+VJwWfQdk0Jj9GlcBQ6BUV12bgN0sKpx/4gWiQYBBNiq+DbPh74t/kQ1NFUjPez+T1i0vEta8 +XgQ1PdfeJfnGcBfHKJqUjm1iGw7EtsUDe8QDmilgmUPc3APzMzxocQsJCIYhcqTlOJLzJPqqDjGM +40DJg/JlsTOvWHIjumPBwA1sysV1Aws1YHFC8wTAYk+F6hDUz2bwhKUeUQY5+qn+9TowAAdICRnw +LP4xzXX8Rvc5vBvhVYsUOtE1uPUXJmNpkBadc58n0Z6YCltrvkzjTDCaOEjFxVK/Jli9ZdfhAJba +ZsjyYzUZnprhYzvs2kdJStntxi6JXCVzRJ4hZCLPFaR68wM6Zv6iIVeCepf8LBQFrFejlfBSeKbW +fpnHRxMKVQ7q0/OjaSipdZJjxIWnHHV5q3SjPSfvEpG4mXVGBl/AwrSqUkWGOj+VkxDvwwDty/Pn +nJ4p7BcKE3o0nzeGuF3pDJRXgyjKpsmBv0fwvmNI7yaMk7SmJAXspd0SKw5CHwmdpMoxw7POcfI8 +BDq5OBybMrtsCyTMtnikpi42x5pO088O5ztM4AkmZ6Grz6G8ZtERgI3YHJL2ot5esJ26wr2RIRAr +R9lU8wJZhZhC5fk9TT3QH1ttSPeWm7/eMj35xS1iN3A84E42uNu+idgighSqVShRW3x3cZFZowbi +wc5rQnEqAojAIeCg2O7p9X03tp4fSZGg5fM/fNVVRlyZedY3oINs4yYW8g+ViVwh3+KgpTEve1gg +Kgxy/H8v0o3OIe3JjODOFYy93Bbmkvc7MAd2M3GGv/LwTJkY0r5FgM06qmfnpv55DZvUIJD1KpuA +mjFpKcrRPnlySk8Kes/w/3Z2ubCfpM9FBKyl+8Qs8q2VEZtv0cg31pFLWYXhjmO817VTpvuWvo74 +a6iSw2KLIjCy/fEP1lKK/nX4MgQuvvE58/egi5vdBij9BF+KteVelqunbE7y9BZdTv38YkcTQNzK +oUzwakLw0a6RRPFBwlS+l+3cwx17wOgjyiR2GwASxyd5I93oLhNLKATZBGyVcH3NEC7SqunWjqmP +V0/RpEIc8NUFQCXhnFNKzI25J9DszL4lkaIMjs9OWOc4FYHBAnfGf+R+c+oUxfn90w5psZoBPwIY +b9AEetdmTqF8rhG8tGsXast5ZkYADXogGu3hwO9TponTmkivmKP8w+GovCIvVsphoK/mucbUSep3 +bg7tiAIxnA2k4ETdabKUWI03ACVHN3jcF9ajK1VAItRj7xJxGvwxHqTAgHLI2k6D3M4pS5y+SGLx +Vd/mhx9TtFqTOU9r82vLNRZ09VfWaueUyLw1Z/UBCARWuG5YwzBg2H3Txi1kI7XcrAwhRwBQufJh +och2dYU/QpWMZvPSlNn57zgx1SHpOEQhD09F8BOiNnqok6YKSGG7CEapC7T7lG0FtN/EqKAJ+1Lt +G6fx2qxEyN3LMvCuzIoBoZEowjtEGIifQdz4Yxgv5aK5DzMeULZjrBz7br0Z5chTrB6CZ+WSWRNz +jqmqA7pBfqhgKJO4AjITKs4tadKQXniKftxU/s47QFrBacwYjiHSMKW9rir3dkZ964M4b6kgSu/j +RF7H3tvL9DUQb924v8DhjKiM0//bOi/feE/Xf8viVxQwd4nA6DMpOJJTkDx1LzQjHGAi6twbeYOU +uxTNCGmhb22ZMTnNE7mghnwpKguaWW6yepGt8ob8n16gjJCthEnrk2OPuD1756ghfZj86gVCODq7 +oW11nUP8Zpy/6l+Bft7nwn/iCjjkNWIKr77OorJPse1olaFJtQvdYg4O3v8yP5HTXnD8AQlKijXI +78qawbUIyw01yD5Ax9gtznJxGSb7UOEZ8EhqmGAuhD1XhybWka9rmlERHrpWR+nel6THbFNY431c +UXFmYYZuJnM/Voiar/1Y57lglQ8TF7LNOMZZKtr9XKWfqaaEZEZdRwQCyX7uIHpfEPviq1FkNJZk +pQoCWwo+R+PDXephPNCKr6W4b5rEkRd9lnpOmwDxkCmnLWNGtnGXDTXe+FkffUWVByNikwfCQ4Gy +NWFRmTRvHAadPv6YWhce/+vD6e/OCwysvIw6c9XxpzynDUR4/DPS+9HHxIXYfZJTKCNzFKsO3lg8 +zR4YWia2Zq5tjA6YMRjiCyVYKxrC8rd5SZHlnBujFRt6HcD/jfSFzk3V0SjclUV0BY8MsH0sY6sF +gxpHCDzWtWt8Dtl8jE7kmxEb9qx0IhFk7yMJ2ZJt9qCl+XE1oYvgf1l1/JzgeZcVdDol2/pkFAVy +2NYiALTEIUGXsfrILC5k1pV0dvjpP6S3iRm7XW5n8VCrfw3C2p0jatqLCzpu3YV0+lzBshQVvCUG +FI/MAdWgcTgzlX4DD1CnmFOF1YIj9zlrd1iNXw2Ys3mlpWy3wnCV17Eil4z9a2FBvX/puHL6Z7zz +oRflQ6AlnUcZFavFgo9s8y3K780LJ8ETJTZry4iEDYTu2QL5iTMQo8qzTKEmAgJyDxUqF6YMsWi9 +Fd+yVziJMIVvO8/XHd7pQqLd2S9+zcNBgFALfggQhwBdQH8errVhKppQqtpkuQwaH2h8wuePnQCW +qNTxHFv1iMJX+kKofczHptVWtJLTtU3whYOdkvN8zKLQE3UbFk9ZnjhabSehrZhEhEOObqit+CKz +GU7YJsxp35BqP6idtgz/QN21Hi6iXypkSCAnqCIE8cicf6FRiMqVVLCqJHu+hKu2I9s5vxFfR/8q +y6Fqu/BZYURD24D1QW+SFQGKDg8offWNWRjjE/QSHQX5M6c73Zk6TQ0um2m5+XATBEeao8BEdC5f +SOQMpgr4dV/c1nMtSjedX2hUm1IYb6tnIUR25polcr/AfPy7sJoUIwRuDZ0jO0sCqlkoq6sVN5J2 +k8t6mwoxPUUsAlf9/yDPTmSowFzvMbr7q3cHmd36hqrXqsER/WlS6ToI8HXWx7ZD4kFJDKKCHcR9 +f8aqn0BrijKEl/4VwR1qmKSr9bX0DSO+ZNoc0HnmOnLVSX3kHA0CN7j/S15V9Yur9QCOO1DyEueY +5E05FxLCoS3Nj5SbubYPkzk7CYViJOJ5+qAkybSLvApyGBhGFkueZp1wOmGQyyxMHcgTdoSmP9+v +AWKuWpDsXmIQ0zBz3b/Jf3HEmNQD3Vym6Mfeup/HSX7E9YDCQNBcB4Fkn9869cBzdXb15vIeJPfU +Q1GH9mgdPMpyTnpN3/jHBjE56Xkh4wGNM6SAutS8C+osmkLFomVnxygnKMhnOwC5kJyhtHK9qD5t +Y7uvxMme5LKwR32JzEYIbJczIzgTA4Lo60dPB5eFyep6GpbrhzaqN4xgE70iUURzCzu1eRP90VRs +QQf0Gj2/GTtVF4HeFGv1jda2rOPBlwxGKgDVT1TVxx1l/poBbTLXOex/iIT/xfDbrIXkQCg0elxC +moJUKG+gioQfoFJ8bKnBBYLSnXQQQ9b8TqVEJB39ozGmXrqHEiwSj/fGqqFPmFU6aCXbWvsGJjsu +JGald5s5RNbSL9eC/aXczmK3be8XaV+iLl8iLqGy/+El5qy92gtsXOS8MQwKFG8pXCNfHYgDAchd +t8bt1SDzdhvGny5Jh6C5FwBSZ+yhgqwTMy1BFqzXjHH+0irqCA4cKkZggSpWBAZcjASbJpScAm75 +NjGFjoRb9xomn/NwUCiwB7ptn6JEUhs+WNTlCdmFdPFvf6uz0WQjXsJLZlEfE6yNLWLZKerEdWDB ++Vut+Slguvrs2/lJDRkppeFfw4xDu35l6w8ecCxFLsAlh5L0PBVicCBr/EqRbiJ023dm1B5DU2TT +iXIbLdzgWsnqHHFfiWYNK7GQ8umWUuurywFGFIKgVee9KwebTM72F3VAzyAUFGr25rOCScSoTNrj +fBmF6anbjBtu20CKjAnEWM2bJwuDZxG/bPCHPT4Riwr5b/kDSFhPMsyvDLcGjVnLA6uCdUnriA3t +PvOfSph7y0IUO+E3EeNPX+CLM+TmoWYVR0eN+5y3ezqRV5zQc8Lf5aOX13BEKGF0nzdf/X5HlFli +rsDly54Y2F37cp6tQlVHtDRM020CXnU7CZNheB/uAbi8LRXbQiE2r1j/0lDVSWLifFPev1IZBPQp +pxAT30jrqVR28uZaJcvKR8Id6yzeaxqaibpEO1kNxhFsIEYlgfzmWNIqtuw3LjT9A71E8RP8ZIUG +vFFKA0ufMdAtyZY89NsFc88AXLpvtZ4ZJ0moaetgeojouHS1BI7IGXmiJKP3s9C2qlHV4hDr6XGq +FX6ZwWkC7xCzbW0104DT5quB5NWoJoNGdA7B3/ifqoV+KYfOYu+voIAnBKxBQFsXTQssw+wLUEmW +FAm+phfgHNG9EYmmfdYbhd+oo5BQxEqI/KyclIx3nWJpAeiHbQFz2XsjLxc2k/FS6khCmi0X/fyI +4GTl3qcDewKnEIdGIWSla7ro+ULQmlObclvNG7etQO7wYQ11PzQ+o1YA1bElSvfE4IrkKlEUK7cH +0uFXScV4meRinu1q+ucHLP4iKexkBNLUHuPjTIZtItRD6GSfcE8N09IQn3qY0Q0AUeR6sUICLDdJ +mBFFYpJjiEkMw4gnpDMz3abYU0dC6eqtUFdpuCPq6bsobq0ox1SxHAoLNldJ1nfZaotaZDCH/mkS +CPMRFuBFIev92m6lwIW3ht1N50JSFP6t9DFfZ7dCBD9xod2EApLDAvcM5+2jz5EQRF/joD18CFM0 +UPepXQI2pL+31740cJLAe3DJHY5W+RjzIGOXas/LuHnak4EzlKw7Tu9rtXscRGRgsfcwFFXkQboe +SN3vtZMwhAgk9wQTfEDuk+HM5xSQN5VGXwnfTkOudYMYS354ntzceXoEolaUVxBfHp2/rM8Mh1ht +spm/RRLLA4mGA3MlXTY9aZ6olT4MMBt+GaTHruhdO4CAl7zB1i2VcR54i4jaDcVZQlTFrFuwsBfc +e4Z94dJ31Hxz0MpBe/VcsLJsp3V9QcdO+pN9EBeYIMCblQOVWddc7OCqzU/F+aOdjCHM3mm0le4F +vJZ5CzUPQ7isTjxUVSFl+5wktcc3OhiQ3BlU7pO2AUtS5htXm+UVQXX0pCtiZZOjoJri1HCZA6DB +SHbxC7iASzqd7TrukrIULcYl9dIrigSEgSROWN2rD5FNIFywzw6kZl3BOvadm4mJejrGUNsj0ZX2 +rks0cw4kwNqCp6paBS/MiK8YJAykCmg/gStZ+BPK5eMCt703cy8UYwGd/IyQB/zG/xKlNhrxpwgU +Dl2DpNWn+zjx9BryRfg8QhILfw955Wp3WKvb7Imuq4W/17l8VXnrHarrRc8SFSwZCTQ28jnj+dME +5BiahlctQIp7QWdMcBM1VzzpEQSHwQt+7Eh4nCfRjRluQ1BMwgA89EENySIm2P8De7TOgNYSd5SL +aDJqx8NS9XuUyeTLkAfB9vkGTpTRRCzB3uOdUWtoE9EA5Xu/FrVLCFkEbQSXTbrBq1ZsngUuZU4G +afVDTrix4cDOi35187rzUamAlPzcCYxRK/EvAVAiBzzQpPTINfh4T1keoKt8KKfXetkvRs6W8qo2 +BROaZFO7C74MXgsTN8itU8HCulMDwXHc8zqMGp6SBFZ/ew6WL55FqFlNgQ8RMRjZjBmDkrOstkZo +p0OmKbpXO9KOhemuCLEZFPO/+6/5A5GcwVRAvrC8zjGdeICK+OLe18tm/bUbofPrHYGZOec0LPDD +Ao6tFi8rEe0HwBXh318LvR9GCx/rIUbG7owwFARDnCbvulWZ+yPYTktV9jKB6ap357f7EnjUXxbc ++0I39eGTxaju7FFwTRYE79icHw0A2sEY0ByH5oziz7FGrFieoVLJALXMExt6eB+1ZMYJqONzbQRE +Q8+h/mWnSrWSjpYxPe5i3yFFDT10bUh2sLP+akmK9ZZ+HGFsFoWekGeiVa+Lx+B4+12Vb1PYZGHQ +KBAnzYHZODngQw7Cc885r5e1I9ZMGuXqKiUI15CDPzLmvupNvBTLw851X+U9NYRHsMN1i9uSoEH9 +DW+xrWBH0F6jRz3sUMnwS7/VlxgHt/hZ81heVqrb+XQSo09puji0/ERugkZTSreIuFAL0rwGBzxJ +/it+R/LIxc9EWaRm0H1wEBwGglqV2Q3uI8AkMBVPOcxfxOrq1cXYQUIR5zRm36xBmppcWwGd/Eqz +CDcmlwJ97IJgkRWRFb2GbUF9ofxVgTj32IiFfSPljNWJC62ZEhLMr624x/IVSi1zzANLu52g54+0 +nr9dP5mjLV4D2cRDK3neflXETqBzLGLdgJbAhasm1wCQ/ckqTWT16MeTU6DsH0phmIyaqSdZ+wu5 +UzPVclnlbxM6oa9cKkiUv9yDqaYF2QaUHFtB+PhGKLzXOXY0FymFI+rUnVrWaG6MPgwynx5zMRFN +mRD7w7OEYpLZJkA/iEsotGe06YyzSdmGHUNEuOv5CaTRyxGlQ0yZCoyMDWorvWq/z3QnNd0mm0ke +07ABZfau1VYQnkvLZgYQ/JuKGucNVTGoR6v2I/wU/4A6NECzpuRZ1issTalgICi1UZnDdo2gxmu0 +0HIUxHN1b2aGPqMdd4GcTeBcVt+mtDwv6Oz9Wi266fV5NjyOHmmJPIuuI75Ihgtl4l0wXaj+wGyV +Wbq7PA5lwliwLJaKUyaFnAyWneFcPrGXspjuOt8yf0xigFmRgxgzUue+TKRciX/fOk6PYJXrKuqs +IWUfpCgs4uJyDgClTQWzx/ebrPgzjjJa1zIjFccoFTL75bWRUsJ/L5HBp2JS9qK5gksWIntQpKjw +Z8le5qSbKtIuO7eTNgQayfGK1IZd9uHDWKYm8ZPJQFPwizXYUfImMCKiiLKgElTSqh/zG1GugmV0 +VTFMXih3uMLo5pyMrFyNxtJDTe9zD8QydSMyJdEMCXf9qZxKkjtvf/6Cr4OtMJaSfLoq5vGxjhyH +P9ezUs+PR8BUl0EcthDyzs9HZwTI9xQcvn4qmJIJTT9k3M0gP5ZtEam9bs/bua+zVP0zLJqg+ONT +MAOFoMYca4BTrZV9D8WpDUjrJV7JnIljw9SBxFwpAHKghbd9U09eGaBPXNLAN4jmRNyzDS04DSMO +jPM0DWSZxx1Ow7Xjg+7s6RKMlkl7dCHRUDJqko0x1QWJL1f3lNUjLq4yeckrExzAi+XmoQRfK3EL +EvEjqmyqn/jnM1gFUmQFBftKiuMlpSY5a1y9BXthoD7ooxDn4EHcmdP6Jsxu3grXLnX9Kv8h6qqP +XuN9tjWFEQpTwX7VrJ134T0TA1Adkpdlil+U32npjLRzWBxQzt0PDEn6SW8RO4I28fQTBXN38R/a +1R/S6pLM/TKWJh0NnL8av8Wy5pjVOz/q97rmm+Di8m2L5bbcWF9SYKorJ+W9vVUv12ilDU3EwCMc +53GQQguXXqi0Z0a24dys6Hd1kJJ748WBtRgZZYbMvL1N+7VecioyDOd4s7UygLk1f+BxLaJMOYKp +6DqMM4qfkxeR4X2PbRZ43OEHtsakh5GllFTvBy/xmFPmD+xnl2TeK1NxQmhPrq5WpJQ2y99ZPNhg +W5wLVm3dd5dF/uoYj3pGIge78Ja34SJkuKGw2JDni4C7rlYmroJMkBI9woPyBF+TiDvjwE6tGdey +9hjlqSQlV60xFRuoucBvkMktHlanwOQHW8cf8WApSp6du8W61T3E/WHjeGMa9xqmc3MY1MB8dmHQ +N2XazUQbMLkgSimz4OvL8Og4GFguv3kryF4RDqvS4CDNFt/vpQm6FlQWiX4tXXBeln7X27dwqZi3 +gKvlfhHjlkYLX6jFw8ElJoD7Cofpz1Y5RSQdfPSbQJmPLiDGUXQeiGsk9IJ4x0iOjbLnSSM2y4YN +83HD4P7/mwjDDxgoy7qnMRU4dwn+S+cj48+mFo2QvkiH9QHptAXUfxceHLJG6nvuSQvRznkxNHy1 +9aIl6l2LLLx7WRHNpsRRdjtwniLgBmoauv68Lt+mfKjbkJ/vPgwzQg/q+lscxf5gp+pLK3p3CyA4 +B9NHdvMYz7YT2gd22Ig2vUUApd9DgitVvjr4PhDuP62s3e4/PXPSTUW8Mq5SebSozZHRyJ+joWjR +3s9riUoG9iQ7Z1IKoJA8X9oCiiHHXAfp9MrNYBZUl5cP0Ej/gTxyTjtqv4WmnOettgzfihVJoAGd +UeTchjmwxPV5ziV7JMbLdYlGF6foH0d5PQfb+zbfEoeysi8raH+PmTLSjaQhHArxvFd0eRzoc5xV +k6uMas8a6Eehk5SNLh8J+w5Nplytu/7hx1WCGFyEk/Vxqox9o32AHXpkeOU3Eg7nt6KRDBR09RLU +Yd6P562Rjoajqt1w7swntJ3PVLYyt/phu7FWtPAPrgFe5uZuQ73C5KqfPiPV8Scfzwf1eyp5rZLc +dLcwO+4qk996LlTrRB/PI5kqZ9UXdSb8nCSsrIYf7v+YDSNoNMIQ9Ful2PuE4qVrMRqZevzluH24 +CoRKzT7iUEW3pjll/g2uCSPTzHpxisVTA0uo7eAFhQSsqR+7jRACYtOjOZabJv8tfvcQluiz3Zi/ +BNixltyPa6M1kBKgUDPq0ZpLiwTgnK2TINi4W3OFOgr1RtqLcyJhfamPxdJHipTzwJ7P0kHEo+Wn +HQ+tyw+nDJhP7FnQoWbjub85ytbt9cjeTTF0F+Kd1vWuy1GRPziDuL7A9McoNBh1IbpPAQneO3ts +EfIoojt0v9FPe03txYdk5Hddk9DU8tplNl93VaUxJyvBW8VQjquprNrKi1+2YrQkGuueDMN6J61V +bnVlaZyswKfoJeyzlXPtQkhUbKv7DxsfYQCv+fLCmuiOLMPUagnlbWDXpTUye/SNe/uepdw1iVs7 +0EnkPS9a42lGG1BQSmI5YAtqcTNOe6EWeGHQyieLRN9dmlrQ6J6CDT/52gFTOhaHs34LjURaFAlx +OUiCesohyLPRogQhcY5LUYs+LdUMYnbdDlqzkBayyocDf/YpF1vjkEvBZCWjF3XrvaGU6Xs9mL60 +vEUntkC024GYS7hplrr/TfUzRQswwD5uY4OJOJw3f3hPAGrwWB/QONPA6H8KKIHcjA2X3tP3m6d7 +ynIK3C3X5yhVaw8d3a42loEI4pBo6SKoo2JnTV54ol38SCZUwNtHCsf9p6Grl2Nt+eMKxslOaIuh +alAynH/pKj0Tn88KyemOykfzmy8idSCtSYLnLmPHxYVAAVpvZrhAVBSD1yuKavEGnIf4Hftzujyh +Lup4S/RyiZ0JRmdRS7PIa5//LSh39qH9qYVqFeTGb8/MvKFNwr8Dbz/U/fXMxwU56m2yBNJviZsF +u16z6wOaocjwq+bfS6Z/+ajx6tqRXsytETO+zYWga8G45mVE7g9mXLWTN+bDrXvUrPDtNVjcSL+F +1YW3zktAMdI9MK5F77qb50ZzaCRgnQpKD6+HUr1qTBax1z2xK+Zfj7HFhdX7lmdl7/CVj3pIqH08 +F0rxOc9wbHoYVcIObHynd5CUT8HAh3s3ICb2OzXEQMSLaik3x6p5UNyLS2Y2ZjY6gEHSy3rTBSfO +sx9kpYOmmQf+kSZsjeQmoOLzFpL7nGLuvj0P0nml2OA66e9wiLQZ4STDcE9x8WzIrUS1Jm0a3pqA +dGfaJgYjgvwJWgL0cK6hv66QkaSciqQxDJK/cJRQRjsFFFdsKKjpEOj3zgAVt2R9iU3Mxqh6+UaG +3FNUYD9m9If5fRvQorrY4i+KdmafpYZE7Cp9fmWYJQe6ut1b+BY/epbjBgUC1/Im2T5b0xEEs0xS +3tp/3vr8v7mRm/WSPikDkaUNg3wzrOiPA19rZiceKsgP19Zl4xtEX4CElJOhvCSvqLHgrJQfNEOb +1bmcHhO/ak6Of2UhdBuvJaMp5nC8AQVbAEEFG1uJ+Qqm/O/azk/uyi+9gRSd8spnhrT2Wf+ub6Tm +sUs0Y74BUmwvLAwZAxd7Yz7aY1ua7DzcXmjl5AH/I3gSNiaP9WNynUWIPUgoewTrnWuvnPmGEO13 +AqhkvG/i9gxorpiTryylO7I5NXFEPcHk5ZKqs4cTTXSR8I11tGR2m1Qf3CzocipkJ4R4n2zAgULM +p+Lzx+mynX7hGQ4vQXPBjd+VnZ6Fr7AzCeXuA8FyGYjDJYBVyfU2Y72ao92H4x33WJwcKu8sUs/B +DfAdeBDrFPG/AtiSEwEMwrtRm6K29hWl5t5mqRT4fqm2Hf+z8jkLh7f1I1gT98Kj3U+PL3l9wxMQ +2tcyDlP9HfOSjsmgHwNipk4G0bY4WOzWZ3tZVGOM0UB0NZ8J69XqV0NB4dWzpy++o1q9Sk5I0iYb +0WQ2kNCrFVDadaNcHLW3pXA57fx0Y6AYM0YObc+yPkqMjtjwu6VC/kIPXsuYA6nA+BjL+37ucWWA +xcwZYpmallIM35mxUo96LPDkKdqO+vWUcIsjMcC0z71Gd42OqSZmjWJK0qavIO+QLmJLiSF6OOEa +JE7SJ28svVmcPR7IpQ/zsGrELU5oMub38MtrGT8xY+15wSJu8Z5wr7uAUXaW5v8+mScsAfxfUNvp +I7TolYasafwJToCoidDGlXw63NnF6LXZlr9BnLWKJ+SUlQRqhElfwk5w3TUKSW9bkHjtFUaBHn6p +FXf7ZeovwQ+BqPnY9SxaK9lRBuTerjrQ3UZiVGCLii7Sme1uEv7Fmsud/crt1jwOj89VE1uFnCi8 +wZqU4G13yhlzb4fOo7wC3BhP6qkPNNaA49RSOcLVJK4hxH97IBWiIs0bljhML4Hn4OWUSW7w6hw6 +bL/Tg6TMJm/5LB0AT7VLayBZvqsgafi2k/Z4yO+ap1VbrCvDJjMW5HDjOOdhnxdy+nr6B41lAPTU +1g2DMnCyz32ukdkcKrhzO/AtaZfwQuFeW98+ITriY7Mw6eTXkWbr2C1Lx1hObyh49kd9nXMR3XC4 +YSmjsiujCwZfiuKtPliZBqGiwJdGh2HkSfAg6Lua23UyOG2NUWFgr45QpkfPOCUDbFimp/huf1nP +u+a2bZbiY+OS44ydC/+eXfoQNvy/hcBVVcCy4KqsHCfZ8iMkL83FiuZ9XpsNVzMyR6ofhC7jHFlH +lnUzs04aHJa/O1EpE0FM4BIrosWyv36l8LuO9qDK+dKsRCw0dIbWQo1jUEohZOx3VQ52gYi5LE86 +/1FaZauHTg2DRtM6ppQiWaL2Vd2dn+Z0/sFeZVHP90R8HgpnvR56vuE4s3ZrcN5igzcYpe+eXlI4 +huEE32OqiQ80bh3IutOWCDT58OkZxpRvWm2De6q4mNjT0xhTEp4J9lP5UFjo3+53YZuqA1eAPdNn +5uFFzKTIiU22Gbhg61iQyDcDJNxHQ+McEgLx9UCXAx4lEqcGQFHX9tj41JkPKsXgfhmRhvjDYJFe +Zj/r/3iiYStv/xWKZsjwMcge6wgybp0++Xw13UGk1X1/sFAaq8vrnd4a7R/wj9lw9WaV9R+HwL35 +uM+VTqgRypIrJblBRr6hKuvbSmkR30KC6xY2eGFDXobqWgEdNk7YPj3+SreKq3qflE0a5WpG+C+b +QhHHfNTBhauL0bXL8wM4tHZ1JlyfYRBQtKADuO2L/+pFzmljzi6WIYgcViGPWFXpsRtIrhpSCoLy +Y/IZtJtge0kDIS2TV0QhEAD2PkT1QaFMGWNfa+AvTFKyMpa7vknOdDTyReVS8ZlBDi8qUzSX24qy +WLh4LvYujmctUSvl+M0JnQtqOQnDv4PithFvWiyQuJ/RwC+uAxSsehqMlMLDZoXpGj/A4ZufGWd/ +/CS1zznCPe+kDkXQhLw/1XiKrDfvGPkKd5fjlq06yrPY+tXMANO/myrPpZUhQbtZqKxO2KyxtYJQ +dlRZYzqdnjfEJmdJqJKc7nJ5ud35U9Y/FwnckuBicQVosSLk5bsgGBO3abJkqDccL6B+U/5romqg +CIz6qzBzU6nTPYT5bDLGG6oE+u17tPtYIOtiZnTzk71xdz3r1vx+ycoWUiFyAT89LmYfR3SZ+p4m +ckjVyqJAZsNVYcZt/Lwo4dMm7Jgs8dghdWvdjvgIYmg0NqYFCfi4xY9c3qwMbG8bgSSFOJG6YkR+ +SWNUmGmxdVXdZi65DmbJFoLzHPFgpv9ySUmvbKoA+mjx0lIJbuha/Qgh6nSLZK+SJW401wHYh990 +jj4sK3fJJ39xcniOFc8vZbfyrCFYr6JlqzJKdtJpPBeEK1k/jron4Cqd6SNx1aS6bFQkj7hnp6rn +6KGRsyBtxdWoOVtVom3uaeZWAlmw/ManL0WyzoR4+E6yFJO/p+oH+sWUMK8QvX/utCukZLtnjJkt +KFAEnx/M/sQ5wg2xCekq0hV+2OmPL1ZZNl48161bBmCAxOlJ/8p4k6g53z++yKtJT6vP8wRjIsTx +qtRcaPL3oRPSUNPo/bKeTOymWXZ5Rg8nYNTa6YE1Su6+M3xOc+fLEwGgm5PTgaR9QAljRGaoXHHs +rhhuL15ao7U3LwT8NSalVQGksvLS+pwX5soIMO+AmlbdAjLMbMKec29AsoGgL5rXSZiV6WPlwVtu +hu1k/JoXk/v2tNIk5hzwGA7pQXB5pi13ujhKcpa95bsXWcC8F7Wog4cEBGr7v8brwheZa6l4znKj +6PBR7mniNyYjxMnm6qZUAbczjM/qQX7CXAhcvexAK7Y92Amu+puqJphyGI7NFvyCIZeoiA4giEtE +PX0NskK7cpwKEYPpxHO1YRYfngKUyrpZJQCtMolJhYR7s4eZXZ18ivdYXcHwznqmag8WBW3liMPy +9ofvF9Wb06sXZVvd/ws6T2LHbJdA0Qsy5om3wmqxwIxeR2H4r+remYLStpvThFXC66mCSBFEbCc9 ++ayTjOTSPyLU0RKkwCbStMj2O0e2KLvhNuGN9tUsy3wtHJFlH/DzVgY8x3XdgzSzK2H9p503NB17 +3Mk5sGod60JUMqoObPZcZUpRwUEWKapRBLBU5Dbtv+8lxmuE73zvhVJsqNJE+h6pFknqsWyUQaBZ +vjqkaau1A3ln6hXNh+bG7WmdtMylpxWx/stOGV47VyKduzft7PZBWXo0Gv0QksrQhaMRCIKmuvP3 +Eaunq2A5jFnMNiCyj5Lbhb4cWq/yFBGKCTL1MJCj+bT2Aw3xKNcYeIsTLhQIZBd/bywiu8KV8KBh +y8gLoJPDenONuMFFxyh5QbzTKDxykEkFpj3pFpt67jJM04eMdojXtS9offuefG23R46CokyRhPEV +eB2AFMIezMNPIZiJqpJ7Fj06BFKHdKpjNYtQFKvvXahtl+yhU3kaF2fTMbThZ7aDv5gGxfwys5PA +kY5FlAKH3yzvVJQZtAJXvLoI9Nup+e62Tg+AX8X93zHTl0MFltnR/DvJD96m7QZ5xsLDUDRtx2CZ +f6xGkjE+D7HCOiYWFqjGvF/cpmlcxNWdRDnaXnLLzHFRcHFhv8kCarFfSv8KSrjH8DWP6Kb1Tf7v +HNOHosUeR7TkOv0T2+VVa393yNjrvOSoADVnAbXlDAy6d4wXpuA6JC2Z36ioFrYgmCm0GsbKQ1bZ +C4bgQRRWxwCEN6tT0gOFpmkZyaur0tKmCmo8+Oo09GcZ0HmM3QTcvODGrS60iQgbvpa1DrAdqQPP +b/CqS5y4FJp8jaWYj7Gm9FxjZR2D2SKUPxKVcxExB6yPGJd5Zip7ababi4e7zhIi9ZkRxZCJTqud +bZP3vlVgoMok7aBf1MoYz45Wfx57i/UAtsDWNou0Yy36viRa0B6yfPFLSyjHOVhP7xF9V7InFty4 +X3lzz7TE5Ml+Nk5Vq9vFjhO/0rINd04sgL0TiigAsNakw4cMo63Nn19Awuf+/YyN0OY9ECoqIXTO +iCLlD5WMFkY5DpRmL2fUtJx76wb4OpaSoxwBr/Yq6PTESFac/imShVCeDaYEFTJBX7wmgJHdJDt2 +h24bUnwtlfAOoo6a2BuxI5tPHT5GMu8IhNECEeBqjW+OxxNErygJKrQEEcMSe9gVyOUAlSSEeGkP +xuNnuznRyR1fp2V7KmtVWWqKvX7/VyvMnLSKdkRnKrzahvKa6dTkge7i/ZoVWCaiBw7z6O8qjFrj +74Tm0q5FgJp1X7fzBWsky8heCIeVTnRd1mA4/2iIt+euRgUkog3AsW4d1ICCkSaKfX1EptrwQwkb +uALn9x+XrABhqzTgg4GidueXwA17jB44GLZc1cWoC0UuGE6FS3vy0x1AjvXjZTrtA0T/Dl2aEiM+ +Jq3I79SmFqiHL2DoHFPfHp6EQ0bn/fVSy7WRYkZcLnBdApA6T6sNIMp49QPb6JdX2iB/U248nIoY +FRuLeIW6RDlMfkCmZPGVBdxiEgGlmfNr/WGgGb81gP4Ye4tKQ780khaRITF3KCTk9JBtllWnD7h0 +5KWu/oGP/61yx+NwsW6KU+fRM14u7zbcecrLPMjTFNBzPXgMSI3tdJPiWH07o7BUISaKkpxpO2ca +YZyIrNNCbOp+6giJEAlqACwSqsamn5P1PRlvv0oYrfsx05RtvUtkbygk24NINxWL3xm1TXgaGg5T +xFv009BQc/k1zIdDUroxi/i0O9ZQskT4yF93U2fQWbDzwdnaAuTamr57qA4lY13D50Bd3sGmwmfz +nmQDT1LAsJVKz2vlLC3/2ZQbgAY9po0QcIMkf7ozAKrD/uiDlyBAOlXeOMAwCZY+MBPFadtgD421 +PlHtUVQiq4Zqyr8/pP5dBJLAJ4l2EIeDRTXwM5RFTFfz11P9xQR7MyfwYt/AiYg6vo/Uk1RglJUe +wkQHVjobEQTI4XFXOE8VoGDf721A+4IroZvFsVS8yhGEiCSnb16k5zZtG7/s8m23VmIvgUxvf+2y +7uzUWZDLAp1iYpl+pjxO/0IOSIEzIgV//l9ZWFUrfE9iPVgJUm+VrHRlsCEPWNQMtotbhZFuPgVN +zlwTdeIAWqJ1pfriSwTMhopErLGqvBR+BeuLCSDaVouM+L9uofKtpShz6VL2TQ8FuS7CTXYDZgDz +HbI16WXyWMcpduzgUsbgsSvK5rmKNQecJLK52EvAokLEerU41vBRVCLXHq7qzjml3OmOj+BOufOr +qGE7rE6ZzaB0sR+6HrKaUfYdqqH5ZE5wG+0m4bXHvTkQ+579Urk4uz0sBhegk2LcM8g13TyW1KwQ +1MVE/nivaWXnPylIKGltVkF0bO1TrQdjtyhNsA6IrAAmQA4GnEzOkfw/txhg58p1vj6OXqusdHjY +LzmZ3Ck+nigi9S+FmB+j/xsDIviTAyRXe0W/+KvaI/LeM9Ty0MJET1Y5khwslG1ZtL1gMnjVKMQw +I5Gf2OaQzf06fZAbq4S7w0wm32LCSTAqJIylSfFrZgHSTjMHNbznWTLyNXr9QkcJml2HbVYQS4R1 +JrUSZdWp704PX8maJ/IDpGQs+MUhXj9lsOuQ5ErCau3avyqwxxn5gNiK+MTg3et3H5cyzyT9evSH +RxXPLG4f5hNhiYb1F4AaeVM0MuKXVXuVYDJdJ7Yb2IqrkRlcvjRq1HTScujQP6inaClz6y9X6NpZ +AMzjxFN9LxT1AjNlv3pPdnbKjqtx2WDM/R1EJGXM3s4EKdgoI2SV1W1RZN3zTNyZtw1z0rHvbZQw ++FfWv1WTkaVEU5ddIdQ2mztzi0VVlGZokztilI/V/S9u1LCLDVaZ527wAHGKA+XW0M7N2QV70cd3 +iK8UT15galaljXLuSY8UdTDAEW5KL6IWz8TC3yVi67PeMhF4tK3ugikQ4+Rv0vBJ//hkE6aiQoq7 +CO5gBE1Z/d5qaEbjJiPID8VmIq475JmudS9UxK7CQp+CoNhE1E5U6T7MqkCwMCxRIdt9t4z1b+Vl +LoUS5AUJms5U6ACMy5R1Xw/exBCEHy/cAsYzVPbE6jcYUeuTL8V/OU4e1BrPsdn+d7vCAhWwJm5P +CUWVterxe2J+5TLTMQ0l5sPamNjMnOFTMj5KhZDsJLdjYE+F199y3dhSpFKP8SvpvW6pVq1/8m7u ++d9mfhe1gHBxETeilnQNEq8yIwN+VRhpsXC68v4Kd4CregP9cF988RL3sOjMj6WHfLMa3QB/V9LK +BlaqKGU3xA8WiuF7YS6bc7raJ7iQDpK0odVyAIiQxCBAWd29aGHSL3bTclrmE+FREzl3I87rUcGR +mZq3Y4bA1aKqlSQVUnIx9+nhVQsuO1xg9fvOhsqXwS1JCC4pvreESKPypFof6NOnIieWNh3pa1e3 ++kBgFfMobJ3Akgb/UuDdN2tntgEPdN6ZP1lX/OWw4gKF6UBWqITKR3BO5mqlWrn95DUCAqWcQB+5 +6EDonDISLDphq3X1nkC6yFUkLtIpT1VTw9hQ9NePWs8wcnACRne0FnYSfWxhZes0+AlY9qTBoyZZ +KZJj+t5ivWlskQzq+x8kIb9tlF4bk8RM7id8zj4RIziHmxY0XUSSMQF6YTeWmqEx5dyk1Bj57Meh +hjJgHXuEJUAQd9UTet6bips/cgDb2iUVkg2r4evrJVPJsO7x3XLq0Qnevw5Pl/L0giDHI+htMrn6 +IdPd/ml32YDb3YgTaocl1mzzONxnbPJklaKWjpoq6BYPimsdRVDhcDvYZ9QuFkqgnpv2gwVWoyPG +525AA1IOt4dhWGQN5cCaJJ9Ex1GIOAZCR/ZfDU98qFqO09twIWZCrvtfTfCNJSQkcEtg2glWyPJQ +e193aU8I5AOOwxt1e1556ni/m4Ainkyyb7u+UGGvgRWv9iKW4+WwoirzI6VvfxbZhZXg0wgO5x+M +CTF1hoSolKMsI9BGab5OcJl7evJTqT/Rt2Vp9q9/VwiMzNFc6R3Iy9ZeSX6YkWxsMjD59wZAfw9q +7Hcla3l6cNQV94rwlzqTYM1TDOtPR9R8PQcCfpBsZC+4tAVPuhpWpMxOkY8NZelLl01/S79ix6Dj +pg3vZaSMZU9vB8tpgXqV4AA6Syo9DpzQdjWufTrWHVuEy879YNqpWSS/wpQDLTclv/YbxivGpkUO +3BE6fGtuMRUPSaBG5YQEHw/qF2uZ54L4QRahKie8MCwAEjkP3/G0gx2Fh/wXXqVCfEZD/vWLjbKs +a1kyD9ZCSl6fOhjuOiVJd5ybMwOXd9P6Vo2GCJElu0wiR2A7+0mvPYJ2AkBY8ivmK/1LD3LD4KPE +zxhO8rMkbhUREemOj9eGqhMtqpDCsw+vOqKLev3lAQ4QzP0zmZR5BbH8BABxu7Bd6I8dPDkWYwR9 +n+MLfj2OabRXrYw7gWU9A7XnMzMHIquvLuPvOOZi0PrExDl+QC3Wfzk+ryEg7OLzuccEM03YQw5s +ug8dffLRnqw5butWXlhcvDZ4iwNDsw28Vhj16fAFQsFq6yURJi8Id1Uzdv8Y8lDOXLUjRbtHKk3M +qvf5upBnowW5ZtUDFrbgP6OtacTG7K//ma234TFWcnv9Kq/o6yJ5yWoRTlYrLyXv/8uZokJvEueQ +4M9U4p0cpZPU3eI3VqdtK4gM4Ph2Boy5n2b+V2YnJ4n+OlC9ZnoUKGzM2uW0Js49AdXdnp9Ukodm +hEKdx3z7bLhGoBzWFlfZzEGivMXszNh0mqSxx69qeeC9f/Na2Z5YqmjuOA9KYY1sl/RkrVjWzWtY +qZELnjSjLk+Y9LZT0HmOgFr5L/9DzyKvhMjqiKx5K0SWAysWkfvwmvWhWb5H1RZ+A1wPc1baSDRn +4Nx8z6G6OQqqlhP6b70oB2XqigYGER+8ZAs9FUxBOjrD0RXvOE+hlEZgGW44ZNGljujXLZB6yBVS +XF8itKbOT0cr+GZssdDtkA9vebHlAWATFHHLy/36OQcsKFt8ju71tO2IA5zygYeWSnQrQ81+PVOh +nGaZIkUyd4MhqIvafY15sKi84DZ95KEaaJA1I+TaJz2yXu5w+uIIoM4lkWSPuZJYuUNe2oZNAYD2 +pgeAFblwPFePGPXD/3GUE+l30foHmK1iqhcb7BKsKylpNyNThfLX9Z3SeYwE7jGlrtL+PKgexZTG +F58TNLq1JcDlqzh+upGRnrAoi8qFkNPCFuO8K5hydwDCcyXgvn64oV+hreYvnNErG6eAPcQPVzvp +YnF+u4ZvFYDz+KVs5DSP9baG9YxPhecBbdlOuavrzm0nvVXAO21FwAp62q8Gr3YiIIxoFtnzLB6z +5VunzRQtRcBdg3uS8ELy9pwj9xpb3VwAqum8ix3u5440rigqGaUpv1HbjMGQQos5Jf8JuJJHAoLF +z+Mv9JQ6dKhOwnuO1w2/gb0W7viWpV4tdWQDteIhOfjph1vlcn4nyXqgWHiDYYdly7OFRt3x3YhA ++rmkWThOsSXM9eaW7GNHgi8VOWARYkxQcDnUIS2SnbioiAuWYyCWyTGSomqIcBw2WuECDGWHfgoX +9e4MaJxtLwuFWJQ+JjXDS2R+FdP4g4ss5d3FQOWue897xjpNqVEcTBbeYRuPuH+r9NpEFtQ83iRK +fY5+ZX0Y4NvORi0mecThdRR/skIvHdZ5J1DJwIAyjqCIosTL5fbf1w/nkmYTOgC2BY4dc3zTWaki +nyjlY4vHAFWDM0Ow53ZIkmbDeYKS+wR+4CiJIAaG1AXU9X+0vmDfhTTxHasqRX/+f5RGEnyFeb97 +abITozQnN96iCLgFlvHrm6q8muj3692LfsUuPuDLdEyBECbJGVjSmLQ5PFxDKRDBCh+W5Hcc06I2 +rvkI3jU7R916ZdHQ2pwVieemtrEQqy9sFlu49bweAZGLp4sRR1FqwIQFtcSRvHnpXPTmF6jjyL/U +BgRHt5MHPhXex/E5ypRYsWBBHVxlog++gVsgV63NNcDMzRdM2fpjrR1Xs7nKss/UKol4It81sZfv +Crc0/4LZ40XpU0+yz+OK4d+p/JEbet+L6cU31/AYy2jPupvAe6ZndRtzr5QZj/YLlH3zeq6FLBvz +UQ1Hkv46VH0Pad1HuKkCXdcTJ5XKtFBjjuKuZSOtPx1zDXVe9iQdHEYw1f8SMHUlNc0sT3mkWMuA +95Qgn4U40jtulP4A1sr363Pr22J660jCaMap51/RdnKtxxWCvMbt/1xvezygmOs0yjDr7YP+yKbK +Hv26fCNMRIyUEFplVy25G//7CXuSdTmJgoxhXxwuiJ7eeRo7X95VmatBD5eSbv5uAl9NxDjU/MWk +s14Cx72jRiBx/FrZF9RTpYfTTmcHShFZ0tYIbwP8lsakVpaK/zLeGG8HZd+t3mKbcdYxUCS2izE5 +fi6fFBi7Ry1balg6LwV39r+Vn5oFuYqYPV28dvUY+pxiorLbTvvjnigfd3osAMU7w8VfVZFX5ADt +LdaFzSEYvUTDvjkDiuX+mZGxTvqnfu3axVYzMcFyk15KitPdgecjvhhcJbkuvT/smYusjxwFLakC +2K0WQFmyndCr31SJKpq1TtjDMThBqSbe+NWEkylWTZN5FxxJpMiHVjKGHrsfd81Iivj7MFPivVRG +blWRVO3TDbLfz/HDAQU4XPkcWLpnssxaOZ7s17qMvipyzHU3rE/k9BwcA9NCR5qfpDjT6AXdO2KN +5+zbHIR9FBlit7VqsITpABGyyUpLE7hieNDCXxHTSYCFBd5kM/Ba9cMcSTVhqMtPGLOITF+4JhWN +ptWfpO/vYfBMjHavfCNhV9FcoFLp8nwfpN91CxVPio66oGQvvgvudrDrhnFIichm8+gm1kiDZTc4 +AvWfPZp8qJ1Sm3UJs9bY/IBUomLHFyUP46M1FGNmHZaSizQ2j05HcYz4dT4qL5635cnH3MBudj4X +T7hBj4V/GQyg69NvGqDKma+3OMbDdGMJgyCFVvKGx79PGc1E4qLza+Q9EJr/OwwgwhpXHoUYTQLA +XUDCh5FKjHQKNvyHklFmZY8msa0d4ImRlWIa7gzLmb+6AO99p+GCQySqe5z+KnLE1sywFMUTBLYe +glLHG5Jkx1bO/beugYiwCHYSlvRNM/ptAIdjq4TCWUAHEXAv+0VLRb5GbOXV+S2HMJwiMXvgfO9L +gZDkpxKYIAP9DjqyFVF8IzsmOWjMJUcIi6sGTJKHKvnuQRcur6zesW3DOO9Ns1uhbpCYvazTd6sy +hZX7wNqQaXqM6mt0JtdoOvjotNWz5+EQ7ZkKrCK4KAvEWJrTp/W6iyO3RC87ymuYxr6UVcw8YQkA +5g3dvlVitWi3AmbWX94zOZ/jqdB72Q1IUX1ie+kTqt1RR3eHksqHHo4uC3dEfgfVONP9jpl/ljh5 +Eia2KtsTq7VTtcO0VZ25vNDwtqJyOZ7AIT9atAuNcqr0V/GjIRexiJwuzTdPagomFeCB5Kj5mALp +fUf1l5iCTviylVd+iXP7BNkgry4/lOS9L0YOCM0ZVYb9wz2k9wmfsFrX4pTSuIdFMTyFjAlNh7ai +DrhnX6N5WgLjK/aK5u0EjOoZnYPqCHlR1A6fcTc+mbIjsbcZoZplZSoXuucf7uGiDErEkDItrO5V +2zxxZVlcHJ9gj9GkMuGT3xO7/EOdTNC2vAfGxrRLO/cL4LCMxMOPvmBx15oUPECp9ux455nj17oV +FFe4nJ6MC7u06JOOGUz4i4jzeiALnm7XmVWiPW6Ahk5Fv15DLBNuQ2bRChs/yXqc6OmwePSUeJ6l +kNnKrADsUw0UNCpRW1uN9IQ3iKgWNxBatUolWixmcPfs8qTVZjQHXg23eyTI0fTXAH/QBe/WU1sn +Lt+hItT2TNHvc7uSySyd29wW7dk+HRSAF3Sd5zzqIUDB5NN8BWuVdvShSKyW2OvxaXG5sU7a2OlY +VU0X5FbeVq7ZaBUS2x+cp+NwS1ZJ70/UN1CZzVHlFeQCyNtoflQOi4lozQR3IbScZqUu1dn+hxXr +3r8dTKHhbSUQaun0sE1SALMhK0u8ozHTVuDiYDm29shvp2EcjGQepF9AzRfzc+vKd+No5y9BBm4z +S1dLx3gtUHX08Iv0aQm5uTmmWQjUzwwgwaF55EiufGhZF2LwOoQ9JRD0QTiOv4oSHrr0D+uMZ+m9 +2PAU43EtefDnIu4UqfKNnYb13vXYoiVG5Bl2n/+4hcdw1ptWQrnANAPMOqBHUG1s7MuJZUI1FZaT +1GenBKeA3S9OLjr+a58eGamaUbYvZ3TxoJ+52b4AuajuqfeZ0km5+hsYJuwVFxejYMs8rvr6R2HR +kcp+31mzQlbQsOCz4RKT7TwIyHLw29gsp4i7UwZVCTvcqwARVI8T/C7DPdBwCpgmBSyQw8bbLybp +dzY99B4hEfoSCAGT1LnKHX2Bq93Xqm/NXvlIlOBzdEduR2xlQtshqi5AHoxFLeHTMi7gAMge4uTR +i2oHG7KeTYhcCGV429ojNs75lwkduaTDCfnYamGifopKps8Vq2a8XAY67DrJaaMCFc/+9RY+3KQJ +EUVRsSmvLRTNVnodpQpn9gqdh36K7LTgfDW6MUmCovW/EEbNK76PkJ1yTz3AYRiRHQ1gyMtk84tA +Q9xvebNtmbA+U4OvDxj8SlnnVmMaYrKyzJjRo2Uh1Y1ouBmfsDhvmABs3idXbx11Abq0IPC0zFAH +2pU0yP5BhV42apVheoZwlmJ23B/SIiVtsMeXZYogE/7o/QSDzDhqHvIUo4T4AFZAb2qiOkO6Yine +Q5rTiG6O/o7Ro/vZvw+OLBp8OrSKw48qiV/eF+g81Mguvr/CDX2LpHUXcha/5ZfVyvAsjMKx7pJM +LuGrX+ysWzISalR3HOZ81Q/66xgifwoOarJsjC/+839T1L6L7dOekxe0qwoRZ12xEbG2e7es6DDg +OUB+gxRZOCBv53y+9P+lVGO5lG4Ce6M9Nm4N2WD+99iq1/7cUVIptnfgrQ23MYHndFaaX6xhR0tW +cPBC2Q+5CKIGg8XEMlEYMnNKzHCr+AMdXuWZEHJPaYxW+7syRh7KkXOZQqKXVF87kbcdFbkpnabk +nqMa7ARHpk/vvVNvmI3Pdw8ePFuCdMbTsdlFgG2TYSv9kFUwYI6vYJ1hwPKMxnllBSJA9uzoT9xI +kdxxd/raHAFyAvpGugdivTMIYKQigf+0PLyuBvQHGB/kzzerSrFE95NWi7TRMm8j6griS+k+03SE +9NDCE2StaIH4+a2MUpSUiZ/MzKXaqtfp9qbzcu3oU6BiqZvkQolX1Vh/O2k7ll5Gw/A0+RAHR530 +4R10/eA0xAtQzYs9pHKN0CM1h31FOPUdWdD3FKnWq+VSNM9C62H/7JgSf6daveO4gtje6Q/HvUDl +mdTPUf+4fx12i91n0tt11EFG7S5ewFmlbV00JkzokhLrbUCfsSIV9YdKmeAlVUKPM7x/+UM1YSBl +C5peT8oQYess5UpxoeNj0uFptWjeligTr8L5eQ12MbhibWMfhCqx9b47DCoIEUAguz3uXh3cxAc7 +TDfPO92xzPwmIZFHZX8vdCjNKd5eALmTbS6El1zipbCfb+OzSaFOx9QAxNIf/wx/VdoGtGbEbSlF +F93Yz1HuGNKYzDRyLqD0cgI5iCpfT1qY9a6VhbKLpu73Jy9aBfYohx3cjgV7qqjq0jLzyW8sJygi +wFCK6dLBQuJkUPRCx/vM8CHe6FIEr15GqBqpg56hftAcFsEBXdAdg3WZ55B+lyDhQi7PzncpUwoS +H3KTMpPjB0Ki81wPZB6cyZtfSubwS05aeigx6Q3QI2yYXl9FitYb+qlvhNNmg1SWH8A73suk+Xpf +XsCnkoMZKrsY0fbE8e9reOYqEJjb2nn7MDm0XQhoQbdd5x63TOxVP4d3gTXoKxK4bSIVy3+L03nV +RO8KWXWhdaoMEya1aJ+66xBGOJubfLV5lXkDXh0rKf1Rw5z2ht1ekXB8OM54NogPeMpLd22Pk0NG +wfEkkqaMZVZbZvzR5Cv7e/j9x7WN8wuynCRl+gwNhM5ihnrEVxXhaNBcU6Y3T5yQnonH27C/+NSo +c+APchy/VT5BTxDwCav36xZi2pHvhR0GIyl6RclScdc8vTwxAySfcb5A+pvKt7Zd0FSvuQg5L/o1 +62Bxo6sGpRXchgljhxS5MF9NYjnWDfpZEJmLy0UFEXhWH5OGD3m2bhCmLVFkCrqxjT5AHfCqtFY9 +yy/NSOjsCqrYVBiNvpBT+lCQ6pemeXb29FN/Xjo3gaKHlq27StVkgZQHJWnVS3IpWrfMqUnc+me+ +hV162wtJIzPk88uztQIAECa7DU4FbS8GWjL3D6OOZ/MZuozIPX0M8VDu72hhxcgjC835WbXfXczf +5znAYVSVndvX46F6jyaQBVXsV8mJbXjsuf4Zf1W3GppdUZpzlky4lXvXGMHtP1P1hD0VeHmQ+9g8 +e9yEh/nZBk5dY+Iy6J8aUaQhtqEZZoyB9BItdntHzsat5V/OgF8KGVeb8JUvfL6399gZopibfwRf +RtZy2XTH1ohCAyTQSfXMYFtiNwkD8D1M2SHrwXTVtIy3ltLbeELzI3CFEPk5VALrri3Iwr6c47n8 +E0DHDF6gImIkBz5tneZIgo4KhdIPp3KjX7DP7DNd9W2IktIEpolnUQNSSVqUgRkuj2xh1jqMWgbT +9EjLb2F1GgaWCdcBHl/uFXU7k5CT8HyypUM2FHiW1dozWVOZOL+i5lTMph7JshfQPdF/qyE5MAcG +onCnrSnqWiAarFzZTCYXHoOnQINi+004xUJ19+vaQE5hQXEvT1UpDsjSlEkxCum5fRL0pmd9DYDO +/UsD98oNtJVeOMZcFAjkCBvg2LjMPP+U6FGnmjN1nfE+uNnM3ln3wuBxGZ0cYW/ZNJ/UUVZAKavf +x0eFfGGFuisTb2InusU8bpDOrrs4NBjqZAAZ7fWcMzjX3GSq9SLz1r5CDB3Sq5b+HtNKafjIvLAq +O4Zocn2RMy0uV4DNNxjwP80uGgO/6vCNqJruSPdgrzt/ocoEj6wSPF2Btwxp8iU31GqhKc/aC9EV +QU9tk1uf8DMeEE7mtGilZ/GBAwo+rZM1VeVDjnq4N3DPjEa5M6tYOzMVxigE9HSy6EP84vdGOQcu +jCg6ZHwiTqdidKoxkcGCAHlkVe2d5kZxB0j2BblpzbD3rLIBD/LLoMTUmTD8QYcRZgHF4XN+Kx3b +UJcSsSQnVl4AyCwCoUByhpdfYK1PxrZazVMWGU4+TnWxcbzv45EJp0ivitk03uGScC1CpxBg06oL +g+406gMhcxiKP4LxVivQDo9qHmwlEKwLVTp/z5p5c8As/12W0ioTEy3G4CE58FYK0SMgR4F5QW3d +ghMh4XMSZgUQRMCm2sjjw4tuD6K38XHOTYIawon1YiaQhPUmhbGEcVbw/zdfRG/RpsjawLI1kTtg +xBbgpOMvan/kkXiUYegkyYoLIpvJ7E7Iv38KzV6+9bS0A/r9/OkMnlw9WjJrwl2ncZlVSYXMW7eX +ohFqDRCsWFaHjU5MMrWPPNPnW4ru4yJB1/vkmSVy6WummWagjgcfqXWjcV8qs1nylzFOOMdGhehT +70/ETNxtsPyiF9ODkyUcm76IXHyphk0noLWS0Qct5ede6fcisyDd2iDEFXl1lsesCDICgTEMqCaT +po48rC+UndcYTX3w52a36ZtkN8gOdh1/LOW66lOjM3DJteCyqYGtVp+3+3Fs4kvtAcnou5q0ZG2F +sEDW/zplsjyhUWMY1ThWgQRY/VahV7KPFP5sLOFvsTxp5FAOiyM16XMEr+R2m9oWsCWED8JqdasK +k++b/QfjjI4d51h12VbVLi9b71032O+zwoI5ZcLTuaXDDfG7FGhwAuYYx6E3c0dUt+LO/mIBbPUq +rO/V4bbCHC2ainUgDH6i/u24YXJCrDtocqWxhZZg8uUUdwirKcFE49R26AQ0SyWYfqKxb9mA383R +aHaP9T1tAS8aTQ7RKViNF67Eyjy3I+r/o9gFvfbxP9eC2j1iiv/Kq40sNnVciPo7urMzynELwN9f +wTCnGv+aXCVg2A/Mk+pDWzot/5cD/R0osoKpLWkNF04StKTSOnHqQguqjt0LrfjeK4eLD9EESaG1 +qnSQh5UCikMdw6cDnmn43WWb7OyT/oQWaCwGcyFLfr3e14TXHTubILn6hi97Pxg/5RMGysVPi/Rk +bXyeHr/69+fyEo7GDeOwe6NJB+e8HM1dwMN3A2fUAwnWSQ9VM3Zv1UPThLqkPDB2XpF7z9fFx5bl +xDFmuSouWly1L2axfC3fECdr8QnpAK47pBirClumqbpb7AUPMwr22ws/AlbN2G4ht0EIGT/cOkgQ +xmjoTdltzxEMLxra/L5k6a4rVdFhJ7vWupl1aruOmxykBg5SEOknuR5tb7hsEbkbfMbrvTyQLIxo +0AtJn6WfkHUWjzqGeE+WxL49Rs7h/NuOrIeduH4zaiMcAR4+sEB0q1LD8MObNHAiAf8DYVNOMnGF +PNvAgrwEhT69mzOAS17PebFxHlDPlZy1SCehBvQzLC9/jg3qlssNwGVOXMtvQY9jSWKEHsGDQk9n +fzEcPsZk51xza47NLs0xe3esai1JkZJ+dWSHLNuWZZMSHiuTy8BZ3M0hNWyv3udyd0NepC6WDq4I +iNSYikviixmVZsb+ElrcLpvtoj7dPZGn+CwB8AMr7f+L2Rayio8oWmackfVSe9XiHMIb5XW7oaaG +byMvW9tfgC0sIPHP0mccjXWBKxFhEjQJ1OhTVNNQ5UXixhEYm8faFqe/pKoQWixLm5kr9UWTBvff +tnreD50CMi0QnxOw+pNI3z0WXSBEaBJx9IXEhK9X/35B5T2YdSn/Ha0i6UQt+b1Tq5v5G0kNQwIj +V2UZumLoQm0jUpDWWwJ5OEiCny8Pdol1SKFm16/+0iAm9yT1zSzgGVjNvZa60pl7SbWoT1+FzWNL +gcvLZ/7MeQz12h4JRm3LSc8CLYu5MzP2jXrIt86Es+2Zk7oaf9eIeNkNbLmFbulsHoR0DqeUWJGH +A0Ti3xKk03FTrGAnK2B8+spjgvk+PHKs31D7hSFdXfcclBVqCuTC/rTQPBPPnvN+PhUwAYMjucLS +vnTCvCqGbG+whOI/fBCEs27CXZQHcvuPv7cjAbfj4KD+dHpTkl4wGQlc03za1DbAcTLR+LfpS8v6 +NaXk97liI8p9y5vCIz+AaZDX/p+m53FqklR1U2m71KGvlGln5uU/QzLi/ycUhYuvR/mfdriggLoC +O6fwPv0W9We7uiF5jPUVmcH+DySFIzG/qWqlu8M7sjwKZYmrFZGlvkokXTS33QCn99kZZVj3fY3f +ViY1savVPrnd+b7JD2s9bfjHsix0SV45vlaXeERRp5abEgVjjwS6XNVLae9/cfFs08iKmURFVzVX ++3b13Rap/hCGOKf5pD6GY/0wfZ4uhppsr/mcdSudBuZ7dSs0CeOi4k0Ccp86TAHZMPB9MSWG3fzX +dFChM1qaOs/uHI5UXf+kHspe4TyhmXXtB9hHHymlXraIpSpnYCKRwDwdUFYg3DYdNjUuJmGRUD4S +sI4IPW5Wj8jyuX4//EJK67Q/GHWrUopC5KV9DY8hbKFCOwItEP6KjkJrZBjVrnAOWUTBuWM0L9VR +laZsFB13wqWsCvTsR5MsKqjTSjnJvzttcsJc8vGvTViGWTXftNhWAYeLaVZzCs6/Wt2PBN9i0Loo +ojVAGCSHOMdE2I7SuNYVhyPqPmB29bpOkHQkWKL8ADoc4/An6Q+GH8q95cV7t0BUETCaNek2Rlad +f5iVh7ZQkeImNUXwYa60XnTl3X4YrL61L+/ruG7UAdnZtcnukiI20ck0s8CJL7n8BwxG0wZmPtZw +6s0VKiHZVNydhn6+JnsyH6nT/cVMl+0TDWOUfipBfgUEWDlFsnieB2WaK9crTSw6rumVZKX4skB/ +RNQo0/8Rakyi5lZxf/5PQIDfWlk7FOOA8+ylaluyNCPMoyJcZ4K/gTii4N1YFFTyo15Yua2VUyO6 +tbDqNs71s0pUpXpA1pOKD97AIRiaPRj891+Ivjt2ys3Z0wy3X9HV2Iu5FG8NWOeQ9uEtfrX+J8P7 +xehkk8U1MqXy8bI54JiyKjFOP0+SLWXEbL6RmfVJrO9/yh+wsa77CbjHkiyM+W2Tf0f0XmcSShMI +/aNwc318nvyXeDQDw8q4dBSbzzC4V6SPGbRCtBEN7kZ+7zhXIEkAuvtqTBE8cy3bkOnSqSenIcsm +rKhckdX/QidhChwg5a6z0ugU53BqeNhZ/Oj572Sre943cdm8o1kU1qyU3ddsB1jqvcpGripdaN7R +xUlvZmdVyVYnGTXNlQInFMKSHpdF/LFaCy24lo//EPYyHQvFxBKnKt8fMEUnvTHb0S/+IBKmK9ND +CzlnX4dmTo+7CWyLS3XcBFJq2/V9EcqftmEwbexDi/ZaAPUVW2k2UUxQqNer0K1pbpFt4PqH5Vmu +rrxFApWlQXYAcO2SpNgJ2toNi8388B7sdP4vjzQ3NSSDwfm6Om08gigltS6DDc8ktSvBmXC5Wbqh +pOBvQwH1QtODB1Kx2IvT44WBxBYkWO8vsT7Ta+RYiLxYH3reFkeaByJ45TFE4pR+cZTWyVMWlZTo +c5u9WF/XC2uzC8omTZ0/1GVLSPWtt3ch/7YRSnkpN6V50kbGf0LFYQKnxWuRgTexinyeIFeSL3Sb +iyZEz05ZtENdueSvyrkQKHzmg8d1aZYGEur2+Rz1UugddDg9ECpWEci4bdfDHFfosKSLQ/VrJQiK +OujkFwnXMDyDT8AraleOOZ2HR6imkDv3GVlRGUJQPMSzXeSuT6D61SXblqL7ZuScLSsifmN8rqEv +lwgf31I6HpcLojkYs479LBa/OJBeXQk02H4ERr8HygnwSij7weweMkYOcUp3LlhoVCFYiokYh4F3 +A45i23DAHlcq/gF+mFx3GB76TXvIQGc3D2NrM2fY0P53QL/fCWCmi/2rDhqZ+m11DcWpNJADSErJ +fl+9eI6hYB052fPs/HdE4xAWjIREHd2+ekGZltFyEfnngjIuMeJ03POGFppUy4IS/zvUYkQdR9jo +yh7/zrj1OloI0GLi47aNJi33jkNwU3CHUE7i/zdyUBFnATRqqw+4B9cU56nWJhCYixu7hVqGcRvn +cVZD19TBbt4XQ9z6lPDvQtsh4TJ6kgKslG7wIsWVV/DR6FQAde0QNF4alCn7+3Dzmkruuu1PlOIg +zDsrmIDzUeyewT3eA3lq0lbNYkdnd6ynaC8MVkp3JIMmaeiuMjHq4WvIFpbXyK13qszpltN2PFvG +GydH5wFziOIMvLdhaDHhpxBIS3rac4d6UqOyzvJboh6IXgEj0C/OKOrSCNhkhUly/Uj0Mybppbol +Nl9+OHPHDypmxY25Gi2a1qBmzryyCmTOaKS3HBs98yvrJLk2cwplAEoyxMra9Xsj6dnbTPrJSlqk +aVi5xX66iMt2/NjnUopececs/FKaJJwK7Q9AZ9acihPUEgb4MQWV4iISwao9Op9NDhVEEA0PbK1C +gomJFEyxRwJy/Rb54HlBHeZITLDqS4zSX9VwH+Gm27sUcJXpwjW41C54PFOsfHQsoB2YztBJLKDG +k79KE8Mpb9DoYwIIzb7drIN7c+S/ZdvRRDbIApRo6BWc5qVgoQuSa3ZXzjRdrcmz5pxEFVag6Wfm +PP/+q5G3cyzrUpAMdSBQbylNtTPyDEq6If/paSx7jYqHj1Rv3MmiJn117bJNB9O5vHBZ+vX2rqFt +qMvJPMFEALzhoAf61NwnuFMXIG4IBrkCdIk6gqooO2tOXTofqeFvLAiMgAV33mekBAn3srCkfj6p +H1HZ1g5vkp5Y2waKV8Z1vw6mtz92QIY5+8TBMASytWzduQV4zPulKOb1rmRSYzpBYA0T2czi8PA/ +JPJnlHOh5UaRZu5+TgAdfiGC7QwUMvjbXdmhKHUy/FEUY0WqbwjElMO8WNV4py5A9TvHyBc0NOmp +S7GlhO7ZYrlpOpqMdFxIsmRHUF+s/PWI41Cs73MJ3n7udgJdFO9RzoZRIu8GF4KwxkJv1GzSWuJ2 +UPWHnmWSpPAjpiX9VBYu9ox+rkGSBDYRj6yRQxOx116jjbxuGYcWHd0KbQOikgmGfd1VuYuyedsP +KYrElsK0sHX/66RTrmtvfPcYeXfb0SZBgmhRJlpR2AJdJTT61KjZtgjeKViDUSdHHfg2orKmuDSV +Uws5NCnvOajUGxKZiDJ5rBHsRaWGIBCeHW1rx8FImo3MxTXbQr1s46AoYqu2g2LZAxmKeBMx7moT +vNgFr18LBqzpQAmcsSkuVNUJntKDWAKBLebGfrSqqv4R0i1h6a3awGq1i/Unq859Euh8DkzcTOQg +yO1i0IknEGdR1Fy/vqdmlSClFPx4dWcs5B3EUWSxF0bOQqJfZ1u29Zr926/sK1fNdEDKIbappXJJ +Kjv+GfJTRcan23mdinAckN62lxAAPDWvg/qst6xqjQYoJNIZ9eGN/fzEszMQiBZHJxmNHt9D1y2n +019IJuKoIs9qiaXeV7PgO//z77sX8DOahyvoDq7K/BtOIhQ0lPgHn34CRZpSvfZkg2dri1uCNBHR +PHkMv6mheGrlankT0lftfeOoZ/WVaV5+cNQZDQYbCwrtqoXBjEfgNGW88p9ynT+qDtsdEFNUr2+w +H0bG2MkZunCUGQKghS6iljBu+CMnTyVjGzpO8U2nQ4LxsQulBF13J5m4w09A6QVbeJEJnT8x129G +64mGSqgCd10Q1lNoDJnN+v41d/tuYdEzwQS5cDQnO2akGp4Hzx2mkXh7ciJsPaAWM1XdqZsPfy0V +7PPNIbY8dRypOVE5B69KU8WO6U+gXfh8Hso5gxuqGFsyOp1utFXzsjLoPXNTneAFCjlnXTz7Rab2 +etcgF5v1U0zOW/CMy3Qw/fhSuw6pmF95161ckdtqf+dPU6gMDU+G4qS/m2Knv+nXUcjoYE4XQkwD +2Tc+SB7acPASXNy2tnZk3ORkQxkYjRP+4maliFYw+rzVMF1WITL0ib3u9648EFBnBrY+5isSpTOO +6ypFWNmJuf+/6HANNpH3tyXG0tg+b1+Vgjc+k4BNpUCAnlfMtItQ70AzFrCZVFZgeWLKhdCQbs2X +r10o54GHoKCe2+Xd98ehThcXcM9k5CgxYWTSzSRk+/uATDpzYBxnyHMPgbDWtw+2PRB4sMnZtes8 +6VJ2tngcfLAzgJrGbbTqHFqOKxf24j+5hrHZdg+Pm0BzkbfImFCWmI+z3UYjPKCAd8sfl/qzbuO1 +SKbPPuxmBicwsb2Rr0zq6qByTdxo/3kzd2gRQjsBnEZMmgAzMnS3p4iUZ1yh7Ii1GzgpctMjneuO +GIvlKE6Su3VnOCvvYlHkuqz7JCbJ6xx775PSo/buMJsQpZ3wDuMEhX0eT9K8ZpDqsZvHv90Nib7m +pksy5hveNDDDb0GEo04uDZTMuwnuSnkr56JaFcuhrj01QKojCbsjBTReaq1f1MKl49ZXldW5cBdD +0LCMr0Ij3yVs9haw88znHyK+w4C0QS6PxklxfpPTWxSSWp5oLuaXEbgNCxHpuNKWhyrH1BIW4f8h +8s+nwuVbTa9II0MNJxWHmy2pzRy4/HTJcrv/iOthS8PmYP9SUtnXv0+Idv665+yNj8hNmgMfVIkr +FTfe0aK4nTNx5wc1xkNAXhkbOhCoVvGGj9Jx71+GA1u6GkYMf4ub7xuxw2aqieHjiCIJXOw6vvb+ +Tn+0PjHF8ugxHccte6/1pPCpLNxBJIj9kUEf1nbKCCA3PBeSpLCd7vV+8bdEnzE+OugBSb95pLsU +426dTMorBZBtusHITt6wKHp0xld2npMrRLZKY8tXR0SQBWWReqosLf8QMpo+17neXxhahgmjv2KW +NjHCio8TA4ICOx/U/+xiT2qc8mNvw3IeJuBCff5Qu2rbvfRwGJl8AtMaWcpIlM9IJLezyWE9ICj9 +9VZaDpRRgqMtDVIxhrpCXn+gV8yEbjOLitfJ/zVcf6ra0FlX8lxd1qseQDgNWKpvTU5+vfIy7Y+7 +ExePMCsZUFqujWCJDabti24iI8cXg+2qBmvb1nIKMNo6Pip4KOF/aNYY1lPKW1g21Dpa0AjDz8L0 +5cyjJdV0iLnLOlVCzimYYgQJ1k7MEi1wuNcDaZMWEPoua8/qgqAoNUTKfTiKbV6NyilChEMv+yms +OI0M8y/IC+UNxWTbBs/v7Lea/k5Xj5TzuCk5cfGai45hDvudJz82A2NsR/yFHHI/MiOtW6WXOUQy +qcpf3MLYc3cnVdaIAwcfAtV/thpU9xWpfM14d4BpUQgCeiOXAPIdR7PYuwHSkhI/lSAVrpxSfaJM +/cKJRLIDe1o0D3547R09IhA2I4/uJ76c8MPkFF3WHO3HXjZ3qDL4nvZ8iT0I5prfzIh3R58ihT1n +CZRTmfh1ZDnb2ZYxazPOqW4dbfY3RqjsD5XXIq9yrOsSgNpexBsSdGYAi2Z2RwiP+GpS227YdUOW +5l4IGTNu3E9J7YR1HAvl6B5zdVYucSu6lqt15fgUyugB5/ZcTlp22X89D4r61xoIhOQqdiFSCvLe +fhHUO27Cdar4qiMMTKOsCOX8awBv8dm2Egyc59DCWweyzbwrNwpPoAU4fAJ/NoAhfvHlwoIhBWyW +s0n/p8ZhqkAYKkBRsP6+/G2WliOEuuINfrBswA0//gdjHuYKimMBqTLEDjjpki+XxmjfgffFHo6Q +lKOtGiFIN12VxQ6HzG2t0lOOM2BleGtFW5xnk2NUsmQoDce6BuZpz3KfwZdxFsBfJNpbIhiqS8Pu +ythwZTzGgoMNd1viBk+TGSOHS0MMgoRQ6997J/KqvnJ+9Ca5pdJ8XedKQhBxQO696iwtiF8FhUxF +zK9N6ajmAsblQ4yhDQnDa36bfgKLib7cRXZhubXaArYYqL80oB1S+b7oUu/ts2BMdcgORm9UHloR +VFJMbG7sXFSO+RDVi6KFyaaQ+Z0ZXaipprgLTxI+1IS/wSZoFAxQgPYJ5jPYnthrFGBTnGZZOj8R +ygCcMaICsMl1o9PArqP//dgTs14ciEzgU0Dyx9IwPIQXcFLE3rY/Z1oUu1azriW875RPKxak2ZPW +/EonMqRO+o5EH5up6beNfXQuP1psIvwER5keiTj67HxtOuoT05lCYDzWGraAj7sO03u74Qbil+PI +7MlCpnvMRM1ThV4+hXsyds4kinIu3MVTgdoXFOsTEAkU/9zGjprfd22Gxk+oP15/Tp9g5/aTPEd3 +A+SCJAOeZc11IS5xjnKUza7qGIj/GwlzsD/0qMgvGP+/E9yOyrVbcX0LLmLT0R31s9p0wU5qX+6y +mDOkcszFpyT/2MR1MwSeeP2vIQPvB2Q6IXU0+z+fb32CnZq0HFANs+uclHodSdPFvI7HyjxXm+dr +TWmicW5zdp4UgvSzqtLdoW6xCshBpP1x4yIedbo2MMgCn3fR/HZXqbO9vaAd2dIxdyoBBuxIj3t9 +zVuzNgrnhTSjUyl4dOJFC0QgHgQjRFqhMNeBctJVvnxPgUz9F5RWUl4gYRYJib94XMZ+5swfgpq1 +Fx68h2zzZriJj4uKuiB9pGGnEOTnkJmkiOLZVsfdQn+nWMae2Km7Oq+dQTtkNp+5Zn2nQ2jVuqnj +hloJCFVvjQ+DT5ztAmVCDSHxi8VupJFhUYHUbN3F3fLWGjhC5/wsOtm3NCzk5qYJxPXSTFN8r3Kx +e5bsjNIpphWSd0rG4J1sKX3yVPr8lgqS4s42DdRPiBzuznFXht1fmpRqQeCaUHeUezT9H2QxCO7I +9IsxWk8x5xs52Lpli651XvkB2NzAeQTJLaVolql5jg0reepgk2yb5IOWeGLl/+veBraLKWloaiy5 +OhYVnQhcjFHEoa5DLrpTA340GNYn6pTlBVgfOfHoltXq86y2npZrhioNfEcTW4iObfSj1yQ/tVVv +LQ+qIyX61KKkGouz48SInL1Kl3pP6nZKEVLqI3QQUpgzs09OT/w3UQBrqIjFZKL+5z33At/6D3M4 +UNFQrPXhO/qPqCMW1YBonpkzZybRGW4V5/8v8qSX5gLONDUteEAkj+G2RfpSUtNXI72AmHwuAM/N +Onhni1Sz3XLqXYkP0pJeiF2JV83y/UD1Z46grdFUZ0cbYtQjM+maPBHQHJ6Wk//+xvSznxnozDHG +YA68RgiIFB9kRnn8OQEL7AxGrbWuIUz0/h6sIAZaLzKhFx29USNFvO77HNUJzmxrVn1ne8WGnKvy +xJJtgoqEu/MpB2XT4B7OJomNP5VvL7AqBzjQczn4rR99p0m+4ubMnPaaYoEyoESxF/n/NT6uZwJY +8sdBekOj8+kqgBu0wq1eWL8XoBzFkyjy2tb2+dGyjNP5t0TZVEIxxR9N385P+RR5wpGnGWff3l1+ +20BFP7zblZpBvOuzfpxSIobMbDfbxcI2nMQ/bOO4Thzl723BUZIPbwiAyhaUOSq3gwf5j3QPEtky +Sqq+PNUCBbvjubkoLla5y2z3pwpMfAj8xAAOJ0qNR+6uDFmW3qqszg5donsSaeABa6hoeGCAx4kV +r656125IvHHoT6lVxpbq8wsjaMptKXB4UHrHEnkwaEQ989N+hF6jf+DTNgsGdE+4B8T7ONhZuiKP +QblVp1ng7bkoRnZgC3UqfxVU6HSnI4zsbsNjXKylB0ZJB++qUTpwkIluePKkm7VWuSnerTtMRTVS +Ei/+FdAoGlSlpDZU/Bc2SlmLt3Este0kquP+EPBl7EOMetNCFYlomcfck9k+OQKYKIWF1t9JfYR3 +9p/Pd4cmpg3h8cIMONDzm8ksRkxW1ebX5XwUgKYZ7Zgd9377M83aB160wVEPrePXsAK3bboYR5wE ++zI27TMJ8Dls7iDP5dprTu4KGsbPMcr8q10xtWRX0JywwFpAI6+7oia6st9phffSyvLGI2GWUJTe +40pJk6pi7KDY31kJbC5/utwW8IIyF0mOVZXLOlvQ/oKvuhBNefviALj4AfGSsksV8OqtO58BVic8 +nE0/FgKzat1en+deK9sVWnyqueaKJr44B+hUjNXFGFUbgUVwKlJnSJgNgTgnXawOeUA5bgvnNK+m +sfvTHTZyZWDjfwcO7hj2fmlITAsF1krZm+tFdtkyKVdCjyY19yh+48hUeokV2Hi324cCj3kCNQHk +8UBsCL7pIS7CGAPIJMoFlvV1zQSikfG0K8icwao+FLeDs6+fl0th3uaqYrVgp7VU1w0gtvgXh7Vp +K5vK1fqbOKqPM7aEXr+2FjmRWUDcVWBY7qe+Mnz7JrvM626SkcAlJ1NiGYwRX+ICpPqeKBjT69/3 +o0hLPY+mFdbfJ6CwM9c4xLH+A8tANWz1aSgASUqAy8wyq8FYLufCv+oDvQso5xj2sG/1vDt7xLnK +DQPQOcv9HrsZNfsyTRR8tBmllnxC8a0w95XuGSFoQmgsb6w18p1caVnINpNZIuyZCJo/7i5fj6IW +OSrNsdxAPaPiQcvewRoGvuqzbAwMGFXWIt6PJG0NIqZN4ruav2mp9uT5PPWmU2ajZhXaO+0q6OIo +AjYKXOVO8dAuLHSm39gdGC4S327D0JLwnlPriGPg2qywzIl8GgGQRdPRpfIMBnl8u0sBbC8I+n6I +axafmbIITxdVOEbNOMR4WmoGJ+yZQMtxS+634igTRakPBzkbsA1X3wVGg09Iy9ijEPQXjbT2rrpK +YLZ2h6IWrqSskAVWQS/0hI9kTNV0HVoFFnt7ZXu3GR4KLq9xla3OwmQvJ4vnHtm9hLkQFVSwMdj9 +6FbqMRfXUhX5DV/GQVJ4zhGKZVzJprZji5M4nzfeskj6Dx64Q51glXZUz+PJjUYtbzni1MNFWG98 +/yo52t2MIDrlF3gRe1kMj7N5g4a5NuUtNqHcxPmItoGjaNnsuXdKTJCNzzoF4/mTc6sE8Z1I8m8/ +2VAG0LQbznwB62t3PlnhPrqlg9tS1ejlYyeKoBQ6bSORySPpcZrVziMhhsYzk6JdUJd8bXkLBSla +JDaGKUa0BABev5IixUH+mzVhRZJWB16TUvzF/H0wcRHHrI0kMfSzyGqAHB3GxbtgpZZUUZ44o5Kf +BKuKyuuCWLsHNZDMpgGEJRPiDI8SCDyEYF0TXHIA/DjUiumCqIKzY0Dc9kv/YMfglLj2Z2bjUQMD +gHzDJ26moTmvmZYFOWrW9yTynYy9efY3sRzei8iP9KykHNP7uKb148MhGHo6Jbb0IbLF+E+IgXUp +mMQgeShDxDG4TB7l0dROD6xuiC9i7H+ybuyuyiSSPvElhAzbqgkckQwIJnctGY1xbObjemB/VLqe +kSeTPHb9z2bJMb5kgpkkaLbssreYEMcJXz1NbXrOTOHx9om+jYySOOpKK+PQdJLZ2y9ZQEW5jCvY +8XcdSjQFwfY9iLZ8x1+0hFg8DGNoKKvStbSJr0ATJ7Xwhn31WOe7eFgpT9TsA1qKEABZ+4laUv2B +PWFCW29V4UghZuFtffUFRlpBf+p8ZTuTXNdD4kwCng5/xeq+fkVKEQd3tO5S1Wtva3NdFduOwDpd +XnS7XJz623AcZSG9/xVWhNhVhaQP/gwqym7ZUtkiyitPKsnrn52quEhFK+F75l227e3LQGS5hPKO +aiXebJpc+p7MhyCQsfmk0VEfMYZQA5s8Jr2Obj6zKTfKWuH4/zH8kM7ACvn9Hd9Qiq9BycBrfIAf +AkoRqGQQ56jxf82/bCT0EwSjPL+rFadYgHFr1zCcs4QIWSWiBSsWLaAwX4f2WG39LJkax6oDVEEo +lo6FrPN4PpEk/djVzoZP/OQ/+Yl4my0LzSRFEaJi4+nh2C6XyCPVIHZ6xCvf50eFd4i/ih6q+kBv +aAgAgONwrcMRkGwXQ8A8qEiDONB5aJG+lWia0LpFMZzKFLw4Z8cLEeINb6EO32B79W4suLWR7biY +yxLexPXJRrGe48dJaPoDMG4YpNxT4Ss4bfqiheMopdlcKHczodprz6bcBCrsiRsYLsbEMGYaVclA +4rKnMnXkh7KB3SmWYrsj+P3vtTP6ErC7LpoX0TGQIDAVmsUhe+5y9HEHk7ywF13YGC8s3xyU9Od6 +4iQ7deB7tN7AYFHr81J2qssga2Hm77QJr4Tgj7a4D01kMpWopFIB349j5Mv8Lj+ZlQ6l4yGuw1l2 +wQUvRXCD0eECQHgX16TRdzmya55FC/+w5NznuT6aGV5KKMt0Af1j3Q+kOLJfJfJsRh7guZHh4Cto +B9CjpNC5Sli3FVXKfWlppB1/wnyINHixEXfxTDnse18n8Mtwu14eLTcEr7TWgZMzpHu0/dQc6Daj +DpcrsMnLTNUlRPFlHRLHIavW86rQIk24AUJMtGjBXnbN+qmLK/4qrRpG2R9rf6eIt6uQJw5AHqzA +zzPm68eGTZHf7+gKHr+OU9zswdrau2w/MwnbOCt28018Kve4gsVAQ2k3B7QZWJzW1xI+9G8zsbP1 +lKpEAWI7ALi/lNd1Ugd07CCrBEzvfJH5T5XJnvxtUyEJY8ScVKwNWMt6AHBUT07J6uTdrX6oGxHI +rcD1kAAbzzTRGZXz2H2/IGg8UiOIopJjKI3LVDfZ7XEaJzjmXL/6lPNEzluhAcWjTA/6z6Rr4cdg +rw4vEJdodjp3qPLpkD2tsplbpVqQez+QJFWYnGxLjdMBITDakGZa9piG+Ja7iQIQfkeU20Rvsv8j +vAmMkLeH0NpYN+IT2MlKKsN9m9KT1zsmQ24q+OgTGhHnwkGoD+Qu370WERuWHuEcXxDrw8Kg3ODz +yH+Q6nInVBpdcyE7I8UXLzbM3T5YlkMWSD4LuwxLGmDtZd0KR/3bSk6AI+dFaiuyW7hFi6jsS6Ku +hPSPKQ3Y6ZE4AVznE+usoCWj6IEtZ/cfaL1uPpGkJ114lVCKX/pOTGmgc1XAoUzF3zdEovOfJC6A +V1xVlCfuDv5MAUxG/BmmYSO+IEE7NJuYbThElBQl7tuNU6f7FUN58SoIRRDmsQiYG6yIunGUTGJw +s2hn9Eh/y/rJPUi4z7ZDG/b9RbSisNJ18xJtlAUpODXdCQ3eZaA7aiuLiFFMQyhoEyv7O6xrYMEZ +2zt4LsmH9EbPRmPrGzb+oIZSvxG0XC7qciFZiC0bQDT50KJfrf03yHzkpLZeQsmnb/Dgvs0xQUOa +SF+q+HukwCGLiaL0W+vrrBYAMkvPu/y5tvIuozOe6MrDQlMEhrOzUIEC5thpoyYnf7tJPqJfigpG +izLo70JOi9DCvJfzwdO+uuwubpGEV+XZrywxs6BMP2GW5/YxKMNeldwIm4Mfe7fUFJKjwacjhAPk +Vtqkusq25N4X86eDLW5PTgr23rtGQeI9qGfTZPAuZonmFGHNZN4a7fis3mRFZleF6joobCl5lkji +tcTi7j279Dpl9hOeCKaQD5zdDxjVUg6/sflEaDUasvePqNo2H0JdTuSM2lFjA7HIFUaJlXcoYikj +Ix6q4lnpL4OrpKtV8xyX8qSZ13PvWLEJPWaQljZOap3ybSjYjgR80snfEw0o2ykMVDkkHfe709FR +4eiItLoDiNfKHamMt6mK0mMeiRIrOUlVZi2cQ+hILhF9I0y5KC3uNuHf2Bz208lT68++RCDoAivD +o0fpQ+Hx2SbOhRPEe0Cdh8z9VHv05G/WfWNmyqPkolgqPzDV3ZsUBkHdIZHJulUyUWrqh/VytqiJ +/bKbosaRdMwJ/Tr4laguxBdSQZbFQ4lyL0Y8rMt0ZOp9iUgLhCSNiLrlqmE3TNMI20EWUX0gs4+o +scME9EvuuEXeIwcNeGKSQ9kdv85upW0pLTab4+oTTUl2KG9VxFCrlxhBzQOT9SR2I9uyEworSo9b +OB/+v9FWi2e6oiEPROTcGNFjSAFgDRKPLmBJ+/q15rbvimZ/i9z/s3Rlft9DbsghtWyS5me/ZvV1 +qHpo72LvcY29qfkp9Dee+FUQwLu8ZMCFyM7J29pgm//TzuL5zlgzDTLBCkAEIGhZnZPm4sn/qaWc +Q3aX7hqMrzurROFvaGXXsUiQEiHWay0axaJdhf9c8kNSmo8SCcNnETHebQybu+OfANsPXwtDh3di +vz5e6M2wwo+5nQyarL0HTMADUN0vvd5sOMRM8TstbDw9k8XPxOxsHh3nS0cLF5bSjea9S+fKUMBg +m0ckZeOheUxLmy3RKR1aTWv5kTSOx4WViDM8IVuTNfkkTQooKRM9JNcAdtq6Ce7+Kx+wyTeqQdoc +X1VNsvSTqTI5coU8ruJXaqp6M1vLKAnX0F22vS/k8vS3myvcjS4YwFxK5IeROYxB/G23aHcXLFbV +Y8vGxi3LdXbQUdlKlESxvd4Zpm6HrOKWaKAqwzwmfJdP4c4oA2vgNY+byWaQg+/RXf+CkPHCq1Zl +Isncc4FQxZj5L7jpx54s/CGJprtsu6aA6koaY5LKoRIF/jbWGVcdDjv/l4tgnQQOrakpQaN23efQ +uRJk3t42+gikPOKh7d4cHcTzJKg8vseX+qPM4DoRjexLSPDbIo4hJ85pLuGvzr/3lIaNXJa1U+Cb +U84wYxYNdOicRNFqYvm07vKXt86jWy1tN/UYv3ufiTQWbqyWgMYdIBT+UkFK93uHnZThxOHjzNoB +N+PbkXblwWGeEAs6NV5wdbsTVPYn6OFCzGcHpJO3M8FqxEpqdYdhdYbXgm6RNeKbinZ8UhlAcCmZ +/7HhlrYqImbq+2f3VwUIMXFwc/sHuDCMTciagQ5cHL1xMYgjmY4p5hG8Q1Dg3o64bxN8MoC+G6fg +VLQpRBWYeb8PMjfqHCgEs466fU6biWWtHNLuSQiQX1hSICoryzrkoZ4c1eSjdNqF/z1jESn6rswM +7MVx16hGs2nWg4Ny8U7uu6FKTe8qnkM3qPlg/ltlXuscDdhgBHFE7HgwVBGBM+V3YdITmqYDivvH +fNAQvWOnSz8gztOb9qef9p1jlzDB7P94vCUCLjcJnEMxYgE0VDPhQ9WSmZXNsEWzmkzucsYSJHA4 +XCnlfxHSroRKbCjEoqXJ6wIl5VcpGE74VgQpEEjDVchL7L22oUM7vKMYGZPwmJITidNhwczuZqJf ++tF3Liac9BuwS2wz7AM6UFITzaZZSpTbIar47DvFR/VjLP6zgYzflydz90qJqsFSkoGXXeXX/w2j +xTDm4645huNmiPV8ihFoLBoiw6kWi9ilG5QdPmxKUB7nDsCblfbBIKjNNAvsJb744XLVh99ggAdy +vjbTcGt1ARt1x+Xn3XDNE6VChag6ibiF0n/mnVtTXppZHNc4UkcdFIuAIUkwYPB2IQqcXtcjjqvo +COZQhHSys7bFo6BfFJ+QOvswXfO+zkzJEZSBzqEEMNbZWm9AV+D1uPL59hy5LGk8PVVBI/L5RiH0 +x5HHOvDpCM0Wp5g3A1bG6BeYoORwozrqW/2ZWzkyWfhi8GOYhScnAjbVaIKJbMUtqR0Zm6OubUQh +IglJXcl4/SlXeLRxrkjRQtOdjL68JqSv06KEFzBOCVxPmO6T+pKSwZlU3nuHpPs0yjKAmwreiQHE +F5gKsDHUtyBPliyVrswaJhM0SgkMpBiRqSbZLbDiEatP72xUuYUOg/RF0nyL3rypES161j0N5WPe +Y7VmFo9CHViKfBILpdMcRcB8hwp15b1ioLqlj0Fh0hw2sRa5oRjoyI1pjrUTU4Roh89FMNFxr/6k +XocEhFc2mnVl/PD/yfYXVfbUSVDSsrHTR/OzXF3fTeEl1bPMoGIcHHWIcAaLTZSwUsc/TmntDgCt +iTaBzNWoSP/RIJ2fABMk51D+Jo9xMKRsGNEOm+CrQoyXwAjPaE5mBc08ixx4uXpyBbuhqxT37vln +bz8ZoF3emQxeYVDnKREsSJDuUMtjMVcb2iuC67tr/zsiVdcAHzmnFeoI9dODMcXwSo2gPZN2D3M4 +Mm3xh5/IYRdUxG6q1OjtCQ60eguH+f+PG1tYIo/45xujInChQpvVuDKCxEiy7mNrkuph1FBleGqZ +wMZfSBHHl5xfMZRP7QZhHx0x1p96BUh8fcLVUk+4MGvZf+BXzPoxByz/jRJZYsGQ/x6ReMgypYN5 +FNYe6MnTUzGi8bWNrBHpHdzqUI/owDJKrSgI6g9eXOyAXFqMmsWtevFlCCeFbKfPOkUmSluEBHrf +WrQ7W/m+ykTe8ATSkBIR9cWUR39MSR9gSgIohAYEZ3Dli8vklTnp92AKw77z+DhJnGLe6N7pgQBR +iSOrKYz0qz8FTDVCGezj7+heFk+rjKAA28zd2hu3tw+xRCLjlfHtkx4r7m/yX+LZsmEjaS1ugXbS +3Ow5jABvq1iQoO5pZbu/s3HCSxeJobClFXH7B96VznZn1qofzVYCVlHvA6fTfzxbz0WbGEA1LWUn +baKjKlQ7NXGEMSnIh46ddREcZ2fQdbqwl0oAviicC61OjOuTh5OI6dMLo91ADXyVzp+OgHig4L/n +outcF8ORxOopFnuB5W7tp+hkbBNcX2JPvv2aJR67FxOC1UdnwoggY2xdLEGeS/E8pBGXb8KKDHxM +BrWs05jF6ks9K9J9F5ZL3YkeYnI3ZydkgXblx4AXphrzVoAR0ns0mbQE2Nt7DPHgY8lGAYYxODU2 +SF6ZgZZdYq8MaXxNKCaQH64Kd1lntQeVgzQ3Z0Nl9rgn6FkSsmwKrEPSCSFK2mWVl1j6a9K9wk+4 +likrTmBZEUM7SaRdt/c6M/xAw6AArDnVdZ3FGZx1OucDPb4ZbEE/DhYxv8hOWZmKxoVAvHn7jJRo +fPSMUrV+sT8M3be8Uj+ZoXZbQILN4J6I0OTajZeHUYis5YVkaqez67kkerR15e2Ye36WdhYB2jUH +ynOjbLU8aZ+tgy4ksSrkkz901fU19vBzMQUEt351HNWh6cnK+9jjH174nwL3qMRQeZibOxMbToqM +sE8C3LQanEAtWQ9KUYWhSzL4AbDdfxuY61ilKGwYc3yFFSqsjjnjnfG03AG86GAvvi/oottOzvA8 +BTn+UMVFXpwo/THav0IyeYsCbsVkXgZ3KgTSJ+PtlkuPNBlVcSKSIAJYPUYDwKU92nrCbjnMk36k +O3Pxyg2QZ27MZjLWEzoju5PJzZugYq5wVKGCPb5wRqVW/bVF+PejE4U/EoMPzOZzwzsp7UrzJJVx +ZRu5AcrPGKNyUKmSvd9BbE7BF30REZLloZpv40d9wGx9YVeywS8aXWpqZIFD6N9j99eDbH5btetu +edxg/iXqau7y1APKRhWKixi5Nt5TmArY9A1As+JWOFh79XBoNQfHcvL6BUF4d5r3OpvYKEAktyD3 +zIYgFw3RwDCdFShnBMs6M6Ga+8iWoFaJ9lWxMnWVUYcyJyujry+bilXFIy8wBhEpCFSQ613IY66i +CtxwFzBngw8uMMyiC9+GrJea8JNFgbN8rb7Kj3NPfsPbRFIFX0BDq7nKml8BxMCBK9f0kvhU2vuQ +iDon/N1QSEtsuCcN6CJr1XRIaMY9aGNw0di/Dx323fQjXdMdchlQvHzF5cARVQyg02ZTEMcFco+Y +S1QOJzfdydH/WenG3mFKA1UDkNvF3ltgXf4y0/cNDH8f1KFX9a/pYeFagDTEHBtY/qpsLb8jOoQl +1+QBXDWZL2sWl/wtaVKh9uKhT5S5av8Sv8Z7lnxyOwPXyXKzzpZ+TH0m5szjJm2/TWLVIeONv1zj +UXeZHKO1rNqYcHeVAnv7n4G3LA3WocnglIuBonoKVwEIC3HFMHO+yUPgpqJQsJuX/AEQq6TZYHv9 +jrcZE8+WIsgJE8Vh3HjdJlNCmN9pTkaJw7oy/laLjM6YeuvlpJoTUtrMfn75HNTayQaFToWlZf4m +R+cvEqbec5YxMS/tNPnPAtaoUUA3H69huo1EZpFquFj14EaWaVp3jYN4UzaV5yCz3HpnslCHCJWo +2K9pdAN9CqcZw1peeMmAUUvNJ1mVANNbGKvwpv5UTvD+ifE6YEGT7nOWekE8RoVa7JQDQUnGOYjK +dAtlKCrahwYNWcjMdPPAmtlE0dow7d8r9chJriazhIYZ1VFd67ZEa07+ksj+neRaj1u5LLXOWRS+ +9xljis/Xwa1gM5h+VoZsU07mFftBJnW165RU82twjyRCmcuwF914cB/Y1B+J5YsH4Il4OOHTfyHJ +1cZ0C0jJJXXdz64jdgaCMQV9XXaI/qZQMZ7vHUlAJwbbB0wkgLPnCim2AduVG6vzXNcigwGW1WBE +Rch/uBrsH2VfZ2N4Zj3x+NFJiY0IxXTgNlTA0h9Jzh1xnbhg62hgcBmxx3oB1xu9F555UUNiQCiH +0XFb+Ncel2fZLdsd5fL6SwherE0rs2AfkjsvP7LfZvxLbVgFIHRvtNH6Cc5NZfcEHpaD/EM8ut/0 +Rabazez56Y/fxeCo0wdTH79GAQnPbKtgCS1Ruc6G83cp9uNQg5ETiCiRrzRESszjHRxo162Rs9fd +p1FDXcLcA/sHhozM9v5wcNqITUfiPdAXEuVFpM93RQ+Xt4hTCymEPXLZ+CW08BKKpUpdGQXogfjv +ZPjYTs9+ceMty/Z2DB4bBVNc6+S8XIDOmVw8Vjt4yrkPh7CqfhdIaVa9n+9dDl1nczjbNV9THulj +jiEHVCxPumTUJPnxusszFKCYt+hpy5fhfBkNVdd9+nlO7na9hvzkyIxC5/4I1CagWxPV2G/O1xMz +WW35R2assBzEEPKU9UfVNzwXUukgD9j5ZWxrdsoDuoS/MinePxUVOzifb2hXH1gIHNjmpjxjnUH3 +0RkmmVjwiEwpObFNB4a4Z9dQQ0wiRWVSBfoTMDruJcfkIlrel7GGqWUBGxiDaLapCRKRXCRLcKIs +LKB4S+fbn2VjZBT3uaOnZRFFjiYLsD08C5BSQ8JtvIHsn6clCkHXvKxb2XqCLo0TyIVMoOzUVu4T +iWQW1jcJIB3t7qxCcEU+eSlYu826Kzp6cMbp4x72RcOEyw8hVD7ilp25fRkFrr0HuvXA9YN6gDNm +Hytnc5ZrcSOQ6foj2CS1x9gV3oWKs98kVlT/4ZszTwox95dK2DgKzceficgSVJBIGkNt5ZlD1O3S +vzffZkkstSq/O+IPIZAfNPxgt2vM4gDGj30At8I+MZyEujXzfkzHAQWSSSA31LOlC3Sp8XQJmW3R +rvI307sRAwu4/HkmKLyr/eWCJq5fvcwkFdksC219ptGnB8Yq9R80eaCygkHpPJTqS9nvUI65kNhZ +i74QwzIn4B0+yHKx62qwbvxiiEUTlAV3GlTDfZnt6RenaJC6t3VyAJNBAOxEuq4RlGzU6tdCxV3z +BvhaEf4oWVpYwMU11is8DTbo8Ou4v4N3XmiT0zKCo9eIElCBXgAzLT+7D2jBbcY0SQli8a9OdVYZ +HqM9IDiBtbRQK5idgqv9ulfPrqcPi+wwueI/TUeeq+R9Dy/hOJA57yg/WmglT3IR6zb6nRW/NEJP +juNQ6lxzxPGTzf+vGrTFveQiYUX4SPxg+wBxos5e8AleMK7h4C9B6ckT27DT+LAWovqceJyLFlAg +49s6cQcJEM7rJcmuvnBZmATWmhWjn5/9M+Lc4WfzNbufGMm6NsL0nURzrcKFGlFJeB4l5yj19QNv +R+2MpMbys1dy3C5tUBrfr7m4WKu0ihMvqckrJ6GdhlmARcmqXHcbbP0gj5ZxOjjYglQeQk5U0avM +GkxfXbsDufpn0VxI7tF50uqKv+8iw1oaGPtxYKrlDsZLegzUjVsoEuLCP8Ih5xHRwCpSgSWLj1hI +BACBSqjJbobWJV30AtK2kfJceeEudDL6GaVvrt4iDKxXYnVq5JmO7tT/HOByizEUvkQC0N6SlLtD +secZiSF1gAc3RGvHsLmbNGyy8hDH0saPVGhdcaKItQZETZmZprQz83h0v1uv4Z4MPbtK43dORP42 +IpLRCFyEBa7lZFwfV1xN96vVquexs/LgGRFgig96K+d4WZlY7NPn43i8GI9K6F+l79U9LA+X56w2 +j7gU0q9c3LKy10IpmqTE/If6oIxnW9iBfoqhLsKBCo76DjDdrtwgc4oR3mbSEpWLh/9zlzB2fYsS +4wxtSA6IiO32xVcIHoLuBjeCSzHBePvklxCeiF1J3+Wgj0Xgq3qx7sOSCvdnWBkCzqO2N7tx735k +i5YlQGp9Iuro48Tp8poMdSL4/2UK5a1ee/6u48UTUJxK2KUjV2m0mDrUqC6D9TMOspnlrJ4IfA6M +2VLevZTVxmELKuVArKwpn+ntAg3CdFlUNUymVpNC0DkueuahnFnDquwKzezq/kOqg0BjJ/224stb +gH4QkdpeHzybs6Wbe2qx16gamoFQKccpIAyp67YNLHEAorJjHZxZuCEmjyXbKLgIIOYBc7fBIYGk +tl+Evx9v3M613Nwx2HaTUD3P7RopCX9f64FIt/AsQ2WoT+tV3bwRT+ESvikykxn/e3CtmsjjWuQx +hbLRfCGIi/xJ9YVMm6bsr5eZgu20JWPgEh0YBRDQRh+7OJonzvVbcs0w+N0F0RImJLogP5G5fNaO +rLKnsXrsqlHKr0WazD4y88J7IxQ31fgNDQvxnKPjB3O3Ba7Ju8qeR+9wBLApAF5l0HZ2xF9Hkl62 +sgBFrUAfpY0+Hz8ysf5hKcx3KBU6favVSWoH55YR0uUSKRaiw+kM7mk2DKX3XoVag7hfpyUmbnjo +RX90Ew7Fpjv6DkZGBrlYt9gvCv/oW6zR/+OSrbVaR24CCt8hLqgYpkhQshcPWW1eqRfCDM5Y70xi +8WXqqKBAAVm1bI2tSCzhxXwLPgBz78Y140tm8In3efceWBPQuuwnkSCDjx1GMu4NN7LjUVlUiyCH +ofZnXKnGEfG7fUVmDwcxxDVo0oVC7i6bCRPxOdrpR0GQ2I+baAEPp9lZ1MkKRL597bKFMVAMbuvz +Fqr/QioO0lnuFwsUSSm8N+CjK2tI5keSTSMz3xmE9D6kYp52/vJumcMs73rWsdSG82Slr1BrojNT +embS1vOzljW9ObLQ1rSf43gk2eW7BEB4s4y4rycKuUROz2axPFZa/JziDwQsKGiKoQhJvOwvNyaN +yCsTeFSOjBJ9ar5KobkfFZis153k/QvgkOyXt8xi0ubpNv55QnY/MdkADt2vm1ejPXasFyCdQ2P0 +YDVBX9DFcnJ82qXJxzhTou8oYDl8+AS3pKX4woCAJ6mPWc2aIeecgvDfsvITxcnGg8lKK6Jl0GyG +eW3rVtQTPr/lqOXjFwoxKiPAiyLnkNUTXxRcuDD9Urr6vFwQolxc6gOZ//jfx5lH9GgaBOPe6wVh +TFz2G+jvWJgdhr8IdVskM0gifsMGY5aAT3kHfV7ZN1zW3A94tYJiw+Ekqe3gNZqy7IbbSn/eLIpA +SgQoQtIRTHgqNVCNQ2HTn6M5iETbaBL3uvL1K2XKT4g3l4sJ3SmoFfwaUHP543vRc86MN34puzS4 +t8Dh2GiydU7mT38ywSzHNA2rTBD/wb10Lm1Kug1ViMqMUh1uKj0+yhF+Wc2acR5M0Rn04kW22/zG +6fqndDuV6GV22fetLClpXdUQMc94M/QOQffgRqvPpSHzpPSPjInNZmwtoVecOGy2U4zF63RA+d4h +eRE1mjF4EMc7V/oi3O4ygfeDa5Wwx8q8lh3dBkegCVU6Yw/DE5g4cJg88cTxTs45Ann0g5k6Hyo/ +xO/RbAcMoJSEmP8EM4Fk8dxcadQm1KXDmWWP0aA8dgh0++A7trUkdH92WSgflIUhNQzIbeHv8l82 +nvz0jnu0U+0Z2E3pbSur1u4TtDNaXUU8YuYSI1hmPS943lgfuPXs40N2vdQ98dDK3ITF8cVVL2/k +z1Ve2SON19USU+KD3PhvP9CE+sjlFSLgi/i8RFR+L+ms5DMfvQgTzOJyC12jnuhSLLU+e8QAmNiy +w/9QXT12rv7lVq7VUHEYSj/gaNJEnM0bE3hO58PzNlwo3PQET12hMwVATr2GNJAHAMDSPlzUbnfA +57QF9ih0pPIk3yqGj7iFVUWvI2kZwWFR100pbC5tNstJjYvm0KNDBAAH/jOMRlp6ZLIWkZjaLDCp +S+6Mt+iBzy25MBZhhtXnDFD2HCDf0HaaHX2YK4bCoQA7Wy95NZhZx1l0PWNCH5nswcv4ytB/g3/2 +X09169/N1wDENRPVeV73pmQPWQpiP7auKFdc8XXGSNo/QO8817a5Dp4qyon3GpZSTV1sP2GIHZlc +pCdXbyDTHmAf7jtC/zthGWW5lyo/1oTN/207D7ttyymEikpl3VOBVs8tEeJoWKWxXcPv1LYeTYQc +mukWE66VDF/T+n+XWnBci8oaGQbd9uyGpGb55nMvjr7xpeWrm9cikw832qU2wCb0yFflHF16y6sQ +7aTCtM0a8ilzqlPfSRObA8W7cWkbJqvP/idlZgntZCqLL4VRVhYvvyPnfcVtRkCDTcoduwYmavdp +k6rNfOVnFL3KYM86EweoB7E9M8UsRGsCoQjEBM8AxqQJLN2W0943UE7l0dEdowg6vM8NnOQ70ltI +YjB9FJ4pguET0u8r2/HmIFPIRgQVfBEXVbaSi4VzlaA8qmD8hmtASc9YKByi6VxBFt+Apvmu9bB/ +E+Dks7V8nRtl6pCxPan++wRsMpFHwEVWtmVHYWfVmUerGUVr9k8e7h/1yqDzo95TYUgw/WzzclbX +jvWRi9Q62JVLrTVJeIXLVwT5RozTDXecCoocCRyyZsE8ivQdtiInUluLqaPoZJzjs8UoEQqv+AVy +Jf+5lallMH3F8Glznp9NEvFmAt0f34IeaTmIAr0S5Wt8zvTxbZYDW4l+0s4ZdrpqjWgg3Th9ciiJ +hX4XPZgajIdhof72RWqj5Crpr2SSRMaltJOJSgCWK87ctRJ3S0MiBL5AOEWN7S1lOi5l3YgCYWJ9 +BwW5+l1dNQd6rd1I+9wSbS0qZLDjPtDak0WhrTwwHtSMdwh7DrixR3KZNARJXaP0Go6CPFOAcsfw +BItd/f8Ha8cZ4Qm8ML06iFtJwXmqg9H06+gaqxw3FDLNN5+sEioLDCJb85mPUgs73DWrer/R7AzM +VeHdUuDoUrADzc7QMOHiScBt4V3ymW/g10FIxkUjekWoi24CiMHG/zP0z96vEJ2OeDOzPcWCVJ6i ++GJzqajdBx9KllRCgdh/y8ay+DWPTLu840c3sZkrIcFjVjKcn8jqYCJ8P2XJpNYp9JvgfZEqf0Xy +yNTAtEKR3WOmBiJiaRlsjgC4luApsvH6woOJaNA8hR06Ag4pQFIf7D7FFV3Vy9NdF6kXlSVjYPaT +1+StvrPBeoXK6eCbR3SJ0dFtACr0X0soJp0Gj8A57EcOM9OwEvAnlycKJnLPfGLJkhMq0an1RKIP +bQClK7nA00WDULcGRnqSwRklTvPaANzkMkgxggJzbl82oREwunBTj3fTh+FX8EMVldqa0akbUsZx +SMQ3OqHExGWCMFIViaKTZw0bi7fMKEVU2WIBhHG9zMc/yrD0Jq68DYgkK4hwyCJ7Y15jijcFbut5 +wlxvlCeUPcyUpINffgoxxBiIa8y5C+o5niwjVQqkovWsWkDq/VhFH3JSsR7l7eqWd8qQr3vPu0OL +HdRu22prCWmJ/cRdvCPeapLT4hE4Y7qKQNH+ezN0gT7M1JX12xE/u0K0U8syLo3HPwbvEj3v7np5 +Dvn7jE6oBD2kV5GeR9vho8aoLBJ/hxCkTYyYqqXFjfIem292jqE3B8cAQG+NCqIQD7UMtaxmsIVf +oSfeqojR7JmLSBzQOv3k9GnuSy4n2AtknfsIRQUfc4pY7dKvMhIu8t3jGAK48YoW0N/jOcwQIvlS +2tBG1wH3FIGet4CZRUG3m0KQrjFKuSPmi0MIIrnWZeXqttjBXWlSwK+FesQJ2BgvBLT0f2dSYBed +MCP/f4H4LnWoONU/YfqPRFlB8FcXtZjJur8dSmF0NDtnH87TJ6qNlWUjv8Gmxt0zOPi8HV6zZrYS +q0iuCSPGvgukFu9ax945l8c1avRxIkFbQZCYPR1+VHhxOpgTyz6Iwfj9yrrlkcV2pmADBpwnL8si +KpEuhPqQPnp2HvE4wdXFZji4UyPZw6OLoEtLWgx3W2JXiinoTt6aKLGnBj3otFAIHVjV0Y6abgkx +xq7Ca+GCpZdS9qfwqGyxNFIkr1J2ESLq3Qe7en3UzgDc5Tk7mkaPO0wVOKzE4Dy5WZLdXEmpskcD +jM2QD4BeSCe9Ovpk+oJEhodDqGBcPI3UlMQQYCMP7Elf3aXbVPBA9MDm4SnXrq7RMsUxfTHcapp0 +XWiEEMweKuGWDpKOjahHZIyKMMy4igRYZHPUOca2KQ470W+30ZyEn9H0CbRf7EAeH0XV2cJRzCYr +rJJBx0vCs1RHbNU7sq4P97mdK/QeSjN7WcHoU3G+krCSmoN5WMOCWMlgjwTFM+DJwKstyVdDOJej +VhgV3Ooc2OKnqW+EbWJ/JxD3HVcL7BZcEOJ9J6KbWnhRj4bCxTA6u2lFWBybW/qWddEWABH5niDM +G8RRa+YC8oPU85ymrAbBS8namTZJSBq4Qd56NRKHY/H5QEnrRETpqKDeFpt+rmrGJeIjfTAnUa0a +K9v4Nn+/e6c2UFgQxORkDnnV7ihIhlnfOOqR+zV4zUy95TInO/h5D55aw3rn4C9+pBc9qhUcSGdE +7Qj7SazyBbfbpsGJfVXGJepZ7sKAllQvUQ0nTMEFTjKclXGYe18g/tjPbcttEGqBbTQJhZ+4jGfG +OC/B5jKGFYEI4AX0nbItohsr6ifjcMQ2w2Tfh+bE1cPnVPNDovxDCwhBYn/7ITV+ZkfS8Hu6Ytsa +HCiB7FUaFendBPDJAAnjNF2SaRyAoQ+IaxjOfkfu7A/w4nYK2loNFeSI5SrM9p5PzBj/n8gaIS0G +GyIgD65W/grLWI9Le8K1d7KO/KWVxMa+PZvU6cU2n5oepQ7t/utFYjEONCzqySWuTkCVBSdpWdDY +SD/M/Mk7JTngi+Vn4KO3/2j9Tdv5AyYtabySA/WqHVcqeK2EXgeztCJoCWUUcrtYeFNLTx85xZcp +tJePGIMXMcnFYSqNwVwRr3XF6c4RjKgU6ANPChY8yN9NroBF5U/YfjG8mBOKwfso0jcO+efTVs0I +ah+cEeQOuE1PcJ8HbO0M1SP4uBlUcRsgt5jFM9MmTyGBwJoPEwz3n0iNpu4yfuoudI+/tHWRhOMT +eaDgxGVB+7atL1ZjdGlkX7xtZwpQs3PHojVTBNMEEp4taeXNxF4OEwUvHvmvxyx2cPh8nNEAVZ5u +SVfDpFvHDJC+AagpYJsOS8dsFf7ZpNiIcL9zZHsK0krdNQWJWDZ3FNQcx50pYNv4OZJe+dKJGKSX +fCTsy9WWlxiKCmueCy1GXi6un5mL6b+EYH3OnwilvkGBu1F4EgDz4Fdv9uxbdv/qf2BlzaL3wTnV +ak0HAgm1uTLdkcImcBEqRiz7k1/0fF/nP/5hA0fPIeTGeUVI/sLnmynpXipYfBXv8q4AjFPpyA9s +GkGzmVApVqspHCpxT5+2F55eGVcDPmiehjM3/1aJmjb5fnXpAGKVbcxGtiLfNzhGtNmRhVir79hU +2Co71QMm4mYh3LTNCGd+SPL8a/fXBYxp8aTP/oDCkMnLKRTNhLdPF02DmcgzF41qy2DGILdqF1CK +jOhWtX6O19X7a4BftxWFbcup1wS8re7zpfP6DV+LKBDzyjMEog96i2op5rdTxd+wvrN7z6EBSB40 +yS6DDn0FckVL+p3pzdq0TrS8h8OXtYMsgPVjCQYURvkmo7wHl647D9iLjWGS166M5PvO1C6biUeE +nR8s14PEi21PivJXx22IfYnuh3U33tThDb6cBz5Wy4GrNDpfhvqXd8RCGvv882T703HT+wCl6c9f +nZKPzHccyH1YB+frWeQ8D5Lee3eneoJMyijmxg9VoK6D15LhjwxWpcrHmA5JIvp9YfsS7NHMvEBf +s23U/K+ZshOlxEmnepkZhh7VjriytQDKkyOhE8/vVLDuA78MmlsQ0niu9nqhXDhXUCVvsHZ2MLXI +m5RnF9VGNc6XAJREhNFm0F9AAbzRqr06PN1esJGtNn6y7jt4DTvPzbagudFfkzPZ0+afSP0xW4EK +MByfsjLw9Hzo+RK5gvpSAphbl9yi75/+zYSnJxYegOjI8uOrSmerdsQtkh5gLgnK0aP+7LafkOH2 ++vyjtM+Q4SeEamQipVmQGsp/uOQRjvOHHRQgy3KjuzKTk1r9aTI50qnxpOsGQr7U9yUg597QNenp +p+Dz5aK7fyVcByqwgZ9KJ9XHrm+JiKqXHmhOhFpB07PcBH5siqWl/FZfQN4oTdeynYotl1zyunyc +TYoCncvB/qsJDpb7M2uzhg3CqgJ3qyop4GMMUAf3iiA+ZBRDpptNN2++oYqGuPmnziDDDojiQsA4 +I4dp2BrXW0qM9lVuqEyg7Y/8SQDiqkXcK+2ewLZy3sNPbnpkZISBYYiyw2vwHv1+9vHAsIu2sbEo +CaO/eSqKlshGT21ccXte4x8xMXo+gdUlx1m5ilG2dkIsFzsofk6hrFh7ODszaMbCeTnCisz/Iwbq +vl3O91kCt9d+Ak6NuYaDPXQKRASPpJIOyHpWjeNC+K1XV483yUpy7BrjIUvrZniTNyb81PtUS0M8 +yAvVHl6C8iVo1YCmZPsob7anXnNmODxW2LWWdWj6XNw7jvh4ccNBTdtVIF4zPW1CQrGl8/C/wMde +MY9HIZuofGcmvXT4i6IqG2hiCAwiWo20Dcx51orOyWpd2qemWDgkuNrCqHLJg9sIdmXzo/rf8ZG0 +EWEvGafIlFZIPcrjB6pvfuf8of8l3//ct01pkK7r+UAA5sqgtH+ezBn6FEOnISPPUxaIEu7ev633 +u/7A9CP2HhYHm+49as4k27a8sACo4hVzU+4decsQlRMdL8b8JarJOCIV+kQxXEr7swsknmKmpjk1 +nLJtdlsB8zV9PuRX0IzmkTDdUMW4Lyk6sofLD7gDY/Z5/to0uVwhljnY4yz9QziDwCHjtFdLDxSB +E6kAm3sv+q68Sl224Mn6yG3znUMAzFcOEp8AcEHbJDlvmdZpWwybCG+RL2VgWhIBD3ep/exRKik4 +2ZQsYZamzvYkSMlvT06tMy+c1XTbb5lVtaUSz7V0Zg3Z+Nvvrurbu/Z/iNSe1IIOUwdmMC0Uxl7U +mDMa7CdDCP8NeF9tLAOej/BDjCY/VlO7p/z4dI4LD9cfzv1GxpNWw7x/95jkppHrie9RpoigUMUd +dotIUVh+IBepOSCS3K8z0BponZOY38hOW6+NWwZQnIK8yXbCk14YNZ+l8VgBaYymYsE3+Vi730dB +SgDRsK9RYFdTzXlRNyx+4OpsqpRyDngy2nJzkhng7wgk+Cf+u2o9ybfjItuv800Cjb4dcgFEgK0u +8nXPtlOIBO1i6R0izM42SHho6+K3wssKItE7byphQZkVBdixISpuuDncpi20GXVo3kcPoJy1jmr5 +PvkwlLb3XC1jgxMvGEHJCfYdbetIqYbJNN/LwPh/WaqnnNMdVAY73uXGqj8Os3GeRNm3LU6r0ewK +WGweBtbvRxtXH7Y2N9mti5vF4t20CsPIl5XHw2Z9bm2h7Hvye4iOO3C+Cz6Io8dNlLittKeiiSww +8+oYU00XiEiczwSfMK2M+maeqiUx9SAZJI2Gv2lYtNLT6v8JE/4KWCKM7h+uFkYTKddxm1pVBsvd +qjohGv2oOugm88c3QOpVNpyxRAey9p/MGUC6USapaGXRvcuNE61lJ2ap94l1rI2YNPDrNNQisG+8 +uoSwozAsiLnNE9HTeU2HP0pdHrHQAmewz5YdF5Zl3FBNQKp7d5VT2Oe34GbPrpxXg4OJzwjnBKTJ +fsa8S3prfANvKPjygtxVt34xX9yCmYKgw3IdTnyFUY4sZhHzbl/hsMc3nCWKoLRgZi7C/3D+Uuza +ep13gPRtiuprpzDis6vzJ8041zjIY1epVRUiQdh7856zG+g7N9H1+k8GAmU3UHY9QX7d/G9VdFWQ +Lmjg4m6q1OvX3DpCV4kfwuHAHQAXUNXcHHTij0U/ycz1kHI6TS4fJUkVfCGZcqm6Ze0Bgws6/axl +EWNxVSmCy0o7LqDhdgFknazUhrax2XDavlewyaxaST4bA/+mM2zSAKJdh90oL7CkE0cFQ6FqyZRm +Z2j4xEKA9gsZ28G92/ETLs9bUS6En31/OpEqfhpAhC15M27sS33Pc0RPoBQ178x/Yb2fprbP9B7C +djqJxR8si/nTLShyBbXlkd/9QE34b7pC1Li3xT1eCSlMEbozrWlIKYO2xBncEFXVVV/jJC1TofBC +gUSVZmppWDVf3cBTcnWcvfiGc5frwApZ50BiRwo6ZOqcRZd/i/KoX0miPr3zUtIBYePVdJZNNKDP +aDKAUsoQDJYZSltK15VaecyOV1GJr00jaA0UMTuTl+Txa2BK1mV4lqOGQpJnnScxfCW68ac2Vdc+ +ZYe+4GtpeWzwCayA/eeIIXrZQz92wsnARcyVsw9m4T02LpvLrhjaF7kDGi4OJetVz1qMyNN5GfnT +gz68QkDrSMwTfUP0tSIXeq4HhR6JX+nq/0FuQaKZ+RfmItrwY3XgNkFJMtNJIY4kSI3TScokb75J +JJiXKNJsOJywX5etyEPhN1ZScdhcLPld+m8Oyk5UabxrnteU73Nm6eIVTsBBcAcWcJYtdtal585r +t7myd8IUHwBNECOmtY3PIyAYg+nOfiGgrwBF7iFsQAbeOnaoWRr7aNmMar839owU1ivbHnTh9eh/ +Go1G4Vx6EcioY2rA/busNVY8UNMFIE8EdlsLVLIk0ly7ItGia2yjUUYmw80LYJkohXptqRHE0S/6 +QtPn4N9/+faDVhF3NGyhXRcASyMVEWe0QUWQBHqZm+Lec1dgreO2Za9cCHDG/QyrSp3K5vF6NMPa +t9+WPkalVN2fnDHdOoZ1EU7cDehcbElkQQ7036F5TZAUKwIMFDHYOtoq7xsjsuYu4S/r0L4LCjVP +2Sw+zP59KJAJ94sk2yRm9DvQwHoZzq5R+5jaEbGnH3b+72e5p8+N536/ZsYr2b60DNNMb/TtoEY8 +/lR1k8hMhlsP3J5C+NKdUxRg4Yhn5/Od+zL+VUxMVrIToAFlHR1n6OwccFg2fgJMUeJQswSwEv69 +AWKRHdX+bcoHI22DzhppGsNHW7m8nq2CIvLof2F3v29tTuVm9/9BZxhU+7EwsBHKziHyHtbrW1fJ +IedxftUtLAQv+Z8jyMwGF+N88XD/k47/NimPuVQSRJYGgJx3JWn3DLwnPdaEgLZkSfORPC5TCO9R +P+p4aB2KklDvEdsNXoqp20Fzwwh/k/0pRjUQuwHQCk7ySk7CeKpl+V6upBVPgocaaAHFHHtnyfUW +5Y4U96FI3JFetC79c3n2Y/foIUbvwC5T85LT+ORWu1v9S7JkeCptG67SZl0FoniagfsRuibgHNLd +aYKwcpb/v0Q5DGNygGV6XhZAlU1RCo9QRXFmCVAz49+h4Kbb7tP1fe1swUPkAejkGlUEoAFt67mH +lRHGVWdhdtinwUw6t793PHjnHh7Zx/I/Z7fqcDrz//CI12TgLq7PvhzHkrmcsAro72e8tXBeE1u9 +Ouc9j2mM8/QbRySkXrl+eyjss6PMez2/38r9hmnp9p/cKHAH7uzwh8BKXqg7UvsfnGTyUahbF6iW +lkLVHlO5Nj28d35ggpDDIPgqMyuC0+SQgtUTCKqp+H6hKvSjL2irqh13yyTY6r02tniDpPwxIAZg +We6Du70aecBAW+Fgfekv7EW47wHbf9vWYp5duIbTmxrZUE5BidorJ9Fru6hBngF1jVsuUvSgwsat +xSk5tDk34NpWyaagd0speHZCHnm3HCOp/bBZsVGAnL4hIM9g+dPGdohm13Cy0hg1dvhdfERuN+wC +4mYgQjlM4sqYMc0lbvN1ANKWZG4R55Us9uelmmZH7uncgesHtP6fEaRQkcaDcGgAWxFXNfEFtMxY +3/z+wA8lAMPczeZFweh8MGxv3sY1vVhBjOkSu5o+jKFzbthFjEUbvJANZ08bPOoT92E29iwVlbdn +TF6BwuKwCZ4ke5V+Vpq3FtuzPGLcmFFCYbfamZwKQVT4ciicW5GlnLWBQGFerWOVBd+ARYmnS7Z+ +ntct/3lS+L9cYCTMcbIYgBkPs6vPej/FJYv9ytkLANYUAOvlcGDPcE9rJG89BiAAnVtiUf9BntsJ +qQDnbDEWUSxiNHfAOJzvm4ldBtBOqgd6zZW40qL3SQ8rAXbBrqofX0QwmQKsyzCesg9lH0MBo5mg +PLhr7TGBpV+jyRkkNk7doZfk1ObZLC4ut7R2f9kROi68sHhEGgzikf9KQLtEI3ebXSns6TSWaAlG +i0+71Bbis+/F+O0SvEJ5Ny0ONHNBlzx92X8I8qTV7T6Z5tmMcMwQrRHGUqi+n7aXXJXnxDOBius0 +EFkEE+q/ZUkIYMRDLyDfVmuYoi1l+kzM00o31elgrg+0G68ntblkSvlYfwoTvmzHMzHj9nVP1aM8 +2d+jQOFePfgAgg2IbViwj9wyPFuPvmBA4+fGcZ9uZ/KPQrjhq/TvPpwSHtcGAy0R3Qq067/gcCCB +SbGfZbqPCS5lsAMxoIF6zLx39UabpciZMuT9HPi6kiNQy69vKc0FEwI8ixbG2SL+ZwhyvoINzL5C ++g1V5OzFcWYultfH438t5LWeUepOkrounOzvI028tUGwHesCg0ShJjZ6TRn7GObTthVYFfwtX05M +SGTR9C0GIAWNDT3ulfXA0vpRifXqB4T4VwkHAtSfcosGg4G8D91MuveUZr2kQf4APsJERI4t7Y7D +9h469KlGQDoZmQXxxx4WXLeIQc0D7kvnbkuSO8eP5iPIW4V3ivAddUe842OfWD0Rll06j0jf7Q+Y +wGUYS6shuYXbaTYfiD4rSkoHrQ6GlkMfnXjQEtA/HfbcNIKGCFHiZCHoWkLQGyBZiQiCL8Y9n//H +ogLSxFUzQb2d/UVJgqlfNDOv2cQYcA7wC3Bi8wgiB6UlYpu0Me0k1bBR/ryg10C/8vzuKK4ptoxx +p7qviDwf0vMLuOwJF+bE3awV+Ah958GExbZVqiiVggnQk5PfP4NJrBw77cpLARXDl0qqe7f9PklX +urryCA27CmEbK1r8YFDpp94mmZFo3vnGFD/o15a5yrxDckHOq03fjzGFV27gBQ8BYZXpQLiWOo/2 +OU25MnstNFCUlLRG0yvUnKl8d9wEWB/hZJBODAtGeHyfukSGniFlkZ9psnTMAKNZTQIGaWsqXiv9 +Nn0ZzGxcXndiVkNxTHNIjQdLMq8+v0DqEUhZIyBqvIuCXCYzsZFOnaRoX500Ctw7Z/lZRQKxj7YR +xUmn1KRSeQfls1efW1oILc5HEVDSUnAYsJPZiWiOvPXe6j639CTzEdaR1/CrK6eI8L/8LMDH/jEU +D4Lb7IXY1bVmxtNIsqE9q8q6/YwJUzTZ+AZmyXbvDX25nAGUnqUhxpe1UISzEUTPEgrylsPOK1xX +jszmuRaAKfp8RnKMmPSKNLFBNfrWVw+JhH2uavy1MLi77BEU5+mG78ilKzY/0OTLT8iI9hAKkuzT +upZ1A0S7L91smgMMg1/GW6P9blVkogXpsc+/xce1DBn3E0w3IiWv89DhNPLlS+QxGSHrj3XncaKT +QKdjmr0X8IfYwSTgH2WMNq6XA2DVNRZFEl3VKZMDLSnQpLV1yw7a4Wkf8mcOGUWD4SFYBjdzA4fH +Nv2QSNEHf2BULAtB+CGm5v+cDWvVgr77ob2x2dRiensHIh5vXcz4RBXx0nD6mDKqy4l1EtAtZGHO +c0E6NU3UPRR808PpMS2Z4loPtwJ4rximnNyAXOktH6OJzrRkifhVwx880oyRRBLq33bdl5RXU9Uw +wIqU8NV4p5/PIpXrJaaFvPFZuHafT4dmoyAhhVEXw3cxAHUhXbcE7sREpaXogcGNebCXOzCP5lZR ++81iHMVPWNC4z3wVY+Q6d4l7knLUJaNPEu96ZlEzvp0BFg8kqJ2nFqVC5QhxyK/lCn/Ahv7ecE9E +7bshXxG2LK0mPpsfaF1jJ03FBLWme/rNpPmqVBLLoBjRxdIhQiwUGdDUQWTnE2L18x9A4xzqzufU +1C0BaNr5dBpHpmQ7SFi+5d2iiQrlg/ZpNW14IUV1d+is5EKncdOudj4sbXyM3QD5JotgCMljDwSf +eMLBlkCylJaOgMkh6gCr73glyhXKQ/lNl0ywffn7fE1b+1wP98GjZIjTiMjLA1d92VgXXD8YeTZh +U64WI8ScEJqpI3pMRX6Mz7U+RPSKMvUu7TCCGPjo1QV7yBD911XkPROVgxM0K1ZnYa6u3xH94rNy +KP/c9HdGJV2ZwlJ6GMWGtWRZBNP9vi3F0+PqH6sBw8Rjehz+tYk/nQDqbE1++1kyTDYHYVma4Okg +t9c9+2KqcxBtaY2UNN9eDWTY0Md2ZcuEKaKgDa3xfM/Z5dOVppqiBqZ3GG7LDUSsv7ZOL1DHrdvs +6lpnixbIhTscQ1UGYl44Enhyte3IRbWgNagtTlVXW15IVFLLDKhvX3gR/372dXTziBRxChHjnrzu +YkutVSD6zaksDfRmkqc/UavZeksRm8Lzq1DLYSCXcHABZmc6Jdra+9zBZhLLgre9/1ZCRxVs+0+I +atuQndAgL0OXYJ6j9fRy9lZmwepf6t4xS+4p+qzH1GC9hrRv+KTxoLH3UWQOGXU+qYGzfdJ+pIkj +kES1opAyY2o2aUnCUPZ8fv52WOCwUD2YiEMolmEMz8d9UPzG+M51RPQr9RYlUMOwjLl8Qx0aHhzS +JBjhtqSC2WeSTtbwxoHdWjj23dq++ppZr8FLZgJUigptW7Gmq9fLxLSyIjl2hrxHhoYGT3O7/n6Y +xj5j8X7n3zbGvHMtKTOnaPJPtFyyZ869CE983gZSNEOSm6i5YA3aQgk7yRZqdmCepDlCd3lYnzgM +nQ/ZcV9XQOvYRSGxaHx18t6OBiTx+MBHkKGTPl5kZ2AMjFiE0s/rJKQcEoaLn0oL5Ii/c92bdiAP +b24evTCoeAAV/CaoTX+3GRmFmbJx3HNo9ePIRCQ0XJUZMwv+ebsQnDHg6DhUrAGlyVlKn2kNthG5 +jMZ00IQ0hLvpP4cY6pLCVq5qbr1+7SqtnRwflnimOW6Fs4rSHvXrpUiLnUwRUMHwQn4O6prk9goF +kjeFrmDUTBmyk6zI6/qNbjPQsi4vi93zRZsXOth/+LWAduc7G1yHXxEBNBx4uSCGVDuPC+KMqgAy +Md3KU+kKl++lNio+Cd7FaKSmjbFgQst85gt7+yqzr2ttLxeQjLv3O4+aKSpnnDrLp4kwmJTViJMO +XUKLD3pYFx98ZLjs8g9CdviO+v5sFwKwGQ86uHN35dd0hr8rx4pqjmtIev7noZdlyoctCZ8plTLo +tE0kw9gTt0I+gjcithSAsBmqx5LnZRniYseAjWgA90eATd3IqC0np9oqgHJAoajtbYJR9vU/csY+ +aQGthSiuhZFPnJvTob4PIubvUX9tvKV0/ZqYW9rSCsY6weuaRYPZzAigD5GNfYbLrqFw+7wFXS8b +WrW1AUqrUKpqaQD1M2vAHo1KC9Poc013pn/xyOn2wurfKBZvSMwjTFCwpCl1ROkWX6q36+CESAoe +qCyBe1AeM+v/EtnL400KVXM0HfyDlc3e8uu3jotMn5opUEKP3cvauuGwMd9obBFGt8Ev+LxGu63D +fB09HEpx4QTg5Xx91zNjwHcuTfKPmq29LdOkE7/d+Q4bPfAuQE00Dbas0xedXvLjMYcmtogBSKVi +WXOpkaQr1vpxPiVLOzUQ6VT3CEY2/pu9WZG6zoCh5/+yuMHwE6pE2CcAyiRIP0ZrA0jIwXdXGPKv +P8wl8xV/mBjde3HrG9BkUyPaspaVTnRx4i7FtfIjaUe4808NWDNXFsIWFxAZNI6gBS2/Dy08mh+y +u/ujfDj6KcDrUU0bTXyjANX3iFwlrg5fZ/1X9JbzfG0yHmqEcdbxlXfrm698OXvGxA4MpZivW51I +1mLm4r2trADFfCne2D+yC1b/9+7EKKZ99Rqb9OqGkVyvL3qHeGeBj2lyvg3DUAR1Q5HeFzM35+zp +AaDdQeNEt7F9QZKGEWWc6cdeb4SUes8osKJ048Ng+EmD8HZZDJbZfe0wtB0k3NIfzh88QQGrJ8Tv +Sjn5qccg4kGY4EZB8w2WtLj/rqxDtUxajTLjYpHJYwxHIs06t3ud5qty9WdpLw2DOPFaRyr++G6g +qvmFttWtBaRFSe/w/ShA/VKjT60MAfjm6sVKTplKVvzbLJF25QPxL0NRHy80LFHQ1QKOyAIAElJu +07Lz+ORdevXcNWvjG/CSBoWTHUptaLKPtgBuj2xFcUJHBBW1Vnkezrx/BZpOhfWy7sUlMTUe7O2L +enH656ImSpLYf4RJXCGhkqgAFJscn5egNTvhDXXfXxnZI5G2EulV8sB9kbsHrYqj5glkmt2aHQk/ +J3QDE/vdUWIgCK0I+TH1I0QgvbjBfgxOvE+s1rGOdhLk6ztzfo52SojCygyyr/xsutB7u/bA6Cg6 +NTbK53n/AD02ASc23l2ohiy7pl9ezT5MUWvp2sv9IvFVRGqWB+EEnkePetciglePz3Oc7enlXsbl +cNqth3aP/3Rs6smda7JGSjucaVuAWAJWIiiq3VYkkouGFMLWU6j3pxVDhUlubgLpXIuNQwbt2pGr +KBfP9SQj36foYmy9vfOkIHEoczArwKrZyJ2vFcHTOyqoydY3zcBJg2GpG0KffnfiZ273SdUFadEL +yvnshP6Ryk+xWje0GYQxGRKIM4sPCW+UmjRNA/CelBuWEZ7mMWfzRFVUrFOyB8uPTZ4Va/5u3m+Y +1OEhdmbH3u3oKQFvNGnu0nUUuNFDImTSjCYqxKXAIqS6HPQpniD09LlM+Um8cQO2QXflUhz8utFR +VqUgUXMtpDaFnqIZy+nLODKXdvzD6+Hl2WkpzghqLdL2n3DKOo5ckxtof7rQKxJBlb2Fueenr/ji +UsRtoefgDr4mibuLH9nPLIBGqKx9lXJyQcNBi5W1hsSRe4b/stnBdpIvV1kvgXJQqv+l7RQLj2D6 +bfHekdfrra8B9hbOdqXohLOZEWKDVhnNe2/AcavjYEKUoy/5ll4niqIDgvcJ+EqiPRzpjJm2VThw +VP4SCQ1wAedE1nKnOUX3lhfTBX45LrMVjppUj8rAEQKNCk4Yj1Mj/YYGsWiydJcT1KxCHvcuaWFX +n+yM3xJSqJD5oBjI3v50nSFyLdfy6Z06oTJ9OTLBZM+N08q1IvE2FquN3zlHvBO66Hjmd3GIT73u +hE1O7aB7g0dx3WhAHeoiii1yrzOtTwEx0WV63irF/cpiMfYYc29YNZ3doYKlUULc31oTCj6Vczie +VMpp2K9Ngw71jRDQ3DgVMBkogDro+9rzI8Pp3w1Ad1wJpf3SiJJF0LxKt12NsokHaHjwoZNtyG+z +Uc6kAelaX3LHR8S/4BE3pWOxXkTHW+cwPH6sYVfIRnV5LZtp+rdBi/OUeHgUKq6w+NfUOoTUlEF9 +zOrjKs6qHmKTmfZ549+Q7A9bgnzVjiwkDosh+VY930REYFi3n2YJJCjcXH7x0Kjcpk4+V1g63dTs +ID3VdsFmF3268596WaFFu68Kf9BvJ9FM9Jj4xI9Ixs197SLakaM5q+OkP6Z3061qFI9H2dhOf424 +AltUJSdQEtXAgiDRSZg8kVxbTpj8EJLiwoI3rqcopD0csmhwMdDUtaiy+d9CCz0nrUSMRIYpXugo +C98v4S/EWaNsqALkx3b0NpbwSfEUw+MPb/TjhJFMZ57HnnJ9tyyCtdzRRRy/GYc29aHw77DvkEC/ +ekyyRkxotty8JdYuX5IYfdnDT7WgcROdSXC1leZ+Sk/fS5U150pWlmbx5aAc5Sl3+RxIRjyFF6Tb +cttfeHooRNHClBgsXDqjqL19Kuhq10M+ykVHBmOgjVrUYf7E9QxYUCVPHWilf7AJVxTh/6ZrhK8K +C4P57DIUL85HmBXY3IhFfodIIuM4iZqQdCm+36EgsWi9UFYo+bN2JiTGsczp0vtgS+rj0aA5C0fv +FjegQKtNsD9TbjlPicoTHvh9wtt/4p5RO4dehj+yeA5e6SPXWE3oktALnUg5xtvpMvo+qOaNSo37 +q1S7gA3ymvKRwMC9bb+2i5N3g2jde+N9sdXSHoIBtGUlYLpS5WqIR9kKfogzALkK1OEZoG3SNj3m +kHURBwXdgNW6POOx7vk25RrA3rP+aGIUTdezGxyAmlo1cM5c9Dd1MSp366pVxcra7tcKATweTcgm +UM3UtrHqfDafW/Vx99HlSNYozp8oQDb2+yWwm7PLxlyH6dTtAGjTK7MbD/iFBSExtalr8jtfWX7I +gHZ5rUBWGe6ShtFn0o0EyV5CRXqVaJhzzwGSc6PZ1apB56vzbwFKnf8HUO/zYm2GvCu1T1I3pwQg +CzOcZMIAoHkWGuCtFmCWLouaEWbNQM+jGkFUeLthaoc9lwS0pHLcKyKV6DI+3Od5pOKhQwt1JRKa +LA2QfQWc4ntU0JXLZeCDobvH+iltapObdZni1+WfS4TgQ0n9k7Q2V0EhRNvY2N58eLun+TWiy2hz +57ThzIdE0A93E46iy3Y+PqZFjA+h1T82Yt4NRhLTqn7cX18IIZfNLMjTikSTLTP03OTh36HN6UsR +EzKqE6i3tZg8+4SpiUP0B7PtBXmjppopLiO67tWAll+IYMwLOfofhuqRkh+1fjcxkcIiWZLpG9QQ +BrfjJdOBeXo1bnhV4bGztkgQOby9kr/WlpSi1aRE1giG3BEoXv378LztbgQntrI+XlCtBTpxsEqD +oObJ3THROZlQyl49FjGBuzL3M6LKvTcetIMAmdUhfdSxuheWJUu0zdwKNSh5ok9T461WobROSKAX +bXclDbM8PxVm8vuD1tD6WOjf79wWI3RFyZ+SQjqKhx9f2pmKDyGjvX4KT6cPOeuVxNWaQi2tFbgv +YLwh8vaAVGqVxMMe0jVOgorDNHoHX4n9eNhKbmQZaq6pEjYciogWzklqZcNaUOiR+fH2Aj9PQ/Tz +u6+zR9HsY5ZWFVWztwC233QSbaaa+xYRgxke086pdXI5ZdA6O33QclFhvhDYBYLVo1YwPcH10jVk +RW+VjK6I5XAM9BnG2KTllwJpQxt4Ci78kgRHH7ghZEQUlkmLErNugDkNB/I7UR4Yf4lXGhQrWv9y +6NQLW7IDYPXYzXOa9gSsMw5iTo0DSvjbjQSboCfK2vg29TtOnyQCJKefRJRSo+zjemHo9QqUXzl6 +apr3fdY6QPNHaw/+ECeKTWnFURIfsytf0y9xiArTRmAirf0M4aQLafRzQO/G9kZE3WRZ3tLkrB8/ +9TM/lBxJd0fAsiNkqq4qNTDWDHVenD7IMqQLBIqcBhJc9hlfojyY5P/rm8NK50Xx134rTFdr2H0v +d6CwQKAoFMX5cBU6bvspJV4H2iUdluC9cYXL4drBqZ8qUYyLgdYnJSX/oujjUvBRF66GIO+KcddL +gkbSZ5O6dMy7dJnoVNUtyEO+2Dr7NJf25S/aMvRprkStT/R/PUoL7mDn4EQbrx2L2ZPcwtlDbbU/ +sHVQRiShvtX0GiMQ5T9ZWZhfBCYUQ4Gh0zHv2ls+NlBvW+B2vnibO8JlU7awQOOeH34gbym62Vph +vmpU/bkCoi+yzdXvi71BDuf/wd98W1CvY3A/L32x9nl2iUfBVSE0UgvvlXooXV2SPPgvLxDuylYZ +3AhjGIHwjovVAaCNq4MKD7sbLilluzwunvnFIkO3/d7H/TvNsnPyxkPZ1CostgFeQB666pR6Gj3k +drVcmPvOSEUekiowKo1knDzGo/CmYAiHt4AsULj+hLit70hOfOK/I+kJQBPJV0GSQ3l2ZFd67Amt +u3PTKbybUj4Wpt+TpRv0M7qHAH2RAnYyC0gSNyikg6w1h8WjhqHhAv69pap+guNeHObpBOasPkgV +0YVDmneyDkQEouRQisevI/cIMAeLznbgq28ldaIo9HCHQ+1Vpn97xIzcyCq5125ynPVSB3p5FdMN +Vk60xMsR1rvLZ2PQJmOIEjfOzoLY2722uTDlBWgalAfNRXHp3lXrqLv1pn5Hc+1CzRjjatfe+ns6 +dzkvcuJknoM0LUSiHy36H8eKXrVXqG5aWEwYlyfXbPOd8a0wWYgpIaZ4C70AE2Gg9Ha0YpmrOpbD +al/+cQqHP55GX8eQo5PAxsUBkPkM2LTsRkP7io/v6fcoeLysUtTGFFYqBqUWNag0q0cKzQA4FRq5 +Jtlvl+OMrWEpTk9Kq7w4ahBkhHQYX5GPKXTU1BfJngASgWpyjNnc0a8gYAuh8iTuR/gFIFEtv+Hy +VA8g1ck1muL4azm2eiiliWBjZFGVjNrKiH+Sd3L3XVpBBcGLul+VcIQUnSFPhJftMVCNx6bh3vhr +WyRFjzn9Y8RKcTOEYDU9q6/eulsU7frOmhzVpvOxRfHfTedyKFlrMKJJjQyivu6YUOckVnHORIHg +wL90/viocJnsDKuIWejMcwnmccOs5N8MbbOqsIq2nWcPvYLd5ZF7XROEo8gud2exxhXOGAAxaFWD +1Ku0+6rmgqDwGJ5CKXJlpetV1mro/tdpH7dJ3FdQ5CZ4fMLOPfzCbyASUrA0LknrQdrPLeihJSki +yjo2fHzdcTnLTgNx03znITXaNF3qqbWZJZhr2WElagFaEv0zHHz1fXfD4n9yBfVXBWPvtkufUO/5 +FokhpEI24M50Aa1oucIJym6XTjiRsBoJGgMbmPgN0do0bZplbLOjPko835mEyy7ZTvdqaTrOl5DV +ewR/ni6WTVcr2d5hJMXF5BBD3fH7exVctsA1GACR0RNgvR+uP/JnrDNt8KUEozD2/rS8CbrgA9hc +OCVk3aRnSJI59z4Umzy4Rashs+vZUi1hMBAVtXuvJEIVqK3yIdtC9XTiNehlzepQw3uuChKqYg5b +JpWWMOlHJRzLYVVbwCtnWa6M/gtx7xV90bYuhrRnJNTLAkn8lcCQ7EGXkwRurYMUySzkbtFeROxT +epavUWmZpnHjnbEhGHeT7QfZU4imEH5R1ibNhQ6EDOXx+6d3E8DmjLJchkvcpvR6lf07ZFSNnANF +8+oQ8khQPoiHJG+9Cho0YEP14f3OYQTYQJif8W4YCKc6lqkAp/yEh3xJ0Eji7MObXrM2nsL9sqYl +NRvm/CQu6sjfmDFEiD7gDUvKCE5nMaOEEzhM4KxvCSmacKCtY4uBV62aBYR7xhLHqwFbaIPvPred +6q8zwxSEft4SEjg2uvC4i9Eo/sk80D5S7haurFPN30b0qSt3ssfZDYEX2yzWYQFJDxQHwYdkkZ/t +aFyCArveajxz+fnMHiFZAwtqvERpPToBddkCJ3bHX50mvFUeqgSi9p9Loa76UNGcaUAMcy3oSmPb +VZfTnbYj5RpSXMyfduY4V9HNqvY5zElmgM0Cbph/omC0AGKSwuvWEcYRxAhpzxVGqjBbuzqYSWox +HhnSmuI7fKle4cBx4sZTprFuUP1FAfM5Yvs8RmcI+RHml3TxIgPGnXC/u3FKgaMvPQRNzlpo8hNL +J+8jFqy+g+HEy9GxUM7dobt2uvZp7Y+A9D6CzQZ0E4f0Ykmegux0XxMkxpTUzq0BaGXFyOx8P79G +dRPalAYN7lZr4XsCfq/ECAQ7XfeMCvlxfKL7xkR6YDzEpl5jRI1c+UjPFJsQQlpTiBraweomRGXd +VssNsYrcGPH9x4REdblBlItnT6JQRyhWOBvpXxDb56Fx/nNjlta3b15ndcwysyEZ+SypGclOp83D +D5Mw0If0EdMKXO73bnlOitSgy01BtUm8Vtn9QzZWdam3dJ/FAC8ttZKGouCVagc+vmpeaVp7ekCB +nLte32nuHyE19m9IflZ+SVUV/iifdhj3HWzWhMODFdSQmwmn4WkBNEfQJsL5VnP5oEyC8M1H98Bx +XIfOZUKo9DvPQZoZW1UKq3D47T5g0qXBTewATp9ZjH6kdmaWYa7/R4V+qROaqJT2/tgpFyauVJlN +UKqRWbI8Bl7i7yi+3JuIGZEg89ZJyt9xn5/KBPtgpelp0xVpm7PPDOKlJBqcAfuBDfJJw8lGtsoa +FBbc56/IBYsKHpELV0kmZuX9eLFIi4VDAwES7OvW7dzPGWT8e056OzNSvaiNrFV9VJfdXVHWNS5S +HL4SsFajNkLDS/QK6N0lpThSi7d2Pm4aR73ctJzD3NsJC8Fjsll1Kgjisi+6fdaLk8pnjzkv7lhZ +9T/+ZsTaIS5Eb3FLck0wRLBIrSut7OPmwf27M50o1JyAuP26PFze3CFMypuJp2/FXsURxVIYC2P0 +iw75VmPyMuztDMuspUS0rTjyXWofBmHFuskzPoZ+ch4QLR3KbLWTOYP8/U4vSewdhkkd3XWibcGo +sRLCAhPMPwTDS+amr+kd/IVwk3KCjGnwElY3eTSwCudmSA0MHNTg9kPtRBzfaqwTvOhHpVTVwdBg +mAWJpSmxOAC99/mUGOQkxmpClybsig9x+5hTzo5vgQjkCIkBjYnwIsYeLr2UDZDC4eFNPnCaa2ox +pAp4j8J9bgkEzFf5wBaxsIWZkab1rT+zEzt9385Zn9aqSJSh6kkd+7yeklr/FwN6967Zpt5wTdhz +venhXmaFWjzwK29WK73pCTaoBxebPkVMo1GQcsOb0V5K4x3A6sjSXb9l10Xf1trH+7Xw4c0Dsp/u +qyLsLlL3wQ3Zaa60ncqAj1vJIY/z1KLn3eGeQXRbmMopdPg9DQIFkFuvx6SG72oYpP7Bbf4gth7c +eqmSq1Y+RMP8QemZroufo3gFNtK8PKfTT+VAp+QV88UA38OqKPhJ3XMKiIPBogbVebtuc0bVgtKK ++Z3fHdiXxCq4HOVRH4j2vMPRFE599PbzKyBFwbaD+gkjPIf4nvh506rVwMiWy6fAChLWBrESeq5X +Wzh7Lmc0uBPD5MZ5JasopDDR4UcMj1S5mY4uqo9FZLcWuRvu0EqBY14mpIcbYji14o8tNAndfdL0 +NjrdfTDVd5chbYYTT0U0Dsf0vpAhdK/V8Se4BbWNBbpoAGKzJeVQcHB3ViPCJMtkMxLjA7qO5sVA +UR/2Ck7Lzvml5CyDzEuLoJZvGFildMN19zY7NO/VrrXuxRFLpsdbt7t9hA+oUIxth+ftEfkQqKwg +fwlZLZU2iyKBHFHEjFKuI+1d2Ud/1BO3OgcSaKCKh6gnia/pTrRcGEbRrO3l58AsjUiPSTGJK1oC +mpQEX29bztkEeW4JOHkmY+mpKT7hxKNpGfihXfKIkKZ4/hbazhhuzVLg+XoZ+3b2UgayvXV/esbM +qZyTUHXXSALbpo3LHEvst8oft3JYddf5XTucBiCiGuSbpHzVMdH8kd8ingtxyZ/HYvuu/k9SH0HY +IQgdDOU3VOVptjHJ2FuEMeNvHCj/7lffvgOb4sqpixuNpVWia5gejK7EQssedCQCHUhm0jS00DGn +P6uK4XnkaQ4aUSa67O+v1cCNgYmiTEw+BsHv/0Y01o/n3MYVF8pwC72MrkhNr5PEW0jyEo1mniy2 +Je0m9c69RozkBlKrGaUETtyODzCjybhyODSy0MRmdDdkJJS4AonVIKJZMkdJxDcBTGxzQaRkTIX+ +n+Mga7AUujZOTnUsxS2+aD+yHBJsxinr9NPMXrXJ6dnITAVc63EUXEB55qjXc3gtvitcMVYcd/vs +zkFecHPbPXf7Tm0pe5q/jDWY4sHf+Z9A0xtM4zgX0/AjqCCUlVs4MkcZo97VRHDpstaDwI9o/3Zt +CT6vaMUegeF7Hz15U7CSYYXUVSrCFSFtCjVGOsJPkIcimzyp+UZ08kxqicQaYiCBr2PDtD57mW91 +Odf5QNkY28Fqj8NhjripPNbXmPa80N0ClL1q0l10ZBr+Co/UPC8LH94nG2yZZEppy/YghZKcJUqN +YsjeAFJCR0YHwcRldvbEVg0akFw3GqyaCbvuvLt5HCYkNnVHtzYWVt2Yv9IUKo0XhM1BjcLtxYfw +JMN85J9KS5L6iyim4qtmLRq93qT9HNpxcKdGf/IByusCERN5K0tLY+/H4v2bXfTaQm34kC2t1rnc +n0MjUm0Wt48MdvEna7e0PmJTdMHQJlkV0FnWE9w6FAN+TVoH8WIq9ls9k5fMoSMxwMkzCdWo5vWS +crd2s55q3XzFvwUmANWypLjMFOxClYi09tKvnO9xHKMY8l7puEVouWL9Th30Rjr6abWoG0qWf93A +IsCbDMvObauvgRri+98amYdiWvzpoptS4QzAfurJ3wP56hRdff+p39AR2MEM1B97qXni7DMxOJXQ +1+wsytZCKt6EAEA/7k0X50KcHW5f0wFfLxm4Wp64EFN1qj88FfbgaXfgoni6wLvUq5MY7hDQdOWz +vu8MqYC69pFBE9GhAcf5I1Q/OGAMDpKEYsEOZrtj5qunCF8kq/1gOjubMjBbHRABrOuuLNZBySZO +KmmrJ/9yiVMohDbJsnmwCaLAWOELc11GFyfqq79vCngV6KkIoD19K2o73LXShBnOpSdsR2BoYEeL +PSK4Im2FCMqFuZAblUfv7gRr0AW6BUvd4riE4sYP7A13wUrU0GBFg6ZfxlEzau7jRDq6a0nLdU01 +OpaCB9sxiLfFjyuD0/9TYj6QzH/ALE02P4ppiZFxHOltIlL8hKhJMbwiG3IBsTmgnH2uqnijgj4a +onVz3/dpLF54ycQ4kuYufYVcGMJB7KsNCyIO0yKoIDITSKWG/dni83K2FPTD0xNH1KYCCp5wxaD/ +4doSIwQe7b89lgPKGh0w6jLINAJGkE+In0I4x+91VogA1XsNMkizmdVQRCzTgRnFN+zxMQr75UNg +aJPtH7KEV6WVP28Z0XAhsklP5V1+Iptf257QBtihIHGXOeJA9cj8RtxGC2/OOizpM7aCmR8Y1bRO ++SnGFwTM2PAwVYwqLcCN/5uXyR/MDA7hXhNr6ZPcQPpTz9EIFQmg3Me6iPAZNTQGtaiuxxhYaTRK +bSFmsg9B/SqU8Jo+IS/qRO1tgoShAArj6tpSg8Rmxkrm1Qe2ZBLrLsw+6ag51j83rzanadNwbKMe +jYfr31FUeYL6CqNPJ1wNw3Yk53PZldYsvFjXpQ2X6JZokNt9t5vBXP34sNSH6to1Wrj4ZQ/JMc9J +D117VG4BhWMoRYKJJiArHAOJTz621T8oKgeMX8zNM0Pzi7WePSJr7fvlX3ntL7B/EClCBHxW/G5L +Ql5ljIBXFO5pF+/qqTRJRHx0vKRBuJdr6+FBjSNUyid1G5goRoqJpU5aYoqa6CEB4bgGXN9P+wfF +7RSVvQkXkIm+8aWtAPsSnTcfmqICsLs/6jwYHD7GvvCQmAnP9DYUcbZQ6l7/a9OzlGouBW629Hlv +644xCOBnIJbA12aPX4ob2uz9elQ2CJghomFQpbzEmG7/+ZTWgg2K+YzJG292dPqjtYIy8KsLpqke +WquNsDNQmmtxU6SGdeSwro0SB3hTI+/GMX1ovBly2S8OmDuFm/lgaHHjxGE8MHsO+LBLS/+0VRfc +eVtSoTMQ3bDTpJsf/lyF0qATHBOoWfbkbgnOpNhiYzeE+7FgIjb6fJo1j1bq2kLl011D2Ru8tLf/ +UIBbJ34hUWsucwYlc0KVxyLSHfUci8gfu1bYKkm/8dcshtxKpMnKOFydztsyXGz/36QD+ecbKDaq +mxuPzhIklb2xhxYUhJ40XS3A/nDB9uio+U0VpgNEZMsrQ6sLryM0bXDzAs3BMIUZToZG+63yFqTU +irdl0rbrzJTEnFJkcjLgweEz91OLlxnnZqSi0gMbX+tqenkH7BWPJeM4gHEPAtP3jW/YN0Zglc7m +i3X9rCJlOpro6JJEjUurfQjwS27zqan9pqMcq+4aOXjvgXx3d8gAZ0R06wfySD61SHhU23Uizxm8 +LeNpYpN1/i29QsGzpzHO2iXiNNoFLGt4dufWhjBHXUbE3I2Nou12QjYrajet6fqNaox16Ch8sZWV +2YGfKnMytNn1cAGiP7GM8779LpBHXotdpfDY/nb+QPqaUwOpA/qb5ZVf6cfd1lVGseFSHN3ggOAg +cEMxb25Qi3Tk+FAn0bfRg++9T3nkFZrnNSCRTFD6UfAMeL3yTuHyWWNG70gDtF6zr7wDUUFkIr9y +9ZeMODloYzkIBXe3creIRxpbEzzClQ4xKYASbIoIwBGmkugIAwmYrPvERBp98GD3xRZvYJ3gB3g9 +0Pn6KTItFiIwrYC5AaZFnZq+sW8bEzDPDGITygIEvWNyNBRfGHxkH5Mij72n5sXWEWDsrVU3EObd +TTtzkCotsbcV3FcWgXoIYd7GvefmP+5DzrNwK75E3h9cjEPKasxQW9d7s8o1gRznVKpbcMwOfO+E +aHt4TbiHP/CtURlzFdptM8aThJjM1ztIlWoSUecyC7L9qKWxoDLPl3TPAuEoSgXnYAn5StXjDrCj +WCERTsQrCuiRdEpq+WVVKMRCimjyO/vR1SEIcFmZxVApc5+1ifLS//CK9Eef5PmoDvi4K4MF9Kit +G52rsRdx4wK16mHDJWFJUUPzJfz/P6bRSw4kXbFrMIOQ0qy7zV5dpMx5F4c5YV6ZjzVqsZEHsiGZ +cBj2GuK6Gu1FRn8l+r+DBf9X0xxqmSW5qK6LQyNJ4mEKbT3lONHfoLcQ6CbIICYZop3wwX9kJgJa +4gVlDgrk4zkEdpCrhjMl3Ro7MVpd4/P7i2cb18Cq4XzNT4G0sAMu9yFp4Q3FcSFkSVWVi8BpJzas +euexwfCyVvcbUomatuwwrkNRG8iME0o3F3tOQPQFxsK2Nv0tVVOXMXKLCsJenuLDLbSfFAFGKGuV +GcD2bHdaRbi7eHl4kjGuje2QO+GvRfOzSa5sUplj/PQPRDBZputn5mq2Gf8i2z8m71iTsAikY1IP +Spf50nY7F7UkV+Hx+kU4P3269R2Cd+A64wFxojzk3rvzkmQ8GR0DvPTWuNRboCB6dVZh93osML6p +b/fUdz8FaPv5karEPGx4BgyjGl5tLhRkjDPcQFcW2xsZyzFDaSzoZ4GC+N5wrGnizXMuxyvz4Lg7 +OJCMFPSpqjXphwPg/fTJ33d90Ql2LrrFrYujLDSGMrgdw5PRiuI4jqiE3QL8FPrwSWyoGPyxUr5a +iTHLFt6zRJ+y98tmlBGT7U4v7Ch0MnIMRYTgybMAa3Cd8FAZM+kSuyqI9cv5cnOTMM80K+aX8rHj +2vrb6Q1qqsaLQihCZNFUordTKZZiB/eqrjEscwwtEo9rF6g4jcVfYi9dS+2oC61qJkaO3EzNmhdj +1j2djUoqzvAc9qkBEx1zPHcm5eSNejdxb2GeHFqntWF2r7eB6QXBb53838GkNn9lxudNXuGx4bTK +0T2w/B2JEgiybhDJt/o2hWUsFkYARwwsPiYaVNJP/GRVlaTBTaEYswYDyhhukOllXB8Uqoy4vMA9 +9M8iSGZxs1mABA1lbAr15LhTWS5GhdyiX4M14YIbtGZGSyDplXBxcM5iB/0MMS5AE/WC+JjmNN/H +nRpsmUjWgdYvldrrR78y425luivLBY8SwSpkARy45Accy05KD+0Y9Zll8QDCt9Dn5m7O4uS3D+OC +A54dRlXJECkb1o7mSTxpq5SvQkKzy6hWP1shxPiIKeZuf0KWPQuUSNf0d7Bc8bWp7BtOjMsel8vU +pJ/MTDJRMw6TMKO3BnLor3W8yancbZATWGUGerMHSK1w3SldrG1/ClKaAYPznr39S/xE2VNpWnWQ +2cii5zQfxNuiUYUdDQSY6t/1nx+mcfrlVCyb4eSLPDlPOFn6so5j37RVhIziKIFzt2Ziy8BsuQwj +VtwFVPV8yoMeUcuYEpxlZ6PcD80k1hcPdbrCYE7sT+2v6Tm1lcpMp/7kah4L9JDj7ciIp5zZMdqY +WToOa32SVDg/ZkzqfOJyqm/Tl3PZLOPQc2ZmeFstCezF1TamhB4p5SgunqVoc6/M0/XPpq8hkWbx +1h1kcZb9cDQxPx7/HRBB1vymO8sxbrBr888Fak6m5h1KuWxI6V/Wk5oy7JiMWvKBfUxC2roAwBvJ +NXO+WJZyj0OhYbs5v9Zq6+UhHSeC9wR7QP9vd1L1TWuycbwdYe1AL33ABYLpJY4u15nr3i3jepg5 +qACJ720r7C9genrt6T+JfII+5KRIIGowTIzIiaMteIoUBhag/NRW6uO+0RvRGOPlcjpI96xtRjq9 +Xxez8QeV5Z9LaRVw7mAzkTe0Iv1RB3HEVjo6AyzgCFSHAy4ogKhefrfwMNn1jIxYxFISTUxzy+4F +ATrHAFIGn/hJB20AYIttQlr2rE7njecDkRFrW0RS8XO/6JuNtVsinOPtzOuQGLM6lzX6xIZ2H4KO +wEF+dM97BhFB11IyaG5csT+kqJSHTRNgqZgmo9bDhAH5nR5cpf3NDpgMrsJRCXdYBXoP+ylSkQto +8vCwen9NlfqkiqQNkapaHwnfQV81/pmuQ7IPO9BkaF68A+mwhOh9vO+fVZ7r4jwz6qNZMZlzYUcu +juAmZj1Mnk7sVlTRv8cfbU7rQ+Va6pix3kEQBlgzAYoqfRlS7rwll5LI8SfFAWqVW+1XfEfOnkSc +M9tGDqJdkjXO/BsG80q6OOBfxdC2d7f5v2Hp0GhWtE5RwGQ5wiEVuSJO6qUJvJGbHX1oOy+7zWvO +Jl1ws6vDSt0c+ZX7R6RhNUdJl8X//ej8i8aKh17YEXRz0bE7TfULop6Nl6wo74tTTHWBmYzp1Uke +t52rUCQCfO90Gt1nITPqepShL0UmGPcEPPsZcrKaZQ8RqcKeHJ8wbUePx4+q6LEhRmJaK0Da8zRu +zdDjxXqg5do59KKH60oawbv4WJD4hUHJmkhEb1JK5h0mgaYjMn2pvDH8mlHmc3SXnas5qqLrT00X +y76oZdpenXHs/5yRLV991vImoLEsTswvMrPKNw16N65pUP2ewbaowmn1k8+0iW4F6F4xYK96o4Pl +jI2Tqa8abuEuM8C3x3CY5LJHyzRBcN1EILNG2LlV5eLDRkxo2KtWqF3LDTxrTdtCM8jcFB+1jKQ3 +o0C9YvthGYYV1afyTtNsAw9YlnWj7HLVBfix56NhKciBn8zaHCgd9zDE8MGbnmXK7nmDgeZJzznM +S1d0IIXzzgDkPeIO9s8Hk8cL+tlIMgg0TQxKaV1eQhLm6CqG1k9Y4lknzcZcB1whed1qC+Jlzt5l +jxwuMudKhGgEp96NVkeMsasPsBagCP/fEyoL1g3ECFtSbAbA6vnpj4ZzWwLoP64+HIpCnO/jplUt +RwJJ58/w8wRZ96GD+MhsTbNE0vtFty3U4RNzimn/WYemYJzj//FSeqnO3KjX71wg03mzNsxqqFxV +OqRTMbY5SmjVgF/h37Cnp93HpX3PdLxTvzz4qUdbvLjnKx1LJ7L2r6KHkmwZxCqJlbB0ArHCcrkf +iu6paCeGArEeeQdvXpOh1PuMH8HsBRmughCWpJ7NO0ZYdeaqv6UgDGJzO6FMYRGx5+ZkXyAeiZFs +ee7smcm9Vv0F1Z4BFgEFazMUedTl50yWmrppBzG9ZnFolkVzziMHr94KLI8sohefI9Ak4H31S3kA +z7qRadTAEirZbz4cXPbS+MfprAVKVQLD7gf3gQGSvLFfDxXXWjPC9NltwmfeissHF7nwa5Px1EFe +iZZ1jAHIQBES/Q81AY8XyuZ/z0C3MSunEV/IU6lEuqE81h1GyzCe5Mwt/urz/GF7bsjQ6rhyaABM +2xPrOxxaqzBoPImpi7Dl05iz1ED6hHjWa5TTLMo9psNYJ6vlW9kkNRN14CI/O46uJoFmfzdYIP+W +6r97fiCDqsqQS4xxsEleBhT3xuZv+eUIuc8lr5W3sqEnx/rR0HgU1R0f3OBmj8Ol1zULEfvQfdm0 +8FoyqW2Um8nblix613+Rm2tVUP+rRz5RtTHz64oRE/RcjrIbv56pYX+6E8NIx5IgOtcghogJnVNm +WAQ3fHfVMn8Rk5xojDUQl2g+lZx20ES21bk1CPAQjUKdc6pIJaPAZlrpgecot9lLgCll3iHJ0NMY +zTsTvFBG+x3uykAnuoG9JH8E/8ws/GIT03iGBElK/kGXvllZgq8yPtyeMQp/LzszdgB7EBsNlIKj +4RuNIq6P6g+Xkadx3t56B+cNjqNvlH9y6H8Sh0vdNwJp/C/Nvbu5qhPWilKjVCwVsDxf1LSGIWLV +JvvJUpD59ai3Cr29E8CFtBojxz2R8wMsatSSg+MzPlVIzP0TjOt9tfGQG5xDfWFS0/xGg0afzgKN +d3fUqi9OMekaYZ0emPfOmDv9gZy0kOoHh2Z2Cw8DgGCKPJsDp+4BEXqiIyzSB9wbg7PoPkcpRGnE +ig+7+tZjH3hxFe9SFK8Fe7PCzhktEzs9g5npOColpZkt1Wa0b5Pw9mGVvxOUNKIyEYj6GClcdNv4 +7zMcvx2aDoI8DMNfIEyMKoV9AxpN1So+NffCsx0xN3O1Gm6mbcjl1MckIKSOe0T1nKL4Z1+Tz8/X +aV1X09jF8t0ujl68qk0M7svLMx9AjXD49nddivoy2UVpSm9frhm9/EZyT0WD8gHOBPqTceLX1s47 +Si6bTMN5wULuMgy1IwPeGLatU3AOQgISvd/AAfbG5SeZ31W4IyV35DHXFEK3r39rtv/BOkUE40rj +rmtZa6vML7U984jLVAXm7bo9Ub3NkI4Jy9VkP9YYDxtYox5+HeTkqsNQr0hFD0++Afm8URKPhNsp +jGWu7gJAWGdQOUnJ3J4Mlrpla70Yt01uJq2ssVnhrebzKGNSDZmMR3rea0Ok38EjF8ewyaqhF22S +jeRPCDBFRMQea8XoBRO9fKfUQa2hzMTeyNcShq3O/Qa3xxE2cVSY6FTvd+beOig+6LWWeySOZDYb +S0G7tDSeQOIGbaaj0+do2F3t4e12LQEnVufxgVhK0vgPOLoiAU1Ff0ljGFF+0usaJqcYH1CsxCcV +L06UBlnvK+JxQ2UWd70af3eZdTetX8QQZ0OQaFfNTuARu2G5wJihUv0U9IoRUWtR5NPGyPr/6Bch +hGbUhEn/Td3UIuAsVU9bCXtJl9WfHou9E/39ZyamInW30SIrbkb0Fl1hVT3jqMB/4uHu9OfbQAC6 +d5Ul8/HiQFu8Mffv8TAIyXhLghcVXtotsUzjxHm5qirjwPqM6XFX+jR8/1zT2J/HgCtSU26WBPAN +4ad8XXveXlBorkxoi9wE9cgTtDbv96bUChDOuorkz2RX1PqTblE4u9or4A7tXNgwfiR36mK508YJ +SfwqtX306NYLUBI6Bec0rX3n6o2tRvHvSSQHTlcMWvSWy+V08oGkxMlTRyQV63xfUYagAmpiB0sU +NH0rZhj271UpQDYxGCFEwoEK1MXTajyueL2KDUB65oS0mpzWvR2RgukQ0318FnRspq4NNy97D2o0 +/bfE5mracinaktyH8ZU+YTx3LPJHIs9/piJTSvZdZzjkGnT9TWKYYIQyFOROngmypCSdo7o/YKPx +5SgkIwDKAozg+6Al19XKYke8XmoDnyR7dx061wh6Z4veLSAS9zmSzaPVTnO0LWwYSiPtBKTJ7bEx ++0e5rhWeJ5QuOo1o3xmYsvq2grdjOsx2S9Pfezda5C7cTIrbUZQRw/90xc07spowqmxAXd3SI2NG +yyXXRHeaZF7vozvu70pDEr+C62FvklnS8omLS9t1mGU44lLJl7+WsWNmeLY/3jOYrhtMZ5XWUuPX +vzgWbpLTSxlw8C8iHaG3bK14Hzvbr+aVI2PqXfFN54FIBG2/5r3WEI2Y7Hes3nWMVVO13Vu6qjvT +YazFDTdc83Ov3cTTMfKnPkGh9El3V01VC3xTtPNt/YBrJVfSerR+htRJ9Fr3Rtc4sdy9IEtoHyiz +6FyYcFsPvsrrexW9NuqaEXXN46dtXU7mXOvjmnEi3vhzVH0KIOofGuwfDrWubMKocfXuhI/MQ0RV +C+UC/RAWAoNC3zHwyjKQSgmIHAMNfmS9ikE4jO7rgo0B9xvDXJ4tAiCRF7t5pbgdUhnHXN/7SXRb +8ilrG3nLj8U2UU+NQciLcBgA5aYEVXdy6kFr2FJmAU/KVwHLBD0Hxfe84v9iLcUKqoz1M0ahCqwT +XzI7+ve36OMUBosz2ORsTyGpoasu9PxEBDm4eN1SorDklDXnWHewqpjfkqcRbDCZ0F6HlNMoSaRg +/z2V9kwgWqAU/iPYlF8xwPrGNXoa2XYz/9vVXdWwiGbFv3tyshX8s3MKm7slyEOtOkGKr0LD0rZ3 +LzdgrAQrQ1t7D9FcjoCMtulwEmYv05UP57KGxTFvncO9iynpyhvbqHZ0KWo5lAz2RwOxc/kT113h +BshV38cXa40Op1rjK7DxE48QkHeZIO7GqpOBbwibYE+jqrH7YLaFJ7ByAVjJJzksZUJY54lhcRO7 +ahQd3+5Flqknx2pOrLvuQ5XeohR7WEX/eEYrPMlUm0IhMrZ0pN7BxO2o+AENqpU7UsIhkAS5CWQ4 +UGewlErlKvGkg1yBfDKWNHQvsCi2blcOAQPv6OSORGCnLhCApqebeNYYutPPM3cb7ocmqQ9XOjKm +GOICIIDueTkSgTmQPuOrvuuN3rKX6WbIO25d61SnnK+G8UOtPZWvXpe01+Z/oMpJGP2knc1JBYSB +ReiKS4J71OHTXjeMqUWAcfxMubMV1nYWWkl+NhehwRXJoVhpHQNhHxVzBcdWj/YCEUL7Q4M/BKBw +aEjZ5OAnSf8Akmg+my4iCbuOzem4m+VtSUYlnpZ2ZLxeMWkfaCHkD8me+M/K/mpWzuqy3SCe2NtF +Q5sGYNLjqX9zFr8oV0O7P4TJrOHMnlmjj5cohTBszd9/9b8HaKnVIl6nyVGxXA9LGA36Bg4tRY1r +/ZlXB07+c+kVYYeUOPOW/uDfvmnhP53J9BIZSI7kThAC3vHijVCFr7jUdj7C2PmhKNViAXRonXCh +oKcAp+brLTmbUnzKORoa+5nNotj/bYAnPqMqrEuPW1jeW9rRO9cNjqoeYskUYrYTeuKUA9Rq3B6D +PenXAi+VRsJVb8UJE7lYKNl6TC9lv7AvgahzyX2+hCFEAr39U2k3d807k7f38/Hjx1pXw5nBGYwh +zcmmgv+4fMKu3Je155aqA7fVu9FXzFo5LnTcNWwjGE3MCgJXvnAlmzWLsgkfuHx+hrSJiSYTyp+1 +GsYgfK8eOIRQnlXIAty1Z0UpLcTlpPit5mOY4WI9UqSaHLw8Il9JsYT28qDfX6jT/9D1TN5XXbam +gjJxM3ws5ojMgAsodRQveN4BmLQyKGzbHdXUXkr5G0z7xveRgeeals89slA5zLXTIJjt1U/AxL9o +f/jaOsc/71m5MRRMGTqO0BQ6pXwuBLxWbSpzfaiH8QTOk+QwrU8uWa9IUetp3me5aBDu/aJus4qj +5F8OMJIFWIwW0xUT3yG4TQD4PtiBZlECBZxAOHQZg5IiF26v4yuB5Z1X9p+BcA6yUTkzTVEJyOYP +lHp9j2M1qdk/vxTmwFxw+Tt5bu8+PFxTnWCZP+Tgors1BO8//Fl3/QNFbv19PicECF4TwjICCD/V +nVeH5sg1+83EkZWXel6xP+qcSfgUgoeLxkFtq03sWzw+hFn08tm8JI9GqwIq+NqRIpkblfSeQdgJ +quemwIH1z538PII6Er3ZyKsvXypFo22PFNZyjgV+OFjjmsl4j6NUGPfGJz5JIADqtjlRGJRa2cnK +JohN+li8P6no/Wvdbp0MNw657JKMUKym7okR2MhxHIz4tQC1YhSI/b48SkiN3bGxvV878xnrF6HB +zq0o8NOu1Cmv+L3SXEejnnGM8rUCcQbUYWXH/Bgc6ZZNoXX62v8kRxKeCZp8CCshBVULvrtmMZ1I +wP9YjjvB2xwG8yFukWbcFpUlq0Ih6bYuMBOE0Tm7T425baqX298gujWhy3b13mxGG/1N8rAeYS8v +jxi2T4N9aHa1VV4Ww5LXaTULOQ19o6IfGoAOuZMD+ePCNFvLoxvfJW22g15LBzrHu012gyVHmE6b +3XcGV6ir0ou1p/03GzoV4xSrdT5m+hsNVCjCsU5NS8cB2dM1ufw2Mnx5R6psKlybUNfTKIlnVkYr +wAqGysVVpLzaVWlADHNqxmFF/qtI6jE0A4KNTcmd09Gv1UxZrp1wem7+1bwbViZ84j696ZsaFdxg +294FUVboaQTTdk7R+Ap+ROun62uEHhrnGg6oxTbDHH62XXwlQrY/1RdCTTbzI2JjE7Zc8t1mG7ZG +WLSW7P2JuEzOhHI6BSonVb6RCHpciPEgFJ0yW8QplbJxsbuFF95fvJHzvpRFCIfOK98ZZB1BCH7l +9wd1bKaDWdVeUP1XEzYysMDzf+sN6vJNMEQg+wJ4k6gYOIpBMgqzHuGn5RFG+LKxMzC7d2w6NY/D +dphApAHQ4Jkq/8BNbHEJzp0lIJJr/LZtUYH5jm+itQmJLvO8zL1wujwh5dp4sx7YiDZ609hgcVP1 +IrQ3vtRjD9iqpcx18Wk3gmG/v2B0sX/E0RWhSoJecaIcHpo5ABdRd3YyJGE5JkPnxQ1rpT38QPXc +qXejyqxhyGkg6Js7qjlfQLiS8QRilRuJElQfUu07PEto08QyTD6r/t2PQcZbPFCRC45et44u5Ukf +XeY4zQYJNTpg7vVTmuqtQyohkkzr2VdI6Xqf7IAMiizSxT7JEwYVba5l5HttQmZEPtgjohlPeYpJ +EugCJEU1uj57pbaP8aOI0pji3SZ8aDA8qACQSo/seLiQgHh58ayxiEnTj0lpRBqT7ET4BU3RJEsq +4fAh2Bdj2+8SMnSjIGqzFzakcIux4c3JUqEdhDiHMAUZF6X4wqLOZB0MP8lX6h5VDQ4kKv5JuqOC +8W6xqROGhgahXPK+z3li+11ii0FACS/iPDe7gEvYagnlUUU+/D2eCuvz6yAi/H7hH3ZuvFs6BkIc +N38f+lp6O4QHwPpyydJGZXPHe3IAFTA099PYoT30pDhAIq/2xN445YuT7kepWP+IXrQExzS0p/Mi +w4UHESYUQxn3MCwobYtsb5COikO1l0vQmOJOwDaJmBftQE18F9Z1jll9YJ2ro1IsGHA7VG4O46TA +52sid7lMPvK0QzqAVMlCFLZsr44/3z/aSKr+69ZiNQKSsOPJpxl4g1NJHsrugV8xS9nYRRnpa4Lt +vqRuARN1lXHGEzFic8BWD3VDAQp0DW1ldH89FWwxfLPoeyu4X7yMVTM0jM2IQJzUnIqO+YEEoEDF +7bPOZ0uvsKJt7dqCmXalemn2DubGZeRO//lCXmnJhhcBzfmU+6PDOW2p/bLoaAPLf5BUuzZQh3GE +oOFPDlCjPXngfvg9yBXaUuXQNE6b8loAmshp2+A/RXvg4tft85ePndcE2VXthhdkCKqcYva2JcNI +M+DA7AbBZwUxxmqSRHs/eqfpTbYdwu1eNM643hfzCa4B0HMG5wZAAKJanqknRI75LyBO4S41t/90 +l8GebZxjXo+KktjNDUu6ROZyT5rgNy0zUu4RnsjofVItL3iO7JK4KNszmKNzzI7O520/AKpwQivT +IoE74a6lOYDgNbB9u+6cJQ8sv2ZWsPf6iSYHamCP5KM7E3AVrWwZ5hDK+SsUSzXwdjbCbFqU0YdN +fkLoHc9Rhy9CDE1hd592ug3CIuz6iO9F6/UVSd+hBVcT1112d+Hjel4pnpwhLuq6oSh9+QVYvP2g +asRjT5q4ijx4S3sm3IjIHMEFrZDOHWnWfF/J2InZtxg3dl2MC4oybgaPN3cWuJ9OOznp5d//Yo+U +rn0N1xyw9mu+l22zJkWjCGay/0e/ZHqNSNK2F6hta/GQ4VkHfhMjUV6j6grfTD+K7JjSV+PYC4db +oxbP6Vjmt6Loq2AcfOD+oJZ8OJd1bDjxvay+bijwYtOiBfPVAKhQdtbAPrq72zMmF3iNKeYj7WYP +U3HUW7w6MahnwOMnIHX7A8EkoqjSHepivbs1hRM9bH2Vlnl+zqzrS7lXqbYiI4/LMZrWCODuj30r +5A5/m3AEEHQ5BP1wgIM21DMkO2MTzvF6p/avzvo66KKxwYbqmMWDLhgYmqZ/skNGw3e+hbbkN4et +br6yO6K1tgfCB7ANID/Dm0Bq4WqRbwz+AHXmLkd4nDlJldnFdP8SlcHf1/hQn8I6MDEXyRZOdrZy +3El9sZqhlif8E67lRU17Nr7jlsuYrXE+JjL0UaJLctiPrwRPoFMXE1IdqckPI2vsRvSXpGzH0HbG +OnFZAPS4x8oqGa6MWpOPBmZSZYe6aNzmfXftijmAQC3zZVot6K/vVmUudzCxzbkOPLRQSWGxrycR +LTRzte8efFvL18dXDh0yz0NQqlbfXZV0jdRmPzqT9kGBRbbP8Cmvi21oZ6RES+qV4F6n0RXeSh1+ +C4NIXUTVzT4KTOffCs8Lo/EkEAt5NKzHWPdricXwR1S5Gw45PnwxR7yiWiOVpxhLE2H9yLVOM85T +c6+WoraJ1ji6qs7c3Mv7a8wpGIR9Jdk3aXEM1IoEdr5E49NhKprCOc/bZblWuE0r45Y9aqTR7XO/ +ZYnLjdB4GHImtKHUshpOo78giP9txIA1GzQDowz0Uwq5U+NQ9iW9HxNfwpSkpwBDaH57ckvglw+d +W/FCPi91XTbin10KQxmhJ8TvJA8b3LyqScWfELk/4BTSJ+LEj5SAUBu6D+KWK91AHvsd9XiWCxXw +74QfalrVZiLwz4p1auURYQJAwzX//NETnXyepfa7fvv459lfrIyZDwfoYy91wbo+oe5DovOC8vzA +/FMOtTbqu89KTV5PmqRKquakq/CAn0GxEYd7Ap97rap8/utimfsnctLbcAi8VjMDEfNwUA867muD +JhYQiSJfTHWvk3v3fy1dKZVIA0aWtLabekQ6aQYqmaDnL0pBf7EerlkQQ2DMzcTcXAJoS4JVlQiG +Bca6bALQz00XRN5tziZeP4C6PwDsnq/UrHcZ0OE/rfOjn56FObOMqzlhnSyinCfhW5gDcungHT5m +HylIW7d3bgdkwDci/sOSJCJzX8ATkZfrkBcCWYdfSq/Cin+6FppwNqOssg60ZIEpA7YY1ZdgekMi +CMN9u7bWT3dp4Cz5nGEZ7r09spkkftLgT6xGXFws4e7yzGg12O0GQrjzfWUHpatu21mnNJMPb/m5 +WmPR+CMVq30tJm9L55zoBsko/GviaWrlcIedsuxnMjv6ptEPF4o8g/QXoeYAwslHkvd30O5PTaOp +vWZh1wCGKHu6WDVAcV5u3xtRPG6voqZQJ91Mlv+2LY97ripXVXF46eD36COZQORihYNqn1FIobkO +ra0tiMpHRL0fyAZR+4nj8IOnPEqcRbZQY5XkU6nq0NteYPY+kN2rqzwLhtFRPfUvpwfcGWvplt4o +QRXA51irPzrkm2PZDrz2RBwylRreE06TtWPhLPxJgEcyP5BRO6TTo8wmlLQ8VlZhjIg5vb7lNByu +FOnJlGs5U/0xqovSIpgr+6nC1LqDAG0YbSM7Pk4y878VCdNyrIH/dMtDbej6ykEvKAhS4sq+23Qd +ArnErIeLAElxReW0fRDeWJ1yZZYBrxFex69FXxHhD6aERKLRMU9VuCyGuvjHk2v5GB8NXDRmY5NQ +F/bDHTOL1DD4fYdkGe5250lBXmB8PxikFCZy9wWEnYRpaGw3koyFPdy/LTYqyTx67uu3FNyG31ep +QYXxcu5JIRE6mjFuRAgk9ml34KFAAxdTlk5Jv3GmIfo1WL8fWQ26sOlaaFTvMco7XzZH5geCP4tW +y9z6mjnYfnenoilsYP4nGbAp1wHFis/wJnLS8eLUwVCbJLo+vf1lAo+pKsEUzGJrZTIWgmWAyOkw +IHoDFNFF9z9CpJ6DRw4C73HKo+qBp9NAwPAvf4Wyx+K/1ys+Q/vzPtA7tFndgmc4/wVtQt8wLb7Y +o9ATtlZphzovMKz7oVWsvDHSeLVOXyeLkUEWZhYBc4xaR3PKGSjnvx8ByHBwVo9G1FaXsKHW4L9Z +l8243uVw/kR/V+oq4g8em9+5QshmDI1AB/MEd+sePgF+7QuZ0UF2hR1WvQNfL/rzN3PZ/DXQKU+e +d9NUuNtDvvTAPTV5lx0tYWyDcotncc+l8G3eiHY6Nvc2FqvS1coF1TpP30OnaAMveBfV68/rx0Ou ++aOMdZ1X2xvS7toLuoUFDWTJD6yqfX6o9aU5VEdlVzrt8I79BeXv9OzX/Tp0qcZHRam7euml06Uy +MO8IS9J9LQiU4jg0hxTJ1AmrFAhPSffFdcoeLBnOrslz2GT1wGaBvXQsWnGcldzqVUgXsJPZQMbd +7uObaq0vdCk5HdQT5gnbge+qapfbUIVCAAKO0mZXKrAE/ktRP2tH4NRDWe5AEvkf5BoJIKfJfoUD +WlPfGJTSCJdUPaCMqoEh4xg0M13RKV8KYxNK69hU8kUNgpufkQXsSm755X78wOkpoDDX4yLTNss1 +FGm+E4cB3OS7/a7fQMigOt4YATqmEsV6zz4TrXGo9MDZBU5TG9qf+Iwzv3l0/3zixIe3a8EzESjz +7sQdJKE0WX1zjqEr6gi4tedt9ccF5adzTclbmx1nqZDIgH4vQO2riAgfqZds5w9uSGM/rbgkCGQZ +lOD16UPwBoTnSFKf5vI/ZAmX1EDNBTa1lSrATjrIbzLMrOjSt/Xi+gUIbfMuSeYAiipyq+ONriqN +VhjVK2e7HXTh2sv5qpnrnClYWWSw/P50Um+/i1Fa5pbMcbp4AZsSMdj0pdZ5LUykQrspvm+YTtEC +afP33tj2nJAeRrwFboU0SXpMxTHLEvBBWa9aXLu9ZtZsEm6QVYpNa5ceHUuJIlnSb5NGMCEot+Gy +RRVOpK7QNkLLNEINpMmiPVgroFjQt1924HdkV25ZTpTrMmJpsSWgbr9yIKoC2m4skquqTLooQfCk +Gim5uV5CSIVaKQDXe2DaHdxzQku50EooHz37SVe0KIpHR/Byfu//E9Qrorw6GTyFVNl2GDfk0xn1 +zo1RC34FaC8C4KOpZxEyeouCCBh2g69AjJ5HTpm4uaUF4xpzULI8O21UZMLsYfSbsBKZrcsEICLd +gK8QG7xJPMUpfmr2IRFaVGbB9Qhv7YODNnNxub7Md0j+rruyA7HVgyFRpqkW5aQIB/IkYiNYhRZR +sbjQPLPbtD/XsrRdUSlvayN6GzdWkeieYboG4w+KxFBVYnYDFm5PkMDlFwoO4TF3S3KfZi5ySGKz +/rwQf0JqQPZL56URb8jd8loeUdvddNkOBNQ6D8M8XH6GHAOte15jtuqnk7940aA0PchgBW7GXkq+ +BjDogKO7ycA2Xjj1cqPQ9ZGu2Fg0AR2HCrDQjK30ZucTULoIGND3DJ1ZCT2P5tePCUqKn8JHykKe +f7AXkQZ7bisc4HxGEaFV4hHKVweCYwFcTJuli+JdhuVeuunKFm+8D911rZEJxn3FMt2gxl3lNSl4 +vvzWaIkI7ExzbhynoFQihJ7VptDNWKICk04NX25mARm2GCipBW6AesV0ES2PFn9bo+4s6Wuu1oWM +vn4NuS7qhg4Lgnd/3UyLH6B3AhXnjBOyv+Cv23JdEeDuhbijHLzDgLTukinEN8J2zbyhnva9lK6y +r7LCj0Im707yKEZRdGYxZdrXA/15nS1oBwt5Gth4845LaI6dbZN09icLhpQR/+TwAax2bcuD3rdE +bwyWeSBR9X5pKIKmzUkcxlMfbbEy3ovh4RoIk5+7PSk1kwQ2yCAsQaminmHi0Jxk/zm69t4kFDZp +GhDniIMhEtrCL0DSh7s9ixzgMWQs9KEr5kOuFf8PCtZoyD9iAxRSH+2AnT40F9HOMagu7pGsoMno +hs+E8YW3x6LfLpct1RkDz2PMZNNUdhN4YpFSaMidai1yo823B6ohyxxJiD3qq+G/HmiLLEa7IeM0 +gmuFExT29zSMInX2/H5a6TG8g6bD3RsQ0FLz07JNqGMOyToj7f8yEPYoPdrIjwkvzpdqDsUcuDL1 +5znK4gXu6Ot0pav0+W5dB3DtW085Vj9nFE4OcOgnT7cOWgjtsHkWSYgf4SM/nuYWehio2WfRvfjC +1r/l68wgQVpStdr6nWTpOMPHO5SnYLzu60/DIkI+xkRf+4E0nPxCD1dfxlCyCnzq04wR4aVHU+xB +4W8vs5THfw7TwNyzeV2Vnt1GZbLjo7Gd09Zv+c+J/0DgXd4YByUcCg/l4/DBS0NcU3nP7EyU7vFW +m1v0LYdt/5CViZtqFDJbbjqK0J7TbWaUwu2QlI/NMU/5fpytKufkP7qvAhIEBoTE8u+NjeCx4jRp +5eOXTxOwR/mSD0LuWJ7EkSDo9ZE72kLfpKN3rWtXNUcygb7DC3R3Uw4/HpDYPX93TzvRoOdM8rwk +c92ACyos5+0lM4gIGb1l57hHtX0PLxNoiX4B7mlln4dDKSU1/97whIVd9twZki/eTW9O8ZdtA926 +eg59RORKf7hdl9/SNRNo79vLaC0l2mbOU5zJV18qmoKHDV+lI4K90vyzY8MPWsLj86QggVqBcK+e +gKLCFFgv0r/agApCyCekuB8tzT08LVoDpR3aJAgg2R2cWu+EA4a+mw2QgFLDiaiJK8r0WzA/cAvW +2gCNdihCDICZ0wC0WcqjA6qkdmEIT9RTfO7L535is0pJpBbB6AwodN59qtt0FPy/U1OPgY70KIIc +wwu5bpFkxTF+S8syxLQAE//Up60ckvDDKWwp9UlE8Co/Ma81s2S5ZNzSJD1Z7K5FNZGgFTupuqU3 +klxoJM/NbSp2HABM0VC0Gpa1n3DXC88oQsp2x8L7O8En5xVdRMWtX7rrp9YxicktEjlp36yiyW7R +ofvvuLMzXuBYI3l5LneUi54+nIeF2ARbeeuaEdlfLO8tyZyEochx2/7El1BYzd7J/2IjOCd6xF8h +UjhcDNBjvuPFyxzcPwNddolcRrnypDlvYvlW/swY7EQByKkpXJuUi4MGB7ygd8M1g8bIFJDnwpQs +XX02SgdwSpJojDfo7o2aNbKpErGNdy/JhT4WPu28WrnOs5i8gP3EG/sNr66C2tc6+CdLIttvpluQ +1qvWsClyRid/p55G8Yh5gJ+XggWA9e+LiATivsZPiKRcxzZztWDtJ/Q+XDXevibmd3GF1VxNg9rl +P0Mw2toIZXjblPud3WfS36l1ExC0+KRCpdzCVZtIoN5/Wcr8JiXFdu2upNFzA2gT/73c1ffbMJKR +rGTSONHRE2gzhOOqKP515OlM57fCLASmzkKeOCApfYO63GNMoweDv4fHYZIGmYEcxnKYrHEUUf2q +eCTLDHIjJo8eff1iUTq4fUYskpmPUGqYEtIhCyRtdynm5RPdGs6DyYPXYjkopWk7LsY7WnMWaBs4 +s3GCH48I3G4/nqo27TlSMS1kmLaiSEjWWU6N/T8eaS8+koyBWkf/6lw7ZJ/LtqovWB13L9tDX8xH +opmQ1OKmPXBPaBVOiVl68zUAfmI2Q1bSWKM9sGlyHtLL+ibgjTI7YqmI654pwOcGH6pbI3/ZmmK8 +klgcUvbT3VnVO1D+r82a5P2zKrqIUM7R7BqmfIPx4uUVCIOCjQNZAzl+lH6vk7NlYOdADm1tmYxQ +Hwm9WxpAVifMQ2k/5qexEpVOg5YR8/+/jbNQL05mcDvAkyfIsoDOvprZ6x4o4UUauT8OmevIgExp +jbnTNZpjeoy2Zjn7WawF4Oqm8LsyXQOkHpp/bQ3Zr60MlIHITEG/n8cNjfcBjFBTHiX+Is/ubIt7 +S4ejq0ezI99o3jI5eUzNerHHk04jQf36XtAh73rlh87MoHZuINqaTsD/GZHdb7KG6jfnOnGAGNu2 +tpriX7uDsZWPGv9fFSvxQcw11WS+Z1/vrrjA2F80UnLfmYnDgsUyEf37xqa94gTPFJsVBpPLt8KG +4Pp9LgofIa/ZNH1fEot5fnSQYk644O7A5r6oQADz2Up++lIuqBHilAzYmJGYrUfrH2Kw1RrTOjPv +bwRTmHUUlNugU9RpAj7q2/mwmNsHseOd9EeNyiumUXrcphqO4PIKjz4wX3b42phoL8wTf9yaiKE7 +UiTAsSTKfT9AnalzEyIrwflDeNf3M/e1H3pvzPAkQL4/gPTYrakm7kzbDbobT1Fqc2x4VAg+VuD3 +yfNqSaO0qXHr+TtwAyIaDCPxzvR2D5jYvgKfSmMZkpiMxu+JOwRYr3pQhODxK3KN2dRVTVRFgqFo +XBTtnOcWP1YdvFDdK2Ldt5JFiTmxtbz64TT6hkD0h3uoVFzzZVBk0Kz8H0hf7tR4083iKGmh8IY+ +3PHJu3iLmdH91ANT42dQqWbMBGgPjR33+eDwNAed+P9HkkiAKBiwT61510IBcZSBMqhLlx6aj1lb +0ZcSfQ+mL6ZBHPw5VOv5UDiOiJBsE60kAhypzaDxbSfi1A5Gyb4pp0q7azl6mnRdjLsQbjokEGe/ +xgp57bXlPbuB9srdAcd6NIWOxGn5xXPKzt+kJjUfV63cj5Y9yEEQwBmasroMiIsrAEWsSyOoePfS +JHzJ3V7Nn3eRnNBwOqLuvJglDBCFmzboXW+I6j6dtI9VfhqjslTTECeo30Ti7c5v++93wDJl2v55 +Vo4I7pSvd8F71U38ElyRBtgXHR2Mf9PXWXczGzeK60BOPDyde6LO4dgMi5hNFOr4oDKL8lXojoFg +XLZBvA2apBLEDBlvm27iGbrGXN1SH+kNG3bbNvz+n9LYKoln1Abp8+HbV1vCwPPVJZ4ppb5N/LR/ +yfWBuTKtEHrkmAIUDUn18xioEgQ9gQooPghcj37q5HFDnP6yyy/bPYn6Xjp4j3Smm1H8qR64n7kL +uBpsCXUM4PTfb6rw1dfdab4QyX1MOfZF9eutPdAgjYCXfAG1qoeJAY1bSqeZ8JkGuyPMlXBsodgl +R2MPntrR2NTvBqch3lTZwT9yccBd6j+peKlO0PdeAMX2mD1DapfYRrcb1AmQu+Dlk5VSHWJjG9h7 +caWGD2a0/VfN76nFy93GJXVMtnongSCdV8yvGq1FhfSmsas1zDM3yxzO7XuIUsl+TeRGDJqZEJl0 +Nx4n7anr8KqJRxo+p8s8axPGA4rmjPmyXf0rWa4eaJf7mYHE8WjbHC3kURAC+s6kyL/dZIjacQW4 +xpYcAFLy1NCPL7MP2NmPTNrwhaVzT1StYBGcUGPx6QM2R8MEypU5g0h9/CwfJMpfetpbBQ7G7mmF +KzNjhcS25sWFnAF6qfo6IGbtxSb65oO4kTqxYGzcxv20y/v6g1PJRXQSkzSS0X9p/2mSyw/npf0U +JwDgma4LuXf5wFRbXuPwtiOMonqXicvQD5frNaJS9y9U+yMJquDK4qhqmpl5tnQrHYMvvR4eYF6+ +Wb4M3Rq2+/+D29O+dzG/S/nXJiKEO5p3f7U1sIuq8cXPO7VVEONAgJF5htQnDiLo8u/pORNQT0e8 +Yz7CENpIZ69DOD2stovh3/oIPaSgGdbMes+zryFhGbgPOUU2BTi6eVknl92S/yIFAoi6jvAoViJI +oA9Zf579q4h9cFOyfKwkcJQrgD335PCirdr4Is5uJi5uqTNqPqgOkbzc36yXu8eVPyl0NzZdQWfd +wd815riYKNiZIcJa2/yfrn7by9RrXcSTuqfPv+iDb1S/lfJ4tMNrq/D0PkeR3M9Nu8421T7rFizg +AUlIFbGj/Z0EVYuNj1rnYz2krVqLACafhlSFFrzp46QpqbBQmlQuiCzOwP+3RYNKDNKtWXlaD9ae +z+f/BZdlGy4/GZJMG3Xo/wuTvMF9HxAG00ncX7o7cvrr6U+9wQ57PUc5GVP1n77cKeTwZErF/RuJ +NAu1vAPTV+HOaPtM7Db9QbZASmwoi0OLP+vFvRTeI3B+ZtSifbUHAOrq3M4ADF+aCFBIQ2G7q1Bj +Z3OIU8Kjm0jeIymLZVhfU80Dn246b02HnWUKei02rS6di9OPGfuGuGGWn3Xz4bFd3wtQweNTIGtf +WNxGTszAYgse0RzjyR5V+SNKgq7McJ1VhnY/ebrke9H9Nz6nelGzJGsqOPX+1f1Hs+vjkr6Z1+zK +6+g9UTKnwYKlCL+Jz26fOe2qlVJa8CfiF0bjpEN+IYj1fGxhCd2Wb6h4UzpF7kNZKqPTpHOUel2S +WZf9LhIqvQMVJyOQdujkbMHX/eAbovop3n/DRzKBoX4gR3x1tFfFN8hq2j799sOTf+GkO0FaOXaK +cvf3Eug+nt5zSMYbcLsfwH5LY4po9TauUJ8ynqnvEiTyPc28mJeKMJ1bTBbGaZ1czWkPvZm3CkzA +EfbwsVPfC00p1RUAMWEB9mdMyRkg3fr1YP0KaWXKbuner5nR7s919CfdByS8bK4tXG/UxziriblG +jKG1oMEs+t1pg7TofZrucQyOBREXKSRUq0gDCPnh/ZrFuVDD0swdVx9f0BNLlwkteKqULQgXRY4n +ucr+af+VsJ8ExQe45ZZWt/hQtbqXHdbLxMQTRX8GHZnxtVHKyMUOavA2aIctP2Zus4Lw6Fk5vTN0 +aNhSfhRSRalfh0rLej/+D8TvUUcNeFoaOC9rbTSeZUkEoyJakwYrrG/Uv6TK+f1x/dt8DlgeQk3I +hWA+Kp/BW+RY1aXAdoRGsGOHQ2aZgx9gRbct6vO8Spp4J+qIUV9d6jTkq4uInPvbMqUWuBaNi+kP +lqQQ/dlhuobPxJWxKEmjXWdbc/A7YaEn9TRc7OZf6KzLKqAE7KpGM2aP5/xXKMqauGqiEPIFAy7Q +mebUVeDTAjDb11IqX+CN15EXSEkGQl19FIrky8jGVT68GlPlYYaCLoCkRQuALex3/1oevwEUkHVr +TNyOsc4LqiO797QklNBvwFBrez5XJD+/PtTJroufT2eV5PQtfuT/qx6uOyMt9Q4UnzLfROIyPIXC +AOOM5SBg8IvZXlZsvnWa19MNj2d21Emv7gT8z7SIwR0CdFULpIVAN8Xb9eQaQB1dGpLeBrr9P1tm +hNTAq0T1Vm+V52uR1nlGWV7bTzdaYWWY/zdTqH8WeX7fIuUEakObufmeBx7cnJAoxa8/0OdagFgu +gLwijdReEfsBrFPzjpb2vPVVmK0A/CoW4TUtdDa9XazA02domjWKzR6x7U9oVWYOBtQZ1sRlVtf2 +/Ek3waZXWfmnh3kEo2Y1rz4r/ux+4LMW4/KI50LDPR2QjLkZ2sBxGQedSnYkYLcSd8pcEgU+CmuQ +rg6SJDX2M7kzPpZo1RhtynHTWNusgOkABmx4UsC6FF2GAp7bxKvXeBRl1uiVR8Xj1cFaqtt3CvDj +Og3P8nIOXQ0PbgV3RDO/MTjRZyLMqpMsJa20GRX0oBAVlXvM1aAcuyO5VYPm/F+glm3oXEY0nfN1 +p+CHaohaoC4H8SO9HP9Lw5/t0uuBwjzRClafXwyoH1ZMGdod0+W992JV9owxub9CljmW1F488BO5 +4XLxNcRbMlapXM20UO6ckeqKfZVz6xD2AiqI7Ic30y7K/WPviEFwv06zhsLLsqSpoUVhSqeJpbi1 +pdzvv8zpzw52aZIFL12+zPYElNIKK74blQLduKxknbDNxhxympWhnhEvokmIdMIiWEcKl3x+YNwd +TGuv8dy1JtWmJSB/tameVTJ7dGO1+tvCtEqK+qB8OR82AVleHztkx++k80eW5sh6nzKtM7qYwXW2 +J3MlZWliwGWyTdNSUZXdCOkLixUdqG/hwA+XS1qy0YUphoXhKKrnPG3cmj1ZcyqXhInRRmcRd54a +gaD340Ot7TJmi7WxKvfAqq3qf0uz8IXrjk4p891kdulF30l4UpZWR9rgMBtGOlUkXjAawgFKUeCn +ec38kreRqhnQ07MOfJyqUUXTyBeESLn1XhSeEvBuOyIRv8faboAnt+kGe60AqtpNIy5PPch7bRHM +wi6RPMuh3x3zbgifXbNFQFMOrBZfi5PcoDfEY6xIVP/icussZ5p6FMeLgllZucaNCaQRwQzeYz/w +aAMjxvlUEBO9GdvSUAw7oAciLYBLBWHeY09yR71+O2nIph1w3EX5oTuasgcyeaOeRWSQUaWOkbK8 +DFVB0oMHjdj6ZG7rmIAg1GuXQ45m771F7JMLbr/5tXqHvjIcEQTrdG6fql1hWLi2uFVbmN454GZs +BUlW3MGg84RSTWSmcFVpIDOMtkL3h7kV8KdaR5c67HrJ1FQD8CyOLHYp8w+WN1PX2Hn9+uLkiAk7 +qX3nvPQ6B2PHZTdGi1fWtuQBzIs10IfYKsfvnPZl/UtczJkXCnrNwGaKxFUvwd5EMwulNPOsfXRJ +BSF8jjIjWKISlCFalZKjKNuLrweohH1px1kUC4x8uc4FBHgFhynEAA0r0axq8iTfI2CJSp+cNh0i +NYq38u3JvSw2FVgY4LdN4TNvXnr6dDantJHLpn9wVvY4gNGsT92Xlbi5GgPf9/q4a3KqxLaxo8Vf +4+GQ6splom1qkPG4Z8yPMwFPY65kSCDu8YP2eAatYgEbjJLdEoZ+KkflIvK8P/06N5UxBXRQMJRt +tNP1KEjI2vEJs8jRIKQVq3/OnamRQfDQkYjHnz9jX4XAQsiVKiv7IVzkXsWD/wQJIMcL0EHjoABr +j5HwLw2XCni/5JpxnY8acauMQYs/yJtmSVLlM7scr5Re+kMQ9XHJwORWFfm9mh40P/qLOIdu6kM3 +40ko6s+wtWL1h8ufvrvPA7/FUuj806RQRKUsDLbWtBbGAnoaIAOmLWe4Mo1LpgmueDK6BT+4zmIY +t3Fg4HbElkm5UzDAGMsk3NDGyKuK1gV3TzMJWZkN3QmtFIt/t/Q5GEUa3SGHm5fK2oCbz45OYVgj +I8U4HQmaMCZwxcBTvdqutRNfIBeFuggNwi3ElkaFNsOEWFbtlePtNsNrLzOhA4rJnpZUWpZhXSYz +nevIv1fdtomWpabIR1hwDJE+LZh8xEMrqajXASHH61Y8kPE4WLfFdfTza0wfFHa/tq3+hcQabbd1 +I/NpFcjaAm6qgKbi0ZGLx6BnYpZ7ZgPvGkfJ3LfV5iKAgWGOhPmq4/CkuOqyefqEqL9rsREx1jd6 +jLCldK0FAQvv0DoG2BJtsEtwk8R77AziAofzA5V++h2uay+psqfG89Pt91iUz51hH1pKcHc/DjFd +VsVA6QfaIhQAliNKw4ZvzWur/G8v/HL5YKuGtnL21qu7+XzSI/ztmuqV1jKQxx4SNSEumSwLgLz9 +/Rebssy0bNuxVgtYAkYriSX35c96j6ddO3eHo1w4upiQEDjzCUD2RvUr9g2lf6xTNwUDB608uLXx +bUckAxzotzzA9E42Hg53CPKYBnzgL0EqVRVaI41vCWK4ki9j8AsAwJPxxkOnCMoOeiYGChtmtEqN +iD6H0uJGHdR+Fps17O9Trw/2/pu4Vx40We5EYXhL6gGPRW21BE085GSCorMXJSyNatPecYfUmmCy +JsPiDAZrJzTsWbpcOuWuDc5kr9Fmu6NPGD32i1uQOho8asR+i87Pn6xxyHz5Wj3X+O1EBa9KfOGs +lS7Dyu5Ht6NzjEcZBxtW/mB69n8eqRSd9TMpI+mTWH5w5m4kiqDfuvqkPHyBWbC4ShcoOaem2fzV +NVxWitNLWABDYos7fQbDmQTeXpQbxxVYL3OwAXv8MSB2PtQSQ1y2entLIFcgeqZpSZvpDclcv6QT +R8G85XH2G3LTHPiHN9D5AT1MQMaNNh7OuWgUqMLK2MaCjYCUEmTRDiBKp2qIJhY4fHvBtmW64Spz +83pcMzUlWcLBomNlLdNBZZh/9LXeWVQnB+ePIPwvzDNZtR0O6FJ7Gp6PTJ8Okw+IYzcas+LXdSJD +JEl7RlcVzEUSwVKtDzFx1aoZCJUVqzZDFnemEDTGEes7dO8hvbLodJTHPN3TpyOIBYFEknLR/UFW +ZIcQUpOzQAr++ImsLkHjMe+TpscrFZvyTLCwsY7+tu++GlsHdstwj/I2XqzCcYjhp3A+2FUkGyYG +yzgeeuOoUgvYLE7Zi+61QxFpNBJXi9aTHSeyccEL+qO8mIjwZJhySrLqgX7Ol2LEyvujfaU7q0fp +LOTcAF/Yalz+3ulQOFxeVLdsBIwjk4ceVsIyF9FIMZwiHyrahkJRvdKSbJCyDTwbwK5QrSyXHVEy +i3lq7nT3j2T76AWvTwgmxhB1YmEIu8cL0bdX1nE2dR/bz2Qn6t/UpMTN0m5YXubgceTMheBpDEvW +u9nw0Xp3d2tZVDwdNzPjgPc/QDDTDZH+2kHCjUPesqOUW1ogqB+hpihpBBUFyEXVJtRsSYqkzRlM +WfX8cPZ9GwwnALz2H4pfQMU+3/QSJm82J/lPFJdMTVxMM5no3FfqmFAlfl3DybQsXvddhxRViQjL +oGXkh6pMPOqHJ0sEMzdJZuX4/l3My+UcKkMCId7F+3yiVDFBxdyqi+kmajhCXQA7fi2Y0BlgMnWM +k/s2v0aArzc93yMnV+lBT2ifqGFb+J9J+KUowrtEl73STXw9Zf8BFMMeA97ZbJQq6vOLKfc42GLh +okPKOnL0iICyNgfrtUiad7I5f2L0xZiRIP2KENdLCLFC6XW4mJitEFeDenbrZEiGRRC1LtPIU/89 +auUSqVCqpk5wlVV0xxwiyO+B3GJ5n2Le3hAyFMnAzSrGO+e8hAkW3Iw7pvTsZMF4kkB7jpYwUdzE +4mDL7GYGRsba8zV65pxf2gDfplzKPTc4s2qMCtOHehMk+m2z/46QOPe+4PyYStxXellebbdRhfq2 +8mAc0yoRrg73w3G7Fm7yy1Sb9UOuIjr182aM+1I/YGf4sGRi3Zq6nuRFyqMEZtdqGT8FUXREtNju +oSalh73zGybeuznXaVjs0fkvCIvjyi+Dr0eN2TH7dtMpsnO7iVER+n8uCCYRnBchpUaG9srCpeBp +SJuwcwq2KouraubwOS1NcRsu7WpAna2w+9lGI0U3bFge6LBw2aU7/utF3iE15sPMeh6Y8E493cXg +GJIpe/HC0LtXVzZuCXtvCikCmHMTzk5rldZZkyYrePGeAzxhObDkfKgfiFhvp+4SUEdIqdV1esBi +gbE8kcJu/It7n6NZEs8IKqujDO2v1cKoGM3CCRU0y2hPEgs8CI4nbTC52kNf+mVVHUV2xhgCubfj +0skWi5tRjN1Xqk8tFXZlBxq9veT291qnMyxzWX0YU1+0HESPH5XSLOAhsb98mxEsw/FY9HF15ksb +hrczwrzjr37f2duhPt/uSZN9XGjKwV9+6u+3BCAos99tPvn8/PtgJNnvrfLFBXuNJ83yIs0pZjvi +WjS60EK2ueQprjDDQ52Io3Z9ySAGD1+HRd1UxpsW8b7Oq9RQKXlqpoam6eV806e25LwXkGp8SeCq +9QPAShCDizmrAUMenQzbKMTADlkwoMI3GOmzb7pbv829qKpwsyDKjN6qXbq4kE9Rlm7gnNta+3JD +z/sTqHbUjoPWan98czKbTOOXNCsARPh01YefPA0lyGLYcWm1rrfJihIHwrfeAvsc56qPzsal+Urc +7ZF0wPMnMP/6JoHc8UqxIOq7wlG8MB9/EG7JGGYvhJfvTPaj6+ryLWU1gLJDY29Gu/gn30KmE4/V +7LpKEFaesBxepx9PNqjuDWVzdEY8rszFctsQCqpfv0JWVMgkAkkO2tUFvoWnsltNMS3xlpjkRJLd ++6B3Unhp1P2wActl3hJ1AelpvQQ65w/fnrfxCJMpFqeEbutxhdsnFsEGM0KPXMl071IS+wo+INo/ ++36S3pkdTLnUELzbs4NnWC20eJlYD9dtcQgzdGahNRbzve0aWy5eKndWLogF3wlyLU6kIdMMVMnX +FBtj2fRlwU/HhqKy46a+bAJ23lkaLtbPUEuJyt1J/GotHHFW+3zDbAI1QFlAD9uTFCih4QJCkIT2 +Wobo4ubhPlvcGggtVGgZLZNDmArvstvAI5KC5G6hP8+2EAF+OH3JEXZ7xmPaFikStlxGPEgUf9iN +KDeZmMcRnZ/UcpUuHfMWTYRTyG6yWe6Wa4TdXD08ees+iXE586G5fdhNSatKu/k/s1w4KJmu4gEP +CeaKRu8tUwEZRhyajP8tZIxkTgtJmtGyb9DhQON53zfBaU0A7zK1gKnUl1UyGNv9rF/T5bjpWmpz +/l0BGVeM2AKL+z7JmELiWaT7/sNqZZhV2z7+eVmPycf6DkLp0t4WlHfrbhikZSMJKBIOkNPIb3iO +i2mlaxegz+CqxlDOpLtALALdxcmZX5zDK96GgZKKVxf9Zj36HqCWRnojsDcEmEFtmwRrzRygIdIx +e/iQj8U2OLRAlANLDlXxKRd8fRoljWqs+OP5lFCYD9MTPGDC2Je0qxaEdu3hNgruScyikxQIUuOV +ESmP/NMdE2xmycTeXj9GNOxcc0LDxsMdAGYXEYbj1TyLsqWITxwek7ZsQ/fFvUP1EwkKIUXIbJ5t +m+AtrAvCEy1izhXAvTFL5BNlH5EQQIZ56jl4xDich3aQweywFYunjtCDbp2kp5sqQb9QsuqKp9UN ++F+5BfTYoZnvqvFpMAJVuoNsamNdHhvC/hwTiPHHe1T/7mblKQMw2Nan7xuFh2GUlU/6/bdauZhn +jxoLkX+TmCpfxdH0geG+4eQ44SB1UjNU6/pgbKHZshW9fYpreI2uYWZKrVzQ0JUIEp4v5M73B0kr +sywHZpk14itkj0Qe/S+geRzYrUe3L9d87sqKNHHeT29MVkFd0jK3e5UiBf1HWp8c4e0P8v3xmXHT +8C+smFA5wRPgAZVG3rGnK7OzOUs5Da32PMtvDe1IDz4Xl2iPRYcAzFJq8S2g6367KnJUELwH7myC +wgVtr1Uw02VlZslyg86QRuvy6rqwEcXixZyzO4wDVEyLKyZQKMz+VIfH22cA9WsvVfB/Hi6Fo6Cx +jLbfxA1fCPmc5XKYpm/pdB9m72Y1ZdHyxKZjzOCBO4RO6t83+a9Bj7IOhMIoP0wAiPqx0e1NUABe +VLY3MrqNNrMigrN7JobdKQv/fG9kn362ZBPjCLx0g6jHlCkTKGWUf7lVzi7hr0U2zmP0vOiIoQUx +1ztcqmGwhq/49DWxw8IPaWeWqkdayq+3wYHxPazyGNE80qPBX7nHbWJd4Q/PNIuHFXz9L8FDYPbG +0F9/AdB9MqMx3b8UTU7YEMm7N9UM1IZhAvujVEXHIqebmn38l7qqSUfxufk/o99xbxjsgLee2oqX +UKBwg3IwFtf16mypVGxjg+363TdJrfLrUMD3bF/2U+oTGvYwXM6rtHtCe9/D0MtHf0zLPBe9PB36 +Fmb5EaEQhzH7rXtzSLADT0Us6UZ/gMZpVehWow/oqRS3H47zeu0LeH5rsL/vfabfbNhm6tKobU7K +whJAbXbZlQ9p0u7u1/O+z702rQIRjQ8t2iXv+f3jnHdpUv25MXPjvlml/Oh0qofEM3tlwBbVjjjP +ndmU0dLEyS7WZ6cxb0ht1XOYESTB4Hqs1Jl6HGgQn9mPaTTCZPb6jneK0F7P7NID4J7aXqvgiDnJ +qGNmPUKrnmiZh2pocDkT6j4ITXSaxa2a4a2ijtgYChGQcIpr3F2/WS+yOu7EF2aVz0eQtmhXY8X6 +PJpUcjh/jcZOto8JjBRUf2ClJPL202fKNlVzZOKeDmxcXmh/v/q/Ue6DZ03q9CMJdf9JldjQROPL ++KNFvKxnHVYTtem89xguSwr/wwy1SRS/KPECLY3qSxPuhxK5I8h2z1k+OvQrrRE+jTV+hp5hZmT8 +/1iCMkqoadthxNoyI9P3Crko6W9L2N4UXoOAwbNLvb5vhZf9uNrqAOW1+GWOLpB0NOIJqzwZb0B8 +3KGo8efq9vYtHg3Ri3VrfI5NaO/dIoHrOrxuLsB7P/fKGIucDOqqQkP3/spQgj0bXE3og6miUXFF +j3J4IeiETctE4zqsDmPH2QR3CxbARg+QQLDF0u3Q7wPG+oBaCCV+Re/CMwMI63XWdk6A7dYzdunM +kOGk8HQIRytcLK4Bl9EFflG+0sB2l8Llc93KN4hyNvs5avuusVVsGBOwX88yNckwIn7BTU9/sbsI ++hNsSGZD9AsnquK2cEf4FC6hoA1/US+6lL+hZlA1rUfeVQGAsCpIMj/IwixVK/ScEclWh3/vLRDP +NPRy0mJDWSZRVf5hRZThBbr19KVuwj+pmdIjESninB+tqCmDbnoXSv1lCLUxWwbnRAKB34X2SPXU +I5VDv3VgsQJ/q3RuMAFzArO6jviDZAt9PTFwv2aX/9XJ/IejD3N+Zco4f1nSrzhFZOtQIaBEc9QC +eelfs6bXjG4thjmP2jYWJHLltgvBSBT8bNKE7r7wOm7jtGn5ooGysQEQao+/n3QDe8LWrpHIwFXc +hMp9hF//nthQ50iDJ/dkaXsEFbbWzttzVf0MyEnDoS2Wrl+HQsI6qpiZeblJNF02TrYKoALktOPP +nMvxNi3W1gTnK/h4y1EfgXBLWi/TwaCKg/YwOeJhinc/HRQljrlxcY5ZvUAIMsLKM7IsWXR1wyLv +ib0mRqTvhA6lI7RXMKSB+m2AdlcdWgpxpQ/pHUL1/hdHyl02NDvZxV+WAoLTz8CVPBQvNuu5D5na +ciLm2TpAo4Y6EcZ/xcQfidPIC58KDqpybivgcK9hsNdEzEuVqotwWfjVauBGywdATQ4g7fQPhPUc +m9pM6QA3Yx/KdcWsz3qQ49d3ogJy1Hj+wAZIWbkfDkhQqzgNe7cbuz1NnwkWfT3vgB89Jiz2iArm +9mYjNugzb7lZo3GuEFddBw9Dt3xfwnDSJjZMPSPNDrjxmcvGanZwX9gk3s/PdwJwONYsCXyPJa7J +EfZpTotM2VRBxQceH+qc/WGcMuCibvtpNfSLj71GmlNmCyHvCl1INrQdIAI+OsOkhZt/K5jHLecK +a++vutUFbuyTWwiK7cmEO1NtMQdC4RX4dKE9BZLKEgwllo11zbJZ6P858FqPSYFiyNnpgGUn8Bwl +CiQB8gFWjdL+aZkfJBMR2jo3ofodEL4Ivo3RCdeOpWKttgVh7I0aOGSCJYzEWyYeNJZ2jOQrSpdU +qszN4xgdapN1+1YW0mRqzD2VV7UspX5HecDAiJKQ/le2CqEIijGq0lPR64nGTUh2f8X25YbHsKEg +Z4ZxnGgdunRM0Hms9Ka20tB07BpGRcZ63WJWrNuoep5o51F2KwTsg5IzKFd0Rz+RLwO01jpYU9zl +700/wrZemtEhk8/ugJpCzwPOtjE8x0K7iyWdI4ivPfLSBraPaknB9dAUBNnUyWJ/6NHFxt/hGEfq +C9yI9uHGPKqAU4Pakd8ik6TLf+drc8U37A6Y0uF35jNlSW8olyDHpFqjLnoEMZfEF445xCDTBoWB +cimgOojF9cWEEU47nZ+yJIEopT/vFPcqYCq0Z8fb/m178igy6eUhURQoKm+/mBwj8ZRFZJWY+s9q +ispY+mBJ0EACz6VdYANnwppcvjvtEEJv9YbkXJbLeG7buhP48lRyDE3CKX+KYLslJXD9mMx8895F +cOmK+qJtYutf4WKTfBbAjwz31bOkUL81x9HYQMkvmmKkTZ0XhPm27Wof9UlQtn6+gHnY7rvvCSTI +UID9OG/6a3D+xmsltXyWodHi/pOU/sEVn03PScxchmYh9l1X9+/1R/wCfX/L5Hgm4Cnm+RVQHdDe +exC9WwC56H2l+7hDN3LZA4wbj/PBL1MGQGlGBUGMdOh9SACCrE+h7Dl8wtMrYw7rRnzlsN4cWMUG +47UMSXtrTIOP3X6Ez2hOIRci7MfTMuH4u/sWLdbQ7/KtH+lxxLd8AkMaaPGQAltq8kBg3xElFZaR +qEPVxYp53Bf5HUBCBYAor6y1y1vl/vHu2GQYZOXKupjAXdVf0rsB56WFLYRc4ytvKMcuSUKMvVss +F1HqoC/u7+WtVpJORjLegn4GQvGdDAp9f9f/sERU5eTfdICyOuuuNaDZKUVCsUUNbBIQxFavbTEJ +zLXIKZAGNbgMSPKjpCqTo3FXhXUJ4M3kzkCXeCo/WVJl5SxnmY1cXbIH3GdyN2o/pscJOTkrZLtY +gh7Tk48Vn6paa8z0VeMwMjhufBIN87gbGGbBoF4wDhssFUslKok/MYjrZgsSDDqvpnFStwbXYPjH +Zz1huQevUYKgAVOQVMkeWKtk3ZhRR3jy5SlLiBow0nGmAILuGdwE1LbSKhfV2h8zwE/L4et++y9c +cns5tNwC7cccCmKj/tB1YMntSpAwVvyF6t2rPnm959EdbtilKfDD5VOg2Ry9mxZ1NQlNgttrw2AV +wbJZY7Wm2PatO7vVgmUrBSxqjOM65PS9cfv8MTg3rZ2GSOBbuD8XDdksHnXx5gSVr7McXIG49VGR +Pn2IIpFFW0mGSxib6tCf5ZtQls9OzS3E4NSpfHmrkgqLPDass6N59/ZR0doEzFkJkeuhUNp01faQ +xWAkakngZvhM0+WsVzKRxcCbjckwppskCqiHMuDT02PUZ6RK9pPi+L8YOgl31L1GyFs6dxkfdx5M +yrfFGPJBAlTOHJauHdCOW7ZDOQ8MSWq/nm4CScrRnSlZGXedtw7hv0tGZPRjlIrYE/dUL+/SoaUY +MFv+NQoxIr+VIprg/P5MSGLFZoFOCB1aBAesiu8ROPVbhwNG1tfgFDGGC4+QI3cdU1lMu6gWw8zl +pdxOYB1cR4rWNmyt7QUd0DddEtL7LEd2KZC/7VgI+GnCMQSkwOgLjv3w5pBYoWAhFov1kP59+3OG +TRDwrbdGkJqXq/le7a3rAPUMUinHu4FlCqvIZKSkZnnj0zTPoZ7Euo6kFGhuwXsu+oS3shCTGmwm +V7MBv0jYrp89hI+P8pmf/2TgJorwOCVCRIps2VOkeE08r5oiI01hZF1mS+xpEXKpjF/8A2tKh6dV +z5l7M/9TaRzBoBhAl352afAOPCG+mBFYAfcE/w1vtHpZp45YfEed7FxV4l+fDTEyNGpUPMi00Jqg +IiS97Uy9JBuzIKKq12atVACnYiWTYSW+HkUWKRkGFFXrCJ/6aJp0VT4YMNPpL3Sk8HYLePhRfkRb +iV6/H/n/ztbk/6iQiO1qA5SHkADdtPzulIzVlhE1xXjR9GArrIOwKGBew61jSZK+zNlghq+cPqJt +WYR3YkbsZ7qxLQPjwwCnJ7nmMgjTFNMi0c4iVqXV+1kp5FHULaFo214QUobK6Ytm3KpyQ0Jf3Oc1 +wzUOo9wQgZ5BX0UcAKGQr37x098MeV6IGeD4NJNT4+ek30pmF09hZ/oSlwoqk8maguxmXkAHw7dl +y4RmkKCEuLDM8GEOsBVB/NCIMJTX/4PpNXU1P7V1uIfOaLcxAY+Tf1TeHmL3IDCsVMA1rSlgFxbF +fzu+6DizIL9iANsykU/0spr9f+SUFqFucKsO/mYJ9VeVgfd77L/rrw9ESqb9hGp2VK5cZV4ZjQ1+ +KhPtE66foCJb0mmoK8pgPdKY0zmmIFMY073rS7Kr7O3FNAaePgvqAMVvEppuxtClc1QmffDEivyK +F+x868XTCAr8lX352gpuQWxe9zThzTA6omQxgcUYzviHWJBbcRa7q2OVtz4HrrshZIGI8bl8wpgG +7yzjBGduNSFGTIW0ae9tZIOqL8yGFSKmuzcGmj1BSjCL8C1Ew3kjDaTKEJWrgOToJFeDTmCaE506 +A3ALhg8fy1WMQIsGziI36Y5IbxWkAZ+4i4sunEY63b9pEdAKnSUSc+ubH2oFUghgY+szv77fvX6j ++u3MWkrO8T39nnpLP+LLU/pmfIk3fBawZDxxurpbbzSQqiPTs4DJuPNXdgq87rzJYVssQZDAQddf +r/H7IZI7fBES08eaG2JUiXj8MC3sjvSxS1HEK+4ZuWLER0XUfIfnmT787YHV1ibBTbYMRqlvpp+I +cpo9V9rEBmGsvHyYtSOWgquKSynI2UQg3GfIIY+Cu/Jv9kCtx9kTXuAlkRpqI38I6qQwmgB3BkF0 +OH0AeHs3N1EKM1WWSYi88F8jhsmg6jC0UG3rTy9vMW7YSOIP4JqVudvMqDcL5z6/12HvzYgZpeCK +i+VLnpvj7H4C1sjAmA14xlEqjxChUOTwnEdpABUeIfFj0fc7A+lA+56ECBeojC1aCiLp8rsTw/yu +er9Mq/MZaTkPa1Zf/HXz3xcSKBFu4L57uv0Kh1IfiZM6YLKQaGT2gJMYNaTTCxIdeGxdeS7iYctG +GDQFkWGgp2wkK6qWMCs0pBypzvSSrufYeKWCexuxe8pZ9/Zb/rUcFhCB6jCAGu6A6IIKFAnBOVtD +G96yRSLkX/eZ/K73/ERlwtcMlJzskrV6jUlOnXxdZtq4n2JFOZXdsHp8TXURfk6ZQgXIWLKHsvFg +2rFNTyJfy69xa6//gzUR/lqNFHrEiKkUfZOh/+1suvqRzJOqrA82BoxNKGVhCgvyp3OMDGYTt1n3 +Lby7JeMp2uQJitCUhg5xo2lsm255TqCwfelcD7V3PoiDCR2zYy+7swo4WHxGNd8JEe/6lQahh7i+ +O7kNIPAWje97wAky7iNoVxKPgbGN4yHjZOBV032S2uTUi26Zwc2CMxgU5zQCtr/6ZlKbCY2FhCK0 +R4ub7AYtmffR/UsVcNfgyk6KK1yyPR2qtHOvcev8Rqpw7OoWaa79wW36vwxYa7fCWie3kN13J7T+ +1Z2BF0cSzgyw+PfeX4y6Fxx89WVLZzGJ8zRAZn9Pku2JyHjS2FU24ioj2EvKh7Z1IVFIe9x8gOQh +gwZp7wPg+3HvCbVJwaCjLo5R6jSfCuUfNsx6j/OR6HJ5Ut8A8Zdxaeh4DRsUoAsXEv7MZ38EyBC4 +9vl4NlfHIzEJiwTp3VkQi48S7y8dQvdKxna0wB2hv+axkx/Vir5jNHqNmwhSU7e7oVO1z+mI19FC +UEEq1DoxK7T/eH2olhftd8OjBEBX5QRcT9dYPi9Mu7mbGk8neki2tqXy6RP/oLfZkB9dH1dO668i +KC/naJHLCboUfigG1QQDu0NIIBmUE+zdzTjWbdp93svIf3rMckKTWU/tssukewBJRKOtnVygj0tI +O1H2yNd4iGhXa0GnPfXxpREY9oUuvgaE73m9r/vJMJZj9HYDiBQ7EiEUd8WamfjCbHCzAviViR07 +yoo9iHf+rqf68Xo3Dxf89j7W89GLgUHyPhL34k37IOPiYopzfdHiY1vPkxy84VoN/pwXZMLPtnyx +OklWV/egP7ZJvOQS/ETpXR7Cn79BdU2U/XOQFIyLPqnVwOsZTEMfyKyN1PyztdtPoU//OJY5bDr+ +w+mXAYmCpI6elJLk/Wx0ox5Zy3s8OZjQoCI8gsBNtLrwhLF/5aNWpfENUFybP6w3hGttED68sRSH +6NAGGw2e3/x+5UMgBcIEBXonKh/Z7R+QWPZclLM+mxsGeQ5tWlzu4VJR8K/n/Wp85Y08AUmMcTFX +NnZ9ZKHvFKszx43b9Bkp5KpuGeYb98NADWzX7UBBJKwe/oU+5xT1DY4RIgiw9yERXht6gD352o3O +MjtygDqWTbpYcbS9CYSwvwBs3oWNb7hshX3segC11tdK6Ip2/A+SafXZ3NKnb3I0fw7cIvozG/Vs +PQMkzt8wOXYEZhdyp//AHu5JElZSnvGE7YzqQGam2ZqhaDOCf7eoSjX9wwSq0sj9vAmzar9kJuxi +N+zC/BuKqm4lk04+aEoilUtBF28n61uNxfNR7ujmqEvsrZ87t9B9G/i5KUGO1wTZcYdtzd23U09A +xkjrKAxy+EX7zuP3LNW235Z1w4WXYILoGTPgcZSexXsNGM5zgjW4xXCUfvHLzp9aC4em7fKqTHSb +43+hrFTntgDLZQIpuDgEolLDZ0KL8dQQLI9c+VoG+DPrawVBB4cprfngfNydJ7aGpWwIaL5XNhUM +WlaQwUIRppVqkmAjfJMKb+tz4n1XRbtPAlW1q9eWavaeT3TJWTd2tWfT2RtoWzh06WHdIGus3Evc +lp6bJkkYrBK19iDLQmpuov79MltoEJ+4mLr+S4Hh2myMAafH+z0fCPyhkKY7SxmZp4Nczntihm6Z +Kuef/rXayc1TxirApQpvSrJk8dTIJltwEubHoCDkUvhETexZNXlAw3Wb1BxAjSpV5Q0Lf+DC6eNt +IFub5jcOvyqGZKVqNo4HDJ/pu8cjsjNn5W1QQ+GvwXW1GS8LkJDrHF+5WGRhSO3t9yyg93q5Amgm +7/JIL+eyUwcGNSwIeW43PucuoNHKOiCIQfAu+p+2mVBhABpuClCzeD52Ik7x9Iv3ZI++Us+SR4Gh +g18uRUASH7Ts9oIvco04HIYNSdEAYNUNtN4qLXvmc/M05EQ4cmAn8KSQGr2/5T4wCxNc9Ns3sBhb +hr7yZ1ZHnW2WO3XPAnZ5wlFyuLxOd8EuQ0HlqvzUByGwf1QEvuoNInwKmylABj3ClHpJBU9zzrS3 +2lTZtvkURg/fgfjHyFe0DL0Tj3ihWq+JZs44muE/960/qf13bbXoC1J0jz2SguoKXkOjZR6EM25d +tTp9+mSpD9H+yzLENyyPm+zuisgwbZaIfST3qGMrywS+iOYyTNXcFQNv0GYZHXhZzJPhsLCmT7fa +mgsqoMkvZRf9UIwGDv7ZEAqtnODFOm2BnWrwpY4f8dhjKtw9C9gI0eeOxlmjt9SZr1kyN3359NWV +glDH/CRifAw4UqZd8zujvmgNC/213+sojwIQSkD1wW0YJCbwAz82aKOtXOte++u35bfCTT73ynos +ijsRyV1Ic0neSuPK77gRC10zuyMM+tdLmqa5eE40CenXLcACBhUm7UWVPybKLOJHg9OSPNEYXj0T +yckDxbt9vcZt3pUSAc7AQoiRw43uZMAq8RrcjsZwwULeY156wbmGkMX970lgEg7trCIqYXzjGerT +210AuSuqRVWwIeVBPToMzw5URTxt1re5ejVWf1rFWsXUWMwk3zI/8W/lmrieFy1/CXdPjbUYF11i +rXTE9CP0YHntezxxaFvhWlidzTzKaKrWmtn8I2N6sGsp0uQ+3ipNgWv3IY/3sskcXLv4QA+cABmz +IEmkt3z6YgMNIYuiwAt9C+5MasJyHQwUo3DRBDwRIzhpzLCVKhhU5GmGLTxko1XHkbHcGwmQJDoC +1K+0Exram3CSXtnlBd9cSjhoKUak5Skeka9n3hKiPvKjGEgv0vYivG1NYXSj2ztRSTDDWTrSGXl8 +g+pelk7xa292LSawWbdtseFhmNmqLpcHN2pbgYMvoVM/v74Qyk4y/6mb/VX1ySp+2tOQgoFRPFGG +9RaWNwqax1Rv601qXZwhT135XjWfLtFhbhYXpOPpbozfwTCA0rZMl9qOHWglVAnMm/ED5+9GOZBB +4SqXT5xEiCDis+gXFkauQPIP+If9j4jGjLltOi+b7qme3ZtuJ+bAs5+EOvTPPVdBSAVJE7mftDyt +iMXGzgOQw/t14bvzW+dt34V0q/A/NDEWopJtPLCN/do0/R8Ir1OV4mawQJAInwJxe74apqOh9kLx +r5S0wRylSnYsJa0M2J3pNMQAaKy18QAVQJujze2qeGplrwKPnJkqw9K8+vymJOZjrMP3vujEKLSw +G+DZ9/YecTZdYbXuDndWLqvIc3guSDllYFvTWVu3mWfFBS1V/qrRLUIcDcIPM1EuqQfDw61gWggv +HLfV67aiYZEocphKA/YbjiRsRiob2x3xh+DmdfF4zydjaT71qRS+zr6w2FJppY9LDnMI3lYwPA6U +TZ9ar5Cu//KMtug/b1qSoKRQbjk8oaciytBPgvyj6KcArJNGriYqDz59BouN8pXpQORcQQoVd8Vm +YtQ6xktoDacXXi5cQPrqzffjfxOwadmryIDo2bal2E9wpb2qa0d9h3s2QyjufU5v0Rd8KcU8e9Mw +7ULWWncOB/zqWbO8nLKUVw2Y1OrVVVM+4OWcojBOjH3AaHeb0j2W1q4MZ4vWm01vM+pOcNxMTIK8 +N3sBpZmH+3JtwHKwVTWX7EkEisGBnwEy3LrcRzoByzw+WC2la5aOt6x9JEuBYtfar+5JZRi6o952 +Jgs55RUpnhSwHPMwp4v7WbhCv9rCx+Z39QW/RgoLlRSpM26p1i3Px+0iGDbRnUX0L0enQqtl1YA/ +iHpKaIuCCApjbgAcKQ3e1Uf6Gu2wo8lS9t3Mj1lN+cNpZGYkWWkGFhNEuefepW7i4ZK49Sm/g++/ +LISXKNTzuA7uPJoZsKAX3tCGDxVau9nCq7VQEWFYqvAixDRvqsNDYNJx/F8hpmcfJJQSTImv3rKU +tp7SOYSnQoOHuoGDY2uz+eGNQjCk+4VXzc5SQWt/TBQ5+ehfJvp0Ntsy1R4MgLaIq50Ovbe6B+xP +AxMqDsr+V+OxFQYWOrSexuaDKDVssiO0RCRZmLJzv+jgOt35mr7W3s0YyeFCEP74U78DYwZt8Aty +IQFYOUcgb7xPSudCkqpOd+DAY7gO7pK1NF8CvuJsMkwvl7spL+SzenrSG3Wbily3Q/U6+yH8nJ3I +J0ZymH9RQqvaWcu5OTts6Gjnk6X1eWEwa+gAQ3fUyNfIq7u5XT6FoscoZdMu4yY5WvUa408Btihz +RFOmSMikagVOiaoGTKz4AEr3w8TuxwYxRL9lxSmLDnoXdW4MLVJDh4i/tJae4Sn97IbYFbQTj9un +9WDBDwzCbWB+yhiSD5C6/KHTIWEt81xLi5PcCBX3vFI507vQbI8+nJemNGX7/ZNPEdZ9qy6yO8ec +BcwDg1R4Y81XcfLte++TlETVzNsQFXTJjEpvPl4w0f9jbFWU2F6moMv9U2mQhY+7rNGzeTI8Xgs0 +cTDc0tkENznD90zB7IE4rKM+F3iEBgSWbIYSNt8iwemn4lFwc3gc8Jdz5qh18eKGBwK1x3D8P/t/ +Bn8sbJQ4peANEmrqiV5DaXgLii0hU9xElmos6fQvx91B9+FAwRx8d4fVh2JdTsioxRW2HE3Osp1W +Q58neQ7tE004qmI27Zth2xaI5jgJWkKOr+gsdDxl1uYGIqKtIgLtKOfoP75WxuobhehZ17fGt1lf +EXHvQe6uNS729hm8dG2X3Bnyqry+mlP+PO1NtF00gCmrNCtQ4YMx/uPvL/RqCp9NHW+ILRLMVjWo +15PQeR26gyvmOjyhAbzIQO3u17+lGSYTaRw8yqErSWa3ts75vDbqSAxvwxaIOnzkBxmoj/6ZwkAE +DJ/8TB7vV+S0dM6Ahzgl4nfQlXzUUogJFw0Yk5xV+g+1DjpNGaLqwHiVZoYnT51zu92diorIZ+j4 +N9VWu1zuaAaXnZptm68aAURgnqgZ43/x+3n4SfcqRDSykUKMIlsRB/Sk4nRiZlPpz6ZwHmGWpyOB +5CXg/YUMliVxB6scVMqIYnjqSrMMrpsFKdQjFbJt/AxeJNeBZWqYXZhL5W8OD4tj9I+t8x1j2s4J +E+PiomP88IfnR9sZt08rfLK1FIr1dNAkJKiCEP8kTXJbi7sA5REuhFzko1HrBIduixhPBjoGDfOo +FpJmvnzpJEn6krzIgL8kOLzgJYvCpqnamaV8YpAhtE+6e19dIUc4KMm6pQfCUOXthYW0HB3Il5JO +ibABCMvb0D6cIwXnBVKenDgRFfqvyySPN3edY3a5XNDZKpnPaejy7GtopS71of7lDIVCbxzuioN7 +rCDDBQeuPhkGCQngUfV1eZWcxjCKf00bo0H7KFBo/qTbw1Ms5glaROy5c66qVIRxaAGNDRxW3JZt +4t23e/c1NBwGUAIx+1MJdJap+D7oZl6tMIEWKOTM5f1Zw6dYDuESYEB7XDvllJT1i8MZMGEDzkf1 +7XXqQwJ73xetld9zajQDohilIU4xi0KH2mDa6Ch01ZHHMX0gsIKvam/plkbh/Ne3Ptpp18lmp9de +o3W6VDByjLmT95NAvEZSiiFjj543ycBMpHdAzWDgidxplwSduCLEEb9rJh2kaFvvt/MVktR8kDte +HrAuo1xMD0lPYFlxIyXaWt5pgQjCwfxMtNFy85abRe9d9NSpMUD/e5WjsLS3cl+46tuoLLBHexHy +ysjcb9OXAgYRyw9Xed1HqyP9rNMsYMPChqbCUHQTvAgOBmtt6zouR4z5YLS1bOk90C/edzFDMEas +J2oMtEXsSV6rxBMNAG48QjoxsLaxhOt85XO9bpbOKx+/jXvSU3j5dlEpRqob6avTUgR/8NW7L2bF +x+PC6/653H0WXmK9ouGdrvfGdQuC6AASfdsnhph76AF7lGQwFEFWP5roaQIPJD1o6Q3MM5tfu+5P +eOhRNAltRGxm8eaArQ4UCfoIDOm1SdbVd5t7rD/qC67+Ob0ZcKcxWgcD98diZSVSyvSSlPzg5lwZ +GX2ZhH/QrzWSsbVpc+H0SRw0smy0pClsYwJ7pd05sAZ7VEgW6eZocE/nYgyU1syw+giUhnJbQZwW +RS+BAK4yQbrPKKgw2jp8wVPr1MfYr1paGYbymoSRjTc8Gzw4BjfdJradAwCUHHeuYSPc9rlswGmR +N24CZ/xB31wYSBaoRaQullQHodlJtlmfB0Syfib2KMCF2AkhjszK7LkmrWku6kPWqHCOdQB5IqZJ +W0p1iM6hYd0iIwlOF0hRI5TDiMt+PQoE4NB3McGZqXOC28GWnSRTR272NskgkFrCCZT7GUnnU0t3 +XnxGv+7joQu36gpv0MFGFcd7iY8ckcgRlFb/m4XSsHi9YnsdI/P2GEXUC+IyOJ3tJ9ilhMWaLp0i +RwLBNen5XdQRlPg8fdGpyXNORuuLBwxqKP5Q9ewJGoaP/KRPaHXbAAwgNUF8u7rgUi7OchMA7bna +5AQhSfLfXC1umuFff7lA9e4nQ4HSlaPAsEm1k8YyTp/kcXx/wQD82Zedicf7oFumWM/OyINWdBAd +u6v2nulID+1b8U7anIUwdpIrA1HVSBKbqqWnfmd6m9UbsPfF2yofmr0mR7mEA7cTZ3EJ4PTBsbk+ +oPsU1OsQJB8nw3vMLBkNp/CEoiEyEvOMG0XoHgGsa6xpoLSzQ0mxzaXC9uSGmBSLzdUsP+GCI4OJ +tknjqbDmMuB1aYnyZzSUutuaLm1Gj2BtevlQ+QviBnSZckAehwIxa6L6QJ+6U2P5kQuAOJ6VLES3 +IcuiK77QiZdMo5dQ6ubgohdSW3T5MwTl4xIjA6lMd2YBB4OQa2yKIANsGhU2NE0xXkqtbkLFyeWx +bjx71Ule2JlOojO13S5yyCPyahTMadRVJ7mhyMED+IkK+p/eMP46qsqj+7imBsmkteWcEb9wYMED +pwtfEK7C+2yvKlZda3kW8DhMywsDbDMqd55d7upZ9u2asfP4zLAp/XzrEEKiEloDxKkml95ng6QI +hSoXbOcomsvJ239CyoOAdjQgKmAZlLGQGzJokisiLKlqLs7S6JadiYDt9SSYR84WR8P+UoTLDH3H +flPka9g2N72/k0EBuWsE69cZmi+pSlIipyhzT0jB83j5EfUD6cWsKzP2PR3eTlNBesA4RAEMack1 +QtPK2dHx19vGAR2iUQTnZH8UdFNvb9yn1A5znqR9aphQH7MZ6iO4Q/I6lAtHyLeiJiR7jWXrTWyk +wq0mSPfXO34yu2rK5mE4ODQfJKAQRTNJOofqNQss31wi727gFgKVlRejoohtdyRDG68+kjO7yswb +NBH2EZFDwBm7t2ZqHgMmv79BFSfi/JdYR094Fw8yNE5L5CA3mHpP1W7f5ugCPMrUdYRg9zFH4Dni +zozvQbOZeK/f/FjqCqzfreKx1WVz5gkTWT9g3ToZGe7sZ+J6HIzzAKizMB7IQDuYRqADFM/ZYoYm +vc9yxL1AlWACjfSICWreigVw/gce6WDzA/j7TIBMwcJLpMOovvAiisyDwhDtnX4Ow1grZOM8RMif +pqh4Io9uNtdAlK+BnGxuGUP7jlyCOPQNcpoGXSu8qCBmZ2KoFdoqxcdDTVzXfWUkRdoethdJJ98G +NDBR7loEiPYiS2J5Zb1nCOvCQaDHT9JHDi/VXkblDWT7bNJauRqZsBTTfS5d28KdfCAHVBpz+K6u +HtmylHNj0J34m0T4mubLQT7MnXXDQDfqJq8/wWesf9rcqX+ABaRrv/HG65TWn2OzbbqI2k+05P3W +zoK6c8qIzFDpIKDAijqcizxNCyWZP1MGfD+h87M91Gag9DdBV/o/17x8p+HAv8g9twNvaqmyvUxP +b4a1OsYhNFfuBebIISN6QcbQj9JBbptOjj5aC0/Og+3hccCnSDxgZ79Cev1ObokO/4EutgvSxLwl +chOCAzuMNM4MzZnbAcT1UrfOtQVJYNsx5eCv0rJtQptqDkZ39BTiygUknYH1cvtQZRcTNWsq2k44 +05hi7UXQgtLw28+bz23uzh8U1Cs/xmP9IQYN0/wtofPSKZMoh1nQR/cIZpC/j82b8Hjs+zROMi06 +kl7qnzxSeqrqzUlNoAxN1yftCLUSemahoj4Xr7cjlVPUTJazlRvNxxz2TcyDkEErL2SItuK0shhV +ZhxVVThhdo9jzteAQvCfhMdFMZpIa8Ikl2nD1qCyAzFfO70vw7/0bfxIfqEQKkVjHzURMtHHzOoS +/X2Tl/0vVhXtpyywhGhjq0XEP8oqsQkh9/1fEJvgT4Ays4WZTMdnJYTqBETbVE53ikR2PAUsvhH/ +f0hD3y2OckZSadKyJSYZby463QRGPHZyCVRfEYY8TrV6oFWcRudvwY+9mlUBHnec+TSmkP+zSCtK +gRzBLIYeN+3UYW33ix7Ydb6lZHnxnQl4Hv2r8AMDlU8y7iL8H9QyLZPEukQ2j2Wb8IxqOpLnpJTZ +PhPmBb7y7wG0i1CtuQZMACprW2bjt0kG3G1ROWPOZmuJ4mCojsmiOms/xKcYIqb5cKQs3V8Gr2a/ +JYGF103APPE6OVQ8mgRWgexIWrey6AJwKKQ9/AmcxfZe39h9IFNXa5h/crnDldI0NIF0ab+INH1/ +blhP840ApVf16oVnPK2Q36HcbQwKOUPT6OzEEWHWiwD7B5MXHuFpLTB0EoR6AXrP3JuW6Gc1ALPC +9KSjZtVSbHC5G/W+w7GDj14G0aPF3FsWSdk7ZVy1eQKmDB6oAAVY3+NSpeO0xlUbyscRCj8JIIbd +eTQolahKU6BxHLLYy2uO5psdw8reKSVJ7JLaiyMEw2tyiJL7OntE6/6Eu3oI6hZRD+ImwwqXdf+S +g8ogt/98pb3P1/dOn5ifT9nJ7iQannnW5ofYYL/ubPCZPMC5mk9K0XsCQmT1S1IZRBuL5maL/ot3 +zDKe8j9iwANiH5CwdoarxVVPY5GM5lsdKDDZEUTrTdNB17TdST4/PrqTbFf3uXMLM4OPz47jfvLk +23/W4ZG78/ZOatfTpOClAMlxBkNB/BeMx5GvTWlIhDe/L3yCzS6dGH4AGIgsQa5mGlPFViwnB+rk +CMmqh4lCBg75c1/tqWOWv4MHgivYtgrnIFgwow5MId540x3l6IikNJRgU6yzeC3opGIM/t4NjauK +ZTZnkTQRLvQwYzacZIQsS+vWD2gddFQZxlE0wd4WygwqZH7ruD9G3cVfzxpYkbk8o8e9Ug3ZPm3U +tpBjKZWXqkp8rUL/kEf7TIjkLSAT6asV33fUrP1NPal6D/CvmwbbiWlYr9PiPumkq7yNH9VTj32e +y8DWleSCarGr8Af0DvguvMFWjwHXU5Kq0NRGvaCsxYECFAawr/0ZEhTg4my8psqJLoo7GX08aSD0 +zerNC/UKMWqYRkdjWIaAMjKuxQAH1mloRuGtYhkOXs/psxPG0oSIB45vg17735oNQzEBFSc9nPq5 +fy90GTofgwVaNPvIxEsi8tpaV/gB/hTXsvfNVO+kTsGbPpLUqlEW3mXkusjBoOkeTsY7+kaD6ZnC +gASkjNBKB9eoycCnh9hcf1AQWUYBQnXXHV45O4nlkRE4CiyHwMpdrHnvVniUWPMavlyuJYnYIu/I +rLF5InYaWLMb3+DjVskQfNEpi1w0JJKHbofajzaahPrynzNTMbug6PpU/Wohl+WZvkVN/cALk5Z4 +ak4eQPkC/L1jCxEMUuLEXE+E+N7eqP1389lAHS1FSmQI0mVWLY8krmj0UetEC5xoLmOMKSk1NzQr +b2u5hpHMeXZMbJOqq/eptgReSHRnc4XHmjw8H5jiCVUd4de0J0takrkXCPcFaGYdJJUapjZ9a8vN +MbG6cel+84lEOg7eWSiX5Pifgph+vKbAQ0+PJeURKCjHEd1Y7QOZlPFKASRF2YesE9q/GvOYFhUM +ny6qnGzGPePE1pIBOEmlCE9p20vdnWuBdl+zM2FA2E/XBl2h+krHkC70PBFPGMQf+tmQzwrdZiFK +DlCZfK6Moy/dUSFkaMpRY5xQvOQMnuYlfvaVtYLpGG1z9SWIXbsfkWcNGsky75BcJrNK449OmB/w +1wg/glI+48oas1XEDvh+V2+MVo867GYKFY5TPdJpzxTEWtJXFLocjJQCgHbNhN1DwAltdMCwDeIL +Fcr/O6RWpLkFhnHiC7XUDU6b/vSioUZGylFico+cjpY0sKBzqJ6JBv7vXuind7L0wqQeJuRt5jCd +L6Ycmy5i+8eeEm2JGFIQMrGU7vc7T3yCDhjZ1jr33zBD8Zc1zP4AQ/9RPGCMounrwmmzzRan676f +DS1TIj4H9ngri6rIhSZF8ZykNsJUBeeOHLOucY1mRPnsxpN8fDetFg3H/TzjZBdJOjPtnuuyyCu8 +ct2PND/i4kjMVauYeR36ubm7HzCdEMO6GAF/JnEAt8+Fwq7QbULgchhmN1xWnkNgeY0u9VTWppMn +ClqmAJEe4IHYqBuhJJcX6cIa6t/MmnObqC7c3t36+0yM4VRkM5smk8Ymcm0RIZxBa+2VAG+KT7+3 +Yrkx2rZO1vyyC0vry7foWoWw991CAfOyUD/ET5YgnzZN1a3hdR63xfrpTf6HhcPjmzeCxXSGXk0V +s7uuw+OpsLbdwH0t0+uD9Aiy4WIIhQqPAoIa6DCuyGSxqsjAfOeTC0b0n7Ov0CCJDuOGKxunPj5l +H6UGKKEqaYldDIcGbrVROmvZGZK4y6E+Lkapen9xl+pCM3Ok9QuSCPdchX5hTA8OPQVpk/ycmuI5 +4pNEeeER6KNJFj97/0A+sScvV2w+k1ay3LvHh4tcP7at75ERnFWNn87/KE6Ig3cZ9DyMHJNWjeC0 +fBTz7Xe0dcNYt5O+p2bddCPWCydkfjAlW4v0XCoGv8zLFnkIE6+f8yX2ulbM2m3KWNL7H52UmLjE +cUkOucJol9WpBYe06JbWF2hVpcOvqKkg55DaDNh4fEOPOPYWHGnHQ/n54/ve934CodiFpAj69mgn +8mlFO7qTY+Iwwf+pEeW8/VpgEZaiqGqOH/VEapKWJv4Yv5D9/AoDiHO9ybqK/QmxU2RWrSEYAr0y ++xC3S9fyo/POmHiJZFYAsqOo0qvtoDr28y61yURSV6oi5igTeIYt8OKXMW6NsjLL8BJGI/KH2Ehv +fyKDNelu3e6uZRPRHCJNIn1GF6hSlDI5Ntpf0Ds4KT/yEMGNX0I2Gnyi3Q37k7BytMU3jbeNvbi7 +SAHfdfCrgb09DCiTShYXBT77k1n7B5J2YcjWpC3y6ob/hbfkXdZVaMYnPZVLrkolenqVQCbH57Qx +r8H2q1YhH76GyWW3DK6VW2392AmQ+AVyaem9xwsJj4tP/XPqoYzOTYEzYZOrTMoCTzNlxd+9n1+3 +p1/Huk6sEMeJtlb1XJi6W4Brd9lGQ+gIHDcO73k6wgtSKXVXIcjs6kJbV/vWMksT6UVoA8ZxeSZA +lceyThPajXDMDhJBQR4hpVGBrQ17GYajaqCW5mvtDK34siBeFVRWhKXQ104c0gVX4w4tyIxg4hyb +NHfVdtWX2YghVWusPRB49Gn5RUdXldzNAczgCFiPdOpbcbMFrZ67xkyIF79yupNotOYzArDA/lJ2 +mjK30j6jJ5aQ8sOtymJ8lLkkDMvP6qq2x5BFMBSfX5qhjKgKTr0MiOvCQQWMszTkJNy4W0FWlsns +BHkV5pPs7lOTFJnKVxQ6pq890D6e6er7ZIlM0KMET/rzAqoKwmDh+24MmQKYWxpE5FNC87ctrP8e +FGiqxiKMF8k2kk9cx3zskCf69VPjkcFkPG1dwGgTCQHZT1OtD2JvgeDSERmt7Tki9ehbO1olszVG +Q1oepK+g2IjVsKzP5PL1GX/w9KyeQMmY71b4APlRXr/5seTs5WXSQfSKDAFqeJxMOEV5fEvQ3OPA +chlTygnMNBJRSmHhZdO9NBsUZrDNqBB5trjY40DhAAbjVW517kAoU0Gj6jSy1hRrD5DvY1M0joJ7 +xliOav9+UucLbqr7yHcVZyPFwuHD/thnw3FHBWVGCA0cnh4ITB55ZPfQBU2mf7PnYRHrLjDL/HxE +UNodGS0CISZe+O7EoFpd0JfUPWpoT0b03AeP0UHccj6ksVwenFg445bU7zFbrKWGXYv1Tzjc7mQf +5rFKnagHNDxUO5sa+gUd+CSAx15UwqzI0ezgQkZBiWAckZz8O2i5HFhHnsnVVobeBI6ajXoyFr+g +knw8wgX1my4CiYztyOeQJV4Ac9cykSsBbqjtcvJyFQAHq+YCYcSUx1be+4WGgp0k/P4EWLgJyWAo +Stl7n+baHrzOP3nuhoPqWM1A6tfWMMurN/2CsQfTUprQYGa3J+N8sgjq0iBQsxuZxVXtQEWHlOE3 +MXpE4OqOrNU3iS1sQPEnXKQk1UVRt+HMqtmtxnCcXRyywoy3CC531IsZiz8hjAP7eDo0gHY8uQ90 +N9Ov6DZPDSF5f3baIxzvkPHclDAvrR2E1epznG6ICtwQ57kEnsQNuntx7hMmHY+dvcTmw5rhQmCo +vEJvKU4aWfJPDKS53BlHdpKQqcyLfnlSU21cio/MlHmwV1cDg16TRc8R1hsJNViMkgdHHo+6ss4M +HRHjOTKCgrgtsfYl/e4EbjjEgarXwd/NOVllv5KUIBk2sv1qR/s+9fwfzEUHeMFC1qLHMA6JwsPg +5YFzXTSZcfEI9rq3ooBqEiFhTKM178gjlXLlAOPsMaqqmMSjN7SuHRbHAt6tJLJxWcXR6nSOCaNp +lrz1oMgfV+8WEJ+ZwkHosZ0OlWP0uDa/9eNi1B2s2DWpIVtZoon98l6PJC+EKB0DREzcGv9cOAKW +UqPoXlRhIKQYoDdH0iOQ/8DvDvj+0nqPuWK7fYPkhUh0ZvvixlTautEoDlqNNnxpqc7GmN2CQT11 +IWOKMZkqDUFEe/DnUat7YC9mchMnwR0qP4aPoPlWc924ivW8D2XsNJMKLL+ufN4IesY2RlzJOn1l +COBwA7OO8/qHpbEpGF4vtzA0HeAUENReYA9A0uis2UAlH4renTEoHPU7pznh2t0zbMMaIrorNBDs +lEooTw/pBeiRP8MW/ZEWWqb4J3sWIUCQKlIYwtAhppICrWDbua2aDq7zJb2cuEoy/Gas3aVLGHkK +aYC8500IR/Onn8hOc8uS7ys2Gt0WjnNW5VBj0dOVR8dU9j9cn90jH1M0icccYzFXoZVeKI9kEYQf +uuUwJ3JwWBQcQgt6lFTv8cheoz1JePb9lYT/QKc+CzdTibvXwEPpjQhlP4xWWQJv1n0l7hHdRiN0 +h5eCr0xJx0aP75NVTud4OPyjk6xnkqnOaT7fm8DSUFgaX391Z1EDg/laHcO+Icxrh9LHnMvKBxJx +Ov47OQEjiIa527sf56cQ0BywWHHu8OJcixoOMkt2N6fJbuEwURpg2GDFDDJbtGYsNszUIBZZvxPl +COiEmnqh4ngQyM/l0mDpZA/Y8YxGvOlWmFHYqmp1DkeZ8YjgKwWo3BU9NV9mU4WAkhuti1C5D4Bw +3F+7Uk04cE7roT+fcxnRG6tf+RoAT8SmxWRRxXUXtRieHvnqlDDAipKlmJZ/X//tGhjd9vdatvwV +qn9uMwTpBANCa7ZM9x1rUDWVfzaytKlovyOmNWT5afCBJFacl+SkX/xrrczgraVS1sTGQSOY2Fyh +o2QIewQ6BLOhtyigQxkMY9YFPmZgHQlxmvRkP5wGpzJzMbfHsK2QUsI8GlO7Wu4xs5iiCiAjP8BA +JWBKnExjkK3vd25Sy/jz4VI7ep5hZN80xNBr03t7GNViMo5fu4UOru8S7yTvlOYmoQne+OOLSqax +kZJdqor/0z062U5+H3htCH4qtG0ortFwbrw186DfcMqWYKrOkgOJXQgwTSJKa19jJswoBvmDXoiB +dNKMt8WkK3oKtMABwP8BBOuoiBVQiQG3ON/8lO3N1D5SYRcswaTjPC3khTIR3p2ILU5aGH5j39aL +qCME/DuTcTtkyiTI7HiSx/tLyvu/HWqEREK5Y9HS194BoLtRZoMQ/XdoQAU3iecBxfW/u/CNK7w9 +asF5zyqzMtqSS5B5NTRbh64oOyPSp2ezl8cZMaKgzqYJAP1N9fxVKxVG9KBexWf9/C19EzGubPdo +hVobTZP7QHSX8IW0dRcKFa259jCRutRief6UwBzET3eAqW22bmsjXTAtpfMefzE9vY/gZiK1cKnv +AW8gWdvnWRYSBnHa5ecgbhieAA/t1Ff/JskzHO+wVaDW7b5lIhrzbPogejYRKWR0UQP+ByxjBquf +6lK7z7vpoI9n2lo7xqA9BMpewnSB/ZiUyljMebWD8O2hI5Cv/WsSAhgY02qMoK8891CC1nKJpGLY +0Jt/13tRF5MluidjFXxxCzyntbyOfruV3Q3aOCKuGIWCRsunuqiyy0eEhKsxuFCjTy6pzpEfu5iO +50X4wtxKXQj/eOtebXjvsaukNtaobswAw2OEcFEw9NdyQZoyRM78oHokcXTBzwspzVJO/72BLiWR +8SyT0wciBKV9ewosePex8I9/vz1M6bANWbPuYYDqKyf2BG3nWCrhr8bP0lSu+f7UsxHFmKA1Deul +R7ywSXOWaIii79LDyBritTWGMhG+oc3t/sVHOMdZXIZm1exTJtJAJSTFeB0giArUURiDZjN5Z4dW +9Fj4Z6uteg2FGb22Fiz2/HZFookNkBukFekvORj0ocIcTBCcICj4x0QBPsx+eXzzgXQ7EsVKC9Nx +IvhmZzlTzIxMPeoNSwmtXdAqcx+tkpgj3OGE1mvuDSsNVTWl5/4oIrDkej3rkQReCNYlCnPkXDcy +xs2l1psAbPXMb5Su66H3PH3pYOvkpEipFA7E4YB8x/cD9ku/USOObnwsGr1wWdqIdpizCSoTxWxH +S8zIC/uAhJdJYkIbGXolr13H4buAM9HQsetbtui+NlwwQ7I6IrOa+x0BCtOjTZi6HTa5J5tfO5rk +fXHBU+QB4gdhx9v+po19I/KJ4mLI9yyLv8gWBuFuaDfnBgs/L27bdOdKxC5Xz/HRILKA5oDKa5pk +oJvJsV8atVLR0hXk/VAXZPThh3TYxBSC5kpwACX2A5AEfj99QtczvQFAaIM5yM2PXG5x5/xhX98i +qun/PfMM4DGw5gEeXGEM7RXm5wb+dpBEYLvjXhRsasCM2peskqzdVoDFPTx0+epvmnpIgSQ1Qw4D +PCGhSQusWBak90gD0/xsKKE6YLxQgtQZGJ7xDQ7eS2TXUCNqkgE7egDdBvIXl+ynohGAnwXMASgO +F8MkhuivantgKEd3QSVen/ZLSSbftlOodgPYMVBGdBX1FFDgBZkLI17cw8Bw+1fEmfBbe4K+M4T6 +6RLIz7KAMV98UBDrsR73Kaixjvz5C4b2wApYhBTrkX16plCWCci9ZSuhzeuCpqEpx3BQlst6xojK +9HByT4dir0dVIRT8i1L7umIA/hVOFjvH6P++Ij2dA/C4zojLssJDIpRDHLbkvkBsGEG+cRoKcJR4 +MPBU9CzsZNpSkJ3MOaALAGTUtyab2vx3xwrJY+WKaCMlfVhCVjCPw5usxJukngiyuNuMBqQqCRzw +BZURRic/KWngWzrsacB6l/oAjpmg1Rp4NStuhmDFL+B/AghwYiojR5CCYpkEMx66RjcCP7kgerLS +ggvEa40zqRu26ltSuQGkqkNg5h7l3+SSjSP5s5DIj1pN4q0fNos9mZeyv8JYDXESX9vzkZkElPsY +vbmT1GiLjzbT/AF72KpW/m2LoMzupvNSKDKi46ShU4wxqnJu8+RiNcMfjpESMmR6Xe5xd189Z2XY +egjTIf2gBdRzdVhbpXlG+l6PQ2yR/B71zZZJREcLGP3OReaZOtMgeuAhBzrOUt4u1/rLrlaXDseI +NEz+ibEA59kR2woFfDd/ldmHXZAP5NK61335wLCXIEheeP0RVGgcom9Y9sUM3F66nu+b9Il5XUB3 +GmUtu0xryZ57ApMIQzIRhnkigCJF3hTLd3wBL99J6QPS0Zd5hvlRdp4+FWe3Ra/jEod/ianOZa6q +6CFEJBpu3Dxocx9yJnGfZm2H1hETnCwzC949KwNKkOtiHzqJaROXhnbv/RoNDahicCB8ug/LFk3n +eHy/U39+rtESpIcYi3Q5jKpJiaWUhm8X24gAtuhdQyb13qwjqovuh89patUEIOARsqiHhYt1DcOA +jGALOMG8zTXoLSMJjp/rtKQ+GHYFk83Psn2MusObSJ+PzNszq7IC5U8bn+FhhQIm773m93kSNdLh +VtcTmQpz3p8hCkTNGw4vPHhaVbvARVv1r/rPZYvklDabfjwOVXl/u+Tkv1YVFX3b+OgHmF6PSgEp +0A0ElYdmecgs743ZAYzBdsHrrXSscLu5c+F2rrMlYX9GFkzoAQZ7m0VA2Ls1uBp9gEg6PwgYWR99 +tYB3u8WLgAPUHYF/2v1yHS54CvVEAoJ7RZczlIEAAdwIjzYKz62NcNz7ILiKQeIslbIr9s/WLwHI +8Z7q+sUUXg5YQRry0ygFMLiWgDJ64Ro/nyuHAdlwAdAcoHuFsh9kpaH9jONsDeDFHkjZ2Vqq2+ie +/OveMP+HTg6DfCJU8msuMqiVACsimU5X1KiBmdb+pocgDVn/cSmu/RvO422OBr0tKAVwL1hfxVA1 +e4lnLeWdLlrFqBj+t128BUyj47ZRvHX5NMhRvSvBHnffPkbhd2U62wjvGm9xlrdUCovhZkapgMAR +iEus/r6bSeqKLYQLE33u+BhP/cziHSVyspvPglgcQE3WPPHzg8WaRv+DMmUQe4ITwkmDkotwM0KY +ikho4imDzpdKseTwOpgSeOJe09dUkeu4ydg7ZYa7mlGKUdJKLRHGCFKozBjiF1SlWefEZIr9cMMD +VrsoBPX+17BfqxfJbkDGZjuSK2EABTUi63NGamTrau7ezo+H4dA1WrEeml2G9mM+O5IO0+eMsPDV +reUsqT1icqFyhRZGpWm9iycKNDYUjVwR1WUlVKtLqeRN/TeJVzvLHkPhaCk4Mqq0y3nILGWvNDCI +o3TI5nM5BCzXOrbn4zWFSp9vbxDCpdurdB7D4GOsnnErgHKHl3w1+hltd614wpOOD1QjxV8k0K5Q +x5+xz/AEOsZCNvqynQQHZQsjpbNQBiYnZ9JAG00c/BJXwVgsRx9d6mfOfdEL+MRVSPNboo4rp0kB +J6LkaTaTeP7XIsFpqgnJQwBR9DfrlMJvEWReVoKbEW56gq1a4fdMvWYa6hY0EDJxmo59fdueVc7x ++MAUd4oXGKTSFmdg1jxvV/LVBlVqy0+3s+iWUUzF4YII84nRLuIh230p5pE33I45JjOhljzjTFLL +3HcQ49plfhSYQrgkRDKXuz+tKL7H4i0rrNEccPQD0dl/CDU5qLQHTgvxoknS3H1tKk87R+rZfBlQ +Dzxtxk4Cj9sXXdvJZ9tQyBoUeVd8JSPiRJf6RMklx7enYOmK6p7j+zVPY1/jOxhYp7mLeWXuw3ad +kbRNn9NGLQjyNw0cwN0vAnSvEpXDYwNyn3LrZpEpiXJ9oYrc1wwhb9TQI8+ACBTpMgljNX0PY2Pq +piMjlbPabEKNp3olyOcviaFvtUt3u/Ra/aCe3tq0BATwQ5m9zrw2DLwy5fpwUl686fLETLhyqxyu +3Fuo9/+Q3GkH7Le2ntCoEfqLJgmDV3m00umOLJyRTN+Yg3/INw8htWiHBTbsw1RN3n+psVC3JfTo +TNCuNPNhDqkQaUF6oEwfF6uOP6iYMnDZ5CJDxegUHIuGjHqM6a05fjEmRiKguXk09pEnNa3TGGhV +Wv73CoexNakhIVCiCLv96M0l9cyRwkoA5OsXQWkOGBGBeFwjnLMYn/CpR0A9FWiacyywH4ycan5b +3hoIxpdUbCHjygkmgZkn0RruAqmTw577hp77vwUO7onh0kBGxU3qjnx7TzIRd5g2HOKBKN8BaaME +0l68rkI7Jwngov5u3Ve7IImb/yKm8MH8CHVuqEo2oTASCLyAoXXnej5SpMa6kz9efDtr/HY+aLJS +oyitCUhxOo2G9+SeJHcaWdFPpyQIZxE7IkCUeY4Ls8laNQl+RnODUWWCV1YHilDegF8FRY+5l13I +byXQaanKLYuGciG2CV0ZR1RiBhoZdbGYoTE6ioSxaOq14k2uRz+2nI75q5JILNj0BsqbR03kDvrE +BYqfOITVt6aJLq31q+azwExO4wvOx4D86vlCSEwwOGrMkkIQhjXsxj1IgSBck1f6yhwg6V7tWxMT +1FUo33MXk/giIKhTpWeAigHe+Q211BlQ7/TeEz+z5UZt7GZK9nd/u2SizFacXO+Wl0ZmiHH+XO9D +M5V6cTViqU7JY+NUPyAqpFBzCCQuah67exyrLFXReTvC0WPl1xWgbM/DCzAB9q6loPT5ZXwFN5Co +sShtKAZPGNwlefcSUMjTiwtC3Qgk4fR5sXyk360XQbHfsxNZuxWJLPVXT7TkSXRA7T/u1Fmkd6eT +K8IWRvmqaR6AGSggUFnaE+1UtCDoIujphTD22zX6iDCgH12OsWdN8dl24hvVqhCeDpqUHNOLK9rp +nycAWhy/4GkRaQvUDyZR6/OSMKQ9c0nvNr5fUIEJ7sijFFUfZ5JAUi0gvAdu3DMSEc+hR1o/Lyz1 +yK/c9RjmE32bwDHNeAY2JLsScgflAxLX2QX4Ucqt5jCxC2ioVs45fK+3UIRhKpwvnvmdrUcR5/o5 +u+MXIf5OYYjSoO5yL1xqhB1saZCn5KumRpmdFapq/JN6RIzTFTKL+Zipl6dP7vrtfd6CKTI3Q6pz +hdvUyhBX0+gUodjV209crYIOxI4zC4gUHWKh4PWHcCUFR3EuJiYjIVF63GHlxu0Zuu/1be/UBahE +qTlKC4SRU/5sdcAAX7w19zVGl+8wYX9OljZtWY9aOw3z5oyeEhgaygJibK7qtFhbgw/wWa5smpPc +HuCgvZhfP8djQFInYQe+St8tv+52yejMo9kaQzsFWBRG2agD3ERsgOjZMzprNCw3amha36n7oSIY +j08IG8pYtjBLV1C1s9HGXl7CfJTvGD2m7Q99pRHbMUDXcK7Dl1uN79vhZOj0z2IKBOHBxJ3yU0KA +FL+ZBBt/1zxAjQOhl3wMflm6ErK2TaNMJcD4cOnqyGSkCVIA+/dNV6oWhNSnYN/8OTKzf/hdDtkV +s+k9ECJL3c1UCQsEOJnrpt7sEQuT2xxu/OeI/l+C9KGRnjPQS2XgSoAty5xYdNEV65BIRmctPM9A +IKJ0TU/+n+FjRItM4lPLrtIu+w8e1vzPZPXoargpX6hJlXUQ+4VglopbOsuPbDzkNn/g2jQf550w +Hml6bgTyCUmR28CgMfyFSjKZIkK267IUM3j631MR/1VcKW39b505yPp2pRfru1ULCQe7JKl3EB1P +hSJktEumaV0GLHOhe8dGtCR6HJ1G+H+MNtbynSABuLVat6eUOnoULVOy9SaZmT+UgG/9+BtwhCKj +Bw3Z//UfqmKgFClz/4gELEkcYwFDa1EEuBGUjqQZAb9cdVX0fIwhYZaIw1NbhGfaPV1fAYuI27rd +/Gl4PJJj+xOi6xS1Hdd6zV3N5niW1APTywjxy25gv8X4IwCwCw87s9D3y8J66NiFFl3nEBUXkBiW +KBQRBtM6JavuNtHKsRilu8pzEIpCR//gw5BnvlmKizwgweLsrIlkhQxtSnTXeUVs/8ZM7kuxL0+q +EXhk6u5zhAWGTBCdaE9PjbW5V0pYpaxGEBnyCHqDsz4zjZJ6eB6wZWUxP49aeyqKhVpZnFCiTNZI +0BxL/b6b0CF5K59jJweiHGwY+3TvbtbHucmgGK08aJF2y/wAsVJdHbzZJ73bmzEg5Gm/9SInddTz +huvINa+Eb4lwa0LrMc6Lla8ecYeHr+mYLU9+SRASbVG+khNqwd9RgjS2K+sAzCKX+qiNoub3/EBy +FCPEGNXhE/TKsfVedCmlDuiaMdFYFDtzHjV+D/w86YMQaqRbluhQ1I/5EqlUXNeHfo0LFE8DFCfd +sgrf6hJIDUH8Ug7xmSAGXPW0HlTfY4z8yYsQl0XxjrPp0gCa4Iglnu+6YFebYrybmhq53YrpiBuA +MuYj9yuvRlhLIW9KsQL6EfxM1hXfvkSgtc9RwnhfnQJcZyQBc8w+77DjWf6fimhcS3U5YZHkYcmm +RcwrlLs+l+xXd4C4mZtwBG2amAMExCDqjTOwDSv5/Dnm7zyVrRVGALudGGol4WR6gwK6UvGyA5GR +HuEqbOekZUtxb4GmliphrU4zJ4Amr7qQ6LkwbsoBMGTGa/lgsj+efHX4KQyOECiZXyiFoHJR7RVS +rq2yPvEqkaOljDCf1P2syr1vNq3bNyGtAl6AtLjdi5u9Ms6nuZS5wD8U4/2BWf3aTQuF6m9Vz1dA +JxVmBK8otGxU1iJeCbpZtNtifOV4nkioo7w1g7WVP4WdbYrEy9QKyVKcA7qpb8Quoe94kuWJfj9n +QoJN295qXg55ZJRbTFyT2/nIRfquVeN/C2nLpP2LS/Rj4F6ItDqmc97aVIMJO/q5PqViSJdB8z3/ +NFl6/rLfOrZCbbe7bazXvruJ12yNHVjK6rX5lcX5IDDQ+Pr1vI9UQAK3USIvHpOGw1FARMacbGPf +niDE7YqVYg18DuDzkEBHbHe/STIYxN5/QbUoGtPixodlxaprwBHsp9LHJ7uQXqEUaIuGALiwsclj +Xq7EI5b32ZWviAE3+PmuvE7dq4rvmWStJELHMj6ANZFQTjMAocFDvNcPTdY68uPXx+QoHaxSq2Ol +mVcYHk54swttAo8n7clNotTaRfC5kMhi6evPXh9F5aJdsO+G09wRqAcja6co7uXa/A0/GYMjtPIW +7yEOiaN4CmIWeJxOzK2We/Y23OWkU4oNDIzcGs2kSMbxKTtZ/tmXUcuaDVLtYPXkuwd0M9VctSPM +VEy1bZlc41Bq3h1jJmoglmvn524/NUQiRmJ82rMWbaiiCDVi8kUIRPPIvaK1toZiN+spDUd+OFS5 +5D4cFSZzGnQMU+Ni1/4trFva+uIQhG9g/QMtNaWDIL1YNUW83m8Z8WboAHVYZyIHBJTaaYSQRvjf +DaDLqDqO00MHO/YCTx0Azl9oZRD5EEG+eaROdj8XxLADyjTEKkjTeMys4TgRcO0Z3QaQ2j/2K4Y7 +QTqY33pb1b/t0QM1E00rmPB7JjQu/cdlEbV45Er5eJvSyEyQnYRGNKJ6ie6iJRuBg/QlKDUH8wuB +WwcUKP81Yxb/weH2bnejIuetmIii1HDuJ+fx0B3crbBCYqimnNWdvdXHzFVTGoShDjIliAP/+3dq +PWLRPBj6JB2GYYyfERV2eK/ubuoOdtwGDuGRW22tDPabmi1z9m9Q/8K4e2+gPWsZmbCTtL6o7iBw +x4GwK4D6WUutaO4pn2i1O2ApnukQDRC5U9LMLa7YJs+I3ZNBn2xc2/d+foaoJ3iwNpefmmxhgu3n +jk3OxmFt4pfg5VhIjXLblyDaGeH7Pd5cNiuTbo3u2RHNSs0bw+kI1qP60XupuRbqowY1Ue+35wfU +wtwN0FoWi5vTtvzMUeCiEVUfAmNLDZvaJ7+oa2vmmUPcTbwlaF5n0LeAbWntnmcIP95ie2W7Lfvd +j6xJaSWVAPeNqUGwD8PWrB52CwCugIaIFwViOSGMOManbLYIc8zW5fV2/Ni0tN3llKKjPe6+VHGH +BfxV3SsCWqi5rKFqGKsnUwbee/fFE8Sk6t8ZhNLo4bQvWo/F+qDyylRvk6Cyvr/3kF97/eRYOSJh +h7qwSjHdVD6epGCRqkfSefWBcGfc9i1pvSXjiWr//Xz3ECKWsCroiYfNxzCP1OtQtXwpLzM12dFU +4yeJxDzyOGgGz2a9PyWWUiQVgO+a4KwiWbzidr1OvyM1vvfs+x1G/EjnP5tw2EdI1wdU39uqbmRZ +ULYYjIEOyxWaMQczLhmofFq/ZRYKGp49enQ6qdSvhl+NTeD8rr55ba+NkrTCpMrZirhGMeLK7P2Z +5T/Y4FXdWtQG39rPzlTGGy/DDsA9uoRiMzAOR8lcRM0VnkojmkoEREULZgld17Q9AZR7sTRkWjhY +le5230qs5kb9DbaKRpA1/PDxnKCab8EEfsH6AEbtUqhu0xEiFj2PRP7aQVcJP/BbRZJDaethbkKs +OWw4wECSKlNqUFcL284IdkxMnqx5F7MmFU5llZm/vGGFkFyNoX6Ud42JixC2F90Hpa9KSnJEIf5J +G5aUD9+XEBqIVlysl4bMcoBzNrsL1GA2ySF9T347RY+DkD9A1I6YRy+LHT8GIVbIez7pOe2q3YUl +ogas26gCCIiXfbTYov0JtIrOAIWo85W6QFlvpXNFflbOHJVzllYrwD1E75T60UmO6Yxwa0rybvvb +M6QVwkPcv1ZBAbt2ZS99g0H3+83RVUXIeokfepAwzysULxvYt0GglJ6y8eOJ/vgUWm5PspAiS2aC +JU4xwDEblyTj0lHAARPkLztvFqkc5cmNGupKvQ3LA88YoHePzH9A1yqcZPSZmmOCMkiYCpazdXBV +qVg5md+7M0DJ70G1Zb94qrBAK+X4vLhgWMlWcANk0obfaYqTQSTMaeU3Qzou2ZM6cAuphR//8vll ++B5CXzoqS9+k+x4+ie9OmJsIXE5gWWCh1jFJAbPgMytv5sq0FTtciyXl0wXuPqp7eA0UaMrUrkqo +EcyuVwdoRe3tbBFpOzjixlh4q8dowX5zj8UzXdWYJdOOSxxtClw7t9lY4J4mVx9/m7rRXpWVrc78 +svK8mxCLb8YGfBJgRdBQ4cPhrnH6nGWRY5lTFdFOHFac0rZrQkWXpIKDi9HHDEVhS0lss014/sNx +zWgVQ6ZvQ0i038isj5yWTibHr8C/T/f+NoKJtmOPmnvTf6NIczxqtyGDqgmA0PoVi1LScGWatqMy +4QE0/VCmbCX58gMvcThJBcVZRDAbgwy30Jitm1kErJ2mFvlp0CbOcqzZVsn4AShowEYfWQbzRqeK +a7ZvVOAPgYGVXylqmgYn3Lvd+ZwMf5hyHuGTbVf0zZKCazOWjGVMgKho3ziO9S3RG2E1V5uUWIZr +SJon5ynk66R87GHoiQIcz3FmrnjasYbPEvXNuDmXYfQp+fXPkyftJRoqnpQXNb4o+dUGulBDKwrJ +CyDTlIVElYMHE9KScP4AU7n7YagFYybVB0SG0baB/8s2xwIC1vqJsFNSweO1PyTVqnmWURuAuZy6 +nz+UcEk/v3KXA43s7AHFB/lU8x/xoUN6caX9jf3PPbxgYGnqWTH+OvtXBiptmKSocCMCfcz/0ba3 +j1FHv6q022NxE79lRoOmGm+3zQ0DgMGa1pXwCpt9R/JTJGv2j8Nd+usmdpvnPdAn6H1G2hDsm+wX +Z5KKEzX9IUZCCctw227WTDdR9vAw93t27RFLzTDfP7hW5VmvAx1/rsMIq9yDSQz0B2cl1mvUFpGw +7gP6fwW822KTzqTS1O+1Xridc+mN9HtyIihvmkHQaFbI4OfR0jiMejpQuCbYBmEuh+ZkcI4DsmAo +Lu23NC5OQlTtvbb06g4gnOXJMEWwY9Acinom/b2H/nDR0IcLClxmqmFyckv+/xAn4HwcsnoFwJ+3 +tk493vsXoyJ7Dl4fQ4iKhttua/PCh5GbYkOTx+tSwBJu4++d0NQWjimLRknZC0wUfKXOEJ06Diat +DthNxbl15sKfr5ZC2Fg685FaEqMJ7FDhghht93fDWJ7apMZyG/XzXuU24MGnhd1NQ3r9iywvTIgy +j31WkGkJotHxnkokMD8VxL01m2XUmQ6Bd98349RMltz42+Ronzz29xgpefVQcxVfP6I6y/6hEacF +Szlty59391s4Z9l4qfus30hXYHJtKtF6e+PILvH4pk4Xiut92qheFTWAdjdYtcMBtIwuDhe/+XYu +VewYWRgM7e2lZntqw9jnITdBnfFA5DMAvzxG987UWT0GU7ms6pQJ2nsowRXHXaGGlR8B03gwmLw4 +OfcGX41Fxrsoif8/Z6SDPpZqq+CgeTMC3QLDH5khrmf8AM9YPlgtpOTTsx6MJe29agEwbyrdfQot +xe8odjww0onkHlQEcpKNAnsLw8I1EdpAryxn7grvqNID3xvgZ3aVWM6iQYtjjb7aC9m7FyLgRnG4 +hPyk+8hddkemjnC7db3aDWZPVSxlu4HIRVbZ8ge2xirTyqa2o0yYb2HXE2cjvuvQylATcavjF02N +KHMapQ58Y6aSe+u/wuGfYghJ3IydiXZjqQC+LdhfqWUSIYoaY2KEZsRtTsdAbf7qCfKWpgBkrqUT +8JPbGCJxS85J7FVCyh1KJc2WBnpDgYT+Czq2dX/vl1Scesl9KbH/DNIVbU4hI9YEeNwl9AmtgU5U +Spg3eaU9zh5dnhnLZ5FHisOyXhwPejZGY6mixvQJo4nEOUCSEgrJVs2YQ3oSWip271HRFCsLafxu +Q7H59nrkgZnEJcUnShtLjws5TBR9s1AGBLxDIRTzH0f9FX1S14cP5SwZ5SqF992Appt1fcDuzknw +2twqvjgYk5RBNlRBwCqSc20gdVVZOjysgqazpTdMfLEKtN4W4FNY43f+j6ocFMNwLbngKLET//Yk +DTFs0zkPJ0OzRb0cnlfg2HNYACsEaEKPkk/D3eeiryU6HaZQCpmbyRo2pqvrp9oqV74n9lWbq3m+ +rzevuo8jaMQuBRPFFzSdxUjvgz9rVfJrOlRQlL45vhXpm8ZjWwWRhnku0Idxze0CFNv+U7HSkHQP +moDPLHz/HRMHgZfvDXOTr+RrEl/I/K0sP6bPpRRir5wAcTtM4/2VxFueEX+fRkEQgMp9DZ6tljWS +aL82wi7zvYx346CARICtKhDRiyhBaG8uW5MQ/zW1BGLy2D9PUvIQJueqANsKgXsteMR/+ip2rD5V +gM70AGl8wLYrbwhu9nJ4pDkiU1OR7pm7xR4WdGjMTuNsf0sEq/4dNm3xhKxDrBmBNbX5c0FVgLXb +RrybEXMQCTc4pTDX71T9qoBjV8BGdg0BXglKiNAdm9nLmtzumqxgvVHIh0+FRr4wjTCi8og897ob +j+AyBEpzpk2DAshR8GGXhzR7Y8eEkaSDEJimc0BeAtjExUBolTzuwj7ScVhIr3S4Vnq5Qnonts8F +c5z5hZeABsoPsPfoB0+1ko648eRVSKScW7Cvny1CNQr3MlGVn3ceIqgbu4lICxI7AB+5Ddmup7ZR +i0bLWETDQOx+MFUnFfYLCuDWK2nQK3mpIxsF9Sm3HKyxihIq3PIej3/bL6W0rfy0LNlYX0jwU9BS +BEYDI/BPKSzwpiXz0RvVHkCGyunHIXi2NCzjAFCSbhhi8vkgVECUoYbw0pcN0DURB0djNPPOe0UK +E33JkghBeSyzRgK9G23BRNjLyyKFv+eOUzO6yD4yP5ZcWJr56xI0MIbGM6ycWowIT6HiOt+TX5rE +//zxU776V+3p+4Edz49WDMJPfj2mbq66EtX9Fbs4D/Lpyp3l3tLqUnsUGfJF8g7ojwVUA2SMcV+P +ejsRHcEAh9XhiF9EwNftrVo3EOUrN61xCsNSvhuIfgvwvrnL/TG8BNAc6RC/PEXEnlkBjXnZq1Ly +SV9hOfu/pB+QOYJWgIHRvAeKW0FVm7MkXd3cAGBbZVPQD056w4zKrj8dzugRMvzN5F8Zt7l8Krl7 +cJaM0ODwkQTcSvi75BgEqCzf7Xcz3kowlpSntqw/5PXlRrYx6K6P5ff096fXs1kDPkhc9/78vDnl +WxWE3Ilnzz0mLFMqn13P2N2dEn6vcs1CEkffweGQZRSBIaJ9H7qMTjH7Ee5vESZCC4OxRAaxwnTk +NEexH+WZPSftYwXW5nqQeBcIcllRKJscxvlkQ8XWOsgHnTyaYREPal/w4rhGs7+TC6qB89qzwEQ4 +eOH5cvdegRE7t4fAzUZLK1zY3lO8ZyHNhZ9JutsmLdEsiS0imGlvKHRpB3oeVjSj9sksqdH8pdSZ +1Mj2VjUBv/mUtTdNzZWZKLG5ECjiLeSbW2KuaYBVHvaMFyw7zKwJkPzhKFqJ+HkMmRzB5uObIgFH +MA9ElVVBqdAbOomhyiYl+tXV0+cka7hyENCAQYMzVvl2cW3E4u/4VH+hdHNb2RhhB72RXW7EAMz8 +QAeXK4Yav5F9KEB+AJpMJEdRBLNFU9zkUhIIU8vlGWxzH+q/zocnlX/3Npf1GV/WFXHPK6HqI5uf +fWSkQl9aSVXYyDG5JoeK1vXVfxHvl90T9BQzBHdARqlfLRq8/bu/Q353BwFl6nCXmdVwHWsrQL2p +vxpFIrhrEwrCizBtLud8vrC7TDSuR5G5Ypfe3ZqG7VD1RtxU0q2//odpXjVN5oyJQ+ZT5EX/HM5G ++zR5Os3sZjMkVuGwW+M8rBoJ7wsZDoEYqki8+gP8noWGicV55Uts11E7DYfY30SQnzIbhXcpV9Zr +P1WYY9Xze8HBzDyMSYgXcuYI/75YFPRhTurLG5Eo/VS8eD4oJJt7hh+4ioKczdN9xA7qiMRX8oR7 +bMHMkzjBpO6qzQ1UDgPoWZg9eseZafs3w9mQ07gsm4SBd8UDA3Gn5SK5rwFe2PXT1mESmknuR4+U +hQGYDM10PB68DslU2qEvVD76npVuS947hCZCQ3LlG5eqoS2Cj2NBAfZY/34mhZzwtf+lDbA6rjup +Vuoybmc20PjsC5AEHZN4tATac7inlXNmdNhEQroLgMp43QrGar0RxkunRdCBGFIatmhebu5GlA49 +MdYEULU3/cVupBU/tSQYnl3bsxhZmji7cOkLyZ2CxuG/WPRQO5kCCvYAMXQ4eJuhp3G/fwerJf4a +d3o6+Mt3VzcdB3v2dVFdpT/yXzl4v3/dvQgsZ4RNOxlQmUfkEZNIcfF6Bhq1C7GXY4REO+/7zW00 +IKUbJryqqhZs5g1Q/droCEUNpBxtchdYS5VFfj/tKRF/E7Hdxh5QfwT4Wk8AYcfDd83huwIxVubo +oPUcv3O86etB+QE2XBerpd7NkJIgezxglLsk4hP1TpURLtinixOF9OxYzqaMJqLVYhc9iXcflniy +lpLY1uyIJ/6696/gq23Qx4zVfce1MEpFvp3j0yEKdMMyzn9512bsRw9ebOvLYywlDa75PKHw82s/ +UF5hShK+EqlU7rsrTn4Ng5PGMLqGWaoEzeA0lx+QLHE9bIH2Ipys8jBn+diOH9A5DHtvqFmcv4q+ +ke0VEVwaLCvYHC3bvYkq316ft5v2zDC+V3k2VnlkBN22pAgMdFUMLtYt8rnTXGD2KC5KrRYJa5jx +k53o6ELHIS9bQ3NmJlYDhqvzRmYCh+gHtNoCx7RYLm43Z8zMq0Nzk3+BcvRL26K/u0PuXrOu9/TQ +QTf0BhXivpXxrw3233yo5WA+R7SE+2FzE/mPSwQs5Kxy2s9l2tQH+r4gxpAMj/DLej1bbztx2FEF +Lbo8qNaagpvtTjgItG6+nxXU7aVTT9Fd/R3HDeqXnJJcz4oE0RFo4+OgoQ2btO9RluT5fkfzK2z0 +VqWOljR/7262jkbTSmyZbH7OL28peCClosMmbM760MV/qTetGMm5vyMLmzAR9ykzVhGFuKqCyeAm +c9vUeqfq+Q08rpkEHZWpGQGU5cxe4OyErUAO2G5nKOPWoeymvnXlARUp5pOaeIScq4HfSfSxujRH +Tz0DyBE2ImNlVQDq5lfDV29nz/oAneAjgv8BwN9zeqhuDiKmWo17938QMdzwOJLj3Pi6yeud2By3 +d73ZZpasMNBr0pOW11P2fMUtGcMY/StkndFADpDH3q8ji+vepChbztiq5uAH75v3Mc35rb/OFYOH +RamrZHxoFWZJS0veg0IZ0Eo8NivYIbZqzFbR31NA60Pn81Bd9KpVbZPoVAFXpRz4hznZdmgiFdo8 +hTtWNi5Miq6Et6Fqpvn1RjdRcaRW5d7EmIM1JgbjZiBq2UCd0gJdnKyULRvIe4pTBeoYOP1ST49t +egTe7UfC46NplatiNsmTt7eH+jdLKRfCQD0BKKs05PhNZsnqlOUelFTbRzQLMJzMbhQ+eAgXv2SL +y7b+9p0i5J0QX9Iw+zO0Qb2/kVsNDg9LNWb+HxnIBpT3IB6rvSnt7uANu9ycyV2dJu/mPb4JFJtd +NazgbQtkcX+1yxeDo4qFy0alrjfdlomGqUMiupL1D7CHWaO7eKEoYIQ2h4LNHWh0KVrwD5IgYwW+ +BE/FGXzheMdSQ4tDYFRPTycf12SMjEaHr+lw9Y8is9QmjxEX+/unzyBOaHpVRZIgImHVlGFlrmSN +NL36+6lTcwGO+taVhCcDpw0rtoZZUEHk7sMw1UVHAqFH22/cNZlnwmsANn2TCfVOVDi2PscMB7Ce +QalG0zxUov8I0AZ9lpIO/vArygmLcQCCSaAe/tWF2opseoygWuS1W6prj0FaMGWKpptVd7Wi7DZ9 +eHHiWaks5fnYBm0BQvFbA9BZeJ19AAtIxgkLGLi26O4Xlsjbl/QmwBHSwZgWQ1YW2gzu3zh0Aj2o +uqwI9/ODjEg2D0I8J5YyS5rWCfkaPKwIrNtCLUxK5qyNs3GPxzTsXHRHIMtx8f4SLS0GXqOVbWoA +MAOcKDaMIyWmIEEr95Yy8azv5dMSpneXNXl1v4lwHdkfVo4zZf0CDRhbv9QYrWRD3/zU9gAjSTkO +YVZr5bR6/bScRYujBMNoo+TMiN4In5qRrdIQ+WAHLbiqoYD5ANdH0NBRdaVfl1eazk3va8Lk6ONT +ArANUe6WhrMqbNb7/3RfyLjq4srpQRSON+EVv516yREo822z4/Dj38iEpCVTa8OnZgvMAzzWyCf/ +7hZkP+pyeI2cdVQhRNZ1XFlreniZ7D8HmgY5Huyw5zvhrw/m4MMfp/pRLOw2yS7prayl+nptOM4G +LxA3OGYGNllufNVpEbhsUodMmPaEmFVOZbMFtv0LVKq+6vD4sF6K4uTszm6pKh6DkilIrzwqCc9C +A6ok+nx1g7amxRKUYJfgrUD3gudDwQ7mSl/4vnTcS9DoLm4LWp+I5TRSVmkofouTC4akgj+B6Pyy +nHuJLSy05U/htzBmriMAMplYnYWSu/pivbIJafdLRJCB3Y03wzu93vdj/w8mWTONUzLZpHPxGyof +4K9MGqmQvwHH4pc5fsc/dBg9canXW8PNs0H4iJi97iIlxSy5XzeLiHCyvXmZ1tAYmaDuoN8HV1LP +4QExsstKOGJ08rIeLgrlhN2VyHmH4pMLxai4tC9B0cB+4dXkZuilckyWnf6Bo8vF5IvxZBzfwGHU +Kc5ykQUFi9vloMbkMWjXx6vShNffEy07zXvCafevHzK8vcmk4yeTAaXwjHbfA7iPJJLC0wYQjnI1 +/MkgkrjsEcJhH7iv6adQ9jQByzMYLOM8HbhywVDJxHcAOZg3r+PhGwXQVx1s8FxY6gjgp+fgzaJl +NuC7Ek39lD4XSJpAO8lw5FWzfudEO8bMVicaIU7VqMlWJEvt+Ut12hFsj8xjHFPYDL1HJuybDUnf +yApNkB74GxcBnhqbD1xN64W6iTszcCkQ2aB2U1+TKR9lHbx2hdqpEwYNn1NOq15vjBEU7H8K2NeJ +BEuNnLnEkVA67XBebBW10k7RZCEzGy/O7kn18mablxNBEECOjf7eUt7UWrc9vKUnt+vx/jRPR7cf +ZYoVqjJK9gVvVhqgFCz5Mpvizdf3OvSvOfC8n/n8ld9rvzQ4Tc0Q2CcGmyczD0mPLsHcic3c13tG +OaRlKfY8BKopfB+ac8YyqIcQwCLgro7Gaw5NNKvjnmNqFBTUhhdKiGy2KwUfcLDjhwsb9yvLg0nS +vkMerkJ39lWO17WK211O5QEWbNsTUDvOe4F7Cpt3k38wPZ+vkOVINcDqOUHvwen6u/Qyg8HSZ5rI +3OP0z7NeFw4E8ebgnG2x8g945DUTmM7GuRFW4RqiCW3RfplWarg4iF4IwbQXElSwZasMJS2WMMNE +ZMYq+KFCpeiVaEmrgRDSpX2UfVMvyRNYzVQlE6dbXnv2rjJGCTgRNSBPc634DrBS2aE4+ZcZ3rSf +twXsVlEIFf85xH17wiI277eo9K3F5md1P8+aZASi2N9RjDhawyDOkyuwEQjpfnzRlRBaEvJtk2RU +a7zODHZuWqJI1boaD3FWgHh+90jgEAdUuR3NpPsDvyalJaBVC/5OFC2cxmLsR+r6tU0TZOVModeV +PIbZgGjSP+lZPaVkozqFgO7EFi1xUf7Spztky2z7wu9IaRkOuXtZ7i39DmR5O+txGHp82B99y0Br +b1yoQ9d44IzemPs20+czvk3NXszhEG8kCMMMuH0oQh8OCn3dCFcM2TF0/yGN/WzPNF4eqadrbVae +1UCzAI//qhShCeGF29s/huqFrFB1f6g89qQ8w+geodw8oZezovPIKRds38S5YujI1UNZ9Stu0LGR +E3IDGBzhrbIqGfHvMJkNwm34KM0NoIYOsrR48YsrKli3Hq/UOu0TstWwXpLcTbUHNUzweKpTo00E +yOWYht+2Tmn4jKsBHLi1sxFBasXI47D6PmiqNVs2eWDpNN7ZuzApDSxF+LChzZ/2KlU1wf4Z8vqV +0CN5qnvI+AxiHdsr5B6Fgh9hixNbLw50fKfYYciXx911pFDZ9F8zr3QKVTwzpuJYT/Oak50CVWbm +DToR2aBrUNoEodEv7r/lW8q9vTGkHIBpTqeaQ4KyS61frIVE+AhCk3G4UfbdzdtMJu1bP8th8wir +PS33KwRcOrFn8Bd0LEto6Alhl77NTPVNwWWsIB9KFW6zA3XjMEoo5DRuuacBbV/Nb8U0MBG3wfRv +p5WWEPKubtPct9L5lQK5D5DEUndgm6IZVI4DcjBaoirIgBCD+RAFwN8u0j6O/pLf83pHj7bScYvK +krxFP0irp4oxOxkxOgQgJAM17UBQ9/Yn4oKCcjpyIqEELuQx05dX8ynvE8r7Im/m1drCE9qh5YhH +q31JaBMgnjbWO5InJZzBqHJLn/HQC8TL4yRaZI7RSGCmHOW0FW33jHkxeMpRukGuI4MXhH9WkUvt +aUhixsC3creesl/VgFGMTqaZKEkaquHhggYgTdwk8JpviFlN04b+LhfQqkJ/m0d6J0YihXXLaPJW +IsxVkxeHa0Z/i4UP/o8bf4UJJbQQz6GtoAvJ/zmyI+x3O9rys2ZBOuDbO06RHeyrm1RHiLNFuKGz +h50uLFeXBYH4A2mKuCT/06FlH0Vlf9UxhqbdpkjKf5DLbhgRge+x++zngMjMbOGhaBvWpaVez2dd +2aQZz6WVENA1wR1Q20webcnDph4XaEUBPjbDukAXctEteAJrHw3tDQXqYNu71BQlz7Ln4wW95RUn +/DS9wpBg80MjxmuKQxqzPDknuYs4zW2D0iDYlND2RoMfQEz3aAIUs5cyCQB4Y8zhDV1RoWePUPJ5 +Xe4pGoSqgKP64HFZhaw7sh6IJdH5MTd/cWSzRE7uJh5AupfqMAKAmvs4oKa4BlV2oIkIUNqX8fr8 +tZO0M/c/h7rf48zg8t8yBHgiSBSwP5EqzvASrzwo+b+TyxuCQP2yi+wUW470t6f/T432d7H4bMAq +WZ3j0T7PiLNA27ClJa4pUBCHedChwcRwbtPNlbSStYYZD8bR+VtnOK4API2YcpbQ+28NiLu9kZ/l +rIpxDgCVFAGg5v0REqDaZ6kCXDa5UqwR9Ze4l001wYs/480lnwmos8ubLMcHG4ZmrBQ/j4bc8CWm +ZS7Xq+MLRW6PPDEBRi930heIXjehq/0jY9Ppo3d9iKFSJ94TrSue/HZJQ7ZvZhp4eZTWIVkKXipu +WLLIBBWmukNmBbuk9UIryo95+d1sUZICeg39Mf/IsQpo9U9LI4FePFOIOmWOBNL6eRvJNn+vvHZR +jYviJ8Ih2PgTMI6XMLPbRHPBloYaySDLAEJbeHrUwh0+W0nhWvQZbzjud2E3Tedq+1mU3TzZ6iSn +RwVWOgjWIcWob1tC/59zKxjaEQcfBXACOCjz6xp3Ozn6m9OAxgEB+lLP6buVwXC1CA3H6qg5OZen +UYlJTybugX5j0jJqHFYEZmjmNBCSmjEdMsYduv7QuXl6Fr/n4u878V6v4wLTRHIG7JaPC8oQyTJl +k7c3XXeHwnuUuEor8o+a7Tm4yYHYBZLgaADHZUVjUHvoYkgVytE02cFPBjTWNZjf79a7YD3s2KEs +JAl185Nn9x68H1cli8ZUTqTSK4sygXkAFtSXwML9AssTJLhw9U7z3d6zhYHkQlDsaWzhi0JAasMN +Kv4T3kRkw92SDko8tlHCTW95bJDU0pxtG10kHIB2MItHAaqLoYkzHMWAYAyQAd42Oo2D+pyD5hGQ +ceH36KlLR1IiKwwlo9Ki6x3EoOlZwIO/ll91md6vXlXk0fI8eizisbll5ZktRJ+hq7XqKllzBFgZ +sB20TNGBt1b6LzHGQj+0xZntzfi2zAFtgcZwV/q3aur02sJAAYdWyQ0mOoopEeXP1xSU++wZr28x +0E5OrwNuwpCyhqqEH0zzZ/gmdWOYCaazcN/NFlvAkRpfYuAozbX0NglQjhEjDn493ZmnegICTBY8 +H1MwoSW5InVhSzr/gTo0imONNpvcsrKurcXEf3BtpKRLS0kh3Z6siSyg/Fu2374GUifsWiYC2B5m +KADseZR2Pf5/zsDvXj7eopl+CR3rFWVriRd2LlZi6aWZTVjdFb9CCUmX8IcQsMMSdPsi7O2Azpvc +l2CB6LE0w137VIBnEkdVUrrBjH/J5ut49K83s0Xue7zUE203YE06ftcjIyMYk29HMgZ4JizYN2xm +uGZ4L0mJGqdN4vSWA/c/pwjVzFZ5L1CKAyuj1/3PUIEcqeXNym/bXBCqswU1hIZ4nSWkqtj7XutI +u56iAGs4IBA6ootgTMLcHV8cpUqKdmeMwtgaYPnpddfgCDKooqyjPrQu+sd3r1fbg9gW00orljkW +525qlKEJgpNKEl3KoIq8X3np92CQN67GgBfrweBH3iiHSfcAxJv628ZId/P2AW6F0Ro0HCgeyFQa +nmB0HY1ElmZPEqx0xYZ0nxu2NxMXjXWE4RIgioqAIR5Wh40dUFokWo5iKefPWIWhtqAxNAcl96eP +DrFC+dXE3EXQqmaFDc1vEEuAqR2EeFg7mWT0qICJnprX3kT8Br9EyiVhPofHcSiB4RoM5atnmtEg +RKZ+VOae2GdkwUHRbIhT33QF0MbqmGCcv/fIX+8FSnlkDWqrPbCQbzLzLjHantqDUhw7FVlYADXr +2/oyTy2e7xilpHS5uM4mAJwoKTYBp2aJkdHo1h1EkYgWNwZ+R9sOWHP3MFS/HuevTes+F6oy89rf +10rhrsqy5g0pSa7KioMBL+oLV4wkp5RqUM6eSubd6QeFHAB32v67581+p1DQqSrSr0780vs2KVEz +NrOV8Z/62sILiFdrSqI3Q0X5E1mt+nBMu7+mL4xxpIKZscGRDzxMVm9oXTa3fkcv8hogG1Byxl6S +66emrPQ1hy3kxtVMoBN1o5cFFhp/dIk77OUoIy5kRq/8m0O4839Jn34M6l/VbdGQeXq5Zo+4YKUa +YZivQdtNSyQXrRWo8EwsnYiX1W/o7rS6t0kWr7r++EnwBbZVe/DnB6Busl/haLer/5G37l55wHS4 +kVFhFv83MxSaExk8bvVCwgngNv4uMrF1CevJ7V2T+Bxi1u1km3X5hCm6CNV8ppNw9F791AdBZpZt +csOb1wGdP14EU2A5bPXQDixj8DzZQxxpyeZ973NIeDewJHw1jtCmFjQWQ/ci0Gc26g79lXSlWzQ3 +3xgiW1IzafAEI8mhnNtekmRKCqJkJnddOMuKn01Qh5W6WmpqyCKPXs8Nn/L7BoECXrF2jwZ6cyI5 +PAzZVnvh68QdTy4LRyx8GdN+3yw4Sq+jw6Az/H9RiFYJKevCXOdVSFdflf//RVuA434nrakDZC0k +TKaO5JqLM7RnlYhUvpquleLcB4PvhBl8lcZUipP3ZdF6sr9hOxDM7Diguxp26tMof67mdg8U1tZQ +1jpuj9oWLhKn+fhLqU5jVSzzS2n5JwwqInepoIRrLQ3FZ6/K53ChAxpHo1ptJ4hJz7upkmmV1T+3 +VpaPMzWiQosxIX+WJKyjaVM7z3P93osICE7vqsi3MHU6bOdAQ6K+O3WbF4eUPPjV9G/zKUe7Qag4 +eUzOll2nwlljuDWRfc7t1sRX3CoB2bs2ayR1IT7ebGwBCIpZE9I3OkYz9vEouNJU2qwI4es2ouGh +48dSBjJbwvPi96enrcr3IbYFBX8DdFzm4XY9xSkHqYrVaPSPkGmuPQuQHyjdZ35R6OXv+PPSexKZ +KS/w1PIue5MkCOj1jjqZulk1jHb9lBluEoVrAX+yKLLlhxc5l0OpyJsi5MpqFhE0uJethu+sJTiQ +aObi0nXDrMQSA+nWWVaMH3+daYSU5sLw+LQCPWsN18b8es5jFJ4b6uY0UvDOxoYMKykXRC1AJOG9 ++sspMzDE56hbXWrLt+DFO8HTwKhVWMMLzWIbdW+4FgLj7/GI1Qjfu/1NuNR+agHliFC2kbEX/aj8 +4QCc+O5UtMCyAlKFExOwdAtS46RKa/oQJB6cCh3ou5jibKqRwz7IwYnnYyYLt7b91KpwPzyVj/yT +wuChTui5wRXNMX1MPXknBnKws0yMyws+a/GrhAMYdTmx7ruLIa22/tGZW/OTiOF787pEfbrSmqam +zcGT1DyB7tGxQ846qk2gm4xhOwTR4mFQi7naHiyXYmJXDmN6JnQ8RgSRUHRglx3HaMwnfC/5YzXL +gal5Z15ht4XLwE5YHfS1+lXae3Y5trYxm/g87/Ins5IcYe2oPb4BVIgvMN1btL89486feOnKPWvG +mUFQD8cxwol/6IOafE2u3DpVl5aVomUWUNQKPO636G03hAWRVtxMOhZxVLuirMs5EX/FNZavOhMC +x53vA4US1qQjICHXwc8+SdlmhgKOxfh+wcon0KIaXBP7aZQh5YiYr0U7tirA+/I0Q0LuI8TxZnJ+ +lPzc0NupIFRUwZ7Fj8ZgiwQDVDyJXxZXyJYOcCOzd+WI61s5roZuzJdOKAenTcCcC9O74DOkd84p +VxiVcR7hosyRBkm/eH6ZUZnzm1UKABDStOAQknm5ELRDDZB3bi6XcIK3qsWQGkyAG4UzyIcfyTYP +3x/PDfiKmIcomqttV5AEBakUld2tHvOmgJHe82pukrFxbk2V8Pg0U1aAwuOOhFkEPtNCcxf0VPls +KPIDswyRCCYMl6jbld7JUC9kurh8j+S0/2TTzhZRmGOmasSJn/9/BfzDQ6tciZsW5QZMA/lGvjzr +FVfrgGkylin6FtHKjzcMLVJCahl/X8di7tlnI/1+XdPHhEjo6OW+b3jRrJYd7bKrlOEpAP9/JE5O +MzddduenrZPWd8Pd041ZeDnNGo+Xg2g637Aw5HZ4OpHXdzku6OCDc1U7OGEtqbcdf33sw/bxoQTT +Qh1OE9QlWulrXaqvWKXS0FNNNgBjaRhtBsAC8vPAj9aghcTJGC43dL3ZYO0NL7oLUY8LAc7oN+Tx +vDULwFEDxfFPGfgwKW/ND3XdDg7BPL/pEmCMmsJ2TWADGUn+MVvRgB2jwEYUE51D++AfmVOUdhgk +hX/r6X7enDD0Ec+C+aPtREFjJjiafdXIhamd99ntRk3+MjyLLZ07rEY4cAeT5xdANEmmwZivwBiY +HlxHO2c7M9N+epClnep3cZhCaMURtVEgHD4dThCNDvu/adpeukKJVYqB7pVi6hztjrnwqU5uIha5 +QMcRqITlPDMStKtKrm+Vg8MEhFvYzFLr7bmmuUqTSCQszKwU16HBMkeiJu5tGVRbU4F5klk0Fr0P +ORpIPEQpiCbZDyqGWD7DLas3eh8oCZGe3pJWr23+Et74Lm1Nnc7H9Z/aJBnp3a1GaQa1pcAVXbh+ +/cKFg9wtF3mtYHF6uwIL2eUw1DzFASvIpWOQf9kHIR3ATG6+H87aqaAk7lESpIGtZTccj642lGc+ +WEOl/5vSibt8lIQc0ZknGOBcpVCEiI0r/4Mg9NwBquD3hMQZFdIWpO00pPbFR+bldE8B0LMkNEev +fOqW3seE5sYpNtU7cVafwr+66MTJ5EuIPiuy9+0zjsgsQq195v0ArJOyAz8na5aErTidj5jwhf5N +bDacOPQl3ATntDr9Of4Np9WFkEHpEMqNCP7vfTQsk3JwDKZdTMeFiUkaqAHKUkyiBplsceLQAc56 +NZsbt3havUwsFWUqrbZQLEo05voP2W79deOu2m8pq6P7EL9Aha+hM51UVPfyTutc1NHnHzFudPmj +SDqmnKF6wCYaZyF3NUGTPyl+8GP/t5owknLToOsxiNOfMMOgqBEU4ZjFfW/O0nZDS6tgs5ohEvlC +dcNyF7isueMyhsHlBJkehz2kg0qofrKiXAOrHSA56P5ljI6zsbwxQM4dYgA/plLwsNutKhgozxWN +on8V/5uakIjJO3DHUvkNbDmc14OLMkM+Oq+/58L4gt1pf5MwUpDfeCSexp+KeGwvmN9evtz8YU2X +Ycy3VWDQb1XhvIwAsFQIGxP1/bn10vC1BzsL/8LzSxGGS5PONCWYh2mjS7EoFeu2BvGyZEMSP9bV +EvI1kxRf7hAzlwFkNbeG9zf4QPOOuCWqiJQPLllvW3UzvjOUhZnsCZF1VuWUN+dsYOAgzHuhcG60 +LEaqbrnZsKv901aaUvJJYIZEyb3fqPtwPvlguzHnLhDmbo4yZNCbTBJ9o8l1Awx7fWPYHcMyg/aN +2oW/XPz1dFS/MIygVO7zIuR/lGUOoMZqboK9R1qLnH4EARQF/Cw0ORYuucnwWXKNlnmI5cTtZFgw +3oRctwTrEsEI6a3Z5fAAn3SdPXSeKgrqN3uoph/nzjFz84MZQc4jP7khI3O5Hpl2Gaj9YLlKzDhZ +UgvHqIWUGGnf7L3a4wym8iTVCG6qgFBY58R64SVki8vVqalhijScWMwyyLkDpKVgT1S34ukdc33D +18b47ZFPYzCyihuqzhaFBXapltJ2rMXW2Zz0NDZtZzyDNmuVR+nz4xSVaSoOvwEOarx/4fPzv6sv +OOsyhslfwmHPSgiTPcE+3Fj+RGwa/QoY1XZ5HWixedjX0gd97gXk49HJ4G5mfuSo+0vZ/Y1TZ0D8 +fx5h9unpRbF0jUDIA2I3G/WY4hKkN2jaH9v62aJWudlQ+Bltf1bhJYNmZoQCuaBa8F9EYTJDO0RO +2tZmaGxQ8fBwyekUOFYnLYyQFXvKL5dwH/bhnA0QmfXXERHfnvEG7kUKd+9/2rYVElX3Ofgd4Mxr +w3yPmdIVCyY3+g5N3fKNRZkHhDvL7bKoBJsXgnhJUwCV/4qHZ6Cm0UpZSlrDkN2o35f51LjxFn87 +Ssgpu/srO5/OnNlRrh+VoVi6lR4cSGsAmEaHStBo7Yq9fck6A1JYZKNhtaJV1fnmavWdrSSuh++v +In5ezVfxEJYFXtjOVwsOZe/FiiNNCxr5teIMu3L7/jCumckYyCLZ38ru4Jf8n7zybf9SwSmVz2H+ +4gBUVHDJ6eiMuq1CGbWhCyV744sVAZIzjKltFldQj6k/DNjVl60pJKfsOg05rxetxbfBD5aMSewX +dDrDjSSk78MqYtHIgAR7+syPchDu0pjxy1yvLcgFgNKIO8atV19rp0tlm4h7kW2mo0a7VKccPPGi +JozF+0mlSNXmJmGQNGJFJ6FKdVazNf1uofWCca38nWli+tOgpk7Djh4LDx/Jvrnz2KaxvXg7M8NZ +whIDF1mk0jSPanZjT8aHGL6Dqprh0rm13QiGYldqdfPRZyGKcJlm6oOhqiTNCIx3rTv3e8SqroLZ +z/Flbivz5/eSMsi0wDdttFRm8LIIFNQ5ZBNqjCvrkiwHMiuj8YfFWVQt2ZzPQiBiRBFx6BxZQCyT +UlE5GDxNB7SEqN62Wt8LOpqR2pXDxhFgc3nTOnOIlPpfRANuIas70riIf5IIVGcz28WD+ZHGBiAV +MFde/yrXNtgN+vnk23U9e1S7GkltpBffPrJBZvWEFu8kdsGpQGUIAgPIiftI2q16qcx4cQJLAmY9 +dOtfYq6WoQtFf/pUuLyw8VfDbSxKM/UCSm3pOrRmuM+4e7H4si/xor85V1qym61DG4emBFECqHTD +jG52of/Ni6AVpOD3+L05K7OdSR2PtOCRpVIxaqVmM8jBioNs7QCkQ/yTu3WmqJW7qRYvl9pGMt69 +XuwGwKYN62HGuKT2WjG5E6Gdlh4od5kzHDthcLtoUQ6hHOCcSTayM0dNFDGBj6PQs75bMctI9/pw +G/n1oSLkRhetrqSoJkqYnlS1jyQutqR1yC4iwJoEUzI9vm9oCNx5IwDD2i1jv6TWJATSQ+zHryaY +QtsJXWvDBNvcFFUooSf4j7JzdrEWN3JEDs52uCWbtaU3rCpt1JWPg+CXhH+WIZLIaDhfi8O0R8HK +9p7D2DHefLHre336VFtK9fjir4/lmHOKd3toK3zIZH0UoWLO1BozsFQj6xil0l8a7M3I6vLmKw2f +uEBWZwXb2x0Gc5bFR33HlbX7nExdHlbEbndVqxiWcpVF4KMs4KIbsb6bEAnlwkNFp3R/I9Rk/YPR +8CFkgnWV/J0rIg/h6pN3YZwMGQgqGQ/8rodrtBanRvH2sA++tBd1x5swOwLV4NFPwCOlHD5JMIJW +627oys/r4whltOUrTeTwxcTG0XQzmBKaBeqs2l9VmO2CYpz2syIuuFtGe8kuedzgr7ra9rYVNUqj +SlpwaUN3BeAmuQstyeoP34fOdw6wEM6EFAs4yEYNu4e63pu5kPnKaYZNz3U8LSXPFGwSHBZMVGZI +LC8G8L15IZFpeJupCJ1cMjlgI0TjzzWl6oIOg233PidW9GeeRnjH2jA/MGOqng3IQ2R/PYFncUP9 +4PMHNkT3Tar0wvc9a5JM8YYbL9eWW3pxYy/TUiwwc5M148sdfqGP5LZr1jV9ElRz3Ze/pQt61OWb +DvhG4Kgx0OZGRM7Fx99iMVHLzRBFIA/knqtwSvv8D5qRwjA3CYznLNGzvYJNsHv9GGSMIj0n76v9 +ErabEp1bGe7Etf2W+4UyJiFw8V9/xUO7NXRLwhg3rqzefaKOepNCzWWPlCI1vc5vihei6BCi7KvK +KXeFUFBVcJM4n1kqnvjtm4xMGyqZQq8gYdnvwMRMgHqcUb8MQSVt5J/3nB/Jm54kgdj6pfH/XIQ8 +khjgtqzTY5hYaOHjtk8EAhe7R5UIfYXMKMFI6g5gWi8xPcOADhOGj5aDgp+5L1h38ixwsEN8Q/xv +NcFl4jQo7TXGpbsCkqAgZ26Vbl76QYgiDgciRD3VABYiEuPyCm57E71jNTzGE0J9UcakgqooJ7T8 +pgAowtBEVrQOO2u+EjojnssVrJnElxYJ0iV7neWkRzxCMWcLEpn8BrE2mIXitkvSo1ggrGF54gik +AoR+7yxf/RdtOXkRJJWGfKUqtT9ps42T+jjHotg/RXGM8jRwIvbJ0P43iY+pwt0F6UM93WownV7b +s1gD6Hl8NjepwpeI9Xg8dTfpjp7CsaG60CEpSpK4v4jy6FSYJW4CQKaSuyozeOzJUfg7J3NY7Ryg +YFnzc6H2BblgT9wXnylfhcBHIqd4aIENTGkcnNWhS32sO/rBCsBJkZsxpwPP6vDE+GnhTOs8OHxA +oGCdmcl0hZCmxL4mncZPn/oAAUuHZ/Gletf5Y4WrgZYrXVNQO+e/+8HTbE6xRxZw8dNSOcsQw6Hw +Bcvn036UnwjmQO0LkHDgMBO4Zr/Yqwo6v949v8J1prQEWhpCE3q8/mqDO7+p8CNyJcV2KZeJr67E +0CuysplOYUSr2z1++RAUknolgH3pl+gXlII+apj4Qh6ZLHRJqw/SE4ySUhXS9znMuro4pKvbZVn4 +7F2l7hCeATZNPF+S1lqve2PPRLHlsucP5Lt3KLUTV1P2GjbHXYLbFvu7IkY85IMYFeqgtGbHpr7U +fmqvn5NFG3oPcdSWtKYeZRynC4Ac8bXE4c9yfN5D+7Tnzxa2d/QtK3fF9Q4c83cjML6hdAFhp7zp +jmTNYvw1Zk7hAyv8yebdyIwJ7T3FSKtaDSl9Wsw2i/14dNvSIX3Xq+48qxNwV0Z0fo9e+4KzX7bI +tjRoa4FYJFH5y9h2K51bqQGd9XhM3D1Fybql/qhoAPAPIvPMGPaMeNZ/FV3pBi3N8Pb8NOCkFn6J +chptyqQueOsj9vXL61tasWdkH4PhCHaJD6cqSkCqiYB6nofdN5W2VTIT9sZZKAz9Uzi4EUMiltrR +W8hn8YR3gvH4MlBEO2GJ5d3EpWDRtHr+yQ1nyXYCikq+Droua4UZsaReHdpBy4AArv9g2KOhavx6 +oX4Q/cY9vatyX2Nf/8aC+PabXG1QZa7rkFK3DjRuCyXd0RxwDDCzthlr4UM4lJnKfSH9s8NQM4cI +Zy/Ir8USABpWOO7OdxEynuaRUkHFy7mD/T3mwxnHXSCEip+8xl2RGn2KOYYxtws2Y//R781HoCod ++K9wDtKl4NzQAhyipn8B0ZrD2adb46joWn0JDkeCodZNwoFwHv69f7beu4BpNDoK23rwlzBvKBoD +b5INHtapOU2U20fyCwIzHYQDvxbUYj6HfZ+5JGVxgu11l6pJOENILfuDQYMH1yfFRw1Kcqn/+OVp +aVIWGKBkSKf/38Gb3yKwAoXW9Ud4QDL5csB7uAtVJtRdhEBfb8hgtOcn2UAPSvRZNsEWkHXhrjoe +odkoK3PtVfRiZPewgg/oVT3PqdC7VivGAbkyx6v3nnxz//PclAfier1l97W4Fa3It1CQGPM+rcdw +O4MiWnlJHGCDYByaKdGRl0p7bwQRmJYYIzD3Q/ZiFCS5ZWh7whdmvAzPyAETXcF296tnG72Bslxj +RLjWDdAVS9Je5UaiCfz1opvrCVL2MA9ObN581R0Y+9A50So+4huH0VEwrS5VVs59VAm4TflCHmV7 +boGUkVuTZepvwoZk13QZIptBUWcK2c3kXmo0WW2Ik/0Gr6i7t7np1E7xWLn4hZ4ZOhS3o1/dPAJX +5gzP4xs2ND/ke2RqtGWGpoGmiI/s/DCCeQ7Z3uZRpw4peajcFa38/43baT3+FX1GBax3weJFDt95 +5CdBfxO40LKC5E6u1xDfqi03GD6kPYJpCJ0mJlWWvHpeS997HXN3TJV8u9cJrexxY31T7sgoOjcp +dL9RoLnpCDoyAXJdl1HveY5/ucK0Rn5LKZDpUvBr5i2txX0eCElyS0+aiGROns34YpIZN11YGggi +dHf+PGCNpBGkcEn96v1UHddYjxK3f5vOmh8yFO6J/NN4pJL73dlpgJ14WslYNWCxd++4NeF6QC1I +eXBxzYoLYnqWtgX2FyxledUMa4ctU47KCAU/siYR+N5v67xAYaEkt0Tez3lS3vHV1kLKy/ECeTXC +eQzwbMP8B+9Fy1VvxYBhl2a2O1NRQdnZkc5fzSNVelZqNTmYv7b0F8ksPrBV9k4Cu2C1CD+yCmSC +8n6pM7ijStE1f/s4K5a1mx5eRUNypdqlodsc7emqCsoxJ7eZMNllnRDcz216w2UaKhlTncyQdvno +W3euuBDK6jy74XcYkEBbyqLBNiuIYCU1p9mLBgoUrZ9mWGNztRAdHqZ7TXcYj1U135lF4GDAXEhn +W9g1oJIt/l3crzmsgXU37izVzB0dcE5+GJFkPChGoX8iPnLep2xp9WE7DbP+Yn9b9JOz6vG0glT+ +vg4W1FDy9P1dG/EjPipfWnTLkGtymmQKcZzXchqBbDxljNK3XDQI9+Q48cmOWHR3Gvz0RTVOkdUW +VVQtBekx3KYQBSUAH4hBU7Q7TDFCowiu48xOkwfccTxcDSjYYkXdBOgfYOelLBRlwAS4bm0ZcSAE +uxfWryS6akhCfpn9ZbQ+0g0YFM78XJx8WQO69pSqWpVJm05XFm4pBMgQYmfESmIWtBkDhViPjzzC +Wv7R/O0twryn9sFPdUn2itz36t+4RoqbbjK/kv/RJpU8xv8r0AdHoXktjAEO+VW6Ro911nHt6mld +16UuyufDApWDs7xOHXP+8jcMsuqNMWoc5XhXoS8qZY/OxyJkKPBitqT6vzLn5jrf3c6gUMrj0Z5g ++UlQLmiqfeeJWPLxObb8C0tQmwcx1PxT2qRZzFXFNgEU996Lbi0ZavEasVSUWemrUEg6q2Tw4BXg +LLSuYvyGd3MK8+WMSqVJfZTOH7P+bj50yWHyf+1Kr9MOpWwM9BxmJNRepKImGKQ9WgsuF8EhHYqD +jqhrd/q5wBNTGel7aVmWelJ8UxDQEkPtN+GSRdAUPkPorC7+LlSJ9Lf7H15AdN078pWD+NdJiiOb +DBqvsSebNrJDotHTxwOZwLIUNDGqAzm2aRxnnzW6JdpJnacNMa6H44ck9ntFhZNg+23E945mgz79 +RLoGvG1Ur2nji/ffT5bVgjM3biJmcenus/WozCivi05WIjq/7RO+khdfJif/Ovq0J4NCUOnq4zLa +t4Th1QxP2mKlf8X5QR66N9vY2UsibvIetQHMWyUHI7JSaz4SFNv09Ag9W6ggptDmtNyOJd8EAnrD +Ol/hmlTFllKYTuzSvWXf+brItOY81JSDuyNAOmBSocnMcK74ofrRgtpaO40Hkr0h1BIyw/8Ph+FW +6+K+hcZKso/MJihKB2K7CqfMvlevQc6LwdoLciOdX1vj3V2EBW5zQBbxYzspYCK9ry/UsMx821n0 +CwD/uD6wct6das8xUEFhN4xIb+/LxnDgSpEWZSNAvXW4FTsU4HqyJOHMmMoLYh+XdoUHB13GNKN7 +jOYA+UseoyzslPMmib+4PdhvZCjk2D2tctpfzWxcHiNi0wtmMO2c6PpwJzTbt3Vm52cHR/lwfVkQ +HUD/MRlcaMFm6csf+20u9PygmzKq49ltZlgvRs3mbs9DOSBIeY7qZKhKBxo05vA/akIqCyiz0bnw +gcDZv5EfaUiPosSU6a8e7vC7ascZ7m+aT9g8FYoZ6Lk/KWyYh1DE7Lcbc01xFothCZmMZ7Y4KoLv +6TZABxLlIu8+4MUn6MvsEXg4YgHs/Ue4BlVU1V4BCdAuUFLW/UBJAHekX3/6vEcjozleiaaSvYMK +PpwqG+D1Nm5WJ3w/h1/Nwcf6kILP6wvk4+9QKnyAKfRC8+E7YLMOnv4uSssv5GGbnwGsyQFNf4M/ +kHEvIpMVrUmePnn8YcfkseqnaPT76JzqupNhM6/3hEtVovpRojY0vmnrZzr3u24aPcA2dWCPUoId +6oPfR+8+DBWr72VnY0COSWvyjh6qZr6vMAZkIGpytvy3jRRttNs1PRs7VQ1nhQuKLJ4tuz9hOSdY +8T5FeJtpOLEeDuoCY/XctRbRCx7/uwsSX4SzZdEhUdYqurfFuIZzJdxroWt0DmCYPR1ZzUZ0lHy+ +Rzzc+dQibMzMCohOsfdlfJCBZXbQ31C6kmXe8NUbCrUcbIVSyUfqLcEoXA959r1lfDZdKCzFAXgX +vyYTUVt/dzCrYsLLJLmdOW3SiJDJ5DmpcMIbbsB9IluK8ERw+iZ9Cuxo5q8gHJeLoE1Ukqv/Hz3M +TXQqP3ATkgyOhieVQV7AF2WQkg2u3zVTovqznKTHJZZrNmbCCe6ItkvBt1yd8jAkaHyXwfWIYbFD +Ks7L9BdJls7T9tyZsIbcKe3bZnm0zCZzqSv53tcGsTTn0DowWISjPoBP2WxryzbYLs58c1OzQ1VY +Jz/oMyeYbYor1SW3CeuQIYJbQkvpi1g7MiP2vkxS32vwsFU9LF0JZUyORqk7RH2EAY9UAIjoaRmq +j8amHfnEodB3xOhGf8Jc/HpF0YciLWOpnDKUBNF75Bz3xIP3lRddZ9k6XgaDEhHeREzHjfUlHqZr +HRKJyCZFS04Dgw1CnCR24v2JFLPQBBYKQ8qZaHX4YbSWT6uH+hqGmQ0l/CGSE5xbCJyOtKccGNSF +UlDMjwxl6cbD9gieaWcpGfqNvEnnGXdtS4i/+pQU1sx8kw0b3QSXpP87UuTIUkalvuBdqaKuPc+6 +2Yu/GeVb/HXMChcFQf8JnN51mQ5BrAkVVj/rPpbQ8j3BYI1ParcD+EBYbT8loP6de2iOW9OxQ3wi ++hzQ65GLpu7xrAVFbhkAG9KNfwcnNZf8HzC5lTf91cuVd98hmF7h2WOtGGIZWr+dnxd13X3/Dpgg +OGIID9mlRpBNKi47Idzc+K6QCPI7b6LhzUSSby4IiVgB4OYkp2qXHi6p7wvkpFqd1MEDHdHemzrT +oAL2XKDrqcu6MmXZUmxibhMjrze3wdilfm6lagLqqDjt8cZlPinzRh7hUAmhwfoN7VM57k31d3Kx +4gssbUVETMLegltEdlD47sL6ZFesKmso1qsI9L+cxGSf0GHWu/FFTV2Crfwa3QtxiAVgUMmVvNjG +k8yTTdWfZUmNgFlOYE1o0PWk2c+bz1UjrsjgebJKq8LehKrqrxDGQKnLx2kntQZbVDza8Fw9CuUj +vKOZgvQQFdf0L3cZXdbbZpVvAUbI9YO+3PM0E8jpDMUI9NfYtA/3Png1riRgfGcVSsE3sjOtb0rw +IpD3yvvFqW6lmLxg5cGi4pHQnfJ7zXh1Y66xmKnS+dk1WY1NVq8Wzg2nHtO3o1VSH0zqa5y/wJ6A +lAMYvSmohvMpuyWFisp3Ijn7SfFzUvph9fxu8XvSim26aj+jbazjNgQrTW1CEtuaIAYbAqJLtz3X +7upav0gipZnW91CgwH7YXGHlo+pmAE5QZLs6nxvBYPBzvg49GMdOtFK/w2zl8ZFvS48ZRLSwtGiB +Q/3Xu4TaZUV7GADIuoDosAHUt71N5Ubmr1+IdmtfSxFSZ2v+2FcTmCjshh/TRn0+91woezrYFZDI +SCMYbCZQsTcTRrOy7ToXPHeFqhabVhsomXCl3oCIs5aBxbj0NnuQtMG+RQ2KOcfAv7iSWcb8yBjB +m1vVih6ZRCVywpwPgkO+04AIMbhlAoWkmBEAjI7cRQwozMoNNopDZ7I0MOdqLNYNHB3XzXT0++2G +iwfDOKuKAjBYdXAA+e33L8jsQRLQ8VMm/d+ICs/RI53CKNxzL53llC8R6ZY7LgOG2KvUQQLQ6Jg1 +F0b3C+5mX4FOmCi8kRWsK7waHxrQNSfidqOM+QEiarZRsmPW3xA1Z0VrlBMtRFSiLu7u7/uRFJD8 +Mp7r12fpTPj3SxRKt9YxR0dICVOgJZxgR5VD+PlG6QXLqhK8goB78lvVuhfeksud6ZHzC7knp2ZX +7LD1eaIxfB+vnLYGuI7b90aOI8lMdGZlwV62UteOSNkzvrJm/XlGY6lVOmdSOd91ASOqc5cGWX/D +uBYnF5pu00TqmwSj18AUfIv2jzFP4DjwYJa4AGtAqt6VasQ6DMXf2fGbBY/5m9fe+wtnq0fC2Xay +w0Ot5/u0L6d6L78bTRbr6bCb1QYH4bPLLMtQEcVeT73U7ugQNzzGkbXcp0VyB3meR3qMel+KdP/f +jTKhysXeiYzWwbI5eH+BrCQP1MComlfgjp/3hy0GcrGECmAyd/jvAJ08x0VULYgui+DArBoFX7CO +8JGgdVCROfxjl57B/wYr7MYS3BVJ2v9QJwOJoc8FJGR26DCDgRap2yZnSNpe5y3+QgbTfaknbAHv +P2zGEX+kbfBMOHzKtVoRTflcMA+9S3ugeJrgKg5LY+7yhw5opom99F8RTcNn3/cpEFJ1VYotf/RV +epQxwN+bqMMF92ElPFBjZvXhGg0NegKL97FhtodtmqAt0JDqf/e38WM/myIatJ2YWz5IdNZWQQcr +vPfkuvG6z7LV/hgLAIe1TT5FVHeOA3t80Qtyx6dhQO7CmGXu+RaBQ/M6E8fVDROIgn1kMnQercd+ +19SFco0m9o+Xu/TdE/bwqjSWyRKHomYQQK9mww+xf9WI72Zr3RbbYDg6vH3dwiMJQCqb0LVmGzLE +18ltl0X4DJbqIUSazatFWXkqma6+h0X9sncwxWWnf6SwAdwQMZ6S/Qi2VyaqkgCmp1htsyPIxMvQ +OkibiOHSGBovkf/jCGX6ZIR8yN+x/gdHoKGI+efOvk11dqiGC7PAHTeqUA+N/k1mbkY/65D1cIw3 +lB5UUcunMQGAWC2ERX6vgjV03XsGrkoPIfebJKUcvxy6Rz2Lyzh6kUemzQZaVFQOfumGjF5z8HXP +mEaLaRf9Yh5BybNObi20UwXpBT7wApeNmZucHikRwmJEiyrEGdBYKhZkl5pMt0OsEHlCG7qQkjrS +uak7zX4xfSyED76zQK3YG8lOZTFgcsuq0ughIGYCbDqEdm6JjNZAgE7hGtd+MRJCJvUj+J4Rqlqo +63ibAXnCFos7b30ox3oimNaLuBlL/5KZ/Yr9na/1xg642ZRXoa/Tne0Fgm6nk3Jd1eQ1Grxyc6cS +lZYXzQTC9+ereY2OkQBssnM34pa4+dseUzLi4uLuJpXVB971I28/5X2fwv5v/bt9JmAJoBUnaDa8 +ZRqO+YA9rLWt/yDIUmgSBEoxW/cmHAeogFgQRd9UC+PgCDbLI7CodmEotVimECbnshtBD/Fkrh6N +H3ACWphh6RtiebM+IXMKB7tnCMEBQUADBg6zKQ2PWZQ/Nly6IKl8Rc4KiR/eTtcygICTT43DLyjB +JFJNcdQ1JqUJtDs+b3PdFBYlL7QFnLk7vWaTJlswVC/2Kq+vgihnoNynaX4TVzJZOaT/k5baPM5C +3XGJDKtICFB37EkkmjXBD+FvDL8fG/31pTJ/TYAm3GkkeKfHM0cHvpjrie+HDBrKWylZzgeB9al8 +ZPBm2vCd+0evGV/bPSQKaWOf1cbZ47Edy1+D10Z18MEA7AlOq7HMWEIgxXE6n8rAw8+Fxhasmrm0 +Jl3glanfaaB1XvSKgzB9Bz5dB4eux48SNkg9a7bbvV/yhM+Kt1RLZyWwfSdtos+9vMr+4Wu/0kr0 +eNLBgT6HizvBqtAVKA95rEHp0GZ0UTca6wH5tWgp92AsCKuIyzyAFgNzkeybq2DCM6zkwLcHNIjM +fG+13e6dp5Ib7VUpmlzM8ugFPMsdxNQIR1RKlRzqLvwzpF2IDFYYy6iPz7Pu72ZvyJK/QIVqpZhV +SeuWCery1OyIFpwDKefKmjX3GuvE99ngYjM33CStJUCIV+W/304Y/2lvqinlI2bpkN/7byng3OKi +frdeYY1WxR7uZiC9tKq71tjJ84tDqJfAiUuxeoPgVjmzGM5r85pogTTqMEkjqwf6rVoCkY6+Gjw/ +XLgO+yevNyth/r/LqvDiQ5SzT/yG4mI0Vv7HzK1OavugC6tTGH9hpw4apLk66qfMeiK7DaM+7cWK +pl+SRQftf51kVrYdbcDrjRClRG9fscy4/z3Uqdtpoh3EGqWYs1jwUMyHqoYWZJjWCxbDFHRzTBnS +Ms2gJSkbjP8ZNbsHBsVzUjdoWnCG8QBI8E2mXBTJsnH9Lijxmm4yJa70lWMworczGTrpGCJtRbvo +fcgOSRnr3o6sBZHM0VzdU3ohBPXGVBnT+RoNsV5g6PB0q8pVcaxpbHXMdH1kQwH1SLjnDhXU5Iu1 +hb64FBavJmuWkZeEqy1OivjB6P/lmwbkXzohCNSeb9lyHYmZLkW/vCEiTUnsCQIrONAXRM6WcEZi +N5OmL3ghZ9cMflIBk0raNwCesrzFI5xnHZ1UxZbLDDGY6yxJnUt1UsKFClQgWfI7Rs28W1l44Th9 +YdWDEdSB+L3uyAAfVGKqUk7J3Dr0NTUy/MqJhbJHYprxFS5H7RWrGY06zVc4t72UB0sWj7wS0AWx +CWIASE0sGhYHWPNRvxgGvR/3mqqRIXPt8cP+bjCiYSP1ibuNidJiadTvmBlA+clVZ831yVuQaCkn +Wj9YqaElQxKui8NM6mXX1/Uw2s1d0AWMPXFa8H4Tvq55zp77p1efxGPCCbga/COub8J5NlQlFcr8 +qzJxPjjU8VPX+z8NiHWwHrbRymT7SbNrc0jls7KfESwp+JNhhouFS9GHYzHTvRV0Jxp3uYAonE9a +bUKtXNU6YHHnObetzzHJPiHbeaGwZMZ1a24CZEQK+gFjHdyxgqawlXBz0sTj0PXExRNx7Rjglr2c +W8a+VYeUxYCV+mCKCowIKepc7bpvKV0zDaX8ne2/xpmofRdW3WvAQcR2mqJSxQ1faYtNAZjL7MVL ++0Hs77dHweq2HUFRf+osBztbR9Lz9iWXs3qCLbfn99AyPga1H3ZeXAYRUdM+pk9TUrkjOe5TuPgX +g71iiJHBbYLynDg5U2C4Y+iwbuL1vuqADvqOrhnYwyG/vFdzy4y8dwmys9K8c/MNiO/7hn4VJrKg +CvAwlDD+a6t6vQXC2bUlMyN+U9eroDk380YgU5h/iMka5Ydoy9R/hCHlIgXcr1ztwAfqeby7AOhx +R7/ExIoYHT9cjUA+Wj0KsZtaf18vqOzptBh2/oH0y+iQ5ZV+u28qW1A+oGUOaLV120JwcW59C+lo +U9SJfxn2YgJyOVfpr5fS8slE1HvpAuWa/FNuWFRcX9FSNZwYVmguhCnw/+DGIo6ACUEYufqjBORg +bN0MQIcUbkw5UWEFPKpqcDXiDA6Eiw9afIjA6/gUF2NfWJ3AaLKb1CkpeKN9qN0At52e7vgddunC +u90nujIeAoht4tYfIcfrtujpe4QN3vLN+/HKbGpHeJdENPB0SWhLlUamZYEjLDml7b6p2R/Em9JG +s1/1Z12MKglSWDToBc/wM0U/beaznjxrLpRIRrwHsDPGhqEwMtce/d7JHxO9GTBgl3k3+YmqOybR +FsFe8VJMpqtHWWEA9hIJDRuqqYg/ysbhWLMTjxDXaFXZwS9Jp+wF4bcQQ5kjPPscpNEpuT4dYq33 +rS4wf0jU1ZxOB0FG+xBp53p5HFTjmRVqZoMyClq+M8FsWPbsdi9RuxJhWVW/Ucsr/6tVJN3yXVRE +mVXKbjrZO7lzrwhIcCqE1FSsgonC4Z6SP9YXDSPogxCQTcsigNv2FrVE4dNPPP/WPZHZrxVo4FqQ +oW78onw7t8iYp3OE5+Bsv4LHKWboUswWBEG+C+UnPCKegkoo8DDWZiuJtV8UZhkgMF41xN2MR2vO +3WXAHx4D/08r+Xm0A4zONYO1HAsMPhMo9p3GvHxBWLpnNk3zI0KsetkFKk8e9lEVq8TZlXJwqwZB +2iW3JCTueUE6Y8340zrwIllbqzyz0Sr170Np5AJtYYPVmDZb97tHJHT6N87ExZC1dBCeEp5w2W8v +JiaFWGFWyY7gaPMlcEE4Cpu7GjfHCGzeb4DI6d7Pd3dwZYChreBY2APJOY/5tzJ9qkORsmycwDDs +lFTLItoG+rjbXVFCriNOrAoDqDiZL+LtFpKhufyMQCj7L6xsK0K97RBCAUkwnxjJitvugC1Tvo8K +q31hHJJ0HReZtg3qkKf1Ku1H1dyo9HT1pvygv+BxzdCQax/VAwDSMMlX4hVm0OF2RTSqWlu785ro +lznyJvl7/AV39LQm8PQOXvo6cJH5mRRgkepLwP3tfMAtjGsy1+mgCa8j+tATZ6xOlEz8L6l3phHs +RpayvFm9nl8LnnAP+k7HwQv6u4LO5ODMKouVVzByOdLe3pcvxuq8hASZ1TNwKtyMejh9h0wye+EB +Q1QQjgWhrl9W2K9x8yVXXF5WB4/l86lxh+e+oIW2Ndt87ygMO70OrrnfgvwgQA2MrIGFt3VuEsU0 +CdVeayz5GVUf9UB5SQbi5f/osnzmJ2pJVR56r2/QrftZ1M4lwCG9TM8TLdumPhKrIE4JMge/2WWi +yt5bKDO3V05Orzr//iWEPII/5D6eoL4M8Tou9mHEXLunL26zdPD157dICzV/oykTFs5QBtk4juTR +nsmveejASMDJgna8wv6J5KUrDQw/UnpNGOXTcthB6iTffmIfD3bvO6ubqQaPheVjRyMTJELJ6GDR +PuveN5NTPAiitgNJqHiIyLPMzeqDFPyDcFG4ourU5GYxt79po5K9mrjDkbYICHbncoQB+KH+Z4cq +tWODAKcPi+R1pxLt0uCO5ngRqVd0jWA6862fRFffCymKzCelVy4v3T9B4wWVY95tumD/MPSOk9do +uDu61BX+WKAe07J0SYFPuuV0VflBeDSpbGat/Wm3sqrqnkDKfnbArFcLjNUeEbFfCsYo8kmjrpyz +unYszkmbpIpA8ELHHE1/oArvkE4fwqs2KvFkuGl8uPiablSSbYLFdnTjo92H4cQ+KwghkWu0GCHN +PGZA0LptiNK4lZYdLyW3goAxNRNleObBByfD6AEXhnT/lEmVFSGfhiOEJ48ipCH8l9lKC+D0TU/v +OrsXDhxtisxCbEu4qD2Wt+c2rX1WrrGeU2IxI+ZU0fnsr4KS/BJc/fsK7LNykubxTAiB2/aR/0yD +IIXQOXZq+z6A6tM3DqTn4yzzEiZRETIvT02zqHzNu3E7S8uwZZqHFAfm0hA+IcT4MnfZK6vKU8Ep +znRZlX4aaeT+Zcirz3AbOFwz5x+En9ruxupWNYMlSXFThvofsMRkSHBUWCc8vguoZXCmAWOeyVJU +KJCFV7R28dlfxaqCKtw5T4OEjZ/xb6ecZovDZR/aJwR9t1Oo3bMyIg5J21SCWWmpH9gKVYixKixF +TdFcDt7yJD6yS3eG1T/KuJphZS4OJzdkK27cjiLLdUgSj43rDBxd2RWk2SoHMdC0vVRtilstsrmf +hVsPHMgEh4Nhksezbqj7ioPNqCAYPIBAuWvMpg9YIGxZ42K4Rfo0PNjI6gDna42UV2l0i/Yyrl+G +dvcGsmr+vhhOL73AQNVUMKn6DN+T3+JpR4nuWk2bhSU9XX1gMjcMw93VZDvu9iVx0QNJoOC9c3Ch +Qi35V+u1qakZiY2lqAh8X943iCMqfI5C9mZkFRR9bVCW6WXgaSFR5HppVOB8ijRxvpN/m1gD5Nb4 +DcKFdIUrvO7wcw3TUCh5uL1Mp8euiQQcu4NA/sr8m3wVyEdgc0jT/2b29nPUV5l6FtK5eDXgNVlR +pvk+WjJzjPFpy4sutOguqVoc9r2EfGcp3zuzuQ6OgIlOuVkbx2I8awS6Kt0mNXNcdgVM3St3UfcT +DVLP/+OZSpVa4ju9Qo9FWJoZ3AzZO68Xr3CDJs/JAtepvfFpI1ezDv7eFR3Q6UJbJDQvvfoJy24r +gaywQlqUF2pMkQ03C8XjvaIBfl8dVcGuTNgcq5CYf5LgCRaGrzq+9WBN8HJJOJTanvW3bPsD65L/ +gF1qsmi+MFfkJgm223fnI/bf7yFNyUx3KxiI70TAvmd6A4+yhuObKVgDQ/tDoqTn3GPXlBv4BY9a +6AY6/o2nHyGNZSJatp+ETf6P1bVSWrTmQ4XHQG+Y2bO7bgQ6tyfDTudKC+/baMyasFJMtSb6ztSH +kzq+qqrHXgEmdERX/MIlUKq2QW4UHYW7A2IHfvo4V6J+pllVel0RdVBlgHw10cS+wNLNcwqDP3w6 +uKA1fDKpFdoxq7Nzwm7j+XxiSCC1JV8AlURWh9OoNkY3tWk/Qb3TC+qJ+eb7l6pMNTz/JeCe5R5Y +RTZhADlmAEQYqFJElywsDxPG8mGNL10OOfcnH8MewvY5sVqfO/TVJygax7+nIcnHMyDVK+StAyrM +SJICehmyBsbdrjFAH4bpqfflsd/ZcSJ0Qi+1OcSXPY9q3sXNkE2tm0Gb62w2LOqf8/7WPk55uH8w +Y4xWnBSgbNnjj/B7hMJYhP3R/g/XdUm5Tsz02VyHThm2tXkb3bi9QgkqXDjKgFbugRZUmavintDO +Kr+ps5QOTvGtQ19SW5bzgJdg035CFLtVc2cjJ0ZSx32WbHUbXjC+dxgB3hPX4bLjny932WcoTcfE +eEL3w+3zGHqhRclPQeHLnOIAph3x+VfZ1YHTqfMflPxXHed2aiA5QWhyvSVlIEzH+gsQd8Z7CxaO +ngcUCWpT0Q44I7UovNXyR15O3m2ix9Cq8bRxG2u6xDE2ob8fanE4SgRU3TquyB4Da94E9XObHxyt +6moB3CKflsKNr2X6aTJic69lMClQMdp5aso1A3ITAETsRwBBEd3ShTeqh2Iq1tQtx8bMHSkS75Sv +QW+PiXHSfU0F2CwUSGRJc7ePEOk4Pj/PJ+OFYaBkn3bHkwSx1YwhBPyQpb4UFCGZcU2NVm/WtcdV +wnE3Jx9KRf99jamtkUm0iIYYfCC/r4jZbGDe6TDgoWdQwcXhoh4meOdrVLu+Ai5Z8XHYhxLM1JDV +HwEGtFLMC4Ie8mft7w1ICWXdrQZ+iY+BDt9RO3MW+uwiNtSY0caYWcacWQM6fSzQkSIfhYmf+ecl +8lKissdseJiZ+hgviaMJViPAn886I/NBbdDag085ERYPvM3adLfpekmRARm8rAnSd876XZEZYmbS +ykfe4+0sSINLbfqD1pYK0cuRuHqw9F91UVGClPi8/c66I5I/LVH+NGFICOJs4teaAcYX6f+uO9p6 +Q4POPEeoIP9SNhxjXJDb8Y4b4TaGWWrNLmdxTPxPPOd3L/JVBBpkzPH630/DxAD70WpUWykOEpiS +7j9PdS0dJHWeWrjMfPXicThUuArhqtIivCfKH4aKAns/iAG7TgyKAfzWdIe5oqYXStsc6tTfqUWK +NGkfFcNCwaLOVJI6omjRkfqF+odHVD7IaUp5su5n/xSHC5MwvNRbq/uYos2PApC9FvshMtSNE82K +PFaUxbx6IsC93gSSr97aArXfI9V/yulEqt02GWNPOGkRHsa0KJh1UPG2xxWwDWbqVCana8oV2y98 +17lodKfvloy89rFfWKWiKlXzenSQhl7t008YTQ1SHvlx14Q2olc9dM0vU2muNK1htx/6uNGygeuh +i47sZpM+UydA/PjV6oWeshzG5pLNpFZlQm1oSY3fWgxvUs+FWBiNtlmKfFJVxlNMPMPxnxm+JsGJ +QLwxB87LKhpo3W/ZnTuI6E8HOF2yGh2+1mdR1BoB6hXsX+zDAy2us2l9z19op7yel+qR3ekBEG7P +gFtNUjShIOHXNP78D3NzXp+Z7ZZPLSqCzUkdyJU66jSF+hTHhuJpXYHTxv+LvWRE/FegJuzBaTxR +avNu3nJBZBmKeUg9sW6eWR/iYHHw5lJnRmBeIUfjdOg6Jf74hnSkd0SKVZoKWWZlVtmferSM2AKI +PJHfX2LDCOjSpGv104KyGIcMdC9JoQGxR2p1HVBfkopmGVBXvp251YnCoY2IzTc0oC3XWNj48jL8 +7IpeBtMr1SEbua1pEbtCO01TXpDY/xrzw0gixCzAToc02XKFUOGagRAGeaeIkSd/Lr3h65dyrOJp +0AqEvg2fCkUAUe/ob8bOpKPjxFikdzusb95YfnPoTs5mTbi+0VtC5SeTKYII2JB+zzTHffbUerXk +xTGuOeHi4I4HhMxD/ZkeEk0lbkUSKgQjwnYDxvIFg/aMZtX1XyyY9motKyyzligiLxxBkOAr+xVA +78T8AkgMKUSUqMvI4lTAqhFolIBYGneiFHPW6Huqr0ShWIW7Gzz1vguc4LKwX5oKINKQzyn+QkeP +Q49tlXp23LtY1Mtq0LpX/qPasVSF0onlhWfTDXNkRPyvbpyZmNiOmrfWS2YOUprAFOgk3dCQ0vYN +k13eBRucHVPxuwcNolJ9XpGP+rrZTXo5haFguPkP1MyAg0hIPQ0aro76Nf19yH+vY+qI20OPeaL+ +1Ezys5w5r7xBnrZWRS1Q2/uUp2Jt3ZPfkcL7bVBwxHE19/UZDij0+rR14RU69BVnPnSPaqLR8SVt +zs2N2cFXpquAwLzwr1AspTd29hRNdzyva6kbDLBxwcHVGMl0lVcNlXcb8iuDcKX/BR6PO0CLpI2M +MgMfgJcxWZMJz47RQ6hic4Bexuh5NU5oj6G5m8pEn5SwAlnEXZetnqCVX83IbOaVK11etwLLhUip +eZh6GH/Ai7a5hvAWxEhDeyqZAfUe1coW+Mx7lC+DTVg39XeWRQ7EpebzIpFz94qB3rvd4YfEHE1M +PacyNZuoHfub4xiqKAxhFryY/k4L6fLMLBArJWzc17g1FaxGJj9vDdm3UOYe7ihbXosZ7s/cmlU0 +cBJemzacD7BciZvKBZDE713hsKRoypez9t4/DM2+sTdrI8MUQzNz/XTIQfdDTuOi/BaXMDQSrjvF +sYuD3s4dBh6NKPbdT7K56OV5w8qAC1TLL8OIsyFePfoK5Hoqj1vhb2Q8/4XNQXbRt/itVqY30ROI +EUcAvJdjBeH6RhlLhPI3TkJoPeK0Tm4iLWNYefDpqH3A1p1nWdc6E1e01udRXGwRLbl17JHjWNMM +8d3JMIiZuVqUHXD/fRHYTGFafFJRkrV6Su8HpHEVrm/btNQV3sN103mLpKr/f3SrLDGePaauf8vx +/ENQkbolIuVOvZ7NhRmTnh8ffbrsiqGa2twcKf3MfXygfMg1kzaThZsF5HkwrYh07oUZ8SI+w5OS +GD/3DTPBG5kVhzKRoY6nHVz00wQyOKjHIGolyz2meyfvUSPj1kaq1JffGKIJdTfIG/+PmOmD944k +qgAOZJUEXDmKIrr7WpOLwMYu5/akcgLc0YQh9/e5LKVHpk/U4LW707BdJoyFtorvyeZv3Jz0okPv +W7W7Yu41PgtD5nbKY1mTOhEhOzCcTdOxlPSrN+qCt1LmJh6Uf8hYl2/UpWEMIxEZeNllMGqgGFx8 +PhqcEHSb/gWcGaZHMVwgpkQLceV5+fyAayb5DtzkkZysLscCwrid6IbAH4/LwFQGKJHqrLlHg4J3 +uqM3+BidbN2kaAsnvb6M1hXUhSqnLHl+thE/03hrJ86jVPYlOCXpDQmAylAHGsYbmCzfRBDbiJnE +9xydnn48YzlDi0gyUOzeOVsuSuqz6wdO8wL8LnwU3+eLTBRmF97dS/hqiMaDW5cNZa+2wruNAtNo +9IF+IgjLOgPoGYRXuaT/o+OuC9jn0+WfShujgqgPFSSAZ4GmKOgzf7K9vEsZVLZ8SHRPXWRcaY34 +6FyEulNGnfbXqdJ86giYnWrW8WVJmnQvUEZXXHciKP9IWm8UXadLpGVLLDtx492qj3sEwYUjWNZC +q0ezdeyNX6/cVhf7R5dSrPbSDQbWeBpY35aHffpUHiTivz/0RGo2T+wcM7MBS8KGrcjcFqJ0rYRJ +JBupNi+PmCEDKMTBgEtBLOD3CinbZkyr5eMWboLGIe8wMzhaX9rEFKDP4tMOa6RInu5s7bS+ELb6 +tjkVmYXp/rkLVKGFZFf7Qwjs+Nw2yuQnpTc3ie+418WGuRULeSSytUoFg+t2YInMPGG3IKkub1CZ +ZnBMkGU7k5FbYIkHrxk9gy6o5W+jgR+uQvgOCJS5OpoByZ4mvnkUUenbK8YxfFrBZ7Cza3S6FJ92 ++C0UL85wftftZ+RPSW8Nu+ARjTdL7xzZGjmKTLDnfi892NQB5dRXsqdwx5Qh/MRslL32OHxZ+njN +bQyEmKtolfdqgILosFlQ5fhj9Cql8j2QszBilkHC65vCuQH0BFnibjghRyC131cyrZnklQqlwd+7 +EHVbXbKiwYMBUqhtXzNjMHsO8pgYt4G1uBCGnqp9bju2IzQm1oA2pxrM9gYhUxwWsfZ/9jV9pXAv +uTS4drLnP57NCEQGralPHIqBHEcxCrpAKp+1UZ9J90eX1qcjyMQ2fdrIuDEAxoMEq001VQxo/Isx +2XlOnPPNFh42ij4VoK5Vgd8d0G9mC/C4SQrVVyj99YbBLL9ONNZE/uaMMTNCcS/leYDGjseW6fef +BGnRcIVQ29KtnEo+7ZnhEm2b2GIp6xJn8ikDC5ADguIZQaxQ3+opcNxZ7gArVN5Vk+2kDbKvHIWh +ARXnhW8vATlX/ru+cwG61DsTQvjaO+9NbvmmdXhpheU79Z+2kusxVXSwwIhht7j3i1m8NQQJl24m +SD1mH3Z6EspXjGyB3DZTSheDH/q7rDNeLGL4SwwF8mCTom1cNMqOvziYPOaWT6axq2O4s821+/Ra +w+qXlziPZUdHQGnmoWa4076hWcqmL/7KmuRX+YKQuR6tY7W2K9pZv7zuwP8lNbOfBTbaFaU2iTtw +fAHb3g5OLLnA+37YjYhxKh6LeA1PGypXmqcK+PF02LFZL6zAO66K0FVciqYXnAjVZdoZnnZy95Lv +0TNUdt9KcgxOV4rsHvquao1BioEBYT7XbNJwYSk7HKCSLT4dPfyveGNwy8g6R0kUzmpiEFV1RZRh +PFSR+8PlN7G8wI5eaBTqVBFKHC6qqeuzhedAEGkYHPoupuFKEWUgkrIyehqbBbVMNfzxzky9SJQT +5x/zzhYLLzEN8nuabyK9QzCghXcMR0d0agnEAWyam4zWref48QKeIUP+Jf293E1ggNICrD3yRsXl +CLdq1OJkmITfl6E+Cga6WBfYlqWB5xI5jz6WNemDowF/uDHzGejwXk6+NkXYeU38W5KC8hl5f7WW +/9ojY6CH2k3KB/wkyfcTSnoKYxEa+t73E+EJ7D1/H3i7Y6SB5W3Op7E9zk9OpPISQhXHJEBNV2Uv +SIUxJZnixnsC0LOlrIuS3/EASf8dL5ockIFWQyG0oy85QopAUKUAZbvSAyZ7yR7uzzqnwigR5y+u +9I+I8nI2njYkW+ArjX3yP5ehpAlUZICayCimmWFdkQw84T42KrsWaTpFu1rOUCKHhCwJUgtSZacq +RDYVVhYlqX7owm1R7tc5p46SgfEl0EBRtSk+2tUKBKN62ZXMSmGxLnSpDAjckyXvRcZey3VesaL9 +2HCmgKg9GdhoVl+AmniV28zIWwnDbskijNJHMRVg9sYJczE5Qdv/DMmjhkJVlHNl/Dy7L71V5unX +LiUM8wUIIJRuC68FSj3miBYQbjnx9vs5KBDgk/VZRR/61aeXfOHUOrw+Cr1+EdnsghwObGqUb1E0 +h3KU5WI06Wiez5Dv/lOK/mRjdU7Ixn0Zmp1dD7vuuxN/osbpl75vbHATcx1bxT0F0r+ZDY0y3rCB +E6J5Kfb48J0fR+k8TIHYzvISyLZOng7l+rEzfKHyz+hT4PpppHGMU12t+bzLeEoq1kUythoQRH6V +15ugGYKsLEJSsjEaAw3nfmW515+ITW8v5Tb0SVHtxBazVageH4aAA8UOEdVHKvK1/7M6Dd+881Uz +VEsgcJILy2ytEFLWYsZV/aeOmYKC0fr3BFrZ4CWFBkraTUOOhz8w6/qZEl28jjUTyDu81n8X/t49 +VNuDv/JtRqnDE7D66Ud3wEF7c9JpcJz61AqA43lA0lqm6bC7gdyUUIhG4gBpWyiVRnjAyu8+HfZ2 +jLmtD34spTvpmtrFfdIGEOkfnPWphg5Gc9tgycOYSaDqw94DlWkoTjaO8t9rhgTy3vX3mRbHMTwg +wlpc2D+fMLT6Zyh1qfiqBpPIa7wdA7puNJC+IXGD2cT80MjLMwQQGwoZSQZImj/G7TczbZToI/fy +hD2+sp+JmAenGaLWAAooLRT600krCjzcn4mDnSmx2Xf/+AARAI6D19B+l6qWduMIqVyCREy+hGER +GYxGc8clqM6FqbhnU2gA4HpdUTtICUqlyUmJ/Uva2Ey2IMWuM4BUghdHG6CjXearCajZqQpxGYGF +FN5vONmjTLsWvSJTpGsd+uAVExEdYdKmx4GJjU4xvjYWeoYYCkiiDjMMxM2atHiwki/Mm/Rg6kfl +r8cs0WoeBA2vGXDrRMl56IRmyUf+h73uNClbMqwoLhLH/0xqCGfDHGLQnHi1d8Kr8TbcCkEnGoTU +eTXn/CDVz6rTN3x39ubRYpC+udDIxhVPre4pXyBCh6+ZvEiyR6nh3eVEGl5xmUYnwKVok502GQwi +Jsqd92+oNzAikusTyI7Eq1VmM0iZx0A2FDtpM+aRNklrRTEw5qSdqo+CYo3RZPjIg4PtmE0Ka67Z +BmdaVj2/cu+wfOAyqjqG4Bdom50EgEjCAJWUXdFizs9C2Bkn+ICnPnx7bV3eM1mFbp4IY+hukPCo +lXdXIEL93LdJ/6hDiZoWJksj/h3mms4O7qb+t8rhng5685vNwIvouQ0kesp/EMGrcigTmmdPB+1Z +nEjaAe6pnfiDbjkgI5vOSi7hfC0PYD2kFPgl/g92VrUaumTL7La60W0+4mM/HJEyK2QZDcX96+Db +mYfGuNWnoz70oqDIf0W5iZioi6MD3LBifKz48tGHptYDOUXWmOIG3Wv/P1FcP2mq0djADIjUE8gE +6VcR57LkJi8r2mOFKvrQ3Y4wVsEisu98b/PdtWXAf/QLv1HABaAF5sWnDanjM2iOA/kaeFE0sakh +SYQMfUlYwj3ElSdXRQp4+DPvRMgs124A+KoFBdW4p4OGrxDHkQJwosVkVyndFXIjesb958icu/DA +yiipqUvdoOoHzEsWlU5lzUfO2nUaIUYPABs4URmzutUc7RH8H821CEl+hvjGPmt7FfNBjPy3Eff2 +bAGBOCuaYWrM13kHQbcx0OzXu0sjpIaxm9BXEDG3nuwQW33ua58t9/deD4EAAix7/FCq8XJM+AHm +enkNQs9snoMFXjNFQMQp/4R7YYmHxQHoQRY3bOeCkZTinHmdSfsZCSG9HQ0zrkIEzOfMnyNG2xCs +h9rg3MnLDEk61yPfKCB7Afyb4x63XdSdL6z6U/Pvy4DM2M+91uftwu4X61txNM46EIy2yoOCV1nz +c+mJA9ZchrERW0KTx3jlMznx/J0dFDlBoZKgtErh4/Hem81BzyJeDEqQh6SFhsJ5cUb+ePb/yG+o +KMgahWII/olDz7/0/iulqQDh1kS9qWmMQMtyxS+cDN5dvvvU0Lt9ZTpXnFhpAXo2FYIHOSPI8vwj +Yx5GiEbf80Qrs4L+Z+ka/MNsUZO1JtmXT6hGjPeAcd9Du8h3rw9NxrfPKRKY0CGp99ukX1KHmdDa +PA1IeRysmygvBedKOTxWUVR9IiwXQyViJWxatl0EsLE76wI+9C6EmeN9grk8sD8dGq7ISv9BKgWS +XL08rDhoA0diLbQkasq3KSx4Inb5wXqcPJ20qhQ7AthXf37T13xQPT9a8heSpss1K7qeJtsXmsu7 +UvU7qxKu43MsMUz94VH278ghjAby1mwsP1avXAAvAMIHl1r2M04kafv1Kj4jPofzLxbeYALYGlog +AaGVN4eU3dT+6IegQgW7E/qhW9qBpzfBzh1YZheQSSeNTzrPX9G7d2cCwFfP5yHFiekQEoTFw4/e +gmyZi43qeQRW9u5ma8ZvQBqhdHMEu0kd5pyzGGUb1lKFWz/d2o3EO/i99wMT2rKO7zl8yGSRHdO7 +FfVNGf98msXy806PuqSkz8xr/DRV5ljij6amRJTLKg/uwSulCNlUo3lbGd7h+y4kpZ/YCrk99Can +LtKOfnAB/8CyK4iYMXzLsGQ4H6lHnLCp7EV6wc7sOJ/zjR7GHFsG3ZONiVnt/X0mkCh2gjQVym9x +raEYvI0/JhBCLiCJSagjv+eLMnfYyoA2BW++PCgu1BEA2Ay7lFkdn6t7MovSqqVeURhoqFkhzGj/ +9CbO0yYXEa0wVrhyOUo8RuYgUxrKPmIW7zs5dhhWGivD+sbp5RPSl7CheTQ4/1x8sDhBmsHvkpFq +uzBZ21JMatP+k0+ft0cMVEpU0yCFhmK54KXP8aMGDGtFGI8WXJ/jIhoJpr3BwwstC4SGB83Y6ce7 +PnB1iBcwQWcLQnqNvzQgaWtY9dOVTN8UZM3vK4uokeaI5pcZ6Ew+JhHaJ73rHUO4ueLSwxQQ5nch +Lj/uJgEmcnOTYbejh477FQQIuYM2XtOS6Gja/hEpojOrWjzGHsi+sjynxi+cCDmPx4JGUkFEyEkL +Hig6iozRzHFK1OYnaGKiPXbXkgHd4YB9TBXGga23aOWv7gXcCz6CrsJRk6Ef88EHtexxDOs0Vhdr +d5hYzprNML60qhcrX42SPaPUVHH2lhGgGqlcyhifOZ4NRJ8Z+dRTSac0ROvdDOQ7Iv5w3vs7xEAW +2c+EZOAC9PodS/YoKtgDLYO2xM1xWznzCgWkNJyuvqSvSEySmWqoQdPTdxV0JptMyeGet9VQY2p6 +SD86AEZWxfYNSOlfM5+QLvsynd88xnXYWyhpR2kkf/LCcywc5qxeYWkW7YUM0lqj3Y5xdFwEKPeU +tYQxF5bf6tnhFmmrGkDw97oC5e9Do8gEHtodEALzoNTF3lC9Dtga/yGmqxaNGRB2MR8/YAPFGetk +ymBl91gjvvymbM2vpVh6BpARTbM6psWVmsrDKttKUjTtDKJQ4LkPdgpVUktX+0r6eEC2vMpDuHkE +3+AefUNaO809J+UZZjJmInn7qwpXKlWfTp9rxxWWwS0McvVCdL6a8yYof5ShXecSo8bcwAFvDLBV +ko6G26Ny5+SYPwPBq8eQdz0LHcCWd5HGqqTUwu/d2uw+a8i2X04DTQ5rSn3egNyxpKLUVp+8siy6 +B7TqOVAsNmHHD8tBsRX0TTEK/ClpDO8ymA2eo6WD6YzSyDWbDu83ctFlzF6njliZig6aBffWjy9x +JpFREVTV7YRVaziAqn4MJrsYPRJQ5k92P3DCBYfLa59oAOh7WcbZW8Du0TsRpVyL0zhlm6mk6AzN +yFpwTt0JU5swCVpXaYX+YS70hMPMGfvLZWCJvx3p+IYzhuqvpzkSV19AdWy0ibUIrUUts2/kDwWq +/28MeziD8qyJQ8svUeMdLVVFF8NPrMOG9Tuy9zKYoHmvybIXcM3G0JgpvTtac6MO1qtUMZjadK3P +NCifY/xBGsqaPvns+tKtzsrFyrSUYCWDlZikX6/y3PMNvFZMpkr2nW8MLhIvLgfRFNU7FgI+QX8V +PgR6PC9NSk93moG1Beiia6SJlYZm3pK+eFmrHNM29hqYEhilJcBpQgiPfQ9tIxGp4aaFSEMzjFRL +rjqRRtxh4P9hfyCHZnCHMtFyYRs+rTjI9cl1XErfQytLsYqd/Zucn3x+6TrRWE3UHcvB6MQN36Oq +c2Hj9+ep1SKDzIk8w9Kk1Z8FtN/v/TNcDhZkYK1HPQPPNR2RDoc0nGEuubAJbXAukS2sxGCjUjsk +wPKwdNi5eqGviTi7uHobVHheamhTggke7LqepBNwziuDHq25nJzlhRKtTRAJB81TAE0sSXT7rk42 +pM+cHNwWWpzSND+V/MHsZ1dIYpmpeecx+LfP1QdC4TpOSG8L7BDzbpQlV2rSZQJjpI1n7e5iEs27 +S3mA3iqais0sTqhZ5966rIK4rvRIbAsvWLgwa+5QWryKgl4zVLvSm+9UidVoLp1+9zD604ut7uV4 +RCFGPIhsAnxAuXCLKROAENGhCWXXpJB79uL55V6dUoY2q7qo3DcOXIrqTEn+wVYBkBoK8pqFydUY +/o+Cq6DfNM/fo7EHdmNVgxcyu0rg6dKmlwip1YVWZ757aOw+7Q183GtCB+ek/BGi9pA/CJASz2bO +/HGxJtbcQcNtnChw4GyNgh8R3zYJYA/m7G4yEUXaHLwuKcDFle+cX5mR25D4e/sSr5/AyyfBFURS +f+/yAYgf3xF6lsOoVqP2fne1h6+R8lEz9dcZ8xboA6KQASXxrI3us653hVxXN6HZo4xkkjU/KQus +6R/WpQ4K6xIO0do+fWNuV2FO2Xqy39G36sJang9p0COLA1hFF3sdI47c8/kMYu0ZoPHAYvB4htwH +dRXDHhLBMf+YaCRut+eIZNtbLEoWyI0D3btAZlCnKwklQwqjWtMlnAPiztzwAOxIAhuxG1Hdi9wN +eAiCrG2vIFKEoNOEMHVlbffWbQr1KiL+w7O/VUyLLphy5paioSMYh5zahK1NJFQvu2HjRTh9VfAo +LCejzNO0eapruR7mRbzw4SBwrGZNNFmXwXjkpdiVMNT/ZcVQopbEUNFo51DCiSKFa3Gi46zDvB+o +JmuDVCaqsWupjXgkIdFHrQ4aX81eSE8tAoGyalG/7e1drD2cttYEEv+sCFQ7HcQStpSnd1AzSsPM +R8Bf6ffhdaFUY8KpWsRIA/2TTUNoREmcNk1olJy1EymVKqQ/w3grQr0VVOIkNEx3cZO2ajCipQBc +NZWlpyJVCVDRn6ThB0NTJmk2IVT8hL9hP0FFEg9w25QQPG+fVWbLqSdoQMLV/0+/X3j7CVwgBv9/ +uKhfcUc4yH2WjOXgDvgPP687bb74PACpMppS+OjdfbGSNwh5e/uH/DVgfG1RYLS917O4DcEmmih4 +WP2DJ6fUp20XA/pLV4CYNIodegkWWGZffeVYwF0gD+PJHVmCUM/nT2Bw+1+K6ELidRe2hgzdPelZ +FjaBR8cfjMSI/gpvws8MbYQVIPAyiE13zWXugRLr1WdBwAwdFBdZQTwOD3zudc1LX5EM+dYqUrKC +QvuBbrkrqAU0/BUOD8cKR/izgKcws3Eg8YqltkAFBHXb7pxI8hdLqiYxtfDEmL7vIuGH4E0YT93g +dksiOuF5LecHd2UX/rpaecjf74pNUb5hv6veOeK0TrZKs0oOtsRDn2778LhvEcdk8JIV3bi2PR95 +92+cJs4abwReAM22724A2kS6Nag+S2FEn6IQS4qmK2DoGF3L8zl37aUZiBAlhLM0BeB+yD7rv9hf +wfIzK/U8/nRCL+PJsERGFG6+NOEqF4fSqZMJmzL77nSmJGj/hyF6y2FcMxUPVA38Prk3RT/Vzgtb ++H0TU31QETKelW0pZIS3m8nXq6uVYJylfQe8c9s+gpdawVY/nzNacKSiX3RkgxsPRwTh7O4y6ZUm +Eh9FBQh9gFAzs1TEo8tBXCo1f5klvC4+ahs9BI1u2Ozfc+JnEbMh1H87nvMfUSWHKgKW1dmkhM9V +uvByHsrEawRNOV355nPOzyCBV1ePujvXzB2AxGMuD7euqeUrF57sge0trgqHSF8k2TU0sm7Sb60G +2dVZ/Nwk7fLdK5749KIippCrI0N0AOlXYsZAY6bpoAVH9msphKj1kKl3aodboE8AWiSz+4ZgOmw9 +43/I4jxsPXdYWi76zs6YTvpFTuYSLzfPPMHv6gyXHn5gR0imQk43ZCdMU/HorHaW4ZHxZk4asYT8 +1vOZJpsKjYmEpRlYIhKGGjOCE1gaKaT0aUX9T6QM4334HjTB6SMLDCivw1egFOG9hPZXiedJatBY +LiFaNSbgDEczz/Hv74u4XNM9UU8xKY+9arrIaip9hOjJhqfIYZNCBJKgwdYGkv9Ur9bVkrZkArJS +9sap4VrJ6fQd70RFb43KPiEYNx8AR/REH0HhKW5A81EdjE/xMCdsTjIgGm5c618a3xEs674cXvJj +M0YlozG026K9lbs1zevXJYvDYxewGuXfx5er6jl83tCOw/PPBR4G3PrYNZWi38lvweshubwmwxEq +oc1D3zQ2rX88fgQdafFQe9LIHG2itfFli9cfDPyWI/y4DNoaG42Vb5W6MSm3D7AMMabHMPr8COfm +szj+26jLifcfAR3ICmK4Z1h2X8YPNkTzHOgOEuKs0yudZFL8gm8bnzwv3sTyHSGfrFuycCtiJ97l +k2zP7XILcgniKCbjaueNJjWLPrdMgypQKG0G9t0XFIPU7PU8BWaPwyJPbD9Gpul3AxRWtLjKMkvJ +JjYBIEv4Dd+p58rvBOTVkxUmRLSSuADOUCVWZVs5pwALCFG+EbTmcHhMXEJcZ3EoMZqkPAujTQiX +hUGYKVn6cLTLdxrssyFrEi09XUiQ7ZoBCi/orJr6PWyB+6ZLCZxU8IIvPeI1iLh/lFyIRS57lFvw +gCIKiA8DkTbyrN48FRruA3dMACmBkoQNpV3egytLCaNaFUr1tt+SRPwo1RMHKBFC4WMsLoCrykfA +9qUHCz1QFnEzAo2tloNvYfeCSKlhE4GlkmZO6JotX3SNME5Gtn1hF3vCFRwAsgacox9YmLyT1Pi1 +CNRXhyRLhcMuNutc6V/hg5vtjEEEdfFC0vKtRI5fJ/4PXqQ1y+oZpf0KkvKcZvNM6Q77HBmJbwhq +CzkX6InIFzXNMJqmH6LtMlsQuJrIrB+SHbwOfNH14tB3H6T+cbNhaHUTDTJK82FxL+zwcgEC5LkP +wl1o+K47k7bGESTDxCV3Y6S77KMTxlhai709OtJJgWSmUVdLUG2r/ol4vEepA/81GzH2Li45GRQM +3s2OxicRdy+K7iaHHwT7vn97DWrrzZWbp/MxAm2O198ShA5Jf9PDjGXXqbzMuiqsWQZ85an8ISzH +/aNzhhmodG9jcVlTOw94O8Mm5wLTYKdMPu0Qyla0X1BzMGsxgRvjM3Qfxr4W7mIqm+iPvaXzIhMc +YzVdsQBbAHF7MwuJved4++zkXfA6cAtaXSWOgoBRaC+C9XNK/pcH6MTJNXPjcrIWyeLF1lSzDFtF +epRotx9JkPyqNdLCiqIvr0wSM+E4h34maw2AW2MZINelSh5liM9EgzeuKLdCGT3cNGyPunawPTuw +SqFm1i15yt0ZpZTpdHvSRKsIUNnl39A3OWY0Q6/h3alEQpCZ1aSf0nLfsoZQsBGsVXeuqMWgTRQd +z6hoODbduA/Bi2GaT32csqEJSnWeF7T2jJZRCGMOF+zfNmapJyI6QE9jyqRx74S6e93dVpDzY/Kg +fMxH3WgxTtMY3ktT2DiBEMOinRK4qF/DlzzlkRazbUXCyJezH58mLjGw3tAY4eEQe7wFzIQRtJiL +zeEM84EMG85Z1dRTQkkkvsoVUVPaLojplyPBiHCfQf1I/K4MOTfxsvKYv2qPVo+K/aUGPu5JhEjy +9hI0g9aMzZMMIgV6Nop4fsWOV+/5jXy1bTHKgXcavdm+abV//zu0kgfXdtpET/avAa4LpbLadour +xNT8sHhKuP8QLt1hYn5LksmyCftMP8m2IRzn9jQpCEy72Don0Wnft+Ng8mhDhLOhl/HUaV2m4ZY7 +pZCgnmxttWWFLSYq7IcOCta6ki1QmgUfe90nPhwpP0k5/sfBW1edRFozCI16Gi9JAcn+KLIVxdJe +utu3mJneAqzJGcbYmbEiMaGos5wfBMByLwpsiSkjXLlrPtdsLW1p6mvikjlroYzTa8csxJvgabmH +GS57OGD/NWmPZ8oEmr1WzBZG8kjexL21GVSI1aGzDqxO1BGFxS8zxArdk3nHqsiZv0/Wj35CcmOy +2+qi6hS0TFftWBSnBo+dBSgVcU57i80wxvePLiXHD1jnUCKsKUHoKf2K0WKI4rEazcf7fYkUuQbA +Oq1sxZOQYy8BY9P8u6cXKDmkfHkHg/DfBAALnCadykfRIkUyfoU7bG3Olfp7TygGqJeL+DJSE5Lk +WNq7l4ws8AfXshVegIZIptp0EDxh8YAD5r+E9AyQIKhjhtpnLYM8zm05z31eHMKG2Z74i4VIB1gk +iMfn6y+pSbI4y0H1nbLeoaK0iGWvXlZE9+LzmNLZWzHXUVFtfyv58AeLptGvl04JQ30vqZ05PCRN +pwFBbdheK0Xl/XK4HRv2PjvTyl0Q7+yhKPotwHpQ3W41tuVZBFYUt+SZcRj0B77t2iKLFBp8tS3e +a+XoYrziwYQvga6j+/c6UpBV8XJ97LshlDHd8B2n2cnX4JwrhoV7PLuXkC2+nhP8/eYJiU7e8XbB +sMkqrfO6pNWP+iP2gTyCs65KGm8JVs+c3VtRDqU0GIcoYrWSXgVoRxLdA/fheVmV/ts6muoCWcQD +iXT3bAx1/UBqEIsIpZZvIuC8D9NV3zrh//mxvhR4jzEBWImuNN09GJsqxmBfqj7Mv0Cb6kzKA0sl +4pCH7J7QDhF/DN8mtNtWlwM9IkzbsPGOvP1lmbMTXQo5PKYW5UERelmM+CyA2QtqFt1Rik8mntZn +xIDc7ucm1uu+LusykzzKTIFyIJRbbJal871T0+YbC0pewAvp2KSO1KYZOV3HTYwzEjCRKIEr586y +jhweaAO5j4ZQMAnfrJiLMp7dqKe7u/j8OO64J/y25WqoMsvdXD2A5DFESN9QD+E1K1091edqDg/3 +bzFyXYyheWY6+zlFTQXcvHoTLW5fgj53FMz511g/Z0RLMBiVcXIEjIoFhqqHEoWzEEW2CScsjrew +9sZaPEMGm4cQ2e66ImXT93Q6TRWZg1MouN3Z8HqupDA5pk9mrovRGyAkrakjhglPvWb/rVf5p0BW +PXGB1LSbi9dyIUU3N//+U7dcv4D2eTt6H/GAuwFmpA3Qct7nG72t7RfE9ZTERVAVxTk5CdJt3RKc +y6uJv1dA3RgG7PVhbmqR7xGEDl5QE7LJKk4zTWrqGFzx8Lp18iVTOkiymyS+UtyyZsKTBfGYb7GT +djuh4Ljgx2QTF/wW3aG3gw23nauBNTS9ygWa2cTjUcwT84aUOdPkyUeWBuseJSTpuxpCBMffta0r +AISul1ehrMqxt4HU+iLWCLBx8lRODp8nA96UVt07o3PIJ4sjUlHPgRzegkGc946YS5UQr3dTYnNH +itDmJ6Bx/khECKuIraKf9/Y+L7xNI4szdsCywu/atRmNJcr16hZY4oFLTRAgJZCLqrS18u07Pm29 ++OclgJMYhZgYSLL1UdzYLggduXg5it+aCK2faE4r+kIxphyeC8KEDhOwau/qm3FA1Ew/FfFSb6Yu +oum13Cv21EKjj3A0aIiw5Q79OmXuV1dSl0I4aFB8hqj/LOugczkaSKRr5Z9ks+j1z0d5Yjsqc7Cm +/DYCrLGNOgR6pSSgnNu6Aq2zqkvieP84jU+7exXES1cGgdTxapOmr0ciLCssu7xxgiaZ0M+U3zKq +yYhQKg0v6g+QpfgtFlnN9fFd9fNx3/khDuorYyZ5QKN0ZBUfzyUIB2QTsNEHS5K3rH80uyd+PLOS +TOk7OSGgrwegKzqQNSg8ZPs9UOXo5uoe8xFiHgZjdEKSxlgLixpCHTWjN5vagXeD44GVbSzdMp9n ++xsi/K6MY+mkRduSagDR8jJviDKmTzBTFCi0VfbxEl5WqfBmWOZebHtgo6D/dxTqfdkXynQAOUfo +qjkKwH7+Iv4iUdBKp6MAB2+zFawlp2oQ++2nmiBzA8fAKwAWe3z8hCULoEYJgjZvb/Gx1//PFAPQ +UKBDwwqJhVIOCRZfbK2Qpzu64alp2O12HAv8Exaq9CGELjwCieatydCVJxGsejpZmjBe2jAmXw13 +6mzWg/O35EEJc94xXMG11qW0qzGTYxMh+EjdKQbaODvh95m1RrZMeb/w1TQjafsVRdYKAUT1prto +42nVD0+KsBwwRtbwCurcBYBtmY4sv6IEzHbSKTwAHYyU7m69eqRJRRxRAYihjn8eYydEkur2N9dy +Ix/Ms2FovxPaezatq+v6LhXi40EMXg4QMpzOYyHCMWCv/HgrkiwsHnzxVu/dZO7+XU2AfmS66JL9 +QVKsUigclCeo3hvtOnNTcVeRKcu9J9xMlT/DvbWA38tY1Pgwqw9RAKiPBMoNFPEXPisnxdNyRKUE +FiVU1ySdYNLKLwCVl1OLBpZgSMCkb9ZJIByHTg4vjoKZUBp3Toth7C66F+ktGsEMjleaTDPnpPvL +e6pgjeuhIjXwDc/Hgi5aeFkz5SIc8XIze0X+0XmcHAoOSJAXKoazsjAYAqfCfkUUpYC4pDkHSnSo +lglDcCpNhDecy6wsLT/xkoyx7FREcu3QmZkKaFDdPvZiwD73+YjIuNfkjIq1Qe43pXOao1RgmuGi +5CIvLZnCnLcWUBNJ+h4wWt1qFvq6GDFWl7rO2P0r0Hpeio6afxPF+XlXBwUNhU0qCXmMXEdw5ecX +LS6+NxrJbZO1StYE1+j5adTSVLNDKwXyY2VaWr51ZOWvApPV5Z4bb/6TXwPobFxLIJqASAOyzGoR +I1hPjUOQtke7EmCGAWGN3y0p/NxoamUMQNx0O03BkH7jj//7fycMDIS8pt1pcKHh2FhYqi5rUlEn +JwRFZXbv/OWY07ypGSa2owI6Sj9Zl0C3uM5UFfLb3NPq8TkMq4LVvIx61hFJ9jtW7TRi1SaqmnFZ +l1dyfKwCfoPLLvZ0nKzaDXPdMvC4L7RTtfrObOVvYZQQw+ButfSwQwm9Veubw0Ti6DdLaw4k2Z3R +t8xkrSc5GgVdFr+OOe6TVH4Ei5auHqK4hH/W++LjyViufmZ/w5KpH/kOSbiEav26XdBl2dJEhJ9I +iqbte9nht07cM4YA1DYh0IaiHrGmiZRktc/ZQ8ZxoMr+5U8rrfYvRbn6Y56gpWGYq79O3ZahbHBu +alkNgCbiFfdtR9fVEXQMtfkUgD7FQzM/rjS/PL2tLquDuKQGNLape87h8tsglVx0BepYAdn6Fivp +NNai9IQNDdVw0UTqfviTvzdjFEVY+UIXtJ2x4fiKv7BphfQ/hoSkaGxu0qViiNudn6K9dWZPYSaR +PizENkYl0ew+DdP2W8m3AWkuMczRZWwOiSG5sM88tvYVPfrTziHdwD8HWLNxsTLJF5HXx4yVh94E +Axf1lt4hvDzdpBWa77VXeU1gps6YZWZe/SdLuL8u09c2KHJN0I1Ji6MoKygcvmeu4fiBlrBOhbbL +Hoa5KpL9cXQDbJdZsO2HJHC1NtzR6ULZVAdKglVFV8t0EgJkUhC9twCmbtMs1Ct/U/RlzH85CoQd +UQM3rhZG/MephYyLNDoYtbHCgBExSzpsB0OHx0QQdie4O1D6tASv3LcUOuZSNp8a4DRktQXGeDto +wLGpLGOkGzxzgtkN6uoDs0MC6Nbvw13oSnCnZY7xdPadJ4URxxkAj99Xj3kEMq19kkWoy0pljlMz +qPfbq+jBrFUQtnRGhm7NBSv2eHguEr3gthWvg+Y47frxD2Dfx35BwJxZGjJKGcAX4qaMrvVjpXSB +dMFR0s9DGpmcWNT227MJB+TMrierEr4HtKJGRIsl7LzcQOTJSld2WQmdV/hBiwv0QW3XC5Ok7PJB +DIvZ5F8144u15WyPC4FnVJaR+ypgzJpMrbC3p9ye68wCnwow7dcQXKgijPdqsJpL9gFZyZfMGgLz +jWNyEuQ+FgfTQJnKrBCgL/+o1g4vIN/fGNYfPXMXYyu2wMFlxrQFc9fIAief9mScMeOrMGTxxZ6l +2mNeoatwAokClGAWmGBuJfpzr80h8i4rGYBSlwJyctd9x1o255cEw7tKI1BuddfwjiXsY0VOHmMe +qfIHfXK/goKsDsiFXpFQ39Xx5KYkxYcktbtmueZ1Y5JabRw+evHw1zq2beNNYjJODtR+5rCrZh/D +gGlixmk4QnLMQ1qhzcaW+mIP0Y+mfGkYKy/UUshEm9QW/TL30d7G4oHam6DJlXlDLLNuTAyr7Q5K +v+7pkOzhLW4Syw2CUa4ewPOfiP45+QZdA/aa08o/8rdBhGbYV2E/RUFp4tcmOPLVasNlPTo9KZOO +/+RKSfZUqWsML+hLsKAzRIDAKR8d5RxqnrrDMLCJPND83JGyuKRedspXMqh5E5UePoa60ZtNkxty +y6t0pLti5tn463SiDdgIQHD4c1n+csEQFgKTTbypNYxUU4SOuifwZEvu6QcnaNjPoQ6PuU1K3OfB +HW9Y6vl2VRuDH2RppwCuQvqCfTmRkZrrV2t35paD7UatJH/FYGYY1EUBBGmTDBwWDoJmpmybv/hs +NaXAmKa8NHByldrErU3k86ldUm8k30PTFTTKbwOSWe0DMUINsubXJjMbLMMEufnSwk9lYn5klAVK +/aSrWOj7oP/SvjUss4zCGgG92ULKa9KcKF38Xzp3351YKtjTGYiXcMNBZx3WU0F35Nr4ztj40PuS +X4tfJVvzPi64xuWNnjO4u/Ehk1iGxJ7sHLPfV32girAEglp6vI8wwc/Yi9DzVFwdvgCt3pucAgeK +Qiw8wisTT1LIktqpJbUtXWr79piPSBHuz5RHX9m6s5JX+xYVtvMl3TWfBfPydQ8XDLCkyew3ZaZ4 +jAxCAjKaRjJatUI7yTI6qJuT29cRecpYH7fIrNK4NidrkIsbMbisDQV+ex/7exAOTG7U8RN4C2Ds +XcOHwEFOGE7k0lCJZnH/pChk7EvnjSrkCSOGiH3iY4lwVtwcsLgD4p4c9zg6YDSsk13kNgWwdeSf +IlmW3POR7pGfGZsFX0RrwpEskFCBLjk2uh0ABNPmy+gNZu1k1ZyiRC87Yl+dW6L86bzAquQVVru7 +PZWXMIiG99zdXVYGJ5WT/ZHSCfbjCKAsKBvCCOXCZzJAxiaBVz4+K5Vz7G8JfgGFZv7iITmCM+Ug +U0YAIvFGgmJKAuPax9c0L0Y7ZQmx+TTjCVvrm3zyDewBNOQPv6J7seB9d894u4KM1m2m3XZSH5PG +CoRkHQlu4B9cR2zhVJJ3INKYLHzk31a2ApvKcPgFMro+w1POrbodBjVw1FtHof2x1eMqRH+yk/U3 +PTXPTrS2ciBW4S6n/RjUUAW4X/CFy5BvZ/1MlmRceoemeHfJpMFHjcwYWW1n7DN5mbEC9T9DnfGB +fYluG2IKXXhRlJWZCkTyAllBreTv5fSCh1o4xt3lhU3FBQcXHBXy6ZdnW8c4qARNW2zYI+Yn9xEb +MIzmTd/Ci0BunLjoezLgUcLoMuO/wY6Ko2oNeStZAjL9vGQ/bM0luaKnQ6/rDrgB7xgm+18LaW92 +bMvcykTrModbe5XdXs06U3OgqY//DQqYwZUyM+RXO80scEbCMnQsDbTjrskpaczIf5+sRz2tOm+d +XsF4dSSTO1y8C/FQWiGxJaoNHjyhkX/9LRL6QE8OrxT8aYBjX+hsWFmZTUdJhI25kDWPQokDYbeg +OAjvZ0BhXAgMEXJ0qAbyX/qhKN0S46GT18EylnaUQkn0pC87vDeyZfN2IO2XYaK8b97lwyVTwbSL +6Ea37oJQ3LJb6q3S2806GmOjnLMbM56qo3Xyu/AutsgDvOv5SsZbjZQ6Wzi8IL96OQM29xlHbO52 +fdtr+4SURnmc+4tdyGpN4c9J2QbkBzuQEfUYNTT0732lbYCMeynIEXvlVZemQjQhI0zNKN/y8iNd +Vx10SSoy+yJK2L3yyH9vPXfm/Nlnp2nT8JOIoN53vAMMH/h0JU4dwDVDCUOc93k1n0hSBc/ZTuqS +E1dknnavRK1xrqjNDZ6VTZBPnJ71YM+tBE207A1qSyFxGIN3GYXZ+jVcky7/MbRWWVLzjJrxDToO +43VecnsSBwSVxxEDUOzueqSDxuOMG/oZRq2gX+ViXwLOjc1lmJPI2Tv9xrjFkyPFIYhH5H/4We6K +WRb8NT5+/GP0NSCbVuI7pWnIGK/x7+u1QHu6ktaDFDDiVKksbr70E4zC84rQ+eiHvL65HEvRAQQN +3bijfwdDXoQSVeW+2h19PZd0YCNzCDOfnGYJCl4NPYY6tQ3hylttASi5IcpzZznXPGY60PEFPwjr +SybYYEXVVMgZIG43gri2QHwxjlJ2Bl9J8EzPbyYxC90TKDSC8n35UcTSEdzPQhOzwk4Msnq4wAGH +x5LiSamH5hhhbws0f3kMxxkoCD9acDAkwLHJpEz0/GVgGXahVOi4+PcmpaDzWdZ20uO55RiS5dl3 +UhCedzT+Ov0jEN4YHIvItHTWJQHKWxeOljF0DNQKJGJVSqD/9K1XyV2YhZIokqJC90EdruwP79Xz +Gc2q+woypavGPUPJ/Go5oU7yxNmdMxXgJ+69fj7ZQYOc4BagmARD+pmpdu7WvWVARobtvaNg1/Ym +ImC7k27mn8gKFCb1Pn2bqCfOiaTcIRoDpjs0XnP+LJPZCjRYw9H8sL6I/ziaMK+VfR3PKB8kYBOi +R3GqT2Um+mFzGJ81p3/DO80ac0RQNh8axz7u0gj5AIIXFeE0O+Q2tVrZ1MDaZj9D4nRQar/1Q/Qc +nlhnoDFyxc5xGe5gGirzQqo7S/RQal1ITGIhprVg3FGKm5dsRm9L2Ez3CvnyGSXBOE8bpNGZ2I5g +klW5l8m6popPC8bDix+0AcJbrdK4E0jmTYAsCIVl054GCOdG2QrBBpqh3A1x/jq3pKIfRXUp9nbw +ErvayS1XPf4fwS16aWBwKG1iKDYTYDq0GTtbDGe/Hhj8zwL3KD1BlgeRM4vHYgXCwWMsfZkJcMwi +YD6+MtPMuv8eerAPYnl8mz8gyGXF3uKdwBjLxET8LT8S18V0+VTV6r4utbIWm5DCz2zDyBoEOvKi +58LqXhpmiqhvhJ04Ykl+Vufv0TDkMAZTnWEflbpyvJwRe7IXlDieGssluGx6tLG2JhKtGp/yT082 +OUEh+zqTYbNUsyvRiJggVQ9pLQI0YrjJ3WvVAaqoJievKA9eGOYb+0dp1S6PFuor5dxFrZR2pBxH +2XKmxjCDHweK5x+fCzU8hWK3+87kEy5g5UJEvlbpJeZb74bcsey0aA6EBtakhZlCKOkX0wztlwCX ++05VsBAZCVs9ZeQTznj1EtB97pj8FnPS9Z7JVX1tHFpUHMkt59ods9oYgrLDuePFUrnEVCx6erYr +HAql834TVd1eYi2H8kKvcN0aC5LGd89mPkgMzknnwoAfTXiMS6PTdN+tR8K9e/KaEgd5C2WwOXor +lBqE/9TaUPFFD0PP2sdJq05J+JvOVvuva9OTlVgsdvAocdNTZZ4caWx7FpsYlVpZrvhADkREaauR +njYoELJgggZc648R/7JphIz6Wr7eWoMbgjmNFrlYmkX42xn07s19Spp6fUg6Q5+T1EPscpIELv/9 +DRfr/gsL1kt6n4EO3haEQBkI7j13jzSP7Dzmy1ZuFKrDPqBLAsxGZhWCJwtcGRwRDJx82eVoq6S4 +iscaHsEqvc41+nyxEUrQdBslu+krlfKNMGf+W6nZYenzl5wQv71uA8eU6YoiECJXLe//KuDRWDL+ ++9nPxHWAD2JtJoUyONSql+ldgh7BabkutyW2FnnLJ7hJv/YvWY8Q37F7HJ+DicFoOaH29xmOMpoY +JPwqabv1jUJpWdeThPzEq6z7f1i37aavuqi3lHSrEdl4CUj7Q3BbBKhoT9De94879veWIcwEQ/Wi +kwZFtozQfvjqyE1UagdWcZexHmBlIy6ynuSlpcz1T1zY3lieO2Rzh2P1b5wew1JQAjc4luFBpO7o +PvzE2sas37qQCcR9MIp7JtTbf+UXKFQus6AifTErzJ1NkIH8BMT4Q8qfCn0Q6QlUhz7rdMoXrNfx +iSuG0mxfyIvDl6MmVjm71d1VOUfVdvmJX37a7Rfmm8JxDx6w2Myjwg5564XZarGCy3vJsHJxuUfY +oubXeSXbOK/8o6J718+jT4VZB4ugzKdR0KHuzlg4DA0u4/iSdJWk7zcHn1T9a1HIpl0Z6GHNvMhL +TarhERcIrcf31tlYXOvDaZ+Swnco1gQv3asD4rEgZUsUL52fy/K3ZxXBPTw3LX9cYAbLXPSsT7rW +Atldk5pwN+/302UsYxLoDzGllT94EPiur9AYWpu0xY03csJ6BZ0drfcZOSsPWgX/rMXZmhXNUQTD +CymDMS0bv0h29CGfKbZhpJRrSgTt/HHZXwaf+cmrdLixqZuv6hq1Npk1deIus9LoGwR9bK3yhVGZ +5ZSWUc81zGzHaxFc6HkB+n7RcQYkXMhqRGjAMxdocvl815+nPvnLoMrKJ9d2DL8n24QnVvczSSYb +ZrWTtCU8cWJBwIewdTUbw5AD/+sR5hGaUq81Z3o+rGa3++5wL9A0zbrZpmUWAjfBVES7akzbnht7 +a8S578OaduEw+KHKNG5kEIkYHQHATaNiyCRdo+hZvqzmrrJTm51oVV2nDSDeVxGCUhj/yaxIA8lX +CKiBaFs7617raS5wqEY5SYTm3TQAbOA+Lphm3IlDNs37jv9xUp4dmneJRsBs6zZU4F4zAtWp8wwp +sVc9XIvlVY6rfuInSVcMo0tq7OVf5lR5T+2dPVQYWvi1og20Pzmse+9s/n9VRiQb5PiicYTIXjLd +6Jnw7JNIVOiYgiM2nwmxrYl6zpVE2GpZTgfHUIAgrttHNAWO2zxZ85TYHUPfE7jHOehFcCkf2tRQ +hNgwUpuYQKfk20VKFLsbBDHT0lDCbRv1x2uJ9XREDACji/FRPe6tX45/YLoNfO7xqZvFgn3VHcy1 +Iyi+h9Kd+6DhRHo59tFJYIqY1To9FIdW7/YlOdyJeFXPMFEMU0ZU9fLy832Ioc788d/KsDzFlmEB +Zgxn2jUYacTJ/+OvE73zDVq2oBILJJGmOzWfoDfH0u+THY18Kg7IJSL8LRRI8ojF3sguxIbkHW/f +VxkOjBS5yK0xe16zk8bTZqEvGJSlTd3oTTutZfhD9h8YR/yxXpC1JImL2p7yYgnKEnHiarU8mg5+ +7SGZPvGpr0SYdjzVIMyf8fvZlKG4NI9H+sShCkoT1amj+V33IebCYooid6m4RGPvXsBn1cTuBNbg +yAgBqofDF9UVANBvvqgw5wf9V07qD657YiZljJahf79AireFaTx7HK1SHeEA48obm5WbGodLozZI +QB5QvGggwzaPkRQawk/0r60mq9ROZh+d0mHi2QCyiEWOI7DBo9LSzw1uH75x2TkDzSYmoSisWALn +iteyN8RFzIwQJ+1+qgQcXxumrb1LT14mAR5t28h3KXthWYC6zHPw+J3KOV5RvrXf6ATmSSVYAHxQ +qg+EswMVMJVcj6wgr6Z5n28ih9oXu3Fk4xoBxslI/R9QSAACH5qFGXeHi6C/LV2f4FtWdRGL3TR6 +GokY87jLQatLIU9HOFmnjD6ld5tRpOgW4kIJycwaR44DlRcKllnvFgcTFtxiBlSv+d00fRLyzBt4 +YyWxs6GLbpHMyXr9R7uyYgRTkSK4N2Bi/vqBQH3WhyCCCWYiN0etQpvSh/U8xXq5fU+rhEjYP6dy +GlW+4AWjCuxUEAFymwspjVp5R6pI/Qstq9N9E7Am0PGiYvKYBXN+b7Ha8FhJKsn9rtD2CQTVsEzH +XFeHFZIlHAMACzWCmCw3PHl10pOhYcpY8DAYgCG5e+bLhW02QVjlUXL+2gvWdXIPcOkafuuCHGAL +D9LmMrbm5wo3Pniw2CCrWmpWhGPxCoNYejHpOCT9Aty3jX8VzTKkrKBPRnBhcNS8w73sCqJyfagF +tvnBAJnDZzb2iH+C6l2MgusNyPavYRxY8xST1lyxNdPEDYempJ2SpFkbn63lcM95t6MFNtH1VzgL +GifLAmCkNm3AaF9DxCg5JHpGOKIiWyCiJkcSPRjpPzeCrHsl2JlReJlW0X2qM9pPgtoNBsFf3OQ2 +3FRXWbRiKKxbgtvN1u1wNn/TcvtS1bO0L6+tFHmwu9CvCQYHPqUtcp4iQoxiiIGwk3B7C0d0rWgB +TZe5FokyPSz5ilC5OxC9WrCpc+VqKkPAxVQ97+WBwc0jgIV54XmQevrBSL/UPp/YEHzdYF3GuUXw +fzOCzPcK3eNreBanheL/s9jSzckkBCsmYv7zjI9w/SCdAHsmGp11WeW7hMfpAxUx/GI5Ywn1amYz +e0eOmyJ+/jrhtYApVm9OzGEiPPGG16cBu+3VsEHTgw9vd+wcLb8SnJP7FeNqbkVv5q2iIW1SRqbU +NlI6IkExJrTdbEDgyaz5sIs+ywxHUtaMdKoRHIAxIeaJ//yzhgMG4wVTzQXMVLBhvaLSw9RHo7n7 +M6QQsEY0mHVza6asuyiuYbzMh4k+7rPgbasyNxkvFDWWny37uZCLrV+CsTrN45oiEyXUbj6OhvGQ +36pS8Vmd9ISkf+DCnJscuhg/EyauDqGEMMk+I81iqUzknPMyJQ3ar6A3qP9acdCgPpiFMTEJqVkD +OsivzEr3fJ9mxmiBeKF86HcWDcXWShZka2Wooll+xNokUvxn5KzDZ5X+7MmVmUOXHTDIYOQ7OpPR +Uhd5LDsW/dzKr+bIkOQJHhV6QDaz7A/gsx1fbtetQvhCT0LwMnfp1DQ/bLuL3ZynPh89gCBV4340 +hgpoJ5dQCQxyTE/6kckTZhq2dd21C8UeGVlkDCNnvM9/6gCm4fc4zQwcYO1DWvdmAnXNIxXJSmNu +udMbcK/gbfiUnGzGW3fpD1wLm2f/Z239Yk6RgZiVVkqD88XW1aUK1Jq9zd+a33SBrzTWorLAO8qt +NYvcfP+UoopAZTKu+LlM5rG4qRrPN/aHUz9Vp2zUCvUjXauNreEcGCItsD+5YEEecCo4noon+kWK +1qRDIcXuH+rYSk/BUc/XOIiVZbuZkb7Y0QzBZFCMdJMqjiEHKTj50N/jz4sKHYc7dhlpvEx6ME6W +z4ch6A2+QKX2AePRqlY/7ymZP4RPwnYBiAItpKWTH6WmizS+OwiLBTXGgpNew93w4fvMQcRjuDRG +HJvBqo6bwMpYcbzVyzvzbfQNjvVcSEvBztkf1U/hGHgpMQjBKXJfIx1SXQ9KE1ThQv3vjO3APjbS +5mx4A1m6jcYkguri8gZqoSiP9f7kWx8G7C2qQPn+3EiptJxu0hTIZmEBjVRzCSuO1692RBihl0q5 +URFcx0L9Vzr5jhmmKqCK1JtScsZWLP/LQcUAdJSsGQPs6bldR4LRsvjuHMSBVdcjGNqc7HaCEECS +oi9deHerx12lS/zEjpPPxzex2TCwiMkSWakNqYI3dBrEJmDy5k3UVY0qLQ1lZjK6SGItjh3Rifuc +YcSrocLLwGUveugqLWhVj4ImhfRlZYhvN+bGLDMN6JqClehGtJ1UOFE3y1cVIIkGU2h6XHYZry1g +5LFuiABVtI95f25puyPnc40are409SqsGMCQm1Z2vycsDj248LGxiZ36H8ZxcjP+3yeumeOksZd7 +Ps65kzVNWOxAuKZojiZZNaiK2yyK74fX4L7BvrFj606N+ydi/rt+5XiLvLtj56aZf5RA/WYb6a6F +G1QKqi7kbpwpIvAg2VqWI7gjSuRzFrG45VdKqA0T3KdLZLH7nT8tgberWF6B7/RZ+3pSXx8mnWSJ +Q4R8N/Tm0vC3k2QBEABeXMNvgpEhjtB/IjTMMqYk3PO4y9Le/lZ8BgfS1Ubyi/PHieHtmqH4XARc +zjjHglqssPT7XlhflSz2qw1l3HNd0nTQek3UOfZmqYVCUXF3hUyG2R8ffBJzfG7PLm+NrkR8VZUk +C5GmNVPerI+C8b3HgX9UEjAOThsh/MiQ0+TNNtW5BIE1YP8X8AymxOXqcVwaPC/f/8TLX+Gkmzeg +M2/WJi4LqHey1EMhpbUHH4YkYOLSzbsSagLKj6slra1Wbf43/67Th/s7fDPdKsLnk92j252rSwWU +7dPdYRI0Gx1s0vvhDO2Y1zetdwwe8ZNwe1HxIvnP09t3G1TjYd+J7r2nGwbucHoGEKwHcf4LRXmR +FP6STa7mh/PMvXFCB0neSt5OIL4WPbQWXDuq/Uk641ihdEI+gQ1+sS4z4mAUsiYxW/es8rAICmXf +eQbmpdejWuhiaLAGkZli7eAk3QiRN5FuMT/fLhOvTxt0PoTmaiMxbu013jl7htLJMqur0exU/lDO +a3DJUWGUdb+wtpo+o+OgpILA2nJUyLqP15TIH8phd/M0jafVbwDZDbD75O5nEJPB/zQr/ulpskuO +uCclx1ecwJEZeL6VnO4yCXdHpXVca1/tTlzMql3KPAs+35KnFwdbNcnY/YvwednII6yiqPNbiO9d ++ZSByDQ/k5L5TmstsIaiNceKPTGtscyWwj7R69NBVnISzVjBzhJPkuIxoWQykhNGPBNU2Qw0QyrD +zWy4SrXw+2rgq/G8hGUK/tN2l0WyiIAsqGt5BMB9U4K0lKYF61aZtp78eNZfq86ch/I0wJeBYpRm +sRsgeUr2wOkT8Ew9TE6cunNQuQTVTXJeXSsYYlsaxVpjocrYyVyswRLBn3vuL+ZmslDZcXuYswM0 +/mKQxhGS6MdhWz/uSwj+/E2ABZuZpNhEOt3qObJN1Fec2m+I69ihiNwOQeT5d7LsVILPtwi65Gjn +xmCu3tuCDbTgQLxyJrzrCqB7rWGqEd9nS9etjpEpoyxMYp7VK4GWp1bTwXtflmvRmX/1Qr2/A2ab +5tnMqMvMvIsRbsMJKTNcKxgyryMwznZMMEVeUbvYZXEw/QSy8/fp1OEsC2ZGV1ISGMhv/mZ8yTTV +FdvbYok9WrEk6H+7j9lMEoq7DjXVREZD0CCcyrNX9BkSEy9mOWrJI3Lf4NiIg9ujTt7XoaRx8BHV +Ok+bYqsbe3LSw2nDfsTteV9ak+tJZcvYYD34sBhvYOUuBr4cz8tcaStkRw/du1SNVBIIX72hl8OY +VnIjP+iVKV34ZADg8yBtPZm9xew2T1Nzmqxi7RAo94XbjvwD6vHkiUnwyVueMa93ae5f1LG1a7Ok +XuMkb4Px8N2PJK3+KIJtnchlUAGWA6Px/i3OOCqGM8gaFqh/XlFSqIYJ3H4MKMuVUbzR0rDeps5Y +4jyAQlKZv0SFn9d/GACC2kGyi8nGfdq/cJr8vdwexxDnf9Yi16ZgGmqDz3ekdq1Txy0TCH9R6Imz +iBYOP1bzoNmBH/gmPSQDuWdLKChpchk6r3N0kfjN04O/uhAUzF6hzPzbwaqHPFlb+ubYYQAXT7c2 +aF1Meof1E+DgTOcAlCopkuYGjSPIWEoQezBbICQTKgPmmZrGW6r6LUAK4Lrc1pNFgJRcseLrPq2k +MLV5nCJnXBRqNGx3F8K4PT21qxWS3/DISSgXsOmaaYuv8PiM3zDPo3COgdGtnwLV4IzPhP5qgFbU +fO2BjgVqrEPgwsOGfQqDmESlImG4HMZ98HruvQHUUqNzM9pF3DRNQzFdzKksaXO2FDKDssCJ/mgP +psoXvpQq+ribXGGpsYkymeOSFA/XQ5CnCzgL13EkcGyN4Cs6hJtO0R5sYOiUf3TP3Bp55EGMszvN +tSColj0GotvkHDNmczkoDDMLErZojo8xxxteuavLuaIB7tXD3Ecx8fDfNTwhUrn3uzi71XfH6ncw +ze1S35FIURINw9iA5UEAvp1EMVYcbxTsCP6efzFOUFHzlmJ5aP2BlffVp5gBcGkwfcvJBim76JAU +H4v2hqe7mU5uz7tzuln0wF9nIhYBTmI0bFH7CL8mMTYpHhbijylDHObuTMfX3cu7grsj8eLOWnkO +TyjN/srtKUbDTh5wqgHRaTCVPn0tGkWM33Iany1kOBLPXtpgK6kLCxU/Tpu0jicEsr1dHqu2vYHG +9BHg+OZ+IeSfQCWLNZNS7/0MF0OlW8CNmBz6lALm6MYGy8BWZp4WoLdBQAwztNZan6HzTQbMPniV +gBsjcVaqYxI1J3zxv4iPYLjxwprH0i1SgY2OKyBYM7LU7Qlje7zmyECzEUxgHUt3qtO+PVfsJVFV +AprkFwHRPM7ZVrMF0DCoBmiJv7CAzIGPt0fv0HKvJ4d/c4spxIxOWTd7tFOa1grCZkevh74sTMTy +3CYMkvh3rYEsobLoO1fx7RgxY1J1WdsAsR+BLtQSdYN9FFstRsPFUnNRa0CE9SOh8lpj9AiQlt/k +xweYZ/rCIJOxTUXwuMdVuOic2GBEzXespAdMydMk9IJoJoMI2gLFuKF7YdNubVWpf2rWCJl0g7+h +Hmt8mVMdLJxGXh/4X69h4AMVm9efbTBeGFgVScQzdOov8yuNn0bbF2odoRJXOYbwIVZYCa0nY1dJ +PH9NJeOIVxcCzPiojEv+YIUxkPUi+r/rXkhkDqml7u/7gjuTpETJ57Lt/g4iZWi1re3n+BLHm3Ch +WkyB1PHO46rqIGpZOvuGxsmR76vbv5YLicDT0s1u8qbuZ5Ns8OkSDSaKgN1sVfBl4CSXQxuA5VCK +vwzqDTHDH3/k1IuDEzohXSs2CBdQ8uibsT9DuQPn37NUbo0iWhnlOA+7R/Cvld24+81Qq1tEMWBd +re9FpG4GZ8iq47YSXtY6PDFzxoM7yjRY6KBpWxcwMQpOtYiBgTD45HRatL4yzDvp0l5Qzr73xzem +ehSK5WYzuFIluB6eSmprgGj+fMrfywBMB9c++h5Q7dWoBg5vVVw7MfgSBpJC+o9mYg0Cy0qON+UC +MwPKXBuxrBBIPUK5SF21JzOISBVk/tlpTyZAb7oGm5dSNfv0q3c7ibp59/7Q7Wo2GR6IsRNDnso7 +eHdkdiEx0HOWguA2H9XLQM4+FETrJyi/t0bNssaQysZz96iDE49PopO6ZoaGaoSPIoKtF67HKxBh +n95Rbs/VXRU/fL2nIBYbBGoxXAl2q4um6Cmaxk9XyM5qPLiR1MhWPEkq6wTosyEILg8nWpMSdUXY +yo8DfdC32wgOqmu6jN/2hNnHQoRUdclYqEodUtl8Ih3/yYpYU6tONuFnnA36IHpIgGo5JaEP87CI +X5WQDOf/0tvRqPV+bY4pWwigru9tZhoDTGqDes75hLqKL87EruwLQ4LXL0PdEq2OI8lS5/skTu6x +K6pgxURysktL6QyzgNjULUsdxVmkLPACXLmD1tQyrLlqtadEJhnlGE+jm0tWzgzsNRXoz9t7w53Q +0m94bRNSY73ZRCQzrUmEi3alWMrVfj3WL2k12PVxZHps8KlL5afYCEQWIZ1hjHRSpW87fwRDidvv +37euI++wlTYa01l3mMp2CxRxXBhHMmVdUN9QZR8lfo2ULGq3mxYVD5eam+drJwIDnr5OF8P6L/0y +KXOY2CMLB39qKhgTpyqf0Mw6CPTEfQAlEuNQ9vDojVSskXoj22B3ybl7P2GD8p8gkBgsyuqSPmEh +K25w/U5ejz6LkEykOtKu5BvIhZb9qKi7RxmOcMaejuO+C93QtXikXMRnmhD+l1R/XLCp8GUJMze7 +o1ncYDe513aMs9M5QMkdfHJ+iiAQ3HsMxe5hJVjTx8w0dFLK3qIXFXIAL7zgajlhh51zEzc9QyVS +gnLnjQZ4d4VItrU2qdySDhd/H5ZiHloG8ivbbNR6hgTY+yqtdUpooMzSKB2RL+RlbXSxeZDrqOva +gyHeaIFgs62VRJXfuPRtp3wMOK1TdProvwudhVLx5WUIsFLT77d1wul2lHp0YcEZ65nNTPFo+Oy4 +yCWt8lQwYoISgJ1cvLAVoBdsvECHaXPHvdT7NoKUehh8QfMwW4QBC2Tqc9e0ytqHEE/Wzg936JyK +AWOkpTiNgNPKvLNiaNxxg6KXaVQEKzx7BuOw7/lrHdxExvTm06uvLCmAxms54d8CH1Lg+AbprMlW +tZuSiagI2kOAN0XQ28E531+xtGNyDy8vSkCZhH5e+7YNdiQQQIWeSY1aeE0eRAvS7E5g7rBD4W8V +1HSMbn08AmVkYIfecbK+pyozGKeQ259NDPhhY+eQ5FDxlBnquKopGMZBS8GExV4ldhDCOEfBCD2E +L6V+yduHfKbQgghlfpYqzLTLU1+BzNnUNHmQ0D0biLk9VrmfnR0Wdomn6YMKKBKu+c3O11DvAjO4 +qFpag/5tjBQYrpBNzAf3CP8WRnkgA9/kRx5v4MgNxXhy59Nt0hGOrPEO6wZkuJqIblg68psFC+Rt +vy5LerNB+2FpGmTSCT47BsMXaJ4DBjEY/e3+5gIPD+oSLJSVJF1wopkxeT2GNzEPlwCAGzinqTzJ +ZHFq8FQ4bkphQD6dxcl5Usg0s7lfsA8+Un0aphVkO1k36r5Ogas946ZYDJgtmmwuXBtTHQElypio +IW9zVSck1nwkiawNrRRDyaKtbfWz48EzDs5sP2TXgVwPZDhb01wcsaf+XtGqXXM6BNI46mmP01Mr +SScKIBOa7knj3BgcYfEp2Ya6Li4mNb2xl+cbQhMz2UxdfnQ6kGXQ/GmRG+Lw2Ki0fjun7UDQ5+kN +8oTlW9pMWgx7EeaxLeacUUg5t8z94Cl8vIjDowFv4NPbMQjdZLV7HIytbQyWb0MVadAtjFQrimWZ +5HY62az/XlI4s6t8iOtkzsZzE18qav2ikqkKM7+wTYC+PeQplx5k2r1gb41FUQkjaEgD9NYtMgra +zLIcHyT0nTXpE1m34FDxpFokUh3aE2rvThVho1r9BVbyYTZvbZinUW5X8k45/Bli+n5Tm/zowbWN +Zfbw9rxb3tBEmT+KEdYRiByyoZsQaNSu+MChsHqrKunbN9yTE0/WMQeFS+o61TJKVqXi7ZmoryyS +UindbHndVwg5nFp48kmhuJxdJCDd7WSYDtgZcyxdHLjdYEeT+pJlcMqVXRPGa/h0A/tSh620Du1S +IVU0dAqtsApflN9+tZVL2b6M/8VlEKKfgTaOysJ1pc6naLc2vdY+44v4ufo2jeOC+KrpOQCRArYe +nS0Fycs9+o1dEouVvoUyr9CNVQplqnOsM4ncgr4bDyzQmN1D7cNb71dBjEwaA9Oi5zfHiNbZti0e +wS8KaIMx4x80hSong4e2+66D+HlX4OAUPOZuh5N+6XZKrX6zrO021iXQrwwSvSIOWq5bUk/c1s/i +eobH2wjzKte2807vi2d8J5kMu/JvVXMfWzd6CA6y2/mk7JkxIHMFspDDoZkfMrVQr6sPeEQnaKdp +nqHQcIeMCuHKlThi02m7I49VjJqiYy8FvXfj8ghVVTIjxr3yDiG5Ur3C4c4f78pUrwf5BZtIL9C7 +M8UbySKHmFcPbvY8bKm7o/OJRtcvbiQFTy6xslEte69EV3Mwgy4NQZPxudTUJAgiqNXLK5TPw6N+ +VbXRkT3DNpvWI3qiRRXdkHbCaV+5K5FvRhkjmFDePbnGU87d0i8fj6GD/9q4JXWl8SHM2ZgTrDqT +vX1grVXHWqYlgJForu3/EBIh27q3pvg7XnAVA2LavFpUb/iobDkKripLoSZwiuMTJyWp8GOiYSSy +iVdKM2JdeNU9j6kq3u3TeL6BEgNir2yooKhyM+QMx/MLwxHk8CL/svVUTztAQpBjBNl5/fWafm4Z +29ZNyIefwQo6EgZ7BEjxsP2Ea4WMxlY3Zu2pVJABvG7Z2DTQiqSGtoe8ZidQvqfZyZiAgxwcqr+8 +xfkHhJrnzmzpHm7G7tkanLt7WbgiI+BInXk+YkQKq89aEuwojv5J65XSt9MUNKr1Cv6MyG7PzBoT +DU0nkfl6Wzmn/DTDRbRwdJVaOTH3hVjr6vUrnpO4n2bTm/SlSRmFVoe3UcGmWimKdhKLWNOJDxZO +DovEXpg2U5RbirlsptQ4y7a1ftE6Xqn+CrZFPpaex5k0KpMpDZD8AhTS9zrYRrHqmrJSfbvWY61K +MRBHOU9TLbzmwWHuTulTpXmGNK3X6cwrrgjft6LuKdB541vBOmbT/d1hV+Fh7H6ZvsPgSFRVagTf +0Rdi/KW3k2W/4ODfmF5UxnaCxNo3KvIRemTXW4J+TovhbQvjCnpHzjjIBq4pwoI0QD4QeXoYBrPL +gaNZ+jlRWvquhd1SxDB+AQtPwRAIYp0x20DSTYkPfAS6oa15H5RloBdVRbuD3oG+G6kp0Rd7dae6 +tQtndjAAWBVP2RQkMKCYFI/gaF8D+Feq8ewjcGHthy0ieZXU5pBI+nPcdDgBhrq1a/x7pFv5gmMB +/Fj3lPMOeC/z5rzWhFMlr8P72n4zmqMELtKbjOrcrRYvDBmSAGNy+StwWB87V2Kbxm6TNwIxYpuj +5Pu69B11xHqmwXRizdKnpMsI0Sd4d5DqHiyv76L6oBDP8k0uZdY8GOxXs8OVpRLzlg5gy1olW+dl +V0wW/eMdxJlBaWZPshjNI6/VdeRMCyNJyZYgZx+2IZdRWFfgbmXdL8AnyNoRdYNwPSqbDVd/EUQ2 +cjm66eB/jQZV11bdvQX+szshWXs7BsNtZ2f1BA8shpj+6HyHeSVJ9UpDX7hv5aiVXoNOvZhA0tuM +H2QiRLOl74UiFdcJQtIAUJ6wt3WeJ2BtUqwpWbMCI9AYHAv0q4RVzsv4AZbL+o1d8FfKs1L2HQzY +smJ4CYoOlj3rW6+xhN3f2HvycpmDP4tVukS2BUCWlFv4q8AADcvO/Ox1OVkRUxQqKLx6c+F8iIb1 +WGD38PlCUBWk/jatIiUSJ2emKXdRwzkAY9knXFc43U72ixvj9ym0gRtrYt9VbkYiEPeQX82S4YEd +kvdUsH1t4P/kzWeu9KSgnewOyVrrnOHE74TtWPKFlsW0ivevNYRmb+RZs1ggunsCS1TvchrExecI +mIvLpw54NpJQBYPKAEecb8sV785nMpf+5cj7DhCVZAEwmaYrUhQhgcdWcyHPGr3mFjODCLhlIT8l +ogFdptYzj0Aat5o1K5F+rUkwVsZM+ENf+sm2/HK3cYhFql+naP6U1zBC45HzXql2w0rX5ymxNBHQ +cOqrMHfZHkWCZuRTmybgV/uWXzgs0SXAD8aSDZlhgqFPjNxae7b5cYCq3rH596cCNEm0GR4QuwN7 +Iy0JxYlB2VtnfXCtPk3XSLYsilMdVhJp1vbs7jf5jVxfcIXlDjOj4z/7PxKbwoGZFFvYwQRwnK63 +b/yI1ESQRp0icqz5+xHNJTfgWqDvsDRdeQItZjqz1WZ7orL4szC5cUZD0Rce2sl03RTLjc6+doI6 +dxIcBUHre4bSe+eLh3o/sKUDKyVUk3sePYgix/NO5sr2DeSI8ol27SMWIeUYSOvMTFcj7SrgXG0+ +qhsWUlpo6keNME52157lPvugzbviTdVzjK2yGXUnUN/5ODIamhW9/Vtie65K6oU61qejZ+gn4vi+ +DLCmcQ0LxXJfuDtYtuzdfNmDzKdyXcqUqe0XvFhK7C93dvGkw8KukQ1p0ZG/Wn6/44aYIRTQmkjp +FsYwvC+prra1iPZZ+REu7sS3GiJHY+D3nr6DuzcxOdtsDGQbXAT7OAPC/sw+TFEJI3MBEpItUAYE +bguLRe26bXsYA8LsNpEcma7pDtV8AqvQ811pDWkAq68ufLOIvvKonszk4Yo3rcIdGxZqKWvcCjUg +U6BpK1YJIKkBNFuwq5aFYB2fbtpyhl6Mu0wZKJjZJ3SwUc/e7Bjd85tEX5BTWbSzwGm5qMGZzZqL +ZKaDQMm2n1HP0Z6PZcH9EyiDPzflKVsm+qZpTRkwEaFdhxDmNwy0FlDL3qOLZX6250TX9I9XEnEM +MA5G0PolKSAJPBGDGZ6r/5nfGjs6m1rB0oNBvKVzw5BKs+8RRKlUI15MJtYQvdnFg/pmiSrurSOX +GJCQuQW9TVO4INUSlBg8fFr7QsCuT5A/fJ6g7APEGF2k/Im60riPff3rhUM8gDJ7MZCpzMgQsUQU +9zpGUcHuImITz2Y2KC7UItIbLi6VGAwodNIszJ7E1suu1CG8rUgKFWuC9l6CSlyAgImCO41B0ESa +PZENOZN0l1XrswaStwFI/+5O0AkuFoszuhfTup0lewXnzq2OubGWISHQz5at0Xq2tOAFWbmnSdF1 +Tf5QWY8lbsVo9fNdNn1gt8dKtX0xpGphVnBImKOSA6QN9MmyXa1NmRIMiZPkPty93a0pvgNHmnQr +0DfNTDkR/2Tos3VTRE4otlPch16Qne5LbyrGbl4Y3LARzu1ukMAebGJHJQO5QXboUNGtTghXu0Kr +FdfamgIAHDosadHmTMUHn9zj5AkWatWqycdoliu2fTf2pQ7HtJ0mxwHt0m0vcdOmCLt1MVIYU7p0 +gl1bQjd/EO6ojANaSASVm+jEe4NJ2cmzsDzyEkhp2gllJyQ61ZKv06bUAyUePSntQx5T1V9Dpaz7 +hXpGgSHSuXjpmh+tQzfCSOs/DiKCEd82lgHQ3nRzdCERmm5QAT37iSE0NlAgWMqc+LZvYpm0Ga7H +TX6UJ+2S7nggR5eISvKsTvXFnBBy31gXQ1ql4mHoJFeJAhDzVArCehcZjruuZicRF+eEN6CmC+Pt +8uZzkT4PirkmPM6+8hpg/W8rh8eC6u0TLmk04BCOMDFZ46Eg9tkiy22TqNFgo2yHeQwz0PuY0+EK +on9AHAtETu6F/a2aeByhct4njje/lv/sauZrLrkjItBJ1uQieBktXppCUUWQsnRmkvuJmFA9msEg +6IvSGfa07DzbSIqUItYa9XjW9kQ0rg++qJvwm637hADUB2lI9O/JPY9ldcPjMQQ4IcpCERPKP4fc +QNqQZId8UMiXZDIrrBZtyimizSh9BJ7WfNMpXedmSmgOIr/MyP1gJ3EebXDx5VrKhojr4wZ+tGY0 +SO/Zt3m/VmawXzVrn7Ue0jei0vkocfBbV8bN7Z8RPyAyw9o8PZUEvcHWlknIKWZVmandVhwByNZn +XyfoLQLanug9GP/fYnNRYy/Pz3oG34x+RKUPSvipFLjvs6HcdnyC4Er1HwJFlVeOk6R05NkSSUCQ +3uGGfJQli60fi7tbmzwoPrgr9GC9b0iOHAEJEzRXiiqqWsjdbEGaS5oqvlZ95roaFZ7mfTlgmup0 +q29W1KuPbhdKlMuRNwupFfTLCWeEl6swTGPeMX5nkV2UP3w/kPYAv39Bp3LixMYvvTHwYi+pLZIq +WHvasUsJzxLBqYYw7O8us5Tr/xFJ9iaYxuOyE0C2y4L0Jo2BrdqvYNlKK3kQbj35vLUrbLjCqRhK +BOkAS2aVAEkI8dh8EFLB0E/3eDABR4CG0UHWnzY5TGLmM0EiopwEfZVTZRjQlsHu/L5pOTBvYRXa +fk9Cxv7p1ECt0ceenzOjUyIFcqEZifk94fYycyvaN9WWjVagPXD84STI4b/3Blnq2EUBQlG+Mdb3 +mrwRsi5qFo05A27SIyvQQksFSS3yIqBWSu6JiSJWPITmNkEYa9nvNC/Vbc47GEwFjKooFX2mDWxX +0K6mgpZ52TNdsuUoSFfdpD2Q/zJ3uZu+8HYYLTVDYd4WTbUT50hjyKIr6CEwOKNclPZtPzvLFAET +PC5iDYYoxkLCMiAsu1mz5roWHJroiX4OODJF4Hw1dMonseZByTY9S2X+BNGNAqJ/hxkwioXukbBD +rx7zFL0YQwKHbCk2OGNBvOmJjKUrTwlqOq9y8n2LqNnrKZuHbA5mCk4Ll8axK6/go593bXmzIfxg +xjfvGhTrOh+Ubme+lsf4hEp5N2FOR/7J7F9MVbhSr7vRo6denvFKnQAb2lNImVsQCtuuuAyZn+Uk +iqupoZbkRT7yUkITPmIS02pahv/BMP63QoII4lfA9b0RkkNq0PHbHzQjbh0pz7l+Q3DGOOG2BbPs +0IIWbDeizpm2f9lgjveUuCeXGl7W2KpgKEJFrp6Ja16PXFE8rEiKSP/5vqcMhnrys0/nLLE8UuQ9 +XpxClJQqo5y1wi5QbwjY8DTXXQFL8CwXRTmZeqXmvp7MCIgnwzBJhiaTe3yjDdMoWuwhR3uw+7p2 +v30expX/Wq5J9BFwJ3MRx5WgWVV6oU2U6ZjIhxyx1lcjzrcFp1bOBwUVEePAvqVPfxq5/xRQ07+G +GwFbjP416i8Kp95a5qyftyJHQ3ZAzgtkxCQt1TyZeX1fNENOrk2lrZtfsGJ5J4kq3dJY5gHu4L2D +H3kbyIUCvOLF6LttY2KxPsx0NviacqslUNRInFDJ7GRl7A2F2ZrOelcDHHQxEcuZ0KL4dGC3dVwK +ZW9w9tkWSFzV7nQSF+GxxsWC0/F56AJsSaFqEFQ0oXPJJhqB7GOGOopDayCtcfSee7hSuNyOz23I +ipgEd9GqDo4nV3aBwiu/Ad6sBa1FC54u10G0bV5VitUAcWi+N+ZZZTO71oNGKi5HZaYIOoeOLvH6 +ZREGG02vmehEGoauCNPZtMW3h4PoiKhAflE/TkZXJyoGmHb+rMgwAIPRTqKrui2Ba/rtJlkled8h +9hDjjPcPo2k0sTlc8UYd6zCcWDwUCy+vOrqthiVPnQqv+/ibtI7XHDxB3M5VIFnVDrzf4S+qpNdh +NQm4dLo2Eve04amg8+mesls9hlpLIlanKyvL4eeF8/W1Pn0Z2YyY2ZVENF0/cldB5g0vBWjyonnP +AfKSAAVvzDXEWsbdDo93VZMfrkCI42QSEqtz6lDImzt9T2nSAoZDwrukMuAcbj7kHJu7R3nK4ZXC +yNtkfOkBFgEktaR6jN6FwUQ2TDq+hiYgjSfiv+S6asYIedLTIaAkHs8+CkPBQJeDX+gCaKorOPng +JmrAIIYVKyasFjEFcRZhumh+rIE/LaXqdIhjH5bqE1OF8JqxChZwshl9pJ1y5nbeQkUICbRW3juy +YhelDTk1Dy6ijDiQuhR9/sLqAJUmlQtnGNJe0e7+2VRFl5+AxOe+3JTolHWSbugeksSaQvLKm1o4 +taVhT08/1gP7P0kGtw/Afcl4c3SVULY4D0oqVmYGc32ub12z5NiTbHVpPG2aw8hMIS3ag7C+aHPH +yzZE/uJ58llxDyGU+rS/26mcKsSyxH/gZrlImlbPYmpjqc8fyPuObuMy2OR+/EGx5TizGYblSUNf +OLGXthhoPRuWrPuMD6fVQYWD4ZO2k54c9BGObyq3vssYJoNFIznxVq3Jy2A4UnNO9KVr2TKCsMsp +6KdzjmHnPHGr/VvI+62f4BMTFFvsdZHxB2WB9dap/TWMGZQHTcBDAMkFaibku2Pz5COGQ+y9ZJOi +4NwoSPpsDRzP9CevJ/DIGaVLFMHSVl5uYCCTdOFroJZyp+ftbCmIKhVKvFxcW8qx08GncsTbbY+B +sZgkoq6GhVskHGlpw43g670FQlnHzD/t9Prw8zTcQ6IYI90DauPAyKt194ISNOzh9IwK6hA+gTwe +NL/v9OzFBCGzbIkE0Zi+vhze6m6PB0tj2GG12041L/cBERyDGByDA84VIGboyCtBWqXuE+CZkzEe +tHFnlpDc03sxke641yXXg8y98lpBIlzTye0217/9f8fpXfHfqNNm/0VqmHDGwlb9okU3f4lXJfX2 +XpiXX4ofhOQi4UQW4j8lAuBgqnK3ijPFOGRBn8KrGbiDc8LNq/3QpzL6XaB9SG8a1bWSxOnwG6X2 ++PEYo1yfedEGaYw8DK56N7FLiR8wZMdAn6Xb+2jAyhqWyDULZphoEz3J+KiGcnE/oMl2/uBQsTGu +8b8zimFWfZ6lI4JlX9+VrOMYnhXywqU0ku5q+lwmBLUj821t4FZ9fuXlrpKXUcY0K+Rdl5ssN/Q1 +xZk1/c5wF5g1hFqHHOORVHrnncCft1AJo+YjZvfqlWW3dlEB/teuA6IRC8gjcydgQLGQDUFbjoo3 +WUkrH5+r/XRVGLpkkcY2c9urOH4fW15/vNp/OopLF/sVlz8tN20FYxfRJHkSCT59Zp+j54DTY0Oy +i+iU29NqVHWuIWhEoY2jxA4HGrLVttlg8olUIfc57IzsQJIhXlOMTmIw5G9BF1WP4zsE/FsFrl7f +eSfjml9Eq6k5EcLzLH21JgB+9lR0/8fV3D/Ktvn4D7LNHzSm+4z69kbplWAbVOZfCDAW7M79hUVM +bszhN3fcyeS3rB0sJ/eBv0feJ4CX8oujSHmKWwsHmeKFrcmcqT/qkOKXJV6gBHLZovHb0ahJuxLw +I5yvxwR1KTegO5GQu7vR28B9lr74rGh998YuMTjQY7kcGL4UpyDZqD3wQ7Zl+8Ml9K6RK7g2sqL0 +RCa4WqoCeQzitPm/8a7f0Jf6JkW0aSO81VCaxwIaW86bFVGANCXj8yD5IiY42bITDPKa3zW6plZq +bJ2b4dEI9cl8rUc9M7uRls28bNasQHCl2Zsb07w8n4ZHcbIIHzWKPn6oxtOuRm8JrGHsSWMsU/yu +zW95cKxttIkHPcwXjaqXYWxr7utfMqMuqqH18hgh3O4+RgFFsgR2MNQ2se8QZOzl2KsZXB6U/Xw7 +as0YA97gqFLIoFp8A7wX4Ol7EGSyo4dVzcVHo8LJb2s8OgIFgvDqk89kIm9qrPfVwBonxgLcxjo1 +DQtt1yEzS1s6KqBCNDrl5UzPXCKtcY+FhBzEgIEATzpf9TqYi+4iy413QkOhuB20H2I9BQSlE/M7 +oCbLTxKf7XwQwDRf3e4zuqtjgScjcwFfNJto8OSUIMNIqDGhFWRcWn1oqYyJheppaNo7WqxC9YHI +B0IzY92HfS7FvaDLH9B9VUF7cEyfPFPNVVeeXx9M7f2rP789/Naj1f5/GeZY0BrWM1E2v8VT++vv +CL6JAlmh6RBR/HtkxQKu9RAEMuJHnLY/IUHGBb1e6u3qs14zGBLvcfsf4n8kBuuowhH4Nw+Q59hT +dxWEuuHyf6t8syH8wsWU8YIIjG75txIvKvvA3Lkq+oa9oVfyR/NgOIHMlq03DkPAc9vgjuLy8922 +vOHp0EFw/twcN/s8vz7rguH9p+dXBVwX/yIOoads4QDk4UM1Jx9fdgOy+cA2Ps3dBjhog1BXDU5/ +3h+C1GWyw9w3500AxgaZXMvyoJW0awyOjTNjbbHL5GG5WbT+w0eDP7xIjJk5789GUIkfbWsGegSU ++7zIAPsLWMrQpNZCTr2yzrveO6aMUnWxc65r6mEt5B466HXv/2sM3qNCPp9Hc5GpzfZhI6yWxfuw +1R/K4wvFf7d4Oo9s/3rU/W59E4vaky2HAlkkvQIiCFQey5HlDkhlftVwUkIGi2SRrriHzN4Yuzu0 +UuZIRCj7EUuvVcXKxYtzQ68Y27OZz07Y9dCm7HO21n9ICgKnNgBapOW0OohbgE5N+YV5xN+g6iCP +Q/rR47dwHjkX7cFoF1VxIngBNPD0GU8OlOYWmPu+zYOntdNvMVTM5jqkHD4IqcxbMVrkIqt1dKBR +z1SdODwet+oNw9TK4baALiznoO4Un5NCvsJC5TleabEJMR8ZhmrkyrqxoYsqHHFOgF8RUFY8Mf/R +T6gFVPctwAHwdKlqbF6/dOEkx0L5xOq/wziU5SNNVnKaB6+mgNDfqd1KTbPTWcbnwRivIuWUgQtp +2B4NFbM5dL4tzSrfRtf9eF4pLsvt3Sbd5nUN4/LoPo40miZdtt5M96aWqFbWePZU7I9ZNQ/sdixE +sybmKvzX1OPBADS3SEbmvDaeRzpfoGZ2ZOtVqIb85mbGpzVqJQqLqBRPyMpuiXxhHq5lxEwhA0aY +2xTtZ/1zZQRlU8oeMEhT6k521URibJUAsSf8YpsLGLdN6gGrhRpGBw731In33YybEiJA6gD/2WXD +LkHxOIZchea8h0MULxFViixww+chsP6vg4S7HS8NT5ptZK95R9wykQmtdBWMqHrPQHjmcreSpe35 +mOpqOphXwdoRcQS+JP/9q471Vv4rZ5zOz9ZTRyfT/hMIZuvjQnlgIFAM0xDcLbD3LXV4BdHCAJQO +EQcBYyy2Eq4EfHMCaxx6acZ5EZtzazqCBFhgUtN/VvpFVDSdjZFYvCRLfURpr2OaXNdrOGCyaGLj +HP9NDexnlj/E+6QwtsH7YWwzwcQuYBm6aUDk2HEpFG2OSVh7jPvryvhVh97NWxqmCcwz+eiA3lPD +6EHCcmoNwkxfNiVg0kJp1iUmE9QQFDkX9woRxVbbm/DeLawtRg91mHLcvDSjkuox08OZa+gFIGoR +xwUH0n4paL/EGP698gbmpgOPu8Wlku7AGoa+bvp2D6w5iuLYMq0x6gQrc/IuxWubzTMYy96B8B8g +V5jsBIJ8V0mL7Rp3OUhPt1SCuKENrYHBPpkbaromV2edbbfEcnddYpaL/Z7Se5Sr7bgSzxq+slUW +HxkKOJdFjli3IqWDPGIOooj0kgs3hh/ujlH3xD+e+ooVbmlvo9XZJaqSBtGI06U6j5gnz8JyU6gG +sPInlOMLlLaWuZc3RVgVyevJfUBnAyeUIaNBLKuftI6XsUh9E+J/eMapJRAiMyzTkqmZ4Xi6nw47 +7Ru+uFss9Hu0TjEpHb/A5GrmPAkkbJakvHzkf6PLwWmfXofhr0AHOsWKS1xJSPz22WJQlAzZrmlc +qmJfBvnPH200vHZT1a6k9z8Yp5xlCfkaOgWpg4/0wl4gNP4LiI3oDITRFwfPqXk+BJgduxUE3gPQ +zQIsrNT3oBrRbBV3A8USxAqcG8YBZgN74fYNfrWGrTbd0PkZyCOTwwSxXT4NvC6Scj9XwH2qRhZg +EpG8ZVzwxsbno+6IjfAjIDLZLqNa5wS56hlPDETz1IrREjk/phNvZ0WHd1HFwumiG19psdp9LPr4 +pdz/L224FlnBzHHM6scUyn0tConlgbZ/8pnB6SqZXmzNvOHKnHrFzXojwi05RuJr5aC6a3/dRJMC +pTLyblmGJGnzgQ3YOkyGFDQTD/AfuG94ry75tMrzEbTKLr8XQ7Uy96xa532Ztf8QZdTDQd7QAdQm +Fk/NXZRm9Uy1wcvP4ePiQs5CVuKz/DjyutrYJOLPxuSqJHHxKIrSCMKPdslbxlk6ANF4wvmL34Di +/jjdi7RJls2NAaeZfYAoX4n1jKJi/ZEnsiB5qS6XWMP4ZMTVWI1dwylmDQnSi3mfOUEJKbzaQQry +uYG5Qh2bg+f8Ij7ypfqzfWRrrSC6uMUNLwCPbqYg2UK5ryzmhPRCth1OJu7U4Bta742OB3/+Uvpz +O+6/CS1kijskQTDE1Gx2NxVhBKa5DDqtBpqkhf4BkpcjhlmmXAknEe3Dx8OEuPgskQsyM3lHhNHc +Mk5Hl2gj30qdoKbq1AThrYGIbrvZ1+ZnM0ACwGaB4IJDgv3/0o3LGLzpnjrZt3kxILUbDdMIJdhr +tC11q9gDPXl9oKICpen1JEG6DYGLVYur1r/Cp0b2YnRZhKGghPATcYYdD2JYu6bpCtTiQRLIygOw +m8dRWEeNQJfNu4erH0pa5qm1IPU2yx2daIf/tM5rJlWSu3e4geOCNH/QaBM4i3SaTWuAWOAcMlI2 +SAP1wK7wpYe94bz/7mLzg2MRrl12R1YKo5eCMDBlD8RpS4WBUPlbMuMqrqLPNAB3db/DECVqMEwU +p2WWk6SzuJKJsUTtMpemgR4Psns9eKGJPCH5faVf44Dvkotn84XIHqRsZvS/C2u/iK/aHwhK2way +jEpEgDVmFpVoBqo5fg6vs3jEYvupigKkmFMKhKjH8GTIayS7N2n1prLcnXL6Sp+4sYRXAKzN09A3 +FHHvPKsj8D1aOCgznuoPJuZBaQPlggkHIWFg9cNnE1JfAG59TRYEAoT9dM1sZ8oA28LzHo41wUir +xbMvNPRKjSSuSK4C618Nqdhv6M5wT5tjWNvt/5pMj6Yth8Ay+KpO6KCHGNOWTFFsrHUVbt9Hh0dW +uihwDUvVzqBMjNNY5wix9xaUeHci9kptj891Ux2gBwiGhVFN9H8aRPbAqEtHY1I3JoXpNhQcSR3+ +EEQe++jZUctGyo5BFfnp8DtbqDAVMirki3gCBtRW3U6SV85pBjAHxF2TOvvyBENK81AsLRm9NcJW +eqCyHpmrIZgK7jWsjyZtQEjhIByOdMWvNHA670j3Cz3V/TbQ2+W0hXWZzuZHbFmlkOb9vO+qqZEC +UDBJ0+U7SUctJndpP6Q20IPXrUjzH9h08iQ1wx5njbtjnG5bJFnQvQc/54CbvloB7I0aGOA7eSvC +r/6L5lmGwU88kvByELDzCJUoc3CMw6/fexYvGFXXGSRjxB9SyjovCjbtvDpzH8m6C5A40OmNrwlQ +5JQdjYy+4G3tGj3QoJ8xSvTp+QgIKCSwwkUYEE4m1AcVSL3SLYllzZ/FCA4W2T16uedQ+tMSBAnd +3qg8gBOC33Spkc2Gc5iK7CX252FtheDxGms2vK44nuSZk2NNMeAQSbQZ/ZJha8HeVv32ORNzrJ9b +uYaT67qKvvpm1bAx1PoRDXAbzpSNBp3dXQmczyNoBOxGHOdFjgBw04jb0jEQPb0z+J6n74VPUNBc +PzqoRZ5qie9NornJOlMuD9Ok3RooJCDh880FcTRazKPuKC41rGF1piA76tvYLfS4bwJuqAChSYKY +mDBVdCtnoyZhgjh2wnAIckpFjpNhRLapl0jw154vNcI3o+vPpBYwIA88XUd5yBpIpu7MDQvAqwTm +MPVUVL2Tnp41iUhVu0OmstXtY3Ug+zxSfHQX8NdFNFPBkogKIooUNgcuAoEwnuRvQBU2Ain2Rn7t +mDoK3kcWTSP16PKx2TKCKFjBydXpi1wXEmBkDGzvLnuyUfHvjahCw6GJaPa3elXwf8tVeQJzOIK6 +diGgI2oAYc1NFENwl4LQlKtOCFxMnoJVVj7SGuZllbiPSbyN00uDsMarC2/tyxEPjD7pvA/llApS +7EMyYpdsSj1I2Y0CHS5ovbNa+WDFDsf2ApiYLKRSW+SgOjUQYFAhkLl2jFZrRfXQupJ9mNISuDrI +gMQlEG07SnpMFspZLZReDzWvraLnG0iK4FTYM+KpY86Z5vVg/dKRuxr2SeazkQVuz3eSHFItinWI +6AIu5hlwvHaGg7zarrwPmaWLnm9D4Uj1LLyUww4IXRU0XcBi63eQymXpmsCkcPCrvwnRcpog2mjU +vJPnOcoTuZiLw2vDaRx4bTClIyM3IiW2wQTF6QczFqNJIHbrADj9pl81TCpkg+4bCHKn7uihfhdM +/qIVPT6y7VrKBZUUR5l/VGRCSn+vVL835Fhn1ET09Qzn6L5yF1zLD4N2ml/j8X0cnu/xkND4SzAd +BxWnVCE5Sr4wyZWJtSN53D+jkW1HhZ9ZRfU7p2PZFERAsr5iMIKjqWtVowr1LEVpA4NmsZGxoaSp +8E7ZAAV4VDfbA1GxlB3TAII22MepqzjiHe/EGEJpfmAW9TDJDDy7JB70FnCSU1YWGm0fIPbaQRZo +0NT6SH9lK+so5ENaWUxnpoX5e850HxujNdvRzcI7WdeN1N19CbKYIjuGwCUmKrgWvzvTC2wqhjDP +XOdK/+xzBDp9J6gb8q+UTwG2oje8FKEEiSZBDLHmcuEt+H+TcGmDADV+hs4gkoLxrL32rH9zcfyI +i5clzwM8xVYj5PA+Bgr3rrpXcA9lTz51pONXhVHiyR/51DYjFc0pyjt5ajOCHPSDiWrZL6haQbPm +ebxSQgux5Yv+zPCNtOUtpk2owuU0XYs7Z30ot/GwZ5RG8HSM6MKnianiKbxH09NWnNAVac0MJcBl +XKRU4VrDzNiUCX4YquRF/xwPhSzznABaU4tg51nxRlFZYo6J7YY0cBIkZ3xxIixqvSP5j0kur3ue +0KkF/Se2uIregFQN1WE9M0LW/N6ftDy6jVgC8D1zdk8irI11JjR58NdxgQd84kck8KhdhaLDPLpO +3GgwTjIihNRHTdZvelv6nZIff2F4a6EzDMn82ZacN2GY40BpioB6Pe5dfJrLUzrz9d155yLc/gvI +IlGPOn05LodFD4GmJklXlZh3ggOiU80SFr7J3CbRFYc0h26cXOMZkQUvaMEpzuUYZELggA+aVExE +Mt//epobdCR+qNJn0m8cLioVtz13BRlSe0rjXlgOVFx2u0erzD9N0FW6NMN6vkjdxhyTMIDhMLz6 +h+nRZJj6BrhAAxtZoX0+BAT3+JmhIufjjqwTtldYyPETsHuOH7y3I6PY5EswCPYf/SggX9XMvzbP +NvjLE81uhWOwxIjmfa2h4G4nVzjobI/RzTay8qKPp0Uvj5LFRwdGOjdTJ8KM758AHG6Oa656JYIV +gNaHSjWL69YtSeMR8bGos7KDuWZoinc00icEelwt1jP6TOh7+NYPY53q0UUMuaM7FVb1DGnF5LUB +6WelIQJ0jYhvJeq3nhza64fb1mZ9CdenUYEbicLI1UE+mCwwY0d9eo1af7mKht34r17zAgEQcteR +frNTi5Mr8WhMTcjAFDMHpccxbq8uCrt+cEVNP1J2LC2qOV2iR89sInRZxRTL62eCiAMxQ5FqhkmZ +oRDopgY952IdHjnhFXazXLrAatYLhxFMsGmnGxvl7cUpGnBiHwqNkjmS1/fthp5/T+ly2ZM7+6zm +z9JTe9wVlqIBQObDPWYZIhjl6QBCM7+FTmtSQI4ZQnTvB7IHfyDSLprMSoaRH1LvhQpztiPVOPnF +glP5CCvRzgkp7bmFMmXuEAumoCe+ZF7FEdfcMpsdnH/vDKQDRZDaygC/6L2LufBO/Gjm61Et3in2 +Ukw+uNbpM0AyQVmdiD50kWDpDJt4+LOraC0Q8oQWPkVIQ5e/LY9F6SkmhjkMM1c6RfyiAc3SPnhz +ohW9BucTLZ9J8ghhjNtbkPpwTsElXDlWSpJQVKSxADSaZKTqx8NZZ64XS583bqHTGME/OrxV8Eqx +PkQvl1f+cFoeF7T0ZQxGbfohhscSRExgG6ZzFoN1g1TXx62zlgWYY1OHFsl9of8Bh2US1PDWxqf0 +I+yTfQunfdDMNTGjOJ96SUlxjozVEw7CvOLRGYagWLJHrw5m31rNpJBhBOMFmG45CMWI2UPiCBFz +XCkayDdQ7fax+kJ+QTL+zg/xNLAja15qHsyUFCoP+KwtIW/JFPB4bkhugK8Y2IB9NxvC5wVYvzVX +A+ksHFpdEV9t5ARP2XhqUeP1SxjgcUGgdSM1bL8XGxIm2PM70ylX8GnzYRWaWfm+r9rpLVIZy4bM +D4A8OWip7+2ASqMjHNPUHXuN9n4Mj0c8J+Y1QRHp9qbGcXWtkNJDBQTGiQmiSLRHtNrd7OHCuKdP +U3lIzsCpQgPaNXGqr/7AjnPdwvqEpTKNZ72Q0OyY8BqqqHqBjl/UalrZOW6dynBmBsmblI7S5sia +1xsyPHOx9lo4hauMgd3JnVJLedI+5TqL4d8ufsYS7cxdzi3GQxCYONNDHNk7KswDdfx1E9Yu63+V +XFi12y2gmlFhwer6vHgRe6lkg8v1XW730vHabmqctJ+3UfT3DGyf5VKXgIz9cPViLLByRNceDWjw +5pB6eM7JfgW6+PDV0Y6Z9tLKJPEUh0dx5XsHM2UkQtpk069mU36kYP79hm/FeVTvB6bvsyd6+Bju +VJEB/C/CUSkS8kpfjtKxeoxd5RnZ5YrwsIiv9dfaIiCy63kD1wGm4TojZOcROUqWvsfx4g+NF2O7 +fZxIOWZtTkuLQX/nI3lQ1roBllNlRIJHXWpyE1gZQ6bvo8TuVXlhAvZPNCmPhoxskRALLYUPqo4u +jY0r2meX96+Iz87sn1MNK0b0OjqLQ8U0Ns0f6Jkc5MVR2gi1GFXX8XTqKzIbuehplLgkFLvo5w74 +oKfVK9X4VSqf39VbVSR/YvfCGUS/7xPPO0XXBh3QY4+Re/F+hN6UC/AsB95uJ2cMZ6wOZCHWigUW +ekJVTH+eRUxjjDmk4RGf0yH/CDNOX4wbBlQtSW+p8bl2mXbT8zU3jqlyWI5aRMr6i70E5SwcDfMD +A5STHHTHwKtAMPxL/9V3CTJY/9ulkdEuhFNkVHGA+HAPVICFTaWk7Bn+D1ogFJsQxZ4SJRV3LzLj +lySNGoQFeDoq6IRGV/IvKNQ1+NmGMR1dOxRv7bww/OA4+PtbbDre6OyfwmCRip1JCAI80CEhMyN4 +X0NxXhDjAh9WVYl5t9sAQ6HU5oix9uRLEgbgxSsoNopeGUMHru9OorAgqegKRERhXIN1J8UyVI5n +y9IHC2Ut+axRSgPiyzAhvJD6ynjyvF1IPrVORUnKspZNnoKC0nO+eTsKCZWcGEVMi6ejIJ8C6eDj +wY7bFEf68riKNX3/h6gkjETfqUZROZ6UJftIwWIZAGCyPGSinGxAFn4HFOVHxjBWZy3Tl29AieME +kQ2QrHid7/SaEsfpr2BNfs4vK1sY7oA3tPBfyC4vSSaCB/zwx2D2FTSCe3/lL6Nc+pbzDIpxh2au +4SWzerZAyNLORmpFTO1AX9izJJ1enPa1CqvGKJJZSx9xcAU6xse7fgCHxyVtaJWHldLWEOfWEKuu +nhfDY07LHw428hJl4N8cEy+16zXY04jLp2h780AQWGPV1AuxUtgL3mRYh2VuiangNbSN0dbvRe8P +UBuQbcRwfGz6xnT7Ds6SP3XEVApk0O7DCnF34BANCJWd4xSjjAcV9zFWHXy4bu2DQFfDkr4SHgZ4 +7i7NlG2rTnibfmPfB3QqOZ/nFfPOn2R/vQ70pWUTl35XB0Q/Pxw7wgaMMkvWNIDbQBgURL6BhU0P +Z/7Pb/8sB57A9tN8xHJ66Sw7Z9mbIUWnYsyi5A6nO//kYd5kW76wO7AfdsrocQCMcoD81MmZNl+O +n6f1yd9vW0ANjML8sGZaZEqRMjLrrC481/az5Uus51CloRq8RrliIPOiiH8abn9bZ5mYAqYckyhs +sYsI6uWQOQxr2Q7Igu1CRpvxpMDPm3+aYj6+U1rsNy/ZwYCtv4QXIWQylj08ruVIWdwCjC4ezjA4 +9mcl8LAkcOr2nHosXPrP8vARXptvWmvxAidzqFQIvbU4DRgYICL0LtnhBnteMX9YQ7MczCcSodOq +f5HevJXASFopJ+7FX0Rj55ZvW4bNfNCuJrqa9PFhoQgxEEfiH12lQdTYU7756Vr5CsgzWmOhrnQm +sWjGulEZRImw1rCgCVFQf7q4cX+iZuNYUxrYmSpC9ndbgAXPGohx+0o6Tp2B4sT2kSy/qNxh1Dfi +9PgjtS1fXgERovKYN9kRaGCOm8oxYerVGiZDIcFLw/7AHWmxukUBFaILiSTMYMDN2umIqCcwzQwB +ZaMsIyyQKE4uCMNMCtevcGENtnUOf4jULtC/YqJJJSSioO212kL0nJ77aZb+o89NvlPqvAz1T8jy +ahQhOKv9UXt7TZEAiAdgHPF2AqdBhizjgb+yojH2fIPs/tqLe68OVqaCIl+Aj2MZ0JqQs3S/xAKz +NT0Kf58D/VQXdp42vY5Zs/UD9JrXBwq1BjYjQpXt6czlVzkLbDhkJLHALKwh4yteMTW0H812bOwq +xHpbg5X/krE8w8o/NCyGtdGqGbG+ZaZG62KzxjdrdLhqW0mwgURy3Rkv+Aj5uFuubH3UVeAGURkv +bIK7OIOG2/mDkGi+bmMBO7OikNepMnzV22y4yegTS96ZLydLJUdRjLdlzwkzM3jcj41GwevjgvH2 +eGeLH4S5QMmCyfsBbNReirVcUECzOb2my73HPPhzqRgz+mNH4jjk3p/Gk1WxV6gezpVn5FWND/Yd +olLxC/aOuc0vWqcJaE15auomtLi/1C55VE1jivlQx/Ig5WwBHxaS515REYIJbv4W97i/fQgjqDQf +yXhFM0ljUc5IL5wubkH43D6DsavcdQaWCfpeRpq2D5lJ7UGvCDW18hJd63fGAoBLXIrXFoBVS7XT +/CLNS2g05z7bh3yB3FbfzYdYCsMYfrBigrya/YbEdhubbufKbiRkW05oEl4lN8be+25n+cBzXt8j +3MXyq2Fxka4U2Y0AcyfJbd8zTyFidlgmBvU131tUJpwXVByGlysMu6B/+cBm9AfB46uWPg8qjFRb +V9oRORY7uSkp7UelD6xXdfi/qVIHdCAe+64WfRgfaAfLg04N0WB8q75e3PdyQDAjJI8Qlp6m9l4m +1LgAWzLvYBfJfKkRPtnA0O9oFNr2xJp0y5tVoPMvVYgKr0IoiaxaVXvE7hU46mN3KAW4BWbT3tCa +Ytd4ddGlm0QRSLuM6ZYNp1M/I9kpZWqXWfN0baPXvVSzNotmVO34IENsJKNHEm3a2b6Ufe1Cn1TS +MTexQ0eczdczLDDvz6uW4n6ONb/ZOTTdfOdlp4/X4UVCjSrHRRq+stZ53gXErFelUnL7T99CwHWl +aKar4ysqKutEQGYfNYBaAJ/2PZDPwGzDZBwgj3CeUa1HjA9H62zZ9tkBqg+9ZbePlMbKNj3tpcEx +s3H+PTO6Q1ZXCtR8VwI5e7WIbylWcBnVByd5pQizF/FKPS22SK5Mwaeta16qx1bLzsQJeXwUoidD +KKeMAUowvSnCg8xTb6r89l3V/sHrqc/qjNQMeZfeoUpbtKUd9Ay/ihLTwoh+tQV2IQ3Sif5X0wYC +E7o5VO1hPcddvrcYjG5v9SaWNb23L92W0UF5BqfcUJHaF0sBZ34m2xc1r5+LyboAGDUHPBuT6+Ug +SjD4yb2UqCjHL8bSPT9XK/iFA4X0qabt0NNuUkni+AsZ7mJPmYi/nFAuYF55KxGA6lpEq6HaufHZ +WyBHCCUBqlgA6ck+Q7fEsVpkJ070lxOU+My9xcf59qZytmd/MxYeVjdV/LXjCUuOgmiTmbuPc7lR +5a6i2pQ9h6mlekZ6yrgciFbrZw6m5J4EZIS3HJARSKPutU0kTX6W3WubF4DNDHDHFQeUHYpNVe4y +XElB1Q+/OO8WNPhM0aL9N46cbCnF3rRYUjoP5jnBdBQ45K8jjqVzZ70CVVWFles1xaRdRaTfTgY/ +OjbPU8BhmkVTX2iHTmKpfLlkmPoANj3pR4ckt/XxgwS/rE2F8l4wSyIQqXZPyTDO9Oi8ecNdRrcQ +iFM1MRhGsaYrsLuK1yDD2YW591Y7BkqedRo8RBcZsjiPu14maT4BPQsVMDZfnIogV71axv4zTmP5 +kjQ3guTQSWY3uuZrWwzvKRZbZMh0XIWsuvI/jjLENdbcR5xqqY2cw2NgRCRFCsENNh2gUjuvT9Kv +2NPbOVYnZR15WlScLQm6fPJFmKJ5yueQ4hRVczld9Z8du3ZSZ3uC7yQ7AqhxQkrSz8EPwSfar+cH +ZQyoOyYnu5mf+G9I5ltzTf9wPTA22MrBVGLpiXlOJCOYzc9465Warn+G+DMBfmYmd7/1Ht7HscNd +W6pzuZQE/ZsOFz+YXETbSTzdJFncRN26J1/X2NR0lFoju9E2h9f2+XqD3bvxOhxTHMwZLCytCKSV +yK9U5XaiMoVImgh5AT+EFMEYA+0cDtRaDZJiPcwL5yQj2+YErzTwkLUI8S0ll2GtbdpLln++wl4H +ArDH8fWk2JV81XLgH0VfkBilkm9Ncjf4ATXFm1TOpQNgGOrD2iqIgqRWz6xEYScc2Vlx8NGPc+MH +3uLNTGy8w0U9UMv/Be0pSwonv3Xtrq8S+ulbcWLQ1ZygYL6N/0qWXUWNjPuqe9mLtudrCAMs+AxO +vKLnMTWVQ2g+IpuHRHcmXFlCFxi0vXiNpI5VtOhQczN+KjPzjW08mcplfRptk4wg7AMJtGYyxrGC +eWUfH/1sKuyGJa2mAYzzv958j6Uk3B7ewk1abXYXi6Gc+6BxDJOhFmwwgPrhPm3ayAcK8OY71J6i +i0/rRjMetN0YCeyt5Q81ihkm7jsLyFsUZgj1r6rgc2buKb5Ku2bA1MAuJTlKjXebGfzsjQzGZdbs +geSzILLshz7+o3X2ofv1tEjH0KeJ6YyhkUVoUVd6SaXUhJ61YxIrCBMe5wp2VWdxSYu1hOFOqgbB +pyL9t6mez289sdNdoT0N/jalGqdkGpRVvFvQzYi+jpezBOFhb4WSXWE0o1nQkzrCMd5Y/m1d96FJ +S/hS7YzFuloOWopqkHjn4dIYyLMbAU2Y/c2Ql/5/bdO/JDoAwSMqriT7CUrkNyMyj6KRxx+/zSWB +mpKg/JPOes4iKbP6+TP6fotaPTrsyPYj7gLTXVK/hTESimKbbmxYlJeZfeI/7QHXcGbe4niCEYIU +lB0Ff+v9cDFNv1l7+23KLv3zAI3gNeu5bqiM76V/9phwe0D9lV6niE4puxP497smjRMI9MH6LXMD +HFVY87I7JpiYAIn7PMVIB3zrisFkZDiEumOqL7JMXcq6wXb/eDxgFQanBefhw11RH51EaT2njWWK +IBPKdEg8pHQ9iplYAfcHN6nGyMdnCj15we5dvymtHVjKIV7NqrhWDy06t7UP8lX2Km2oMNo9xLUZ +42mBl7rG4z8vbSfav2qottTjaa0yYzKkg0ji7fwmi1Y76nVHY5RcmPuciheKNh4fdOiktEwURL8d +icnx2ik0jkaCuw69+/XT+vDBc1+p99ZQU0rbVGaZ+fEaf+o5NZLMTErtxzgxepVxEBxeKTTmn6gD +saOs99PnMhK2YS+tWXTAFkGac607p8oNIkoM/OYv4Im3m8TP94octiGn56zXQUVI020hBux9I8hh +/Wo0I4LZTzLsiuwbslqxF4/OkZEYBAN0EbbptvQxME63BHIeCXM51sgADh0cIkzCyI/CCvuGigt2 +n487VmawMyqd4g5a0RR8pSpRnQz6LRtkWlQVW6HibQtEPIvCKzXyqjZhmGXD2OmX04I/4QmXayuW +Da0Xjt8oXNWfV2SI3JEpGs2JdM+iF1y3W25jZ89Pghy7YQOsxMWsEqh8qg5Jsueia5tLNrxIjxiX +RztuYedgK8iAeGsuWT2TMT+k1m/A/JhypZEkmUTbqLLyM32gtlkgOTyq+CjUtiDJ6fXt8/MAd9ca +Y72tQ45yDcqmWxPq8+YEwKpZDpcz/L3uQFiVtSQ7OgMiPEBlAuXJ3INoyo/5ZgOP2nntcabTdYUX +SDMQnmpFBkn1ZOCEc4xxko8AagY/hJI323f2POW+TtLdOIRaMYiEmSJ4RKKQgwFSGAx+gSI90zyz +kjAQ8LyGHRk+FZ6d6YogfZQVlZf0/LhsLKyuvFEi5J6YRUEH1fxBu7cpZ7sK1JasXGlkWcBQas5H +76hGmw57Dz/Tl/WrQWKppZR6eis+q3KlPLAWcbcWSpwpUzEJhkl+tiTOR7dxHN5k2gzdZW2lc0n1 +Ja9YVZLhgRJACqyi4GmaGZh+fgdlWur+BZ+1x8fAIgzVKaMg/eDspDQOWbUaRZ0umLAio4JPSIvx +YhybD0EpqY9P/dwmjbzvh1YZXQrXLnU1Iae5ESU8sgjMi/x+B9Bc/AcbVccH71TLJngTcxFK6z/5 +e2hZxny1/T5ElswpOWsQBbFw8kjfdfju6zoZjaiWko/34AOORRpY9kpqqLNExhkY2pUcKRFPOkDg +qngyU6+QONj5RgNYiJNmGfwCh0PloYvFcZJKMu/7hC/7xom/iR69hcmgxgLjSgRq8K+GhqWmWHCF +hLjgVylh1/2E+dKHS1TYMPFAuqomJ0hf2zf7Z40Pc3UC6RHfKlFmMRrfn5vGYq+vgCXQlL0q9Bx6 +IN22C5Uw5rwwAlSo2skRoaXjLklqlVaLCaFEPvbxhIujHz7wXFzEazDas5pq/WcKkY/B1xIA8kU+ +QKFzlx4S7ccEsfE+kAOt0bP+qUd3MDyvXGvBl3ga+pW31jo3pyQXydqDYgAKWCyxEhafen315xa0 +hQxwTT29i4O8mHzxf9iJi+qU/x9XY6X/hrbI3++Ud9PFUqH8GX8H8NnkuUtXj7mo56xq0pdTIMWD +8GGHttc0s+7i0ffyRgx6NptON/Bj+GLa2Gk8FzazqFAnmM6DE+jI3qH28CkXucdxMuttXBJ8W9HY +8ifVY2ynzRtb8Nt2kd9xf4nNWy2A8r1bCtwwtIrDLuxLmEysPVTy0NOLR8ikr3nRmhdqIyFhBfQj +ddh3E3jJ+pRg0/Onzf5sNkT+836b+tGeINqtCIcY2rclZtl0bwtfSdKEY83ndXxqc/bCwgqabJka +2rS+QuoDhJfC7dzT+bfmSh4ZaHGlu96tem/SokxpODbWlA9hT3oeSVbUVZWnzPY12Sryk8AOg2bP +oMeNlLuZrA6DFw01YhVj/RU8Rc6NVlWbY2U2Znep4fL5mCsz3kOp6UAykVYNCRHBWcSh4tmN9TeU +QU+6u9Zay/pg9iy4nQmbRBx8DIkvWzX9JmAFbhX1emjZMKmQtLKQmT0/W7hq+9vxfmRwJ+LcBJQX +KTMjkYl0LHlVZXRW3Ng8kdi9qnkUm2yM4nNIQdjv63r6kKMPAMtKlmDQjvZkfHnYiTJrETuaXFWF +wKpXjocDGbvNezsiPFboWhPnijZJbm16vh7K4b/XIET2QdH2K1iCu0hNqP1KY2Kt7Lgx382X2uyS +4W/UO2mwEiBCAcfbbmRnn/ByWy79ti/tLQevGzzzEsvlycKOFEwYaunJPXI8ac3s//0hgnHuLK99 +ZHkDF+KVhC5tJDP/AV9VY0+MhDAfOxPK140JTOhDBe5AAcITWuN1COPQDJCoNRIdbGxwPTa7UxJs +hJJoMAi7LXonBDX1SJTrKFlQxw3d6SBXqcdd3hgf/FfjGYPJmECtDYnuYQRJwXROayKplmZYYLmi +HtZBjJ4DTtE5ctcVpiu18YoMFZFeLOT4FiX0YZXC/KNLrllSSX7qJxg9YnCTdesnAGjit+JzBsG/ +jeDGyvde+dSAnF+XjYH/QitsWWIFwMJHR2EWO2JpLBpZOVTAX0bmhJCuOHHCIt5GpHkLRNc2a/7b +5ID1bcW5JxCXKYgJofQAjBcJRRcLfGgD8tVdNtuDxukSOxvGtOLZBtNIeV6JR2R6ZtcRi+fmKNMN +BHraQkl4L/NlRENB5RDalAYJzWykMKGSch4xQ5YCUga3n9SiJP/keTR3eOIoVBit/FI+G/MoESjt +qVPx9QbhHqQ7MoK+chFKA7ZD9KoHFgMl92q6EI51A2HfENVknBIEFIHRm6gTABQsXEwQjO8MW8NX +ddyGv6eQN1kvAZjNnMmED8tbEZUpq5IPvkav76hfndlmjGT60kJZjHAdUABcHU/SSej3sWCJMo0e +IVYKHxVzTXJh3TD0hK53awhXF92V0uxVe1emeduuUfEb0STJpp8+QMpNHD+hEpUMeCRGG6z7IFVN +sv4zOZlo/Hg8c+VK36rRT8OkdF7NSBotsaMoEMQzwaLt7jZl3+yWmd0Lnj6n7N9YUmh3E642MS9n +Ujo/qmSrm+DKg4HIiNekDoc7b4q426Oliq8PNjzRvsxgC0m3+HO/zhX6Z0ODjdqNK+5ZGStrCplu +ph0d64zBQGVRv7v/uwC16sttbcA3efp2hwGdq0Axg/QIYfNQ6wbtFy03/TlOzB0rcvbR71ilmKgv +j48E5zbi5a2Wc5lLfODVslIE7ZH8XqvRCRAEsHGjjTlMB2Abo06+wjY5cmzB8a1Znfw4mMQvKIA1 +xlhreqZR4G2/aMVqDa93W7mXLxKA7eKq011nlkfbRiXo1gO1O28bwCyhv93h1YG3+KfqS70Z3Mg4 +J7gE7wAi8tJ3t7vHultITEhDZ7Vvci34AHmC+XAP/7P6+ZOZ83Nlwyaig23KfD+7RGiK322tJarQ +FHUc3edKso7/l3Zi0VJ97JpxbDIB3aRRVSeA3GnfChzWCduX2XPZh6FWqgGpVMopRk2zIxMATDOV +jPsqoAU5hqv7sepdFjj8IoxBOFC4+Q6VuFldro2GRwATL9cw3Rpm8Njq5XuyYP87GxrWQmQCfqx8 +rHyzsgNm8KZEvZ/Pg0kqXmVDOHKcyhgiCD6l5Qa6/6R/w11YhSxMZVJudcV4aa22GbKDQDrcLgCh +SRk9vGfTH6jyhSI623Cxx32p5qrabO4/FeNYlfykRE2SROECE3LKBAy4hQ4VfqHsu9mFGG2l2PvK +q/Xn7wG43laXdDnR0D2YZxvzljrONIeIEHkeGb4+tWKb6eToa008guQoQnwtwXIe38pGexhUyfJu +jw6JIincKGBaCkc7fw1qEdZE6kD3i0hXXLI6hn29IcxEhJhLI5Q2FP/ePiKR85F07ulZuP4Xr/YV +wyrlZ+k7d4S0T5fodXw29EfmUAfYauGP5kjD50b3LpbHBWn0GwavXSaqdhnzwcMYpzMmcDlVTOkL +3tJiR2LdoXCSU3GhsbDDGf7J9b+OxsO585zDqeeL19AEFYSHvF5pHSkRDr8eG0sTnDR3IXnZePzT +5SbAt7g6TCGE86FLgTroFZE6RReCBNze8TZQP/XeljdRGphIx7DF+h1V9oViAFWQk4dSEf4MCe85 +Rn2i2CoOaDlAbwfzVEZ4qTSIGf9dMjVvW9c0JgAPdwg5Qlgy8TXluO8ZmKdF0BTj9WcWg8etTR6J +SJTeaFNg7mCWx4T2Bxju4c2CeLWe9N9tK9GOC2grWJCay0auXQ7PO0nv5c4XJbTnMsXZb6jFuiua +LHPGKo4eZP9ZsPnaUyHBxiZLRlamVsSIzZGUD0xO3xkyIIqcqqaDsOzPt7xE+fKVqV/FS5yR/btp +hg7xpXyPQXhIpoGS3QUCyD8345Laa54uF9Qk8BlLpFYlucMsc3eP8UTu3tREzaQtpavuh+aunjAM +rS0m4Lm6LnXLPxEOzPFBXPhGMVfOvxojs4lwcJxvHM3K2HBNkzV34f6+4ligsvpFY3QUsT3QYiGJ +kMwr2ueXg4pIiJrDy5ylG06TYHvvU35K+SDf017RGmHL8wQ6uzBSBxGVRRBAfESb5yioLtcpKMLY +ZD0iIdEV+sE8vjOBTywuYz/ySTN5AH1TGxmrXsD0sY6+Gaek7XAA7FyYUFFhJGancU2eHAtWvGJh +xkQeG3XbgUeEMnYe0Td6QUi41wZDV2sdSD/xdnXHDlv0EanWfwn2NJpyMqP3v6rlLKFhXHVPGqIe +OIqUoVFdykof5p+vsZbAFgswr7iMKDzlumlLFEGFdQQq09yqvRlYszkeUMsPCLz7EU0BwsRj1h1w +zC0IjqFOcao/ledoraP2dKS0IlbBYqfTC9kMJget+Ui53BkqWM0v24CnKL26D/6nVhCdSsLRyXDo +jujhUIz9dRkATop9hKgIaOF7vcmhlScfDPCTYI2KJEJbv1YJbJqHCHx1eJ+fqBaYkywy8vKhdy8F +0bDM5zzap02oTXLlqZJiXQiLGRsvd5VA/1q7VUKunqmnmNxzc2hkw0Q+tmNX3X2q1GhiRH+Pa+rR +bVCvKWnTYw21Bum8ywbPf10yZpRheRmIIXuDiRLH531m3n66mEiYxzV6nCYkgRW9jCaHefNYz2/5 +Qmc8GAgzLBkZumEIu9Qro1DazsJRCB1IRKzT1k0uwQaLP9Xg7hQKY498gJEUNsECHCDlsOPUolka +lSphZ4UJBtwBzMxbPk89l+SKypytyK5sML0hUVQ2JP5K10cDusZJrnoZQQ8h18xs/0dh02n896C6 +P4+uibltjm5UhoGe1X94dIlk0yM8n7TR/aCF7xZ5xmpLFMFJgjuZH+2mitwwcTysOn36tPjMeK9x +o8CeAfaB/2uS03fMkImpVszwMbRUXGgxnO9V7qGMiAryMkC69gokJ6ioQI+eYTaqvbHCghcRRZ8B +vfG5I7JnNIYOUzPRsTaYSW9/31U3sk4DDiY28CSSyagY7MbEovKi7cD7drWcXRDShLSm9VhFjIpq +UFUSmmjT8q9GEkymnIep7hwJuCXeNhVOKQNjMFAWndhrK7DBFztOsX+USQTnix6eQbtx5+DVIYwB +T6A6dMy4JD8nSiOJPrAJdNE84UBQNF7s378MLV2KLoKUvSnXjyoazALpzRKGWD0CIdiWDIbd/cHM +S/vk7x2Wdtl1kq4j1c8wpPXxCcp1RCiZ0JUwBnOhRU6ivMorI+DdWekRliFhWrxuZyjQKyIl9Wmk +df1ut6G3o9g3rTENjIfz5mQf2K3Sn3skLieKND25IJgUnG6eXP7e+3mhZ0KTnwVp0BRszmAn7bMn +6fRFZV2xeGt7VcUXeQR0fxmrrzgdG/48lADfb8Z06emlx4fCprJMf1DV6UuZQhARrutCuKMRdUE2 +fkCMWqZUhRS9Jc9Mi8e+Ii27tKIQAyFXN+LRcyImadpbwcQ1wGmY0bPFiWnAATwueQCFmsGwPnPx +z6c0CMDUZeJ1xa5/nYnc8V/poTB5KtY3g4trlnEuVYBzbKQAcm+S04COKEu4vQFTPd7Y8GjjBobG +NczOACfplLUKbB4rr9+ZLckB5kVAKlB4ncC92YWzptxq8aare+lAE+Vz0k69rXmdZ7GIOAvMJbxd +QB42SAV9lf04nhxlGjCH3qrly/nV47mZYgyrWjvZdLo2I4AdC1O28YW/jM70AmEaHQ4ljveDRumU +dP7Ayr0iFkpVGOt4cxjc7IRgjGwhdASPSPDZEx3HNg2LTcuUjxHsME3AiLg6tnydtfCsdyEENbDw +2LdweGC1wmku2gmFQkbhFcSZ8rPGQyXVNqOrLBihCf3ZKcWmn/rOsB+XEmOEMup8OyH5JOOy45xD +1W3Z1VJcyiofRTUoua3TwMkfBgDU/TT4ExS3jzvsKKsEuadsoHdhEEqmZhYVsM15A5hZ8w/rBirA +1nj7wWMZ7fKIzWW+CizecaSsGN4YAFdjqpsJol4RJIOTIuuwUHNiR6suJtqiBKNs5eRY4prCVMAJ +tpU6tMkftllHSVywGFnUNSqi4GyKsnsSCEtGthXm3QMcCL7V/c3Tv5erUDr5YFecV09dkP/pUFI9 +FsCQ/4Wua78E/3pHHg6j7mVYtAi7H2b+PpvfRUkfYath/E4fZbjmPcHgnB5xTkpT8MB1zTJxXqBd +AQ8DqxQWmYeWDT6oiCk6nsfHc56P5yfCd0yUF0EBW1Avlq0XwbU7vKXae+3VhE/LZSjNS7NpkY51 +xGsbcAqf4fN/9V9BtkDYR6JxEHfYZwNil+96MOwq4Dt4dhUqswHUYbEOVvCIephcz8352xlab08Y +UNgfEHyASmDZB2mOXDuW7VYaBZDKf4lxcIHnl2gu1QdR5GhARRuywNfvCVHjdX+lMwNDvJAcvxiM +Y9uqeMUOu+S2YHaYThsD/23i4VEBqH1qh929EzqGD6yUYYq1jonwTcYoCNBlWwefqHVoQCQUlo92 +nbJ5I2piZSKLAmQpF2gGNGP0fVKJwTJu3KwQYab3Casb+YGJY5P4b/0y+FF6ZbzwAQ8ByMelgS3f +oAwvQlcMWUh6WM0wRTgc/erEx/kLTpY6ZLig2+N3CkYaWx0ULOy1boA4C9YL3lTcNr1cyaQun4Ja +vLykHuWLRjMvAWkpU1q04aGrMUMT9gI93Jbfq/U+Bu7ci1FfQY3H2MyblkI+b7r+YE1f6erqR1Q5 +r1BFkQvWxNZJPaMNOJbkkP/KBLTMHdUfKy4LQ92pablhwsuoj090Gnskt5QTFLMS0VA+Pxwbv/UA +YWTwa0IeekgnrfIFd451Fvxe6IqRGyshQy1eBPM114iqoC2nz0sC2R7z5MSvgrd06560noduzkov +Zt1rSgxt/FAIkXfO2+7O8adTAwPSr+bdQ1UuLhm8NPG+kJsRnHBw4wtB7IqYws28BbtIyyZk003H +Zjs5OXACsQORVvttxd4TxaTMwjWX/33ARn/cuc8SM5p+HfjpYpXFbJ6q5hrpKDUs/iyNkVpnU07f +Dyk+Z2oqXApTJS/93/4BXdgKjKChgodf33XTGcXHs4rPwkilYyin9jsxY2O8eGd7l279Ic8zjwsR +Sat7p6Qpe+HtyuX/SHnpw9p6rLJjzf2JAQAUrppSwi6twpyZ20BX99rfFWiKEsr3H6AQT9INaRVj +9SS/giAjfAoSqgAoG+U/m4hYCtAJIxVGBYYeFzo99LTFbzmeEgOu1B81QF+8UlEGxXVs/JANIaPX +QTxkNyccqoYyLEnBx0b/8/1ruIONd/5bU6M6BLWU09LUIbh8BjmdoEMWYeW5+FafROBVaHprX+Pn +OCaPnzA+O9VDGMD3XWcjakbVPVfy8uAnNHIBRMRRc5K7TNkxU0+5jEBIW84wFj94Zv8HvjBNF9RM +39elxjhG9wj7gDTdIIW3YGCTTEmUYxXr1ZfC3rU2okkHJLTxMn1wiWKW+blKWCsBpZe5KNSLl0Fe +IpVsq6Iw4vi8YA1YDZMdUQ7VMmeeBd1wg7sq3+FxVo396rTUSLFL1CHWr+xM/3UsG1p0k5Hhkp5S +a3Kjfu7isQ6X/EwcvH/z7S9RUJXO/+xClttfaRCCAvpQqmLqx3aCQDW4Dl/1lmhCZHXLZCOyMiRw +M+tug2VDvnFMiwP1R122KNb/23jnLq4axxuNVF5fx0MJuyaUYFMHslVHQX7N74esfjVZ5A1Ueejl +3kpidnPWSwFgsqpUe4O+MuuGkKtMMkR5DirQH6CVSx7n4dopu/hEPzdtFWF/JT43iKvIJOmWxmo0 +dVaF3kD3IqkTI5LntEVlayojfhyd8Virq98eGtZUIMnPg79d5FJnxmBl9wpZAOxXgGwDpqaruKO+ +jCIjps8sgO5318HJHdnEIVExKSKf8alqAwsmaqp8ttERvXIJSSbGWFI9Smzc8BjOtgDsGQuRtXWq +waRGqs4dlq6iQFlBdtWoTh7hmI+6WxBOXfOPRrk3RK2zpsBT3lTRY/g6dsFO/Ws7yQ6E1VHBDFXD +ST6ePuVdZZHO3W2OWmWcmKgu8lDw5dhqX5ocuZODpYwnKlsg9o/aRN9p3aC8ZoQ+W12r+5h3sP47 +D6NoYFNR3M669Sf7buSwtXZ/OpHAUZpHn8ECkD23i9Avs+6H2BCiIo/I3HAqiFLtbJRLKr8sZm85 +XgSYyZpKi1Xon8GpAwgrHEKfq38c6inlCmbhT5haqnKsI4ksQn5Y9/2REYNC4k3/4qQGq1iI9k1+ +Lsfspd+QiGEfDMrCWFftUgLtdFKKVQJj+i0xfPN4Aug03sJkvldf7eZnHKapCi7rHcCKUhZN4f8Q +k0Evpr6y5CS6eOl+0UUuBjLUVatIAeaMZskuvt9gql3GvSZ+J8wP3YVlkAxTEb5gdtizUyddYQjG +24JwIUdufSVFpsY6KGS8+U0b0+lqyFdomKEbuRR7yGXb9AmTJ0q2ts3a61oD9dZ1pQosG5NR3b4Y +4tIhAl9O790f1hksdfWh/rBb0twIe8JBZcyUc9jhINxul5WDbWDwJ5kukcLNj1nMDJaKMcnwdwS3 +pEsHBQDVD0JzFv6PVzQiJobbaDiCkc5G9A6rQBDPq8z0xyxDTGPBWnqUJdnqpFllnWVlnbbfKleV +IQ1dtgkRhtl+1egPcIe5YL1+hZZ7tFB3qRtQ9P4YWbqarFPYE7XCcTH9lsRDx/k0absvL2ayRbfU +hkOD7uaA5fQ5Fuvlcx5QleE0tCW7I7gfaenIdAxT0vNbZ8x3uWJYUsYD/5ah664iS4+xJRiBz5Ej +uEfXZogA2f3XZVQD68DseXmg4qYlTDAx23f57h1d3kYnXfPKGQmPxSnO0YiYcTKKhKCqsUWjhoqr +Eu0oY9fjQ7SjYQQUZMy5ydQqY9OSNMXwc1y50/yvEmRHd9wdVHKk4pqTOe565jPL/MSE/CtGRmZH +COY0IEdwlHAtCg3NXRxCq/ySanHtpYU2EJFDmijnhcw/SwjJrSX4cPolbh0eN8SFtwYO1NFdqMkP +aKzqs2PduG1AHV3rKgEzCEjQH7u0hnh/N0MLT0UaFU0hjgP5CyGdbQHI4Jhox0EDxOAfmNcQ0PP+ +GL1R6gZFwrpRB2qaIzzirXXFC+4CHeJevV5HqScLWt7i+t8tIpuzlbzyUSXd/wCd3kHxIqBVy+lO +rhbscdYpVbFihajF3OuKbAaImTqoYhHdUdBOm++GtvKTZurlVYVy0S0uQbdQioWqEFZhuS/A9cR/ +nfbyttPXqAxCQbewdfdQkMG8DXVJf12MjADoFU6NSjF0LQ7o4VOg07ZJulChJLwAAdpL5srRVihm +OZN9iyCR0AExGWyTDDxP7HpjqbG/lItRJ0SLNqJICoAMmvePvsOzk/CmgieT2ZkLK656su5vv+1b +flJbb2xDtN9i95WXxMVfHwEUaGKFbQgHKl2+84orh5yCeIZ0QyEedEC70amOVTblXqMopOXZgbWG +/G04jqLW6kyJ39Oq9KJAWo72BJi6y0HDzdlHD64x4kIFYfM4lIr+2U38e8cmTcX54pH1S4jiwjtM +RBpGWSL8rpn8E5FpbYpsvxbgY0XzfQQncavtfKYiC+UZZuQlyC8GUVAfGYG6VSnI6u8alV7vmsQW +OE+tZIG+gotDlA3Nq9nTVRJfeKRgHBgQuA2g7+gKhmSpCskAXLbo6K1cN6ws3w66qnhPJR9Ew2N8 +vsTVT2wSaRrgHvsIUT7uMifBzKXwaElT8GxaYekOTT8CgD9NdU6SMtaawWoydBQLMs4eH5j4Y+sr +TjIbVld3Brsa74Uc5dwS6Kw3VtPeaDSFZ696Ec5KYo40+KV/wh7GMyDLMu+m8OLcbYx67akFdeYp +vB2lU51H8/LpFAkEKFZx1/VLPwAYKwOTE25dSwpUGOhGLcfFKgR/AjFRt794KOQehreDZSC3e6Cx +0HyuP6XOQGTxLSJ8aGSjYeY8eYk2rNEfENo1vtdofJksGsPPuUiRpfk2qdVFinZnOZbfwOlIL+zH +iYp8UtDWJ0LOULyZdOY808Lrab7QeJDv9PYHBQK8B35HYTswqnYAlnZmRdFz8CxZwCRRTRdciQBX +PbGA2+VQ0hwDZb6h1SGIT185LLf+nNzIB/fPE9hS6EndAg5hBmSxOr3cTMbkg/ZvRoENPizKbUHn +L+g0WtMoop3RHm1CYymaP1FoMLng5hxgaP3LTu/u/bVCpatKEbULd+HmmfB6L0S2S6crQ5S62ybi +QS6oBWinuk9JVCe4tdIsugWjFu6hnBh7dlyKFSkpgWPCdJe78iVJZ5JXK4015/qMziBJHvQVywB3 +DWzVONN3OYDhsNiZUseyB3enzZzmWMdPkvMohKFe84Dt1phhgBNMRUc+9d66rAQZAQxuaXBHiHZt +XmbWsgoynkAm69pCZ7tBbhbJ5fn0gJ4O0oDZPvB5sNNPrwF1De51fDQry6tOvU4dYh5nKQbZEWng +aB+riV0HGQ/5qT/KgW62/GPFo2l3KiDPU27ztBavQ4YOsjhkFN8TWNiNLPmxUGwlFlMZv80Xiz4x +KtD2/9nxRlZMiv1/DiJb0fdYy90Q0x7R/M2NFsEHazuMc9PlFslNtrEQ5pDLnOtCeSv1Af9foKeN +Ezi8hkVqAoE2+ww+ulF5nlFmDjxtLNl+r98Hlb8lJPtEyi9+c3da7flbYQbX8Ac5VE6OCaIFW7e8 +2UyGk9YbU+ei3QFvtHK6JC9MWct2FUg8mGW/F6HvaycZ7qNQ1lEkoaT1pVj6redTHdKjJrtxeU07 +n8aXn4n/KGYit8hW7BaMIzrqbaSu7B06zMuzGEKymetDDSfvWWtU+zmAZYwaKzoeqRRVPMbKciYl +Vjb+NloP+aFzv73VRnmFk0XZPHHOMdLOxtC1W8gXfE5CrVmn97g9+H+ZX+xZXYDtp+g5k6rkTnaV +Zzk8gnyk9CVyNeFyzT7E6/ct4T6A59W8WT7Skh7ijlhZPoSUBOvcQ0bik1TtF1f4DISKsqnBKc8o +Al9KYQzHuVddkXf9E1ZwM//jaIiqPkdNj/rsfvBWiYG+GYC/nM002ST5MrjTrPaybqMsJyzjYsbz +3cR+44B66Ip8egsFf5xZX5/eGzi50T914MHZv0EblwKfLP6gXP4qdTW2SxIYObvkA7V8frONJJDN +hd3NDcY20q/z4ocwZiJt3c40cmSuH6R1rriV2JOZ7RjfFHoENwalOHcwDvHed32kqqqPmytaUbQx +kkbhmr1cCq3Pj/GxiM/Qz5P7yqYqbdIDdWOSA+gj7mW9MOoLNBNnkeUi5LMllB431dNCSMbw90no +hQhGGHw7yDelM4SZQO+Sj35M3ZDXlrHp5yDTiwzHiId79GkCLirF1pUeQV+IMA+He9SP5ahdauwQ +oSq7GVdmW/1LD8tMUDkPxgGVSP44nJhJ7yZKJUxadyihcGUeREm5bkUmThKV3OwawRfrE+Yg79R7 +nsFEXWl2JVSo/k4poWL+/nvpp24JBGSQIWbaq3BaCXNQRed3nl4LGkw1gipAnjSdWB3Eov/cAJv8 +RQIaUO/IgbK+0yCFnHk8rNCpPpmSOb0Zq7bzOo8/TZ+6b/c3d83SepyU4RwewfHTVdeRSiTCM7At +Qr97tr4XnQJx/nsXUq/y/5FQutJlV3jkU6TiJ5n1zeS0+dIuStHdCQMJTDMP4TkgVAzaT7pXayr6 +wQBGSClzLufd6+8BYk954uZzz9dgJbBjGjXokLcbHF/pYeXUElM83xwShL0vVZXW5V5f2CQcF/oT +jfkFD6P2E4Q2We13Avd6bDFQQsBA+wC81ZqvNelsStn6TzK0m5WYLcF0NIH/iGcMojO/S5Efx3vq +nj99zg2zf2q9F+4OzRToZ7ilj2bJObh9LyfwN49Eb9mF5MZWM38CUgnLoawUr6Fwy2R7c4XFWzt5 +SuOhnbvXFsD/dPnICc7IWLdAYeiAE/f7couf3bcvOeQ1LRZlVrQvP8tABi86lUMsliMkm8dEO5BK +LIhic2G79A/684En7MaSV3It8ngMePqMtTvLWA+Xx2V5URicMsv8aOlc/hkki9DY5Txuo89UKM1+ +D8DMeNYE9S+x9asZ4ryG9QU4K/JGTyenS7RLN/QaPoEAHyt2m/RvBAFKp8IfD9RcKiPAIuagomj2 +uFkt+M7X7Y06tq4sVLzaodTw0ofOj8PAct0LQgNdmS4I+FyCm+Dh/0XIiMol82VQcEVTX8ui2CBR +p3lt7cwrSxplIjIJpMaqwJ3HxKZ4eTWejjeoDqrUPDX6vk+tlApXWhBJYQr5Y5v6pnajvKAvwiio +p1i6p7S0JqRyS1Ve/iHhxKgxCzkh2l/CbLesA+tR7OIPFqmy8BqAXA9VuuMjNei5jYhmvMkzgQCK +ezKoMnSypnrEt6tfMIrcJ77Ju26FkmfW5r8OeusZRv9uAgDcjdL0UzGliwcDYv3qWMw6vfGaIDYl +wJWBN+gQrECg/dJZBudF6TF+CEBqZqD1Gwh7Vums6tabGYIMjzIHTunAjtM04R39XGU3mygmaGO+ +Qy5JyGc8qsbi9slx7DCxZzUc7pYpE7MtxO6rrP0TF7B+1PXx7BJK9pxmzvSkKmjlXxJs1Dz7LhlN +yUqluPljoJ9+pW+cNTkk+LQdxOfLSOWctcmkb+bFxQPhFw2rFzk3jF+83SnYnmSSVQHvtCALSKjX +WnlOTUUHp+2FdHrzpq6gZXsvjNCHg3cGVrPWmsnQ6/wllvbIY/WEOk71QIxxVqPZZ60ZkGoG4sJZ +OeA8LriVq3Ak+dmueqT+DRXmZypBPem5nDLtZW5KHp0N34Y15COYgyERtaKDEtlxuwuWsBJ+KJzn +kyHesLO1Cjgzxy1lyQf7HJdr0WvfgRRvKHVziYLW+JGDvZEGrRhN0uEh4uoxS+OoVsD5waJxTt7i +p97DTq6dRycVsT6sBGZM6Or9UH4QcWSHEs6wCu4n8SDN7ggArZYDId0lRhKeYdjFDOzW4solqLfd +hlteQQmGj9Jvy+KXAqPURunUM9HIQ+0GDlYj+6lzaIrdToQPw6cT97p0igqlUJHwmtE3QXDq8d+5 +cgb/XOmcHFhc/2ZZvG/JySTAYSHUmo0k4NauYlJVsljP2sBd8uQM7BuhLyJtt9S6gYi56zy8aw5M +lEK9Z+C5RjjXoxleBrOgT4j2SuvUpPalSdAdjeSn7jrkt//BJuHjscLZ6loJJ0VMa5YU/a2hTFnZ +zvDF4baYMXRUo9aNGfkLPVFHMhApWdBaSYifxKbatdDs4XK9EWkDePdKpuUUEDr2nKI6YVbTfLaP +XerFu3qfRVIDrPbSb+Pst/D2ffRD7qWPrwewLlDhrG/zh+GDD7vGR+uvd3CnsymRCSmAnYR8clFL +VQAYgDJRJqDTCUyPlkTklOV5+vaBMoHNWa0s9p55bYR1x1rbWwG4EYTVHeUS1LkbEDlHwFGdIUMN +jOrlOka3Xru0I0QuphkRxjOjIF+zYQ3f6di/iTS+S2aww4FTDhs9EvXCExT8g2HCt/AQq1AAE6Ex +TGnAdMbB9o9oQ5r0Lpgj8jf69UQm6Kt1qacD1zaG2XfyYb34c/pVAN7V9Ng3pnQ7btdf+J4EjyQx +Sc0xQACo+Vtnek7jkNt0Pdhch45H9c4hjgIwu/5woIhv5/KNPxmp0jry0XOMRDqb3pIDtpHliD1r +gcchc3jrScrqGL3iqVuqwIm4H0/ktzrR+kSGZYhEXjVdlFFWXT1jZh8roknPk0/UW7AYDoR5Y6Fa +ChWpZEPBwkkEZo8EJ/48yxVq1VMlKZWjavpkCOyPy3nMUPDoh5Fh4avDhb+8efZI3mTXzt1N45py +4MpcFU0vA2G1dKNfnvqz/TY/nfcZHXieRznL2Bnw2qGjb7yN93bdoV7MlyhgnPUgmPtBuNTCkZ9O +138XFWMd5JbQoNwQVeT6doIqSlAZyArCfqWXL8UCb+h5TT2mWgWDiwbRe3OgSGzzDDcov3ChmM5u +7e/owWeR6njbPb/4A2AOPIQ9aZEvUrFEF5Mm4zY94ubIB9wthHX5Tu1uDFz/7Eq0He1oISjIVM0c +o6bLqtR1vHiK7pNUp0owTuAgjws20tSl1yt+e+eqLv9omIEkttwUgmVG0VWkcFplTC0zn/o6nxep +lzx2y16W8VCwAdH7fkcMhvXzNrFmt8DjE/pMR0Mzfpt0scJZgYM2KyOVbZ0JtvewxslfkWjFj4mc +MUtjtlq14WO4oY70BBSB2ij7v1u/rS3AAmN4FGWxjbEa0diqZ9WXOO+/tuGrP+VcSeYjsj4KaaYa +hZD/V3e7H+zFFR3zhwL9FyeY3g1JJ9TuDN+EKgOonymzM3kE9KYt0FancFoyEM9PuZfnSP9bM2IO +mOscf6i7dByh4ag1HDYcXvtdaUtagl/w/NLVKiQepro0noZLWUMRU4/Qd6bVwSLQNDTex84baa89 +VnplNqjtVVnyfQ5xSRctJjY8OBRdlC1a437IeAk6c70UNejAOzKhDRtqG+lF1vcP1Gy7PmNdC20q +6kXFaBBdMR7KEZRUMtLOfYv0rddgwGjT7DkuyFA6SDxKBuIgksvm+RrY1wr4wVMUQrXmUBGVLTru +8/ANE9DvvVIRn00WJpdgw2zRkAWRmCmyxMJApYfsM7tsLyQ8doM7sYrXdRJLC2yZghM2sb86f6qh +IMHuPSoLOCjZNSDi0tOFjcgm6vBLYtgupfI5Mj+L4ItdR2bvydslreKC3d/WbMUVRNSddgJwzMRl +UjwibDZmb4/usV6XB9Rqbxoc6pZFXlOTpowyIVL+bMgPUF7pFhAoy6mt8X7bSNpj1tgZ/75U9hKt +C1d3kmZs9H+ucaeEk/0D2OS9J84zlOkl+DjpgorwzuhOvsZmvffmXDtAszkmyZGHI6ZHFF02hLJO +vN4Rt6ZCxk09nj81tFqSx/ZJcVmoM1ivC6SSjV1YhOPizBRLTDRs3Uox1cr2Y5+yety0qCtEyaJ8 +CPKdQ2rrd9btjOalrv9PY9vcmOGz+vwjdVQVcq1PPiveLAxBTYLFhVLEhLBKzjRVjVq+nUVkMxoj +uzU1vC2JmY2RTdvY70xUOULpVj8i/LauPgz5ZFNVZw0nQmzM+YlJaPh6XXb+nsxf4OWz9/vnR5yK +vPw2DK4PDJzOPsA7Znzd2Sd64R1UrzcH2Cqvwy3Z1YEnODP5RRUBhtV9/yFDQ5vNCa0FLk7yZFDh +H/jmItAna5Yb1hE+csYlerEtyQeJPquNKCAOCQxaUsGDhVHOBOBxXtJGnz+gKUwtt2Iu70UgsVh8 +GyWPox/ijuDSXWc6jmaGGbfNWs16WnP2jQAogSqicJN1WO/HUm6YcN/ImWJvufwuLISk3+z5AizL +k+2h7q4WkeVKx6XyYfG7ck/guA43TdDsoOFtG3+27xMYzhxUd6EkjpJd9mYBErcglzYenPpcX2Lf +wtJBFmgP6+SH7ayeWXlMRVXjHRgHKl2BVrmMMVJxYprc4VNfcOibAqBQtAYcQiRxi+ngf9fUcF9D +ifuXg9ZrPVx9/jf5dTkJ1h6fZDmahQrgX524PXQloQ8CnlgEJMMWdhje8WsoAzg8FrSDP1NW35RC +8wJY+Hzc71rE9SH3Abqc9TSgvCGoALtZcLAOAtV0XEbXbbj0Zo8GEm7h6tFPlS8aBlNMyLVVl4FS +KHhiRf3Ebn6+lQ0XWUypsBK3ArtXXuLRJeAZfyeQnmIhoq6DWPA6NXQM+gYq6/7UFbVzJ+4dRqcu +IGi8QS5xHbkhJ2FkyBSGzGVl3IQhx+KPFLqFzyZ6da/sV6NoV0sSTG80D6DQ+dbDOtJVDxqWACV0 +TjdgFsZyZlHGXAWYrfO0zkEH+Xv0owHQLcpALROwIkKJ8NxV2shHycX8ZpbwQFyt/xSXTxjL6c0B +WcHjgK3y81aPQiSqDtBSuagZB7MR6GWVh9BYIKD0MwPf3E5kVxw6b+WXnMCt1v9e8vpiyKSXcrjK +N2EaUMxhQw+Ao0IXTd0rkc1WHr9Fz+oZXn2VuSpSawUrBdUeRXEwhJyW2IyZOU47+JeBSgRj/adb +Ht2S+jTpDGwN4340eXudFiX2LUqLLtrr7v4qiSvvMHmpawfn3ZkOS5jHdUvTYRztoJw+8RYy/0Bi +XHuYwza0aMzwVFQCnp6zJVVnDbqsfDTmU2HWbI5OUjsl3sk2dP3baSiCtNux2C4lTv1w/gz0mx75 +y4tqxzpn6t1sIQVeb59JctmnGiVfglNPIFMapIK7RiX/2Ck+Uui17QyyN+9cHKanEbGt/hqDV//A +ykqoc6Opr1M4kPcphwIrkr3PnBB8d8QQ0nQTqFNSfxUVxTGFFjm4gbrXPfk9wgOFreFAEBAM5TD0 +3jKD09nqVFc/uZxC8CDZOz3HCgSoSdnwdNa8jw/+k7B5fHJsN6pFttvZen0wGTnh1XBrMbKCGr9d +KuAucZhzlEEPYy7fLk0N+QaM2mkNlkfcMEqEN435Q567mSkgmz5jfOl4HQ0KSG2oJ5XmJgz2/ySr +a/Eda+Wy+5gu4Md8HDZzDhH1mmB4Da0c3X8TUl1wffRlnRhpM1TYn4PXeaOWjZE1zlULp75t5Ivt +F5q0sllStYGNXa2vjeLZ9fFt55nKcNu5luXTz21f2cL82N9NXR2/qCKjNLaMljMmpLB9vbxeCGEE +prsyoPvhm9rvVbeRo3pDrwXntjhJeVPmxZjQzQSG77b1gOyHnAiJfCxrxQ0iQngysF0TFdqj8uRw +0a4fpOsbAbtVZ3dG9v+ww/EtoPyIlU8VeMXma/is17wANRasPeTpGiHf6hqK+arXTHbAy5eTbWfz +kpjkVOZt8HDzpY8V0a2cqeXsOVEWKj/xsp0DxWd+YrgKWVzZZVTMUoqRkPKD4awUuVWIcHqn1YEV +U5wcCR2WU/zuUP+9ytp7DO8zdar6GdN7ctqSlzQZhXW9Zl9MzD4cOxtU6hflFWwVq9Cc4++Yur5A +dfA3h5bCWJ8YoxQ2lFp/CEyqmWuKhKa+m3u+Jx8dW5HrffxXM7QKD0ubgH2iqyhCvSKGXud7aRGb +hju7f24fQdJThUM0MpevkXYMsB+UdKTZJMqek2SdFMBlAhV5aiKGr22Z200DEYM9lgtd+TAWDzgo +ZKqDMWmr+Q3/RplgJcqv8a98v7nDPOn7Yfz7KOlnEgDfYFVNPFOBsB1ZSuVyGKBrbrSy9vScsCVi +IXmgU8BYH8ockIHFVNIHyTb++HJZX+Aqttzl3B8gMg2mb9M8qAh0r9DHbwU2nPPkueiUASCix/Rh +gM78vORvaIs+Jpfdt/jbr0Jdip680EPEPJlrRXTjEKuCP/53KgI7Whc6pMuRzFH2vemccrVN41Yj +X4fiLuoGwmYcwkcDw4BtoQo7qMt3zG+fY6oHNHVM9ybLYusoc1TMEuupACRqCmUJi0Hg7xTVa1A+ +Ba9cfJdT6h6xT8pWb3Q5i7YgSLZzLGvcD7Q/aQVEtrPg7/QqPO00LFv8/0PdQBoJ8nH4P13rqRAu +/mFptWe8laglXzAQ3I3QlOk/BEPQMOu5bWvFfvLgmLC71+ViBYlumxb1wkc8eEktrTGaDIN3fP7g +uFiydN+rdxRIvAFXczdSXAd6X7GrILau0ek/d4N2Zz+e/T9OoXHliJKkiQM/LwTVIXyo0g02nNaJ +iALHRcyIbQhAz1ClQ0VAmC+khorNJ/PUP2G1O//fTaUVNOn09USQuJo4lVGz4F1Zymrzl6gDVrTR +uqrSeNyZH3u8IP3vPZSkZE1WClKBBPuWMnXkBM8UxXnvN/uS4ujlJTfCBv3ieOsMQyHCwMEeU+S2 ++AQb8qYbeEjafYZ7DuLfdx2e7ZWzbYHRLYGMCsmaG9a24uJkAOanscurZ9NCHjFOIqvcEkV+gSk7 +pKCTEruNK1COgBo1rM9CxSw4yGyNyxWYaM/9hpXNEWh/i7al2RcRhvKa6+jFF60Vkj1PNV5JiDsd +5b5oz9+85KAL9QMZdlAIOpaSYcdnyz+NWRvnkU0W4r0VvCUdzCJ/ziYQrneMzlzf4jcY4mU1XIdD +oGrv0y78GNT0TYSBKMHeQUqdgFdkFJ17/nRAjoi3QZ78L+Sb2whNcLoU2B/QIcLtHCth3Yi3T9QV +fguMFzl0eazuXHmRIUpazF6D/PNPjtJFSx+ZJirTZqfCV59CEocMTy0oJMFFcaLY4OCmIzMc5u8k +lMczrqkyp4GkoXDrEBXlPeOplVT9SlSD4TdOf6yfawiR8p32We8+8NxVC+BDCIIQeEEu6IaohYzZ +zwtWSAt69YSb5TVq/GruiYROcq6PbiwvjnfPn9pbr9KflFxaxpDAJB+ti76K0ia2Nf1qgRqP02Vo +8ncEMRQ4Me5zGMgvyvOsCDAayN5jRH1w6gv4VVkcCvVGDGt42SGYz/8eGgEP7He8MbhrIpcbn2fO +y+GSpnK3qhmQCCsQgUCTGE3m8mGEnO/rq8fopJFVKLP2/v45OL9WZBkHsKDcA6hVW8W+bLUdeOME +3ThiLdzcol4/hnpaGYOe8hD2e+E0DrF0kQKFt8sk0uS7E1gRkYLlvyKs4Mfkn5sUdKXY8f9sU9qd +8kOK8JdBAZ1r/YlF94MpQsqVhzGaGOwTewB5Xfa3/jTFnYuFji57swqt8NoPBkXNA3hX8agziUTU +d8WW2nDxAxnSSi6vu9d0QKmGkIAIMXTsbB6zeczPs4bQI1Xwhe3TlOO6wpGDKYlN+q0YWbJ+4ksW +BYJNMnD4YiQYwuclEq5rFFtNwDX089BC+Zfy5hvx9YwyuX1HlEO4zPidNU+ZCR94wonwXhXmu0Uu +WPaDo+ZLUcLWAVVnxgXdGI17X/Rkw59b096QKe2wY3062yJ6DFrBFE9jLSQf5RDZqKeCc9Fv8kYT +mNnTsXeSZflaPKhUQOdImJH5KyujJa1RTAWnMt0cboJmAtwCuOy0mO0MKPGle8aQ8S1F6kYBmmke +brqDHZbD4McBE4BjCWpGVV8XDR+6a2QiZ6ga3SdnLEOhijkfJfFU4W0JuEhtqR2cs3Q6r3JvTG1R +l0MfuHoUgN1x7A4sE6EaWfqvd+QUYJllhdM9M/IO/GKfGR/kzl5wsA9O7XrRxRNPLZOf51ucN/Vh +ac3Ac5zVgxNsX2KORfb8mDAsLxIawk1pePMTdNmVW0c1HEXPRz8GixmZjwycaCj4n9SKAHbIArMx +/G+QEBbksZi3p/SCJXZT681ykOLoyFd5aiG90YiqXW1r31jdeGu29J1UQ/K+FVEjgQoJLCcm9ZK8 +RqnVKkHHKjxsWSn6HXeTDNOGk0i2rsYtdCb0s3IBHROWNxgw32jr5f7/VCsVSHE5Ov0fKK1kGjkw +qIc8rT+CvScgGsw+kLIf9l/IVOVoB2DYaMfzZID1HFJYUBLjNMZF5WdAwsARVC3gXcY6GWAjr1SO +mDP2/ySbXUgVXdL9Kl3ZWFSB9y2pEwU8rVoTb93fEfAzS8dVspQrVC68C9SMJz9+NJw14+MRVcDX +PZhK6lK3GI+UePE3kvPxFDXS7lntrvoUO/8ykeJnYfCXpnGUhMULEP4JiEtX8vL9kKiVL9R3OLsY +P/xHh79rTQ/wk6/opPWnAyJQq3cR3KF/NEPqCbnZxUSXrXWgrE0Y+gyX8sBFph9JQ4GyaIWQalX8 +pVaqcaWsolCC2Qrn6guRiS+H8GcazZqwENxsuDMKfhdVlkjr9afWuHgwjA9ISkuRgNHZCtDkjKr9 +dnbLUYZHaLRm8iZpZN66HaAPZBp4TV7npS02iScvr/ruqiNfO1MPSl3K9Npg6PwtqQrqc83Y85Vc +h0FhSqkIe5tph35xmau0gTl0wUM7f28kZ6BrwVhQRVr0dCT1/tOE242HgyV6byEIiyZpeYTwafs/ +8h3OgoMfbyPzfVEv9m6jV/LQCnWwV24rEsuKVEie21jTiEw1mjPObXUF2fYBedEMalp48dnKZbvY +wGRYrCmh73tuGAypbPMbAl1DBjmHxHDnO2zCm8jHrBE4hbXa6/ZMggKToDT6mytZ0fcwDEU5Hpi0 +OZRTo6cbfCyEn5IEHZcMgk02rWNM7hSKlp8AXki6jd48mOGJvmKEXqGlloGs9UAzA4Vr6ZMipps4 +0GA54Qi70TONM/cA9DueENjVvlGE2EDFCUKMLE5kRN5hJf0lpmBMYgLOX24fDlsLLoKLEjCwj71q +t1+Sba+EFRtIVYStHTf04oZhNiBZXfFkNP6Vvs3k+qwcuN7WP37lm4exwTQ32XBqopy/3tVTTvfr +vUpKfq4Fth2DX8v6e24m0v++8BTpWKZVrj6/LlcojCi40FvZppFpGqwaq3FYdP5Fo5ClC4zwunWy +6bjKTkl6/rMT7t+Jmk5DlP3pPQ9zEkaPH/wGn10838hAV6T15pAiDaMNaX8acm8cHZycWcmf4QXX +b6LOjwAGJxygua0+yuKfbMisEaD1N3U4myCoD/Il4dEUmRxGpUIv0gg3ru++mHbmTi0mo7KS0qCF +VIm7SGrDbLNvQdS4tva+u4Hv6YnFx6HssYPX+VDdd6EDbxP5H+NnLMnJIzUKsCQ2jqoa8F8bPHvX +kgtPZthcHVMWq1rqPsEdiVp9aLRQbw6rMqnIN3RYaumzjU6npSJF/2lnWtDNAXEfwmg/fPw+QQu5 +ZlCJSvNE4TFr32x3nn+j6cQuwUfkUrMsf0RLgsyJiq+b7btr1SlN6Yinh/WLaUUjZWvWavDyR/Pj +xGuo29Rilbz9HpKWvhcNZ2HIxChy05k1iV9G+fIR0QMSRTdgg2okYJqzI+3X8YfasMh0AXd8cvAV +hNQ0UfXgtx5B4svBYoiuQyhvnPoAKqb3PoxvSQC9cyyMUkWDr3polZVFg9A5Y0lfmVoQjiAfVbMa +kzf9ZUwEr7PKGoImOYKBF+tESNQU+ytoZYGpCfmOK1zs+6aztM5QXCuY9DFoaOY5rvpe765FIY5F +PlYXg++vtbDJDToXR+0YbgKlmqEeqMfKgISM3jO01jI3lcCz+AoZPHGahe6THVkApfL81nEROB2a +RUFiEc/dcaFoO9DRPLhg36pPuJWilOLUaubcr9fEhrBmPTc8sR34fHs+bMUAx/+3TB2LlTtF1g2B +D05+QUKZt3egHBtrnDG1ChOlQaZ9tSXvi/kvQZI47vCNJWS7DSa7vtAPCTIChRtxdp7zHijJZCfe +gmDMEY7VmkJPE0VB4QgIvT0NvjjmLUNDB6MFfa44wm2D4HFIxSggYi98m2AOW2zcnUxJJLDm7cbd +75z2O9j2XO+34UA1eCSr1oOwqOOWQmb/w2DoGYJTkRuF3jQzCYhv2elUIqpBQQkJ3k5baBh6N2Im +a8XymJB9OKGxvZof9sh58NQ6KynKGdEHhfZV9YzBBnWz6fasCQDHeA2F9ssc3yxvZpBoeiKr+zCe +3jOEXRZIJRGRaUwWGqNIf2Vguqx9aUQmLjDPTbwGrk9IAGjfu7aZkBaNJJ3TEbF6BOEgwiYnyepu +IjQmO9oelP1bGnMwAM2oFrNW+M7v96WWjeHDGpxtgd/k0kGOoQHPIBujC3+VjFSxLfWlzZ/MfnmT +gDTAyAGIM+faTmOlhLtxJv0eGTvUv86TnsQJrfZZePatcPsnGXznfYMUGVzla3qd1vnJtxYN/KIx +4U7yQHHe7DsHnnLoPm0TVBwj9KnCXxS6gL85dJE9Pdaoig6zosJYxVeeYZn+zUwM1nKGpO0BatOz +w4SZcBc8bQLkipFx2aXbDx3nKDn/yZt5W46zDmeMRgO63r1wx+G3XtPiZev1JZsZkw3eRQ0CH5kq +5rf0weBX5t6AVKplg14cN+g4SRlQrmZhY3SYhvLjjHJ3rYwOQuFfREXyXPj/nfgQuL9iApAs1vlv +uWJpvE2LCKBUeAQijMcV7kwYuM9ToAKy2EG2+amLagZc/SZWzGfE0on+AleDSreN2tCD0uLhgpBU +aggixbKcC7uP0qtO+156Su8Bqa2njx/fgXyMcjxLdu6s/rS4zgoMGuZ8xG+QrRbi9idPT511DH00 +LmhOT+ThGV4Pon14az4OurievJtI+k9B1151xPcIqE5pJcPpqt8dHk23JXZJvBTkd1TJTQAJijnn +Xb1znYEYYLXTHoZosLgFpULCaR8cujlhXWnicARIEKovTPUPaXfV/DEYohjZ4ps29r7kdZIKhz1+ +jyGXFYlDa2l4Mjo+h4HCEKlsJZHxUbqQQBy2QdplESSKwr2crId5JoTgmCHc3BftLuLmQEGmze11 +C974RhxYFGPzIHEwe5wBkd1YGIKh3654MRRFVRXCPjAEJOK+mTQQHFA4dp8juWorWe2CXpOl4Fcm +Veh+0tcF0Tq4vAmFHFxJwWVaKr5f4Z3alJxjMAF88oWg1WDlI5KYd1r8sljrDQ5ZECtE79J8eUxv +DVh3fdDzKBYBWsHMTZoTNzOAYSfvrDy7lCmlHvsv+CS3cfABOjv33JWZ+jovei+LsCKyHGvzSz05 +ghgeV0zqgku1lo6kA/mNxrtp5l6bOx2Gqufl7gtMxDkHAbeZe31ZqDjSG7cSTjmkEeCBb8LR4R10 +s1cmsPxc+OtQdjcVNXR6OUXwEvK0DlCNH1bQChYZ16byD1OWQYq2i19KNPTqQkg2+jtcEFFeCyEP +AU7iF3eaqOVXOEY3VaOGTwXdtgUcmkC3v6t50XdZIaBK5HHpHOzj8oRXvN/nkFb0I2nJSFwjjtnK +yg3w+4ur9JrpYdwHtb1db2JbnKKBjEIRejkzj/PQoBc/Aoi6LpnPgJVv6us2cTUZJ58UhJ6kcuNp +XumOXp1ADaeud3i2Zuk7Wg362PyWZahiw7YzI7uKQ7UM8suvYJyDoXXtgTU5OOsMPsz4drKLeQF8 +aI9kjsrfg4KeZwgagMw2tyiSK2XQur3b6UQD4qph5UAAZoHcMjebI7TFzyFoMZbf5n2xvIWR493s +B8KynBtfPLVCIzjkcyXmeuJ/0G/wKwK6mvfLorDo8JxEuHVr6AwI+o1Sal2kVTlc6vpPxIbcbZUK +qKbCl47l3dLcyCM8OAQCXS9WqmlmsXqoYtbhAt4OlVgPtQtawIlU4maZBM8HXLR6cFVVNnCg+DH6 +4KlbUsoYPP1bWNww0k4Xg7jySyAsHYlMCEzAYw0Jnxb7V4MstMYg1BxNlTAQd451/7kGyh0IJKs7 +sJXB/2zghNGi337kynCzVzdhF2cpidUUDB4Z8qljCNpEnT8D+Yfu00R2BhJhZ5CI5EezXkqq5qBC +sWXPd2gMUEWq7u0EvZ8QYkyI5YWvpBa1iSCKxvKo/pnwKwjbUGZj0JjHnHlHHTO0yxzsGJvCzWw6 +9WOVo7/iHf0VzBoDahm0CF4Zx9io0b72eJdIdlf41PINK3AxBrG74/BzyN6cJGoCmbVkNJ5fNcfs +F+bjfa6lYEAEmYEQQHq1wa38s6J+JF0H+XD6bL3dwG1Tx2ADRKScjf98VzVbvhkw8Evu7KlJYj2o +I17rX+A7KFyckMXnStlgAu62Ra7YCN5HIoHWVSWY2KnPM4vsALrP8oT5ASBpyeXzd0f/VStX4xUj +o38XCqrjmSTp+puW57+tjiYqmwkr2rLwAt/aPC82pXow1lWqHNm+U5ifNLBqVArVFLUygBfBNW21 +RZdcEyJwambPj1QoqTqvxj7QVxAhg7YZgUvuURlxj6LwbxdG9lZaYNSDf++19oWmWP/vgqyH0jqM +633OSAtmm7rNxIYi9nkRrYRfGLMNUcHs86JwlmGk0W79I0PWr+37RxJB1lzGYjqtxAZP54s42afP +u47wbazVlzyI+SK1aFhM6P+B248xWC4N5EX13FYvWWvZWXwwn7e464yvUAxBoHcqb3dr0HQ1yntX +p1SNUw6lVjZ9ziU4m6/l5TUFfCt8KYdP8eSaRSh6gqzv4Vks58zYRhy6eHIAgPu9fEIV77u32wVC +hJNPf3VdII9ynpLN2sYaIEpZCDn5BL2p6Quk6t5pdHDkfaEIq0uhMYeF87FMEKry9xeUlsQbHIHA +buBfz1QFPWkYnV/cyLWDu2SuA2LgY3SDsCJjMNO2Uxs5q+kqpJdVKMI/KA4n7t0vo3z7mqZkt2k8 +ZPXDpEiJoQf/jmk0LIa02E8kRLtqBuZtPresic7yQhQBLuWmy2VOcH5vyo/mEbliCl4y5/8EPBjh +NIuI2jJe/4DD3n0dXyzQbazIJSEqeYCy8yYA0aPaOmMFHiS/GdIQXM7ogrtWsmG4UfmNxvJn8hOB +RgXnVip7XYLyKcD5zxXe20Dd9hJiyZoD++jgZ0FZQH4bA2KmSAt09sk/Aib5EokYMqHFdeJo5uhJ +O+pmHtYwwDhNJP/fHc47hitP8cf1eYsZ+OrIrJBl2BZzKr92H/sBecv64W+ccGJm213NiZIuzrBG +4HwFmPr3Z+aTD8xxXqzuzAUdfOCGlGZbrtw3c5Y6wMOvEVAorEZR/WPfnky9UM9ATy158rWYUd0T +5Is1Y/a5R12LfnS6QdqyvGxQlFzowCdIKcRxFhQsMVAyor4VMJXAi7/xDjb2RD1vZYlWHSXLTKZz +J3uxFUUrYf2xQnPb9Fi9RVpg4tc8aXcBD/PmIPm1321ihjDCSf40ARuF+BUZCoVGG08PIpORgxIW +QHGJ/VqdJTr7cysKBsK5lajtx5lFv5CnCwfBl3T6pHoJjLnEBGnFees5wqP7LHMcREugDN/1xSYS +xuudOvJsspN2sb0QkUqi/lbejSNnQW01eEb+fAUhS2XO2pzEDkUz1QUyrKw6fD139OEDEN1ZDIi/ +sNvrGMW64/CanMZ+Y87cgeNY7gAhwppazTbTlH1ZyHLqr9/wUMlzBrnGJzQutIAZ/hBz5EL6mdq3 +BBvVccc1TqsZzb1q3DM7GY4p8kMuik0Crli2BtzfNZ53hrB/ybCu2td5ww7Tm/WMLKqgPq5wpPjZ +kZg2i6uQUJQtCdxHZo9TX6POnnRxq+EnUnvjLh4p1Z+Cp/Rasvv32U4YCbdTILs/kSwx8J4XiNMm +RgRgZ71pNau0u7vTnuOElzIgqFQf8Fv2B/18uS/lplJAmuUVMt26j/FFHp+NI9CX1N8fNxFRbHyK +DE/8PWTUbLFkrVwWCnr3S1VuCtGgv+YHxD1VQZaCaoZ9CdrNeK9TgDK5QLMYj4g2SYk97iJwSRu4 +g3KPBWupAOOQIbinhGTSkOQMM7jWXfUgIV63EMr3uXy8ul6DzsGxy6547JU+uGpYsnauYp3V0oJM +JPRtx3uehiQF4JbF3KQ6Rz0lqPJfmGPgoNsDpR3W75xfYLiP4DMBkLxZWFrnPI36vdJ7acjUrDhz +Bp64e77mvbg1lXuu1FcpOLOubUyENCrMj22lfquxEFNAxlFWmvmbScKk28XYOj9RrEdSNzj6Z0NU +73sFa1BsYxHFvJAR6V7M/edDIAzuqMXxIr47zoW7R2ufNwC8tUcV4UhBi38vv/C6d6X8xT3mKZXo +8qyIzfOw0nY4f0KWizjgH65vre82G7zPzwQExfsBu347l28upFRq/Vx8pwVYvhh64wRqK8DbOdVr +/wgtI/qvVA2Logghvd6VP9nPRwcLjjSs5eAMdYKDYJA25qchdCBIxlQ96L0ttVa6cq/VEfvr2FRr +JY1b5L+dLyox2KXnwiaU1iz8srQfa8tUjvW63yAXE0z3Vs6rn3B80/gJLPfN7qBPRx0pF9ea8mjK +VGjN6ZMIfFr1faaRgVMQzskP7c91gb6PtbnD/c+LYJ1WUmIwCc5FRk9y4cdV7O0VdW9WTHjd8jKO +qbISKCm8hqzsEDSoQSq7M+YfV42Uhl4q9hj8scv2GD7O8ZbykyGRioHfTFV3CJ/PuKj+RljZpNSY +6+l8YaRQKxCNlaRoNVLyrA+aiTQWRdFdCsKzs2WfjTLX8cK7EO9Zi8/cGL6ukFMPNBEhZwXgNA8q +Jie9UQb62BwtybB0fMvOUu49CBe0Jl6Hx5xl6Q0jGZK3EDC8Oc51PuTgNHasQygY3fvkh7WBHXbm +g5ERpGsPPoTsWxZRKAQVYeXpXuTxbnfO/6dengs95oqZBuJCGUmlGV2HWC4H1ZIrrAxyLG3NfCJN +l6tqhtWbJGH7/RNMBUrqi1Pjgh6q1fW11ykSSHsfJcSse8V4H8pKkw0i6F/WrWkVrKRjUxz9zHfM +Ymvnm2glDGTHrl0cqYLsFkbm249DGAzEECHm6ZylHG0f0wQoNj4VFcTzFPjIqufYzHEIsjRDta5j +BVpozqyqTXl+jRlMfRsss5grMtCrk1xGxNspZu+SJMqVbvcRMSWtImXq7dLN6VWnzW6IiLWXsAN/ +ZERtoT0FMbBLCFhs+LpNMU+sOOHcyf0nYx+3RfGSGHGErV4pk+yHBGqvB4aXzEotyFi6IBnzoutT +jtOfvv6NNHjIQ04MtO4jRSidccrc6UBelaP4FXjYlPfisfxH2ZK6cZmtCK8MIRS/lisfZhG7zxXx +04GGH9bBDSe5JvNOUyywoq593A3hya93OrGyq9u+RIljDUek/uorBJHaBUttG4tdHAXlBAT+nf/A +qhuTbRKzAoEC9xl+2Id92wVmm0doQtgtz5j1kXUVnjXBiL2amk2baBOYtoPHTTIISbU7+c20qrZj +Exa/1L4C+szdJzPuZ1O/YsC+96owCiy9yOvdJYfy9nRUmfWMa/LALDrxGJPslbR8iF7WlNlU607s +qKsTrAoR7XU+Ll5vfQ9TQKGbgr22DJNzTCiwV1zF5NS1rrk0a7kHEZQRZDTnoWp7Mx1vbCHFaFVn +gaIVBKvtBcJlPVYuDxJFzQszg8WbQHuyYI1ya/UUTZtKpQA4iGvn+YE47VyeVK4oGdJRR7r70gJD +psD059tsy9VZGWeUGrWsnGgyYNaWuJihjrlt8tYsesZ73dZvyNamymiW6h0IQ6ETIjiJ39StkCSg +Bt+VLL1236ZpENrO3uRwgCjctfeMgG7fabf++RWeLggFhtX4C0KG512UWjFjPO2/Zs0AOY7mVoaF +fKab1baYqzdQdAqImMGvKZaFYb8mOz4TYlNtIn+xS28N6EdTNCnA/IvoKEwe20yihj5Mtn3vHnZS +KGwgFbLQbRmnfee8N+Gu/oBTdHhvCMQPo2ZvsO33bRbCp1ScQdViYal1aUVWkDJhwqTevnuAUceC +AqcjSr4of/rsn2+d3ymlBN9F3Fz3uNLigkumDZgA+y2WLSwzMlT226yZEwVsxp01E1KJ4DSuV4HP +0C0peWCpKpiZbtdxpznLG8WmB9FGqK9u3IUlQvZybTNIc9QJMJnXGS46bF0Pyclzuqj9kcdUDjQy +90IdVhtJejZAc6towz9TwTo7yf1shTJv6jCR+lqBW6oyPAQTGp9GXZHguRyO/PTnCyl4rPQgXoSF +OGZFbi3FAO56J53pQOlH0xVw3wXZkdTPqYbslxnAHBqBCmejJkf42YMlGKOMfQUH/wLRDiZ6pMHa +Mrd2cXVpkMw+Zugq1RjAUGi9nFczyr8PW40iu2WZpUI4fOcmVH1yPsaJ7HvuSqyvZcXOUJUIitCx +bzaB4aH3gtTI9SmfNQUGyeh5xnvjnPTsuZ1LD5okAWeTcT04YVORGreavB1LRzWfvBrLNTnf0WI5 +yRwEpWHQrE6SjZ1f/wZbJk4TLiNs0MZZPHzR4vO3+8HCUjD0gB2nt+xSmFSXdSM6Usrw8ox+HamF +TfwLagk5bWpzkDjTgtnWWHzITBDWgUd4o3Woc7VP1xv39n3xnTkbdmTMpTDBkSpP+xAMGrHldUNF +wYieceUlFkyRIOk4ohbE/hERks3cHaAkvNhukl+lL3M+8oIM0xIyFX0mt7T4BXR+eqcSqS1L02nC +ufLZHBBhKLn27ybOvrD1Tx5os87hoQTh4zXMIbfmiFZrcsvVCWe1Kw2Upq+a9yL6TDGvINecs/+4 +OiMl0MgiH8Vr6NZUlHwXEIyX9OIeDKAyFuxl4LqFmwNHZTcPUgw8u12qHE7mDlv9qkKDHI3Y69q7 +ySAm4oHsDPV4R3PeNjVcW6GVY02bn6JBgIBKkbdCeL9Wfc7rV2Gb8crOpjphYqro04AaNkjAMLoh +NjbeVYOnLPuYut1eafiL+fXmI6eXV58YJp0uYJfbX6meDfb5qnL4+dm6XPSANnwpoItvK8wte7Wa +7U0Cz4oqDkz5bBdKbXKyiK3wjmI2OpZfg1O9kHTIUBKE+/RyepqrTQQphFa+WJEr/V26L4QY0zq9 +KgcAMMAKLptvfmQ/BCe4W3P5oyaWenTvfpY6N+PryF/uv/H16wgPqmL0iyBdK/myouMWRkHWmDGw +QLlQhSrg6tKoMZrgOPwDxbiDI2V8gqzvDHTwZCNcbQNgnNLZy0dQAUDWROr/pXXr4Nu7RMwSNzZp +GTyio90TJ1rzV4wkBHucgkJypl5bhAKcBhim63ppmtX96yLO2cGIF+om9bdouzePORRlWpj9INUp +REgLuSzyn+VdAE11AqbBSwr7hCMzm5RFxI3UVQEgin60X4AMHCzuRFtsAdHsD67stNsO5VXfA1Cl +xQarqh5faU1Fy/Yz4vyuVWRhyBIHHhN2GF1/JfkxFoofm+mdnAAH7pu7G9fBxyZtK7DS+bGvb7T9 +Fv3iJSXdV1u3Eif/YhLrt27uODLK7lULbmRBxd6h/BFbfP00XZGePjKq1SyoX/EKDt2OCOvHvIHH +YfJ3zphq1uPUbMtGI3Ou7gAx8q+oYND3ubgnuxnjwz8U3Y6pX5mw77WHLjkgaI2oxUKFB0nLxgYK +KpbkKD/pdlCzGCHNx6a9ttBsdlBensIEboMWesByNOt+GOULzg5WJI3ARhgzRaiHJLvnJQOWQk2K +dmu/qCXsoiiidBNjvNOyfeGMZPBpl3RnabYj/QqNeczeabKGN3jUU2SphuPj9/U2IS05AnQlawUk +t615pVHFHW56z/UyopwEgZ+kJdyKy9KrcoFU+uJlRcgBEWLhbhTo+8iOpx2h+uN3Jd87Ix/QkHaM +KsfbpLP9l7sHtEiCAd2dhAGxMCe/bs5yYrfXCB8w2OxRlXIQa1GGqT7imYCbNZF/SscJNMh05I6M +QNQGSD97ngsH04O5eyUpsSijlX9axGsNcNQGfgjJl2JO6YaWoAY05MAY4Y7xxC/cniqBwiES/ZI+ +zvQCDi9k/skicDUBW1ZCXCQY6eB8D2e9xs3twfadpXJWVu6apGrTqFAGPExht2W2YDOfy+19dpPk +BtAsy7zkkYtil16amuv7tbjNAM8NxdgaNSYGQGkO8YvltqzB4vMUtljpepOPscR+cflvhLpJszjE +Zw7IRo7NZyLEg35WI7CPLarhbaJX/9kRGFVjzxVulXKkX5U5JrOvhPafrONJQCj/V1yVwImC5NId +o0uew84yB9oo0BDsvAY2PVYcXMQTYPzRhYT8kNcTKX4B88rduPxChp4RVueB6/WkrgdbZMygIARB +CF6rQKEZjCQ2QtWXOI7VluN7xRkgun9OQCGT+Wy9eBz71bfrCyu5N14FmihB3DD5LMaoznstYWz5 +b4B28z7GExL751iR/xA5jVaupH3VCyyHWEcyq6EVEsp3b0T/HZYG/fBUJznfflpdS2n0Add+OZrq +NScT6939TUybU5VpX3e2bAcaTay/MH+wEIjNt7FKcQF4w8Dew3j9BAIdw8CB0Jp2kimJTDuPEA7H +Nvy/15or9vpUiL6gT7lx5EWz1Rpl9a0+aJCkEJJA1WgbtmnZ77/FEjNPXEd174iL4RlBVifBSMje +jwsRVTE9bVdyrtSS+EdC3sghzBFBAyMc+vqn1U3lNCwxOEn1kb+dviB66ozXpQ9G6tw4VKNtBWIV +4nNN5D2RsQ7BwrMDLSj0RKn2OkunmLzg3RTrHSX4sAcm4fLaVt+Gc6FHgvArz++iUWSDNEYrUOHv +Cd8O6pZY+MJS2DfADF3DP9wWD+LH1ZQG8uV6LkKTR/cdVBObfzFtE0LjH9k/Kqr6tB6JxFcGmu1n +kNsYT5Q2XV+lXA8KNAnCYxE3SRV+Y4svNjfGMdzle1Yn62P0Q2RVVOGF8a6LEa0JNAWnpRQRJECU +WnKeYBZwVAGcTjFlfFjAqA8M2Xobbx6Gf/gaslWr4irusWVseyWLjaN28zdTAnNm1aYmiJisx59b +WnUL2xB8g6jhPFTQ2eHXrRJIq43a7v3bOpJkaBRfijWJrOEIz37XSrELCkfk0SAjCDP3WGO9WLu7 +mvQ0PMHragURa+ryTkxXYhEO/1SG65Q3QV6j7TX4/UGfsrH8mXsgtH2QA0AvjTgcMmz3YcYf1L3f ++AxHONEs+M5UHa57Zkf3jesbvpsTWadIM++s5Dc7K3uJPkGN/KAJ7y3LHUwmsCi+93Q3V1poOU1D +PGAJkgE+bqGHKCRoMv2fub2pM/3DTT/K0yRU79LM/RyKJHWTX7ykPPjGg5nEJuLAR47QFWWm5pBw +UmoVaSAR8F8NlcuzLnFAUbCdQbl5ElxRjgvgaYO4BWIo/IvTJHn4KmRHc2oJzG5WSCbhtUyqq8fY +rKW3XclrfsZtOXD50VjX8cw0hmPp7PwQYeqHTjnOgz0AWeLpi4SVTEoK+PnV5yHK1rbtHjz28c3m +MIk1gNIkJ5YCxCPBQvhuvVHZ0LO7uj/d30qTam59PQjPauQ3d6gsLykj0+W961PItG8tuKZJ9Mlw +frep9y5/OYmphbb9UfRt6tSUGWQpL8+b4QQ5YFPaRvQceicVC3IhFwYMF/obOOUvzPKZG74asOf7 +a2NpzsEnxPF9g+wM0KoLYwc0nZThjhpqJZ6xIH90inERUoQg3h0OEvHiOzVrwMbv88OJ0eADTs9L +/CKk4Mte7kqlfJyZ8f985LIrOnSMZ/zqgL2ahKlj49dJHDEPLn5gOKME2L7WTTtAfuBt3Ohg2tui +V8eXPg0cmag3W8NF2mCb/gB3WwygOyWYB80BFRoxDh8Mh6S4NpXLsgz+Khwl884Xo+TDsS2RU5si +uHCidqn/pDrdGqOMfD+gZ1QUMKTrLxmf1kcW3Xh7mgmKhA1ob4mIJuwfDCGh9iXZ13hLz6n8xHbL +wlpkI7kjZmmrg3e+dQWrT7IzdP4ZMfs/FbdoCf1ApAVu/pCsYSS6hOMi9Z9SQYH9UWS12IkSEoGZ +ypRXDswWZlkkkRSRVV0uNyVzfJo7UopWQQ0BI0qhYNAAHj4x9kbMA6CPCKpasCK6W53kLXN66jl/ +RBLItC4xx6RvtT696ltG/DoZk1hZlm0zJl8p2KEDJLuEWSKLifrJQb7D27qqlJVeco4zCG0UpA+O +YFOL6c+tIcZ9cSsDp8Pai8PJVKOSDbpiofFijRSNwt6YHwG2gCyOxkI+1oDow81aKscjBttbrINn +2XI1Rtmi3MlySQGnQBcyhZRmFDKLS3o18rPE1cv98xPWaZbHSKatcBWBTtzmK25IlzPwVnmEnXDS +l6Fl8LvjKlO93Dno1l+24G2/POPcITRmw+6mK2YeVCEIAhfAy8a4Eq96oEI3tvK3wPLy+7No12Kn +Auo07tjxkweFogkpWp/aYjDcLCEAvKBHYYUxj7PVScSuLsK8gXAhm/EMi0HpUGzS3+mVU2ZNmZc9 +DsQk9RoKiKs2F/4yy7cQTyAftHnbWoRLQlE8O2EHnWA4rWvBfkQUk1Bj6YW+0qSjr326BQ4CC66D +ixg1nmqnihUj1xBjloD7YdBJMl4IV/0wdPFKz9Ov9Xl+UHkzpIEEPgCrRq5NcoHM9V9copcBmZdQ +idV6qsghAn6IlGD4X+KR70NW9sxFJG9Bi14w66x4aiK/Y820v+Y5AgNbFDVh8KiQOUSN1t4fLtXH ++BAtueYn8FgvW1hScYCcvrhFbgM82JEl5sgB1v9IaYh5AUMDyZFoI7LxEzRILE3RvIkUuTfkdEdR +N4m9DuegzNOLJeSlJmnfwXMileJBmq1xTOiXBRc+itN5dIOKAsFbtTowXqDqT0CyrOMZ5GlUaXLr +HLWxFwD7JdzgpWNPVuD1mnNFwE89qiMqcXShm6fC1Z81KKVv0yuoUYbyZUmczF4Nx6QsUxPXjM60 +yNlb0cMO/oaZzjgPvQgubaM76yUWrNPhVVoEbZGprdFfFulkahFm9oT1MlBhthS+kajdQ+9UegEC +mMPIG3sSw7Iw6KUZvMp1r8Fdx84gzVr/RgDmlv4KEmuIYnIwHk4fNNRiV0PI6y0AyB+ItJLE0PsI +ZQ/M7261dL2PahwGylDFWKBcMpSjwSMwCVLo8XRJIpv4v2ylYludfwIzYflQM0dCHNdIhOPaIvZu +KUMi+b4mQ0e6B3Xh6dmHTDuMa8kf0Yhe4w9aFoX2cY3txcXYofznP8v71dPCPA6we9J0skCg63tI +4TiD9aWGvGq61dyzEDwaT4wIFXPYm7eLNSmsn8LIIy/+PSS5T3bRteya+1EvrTXpN5/DcbsyBJeq +A8KDVj8GujQoSu1C+TNeEwl2G1tuhRX6k83umRiZ8F48Vass0paRd7g/DqdpJl1U3KoTNyBkLeqW +Bdf2XUicaIjDvgh5fU54Fp22Rtmw5SM/fu6es1g4pPDpnDqMm7646jK5qvJq4j8mbanpOj55HqW2 +7njI8vDVCSmGj2drNQpUqW1oUKDMyvPuPonReKje9Y+dgrrj0BsZ/FxweAGIO2G0IgIKrSAnrya1 +/cSGbCMv+SsGEeJtWM4O98lgfnsMICQjz0VKSfbH7DzmFrPaagQLFXw/sSa8I15xV0Kf4U9nIeH+ +H01C8X4iay0D72L/J+H9ZUFs5W7rcE1p+cEKIHFp1S8gQecZ28fQDXQZUCzb6b5Re1elt6Lf5fz0 +8tuqbGIP40eM3Yr1gG8omU+XJlihDakhdpXLXtKPJFgjL89MpJOxaTRqKknlThri0L9z8iCfrcF2 +aougRs7lJsJV4CpOJRxTJo7a8+KIzqtXOqRaTZkJL9hOyKXX9NiZ/pNN6kW4xccowleS0GI+qMNI +Kry5qSXpMtIkg2NxOiJ1nZqAPH/vBOAwPr+adTnHcqsaApU6lpBkOdOevZGOwHpYfdKSz7kNPoEL +TEgshXVXdUzRHdfScTNJvMtMeNbj7U13Eqq33PoSaFu/KgjwmdMhv/AxEoYYgLZbDBaZK1Ge6G2y +A+56N1PYPNB/iof1Fm1eDxdu9IWOJ2vxAELc9/J/11Gsuv+xdbhUWSaUFJFNY4aogfp4ANcqXNsi +I1+IUCZn1czqDvB+rEXIO9tXAlwqq/u2EJjggIBQ0tMsnI/HTthn1BUhIkt5btmjg44doKJbtc6W +zZcJ7uKMGkDaHe6m8yCZCRAyUQLxr4kHfme6kP76FUl2oPKRSEerYfaJ2bPOtlG68CXmcuMJU4OR +5P7pivulDh1KLCCntUaGB6lvBhq4uIb7TMPdpMY9HkGu5iMT04y+PlyrmcS+SDDeHUhFbHLDrE31 +3qxDMvhpLlUe6DjR6yZkvcgUTVCxgmo5J39ZMykuU+j2HxmEminP8IytG7J2GlZh4YlEjbW7b2ie +Mya3CeKYEP86rUI8g0R9zhcP9TxFSCIS14GqnMFvBuK0xzvEj7OARtQc51i51PwRNnTbmMEWTOG6 +oTr6f68QDdXvXiuH2hg9dqhO/Rf7zLY/ttv6HfYXnHksKCvhEYQBOxxrViLN0iRoYNGqj6aolJ1e +BBXFdVS6C0Z0Eyeo/f8zpWue6Lnh7+uL1S0I2LVyzaNTL3JRlaCPe8kTVmbCiyDfbcpUFWZyjMpy +B6m5Mm/TzZGFhwJXRVlOrul+GvAwH1elS5hTLQcBfbc/CwS0Hrw2wrpTd7R5SnFAWCMnl5+ld7lt +oo+AsW17RI52A3mfh3vkyBlSA8IOgTeXUt0uBCWZ2WQemYDPbsVl2GBOp/kCUOT7wjL/PraS99Vg +V7x7RGxK46xGD/+iEhSP/17aEFyLhM4Qg4FHXUqK6auuF0ApQiqN+q0p6ycvjlxVIXj0pzy7sYci +GGgeeauHnKqaNmWkFaoINDIJ4kBAccaIIA84NGgCJoI+6KCcBXl0wLKfGmVZtHYuvvIrJnmYlUZc +CqJAhcQ0sUUDffaXVPJlF+YMj9OKN6o1mzPzcVQ6KxHLIj+iKKuLZ9I/80LWnQSJ/zpuAZ9k9A1y +GTD3+cBaAIGuGPtdf6j2D+iXw7BFz7DfX+rcxB43eFOFIMw4NmdDGVs0wy2jQYmwppnDJtIHdi3L +MypXrlFVdcQ7eK3AO0kLtonaG0jD18AFxOLzONUuto8W7NHTzQGKEUWpUmNqG7nH0t5TNt3xaXOF +8wpPZpEB96lFDU2QDdqpsIHCVv/LvIlKSWIj7QDXZevRRLZT5AGBsoOiMJ9LwjslfR9hu28qcUbZ +5opz7pP4mcaOKtm/PNp8TWN+zBrL2OT+ytLU1dJg04fdBymjyYXGPbo3t3hdz481AILJ3secr0do +xIU/cAgwNGbXON5KC4xYoakVZRaqzn86MULYefpcCmqJ1S+5TSWD7Qe/Huyu+GmhxD1X9NYkwafZ +22ADX2kdycTCoxirwlSgkTrY0XtQpEXLwnonoPBnLgfCZVJc5LYbwB+5QxhS+cSg5nX5q44LvdMb +92wEGcmOXQesOe1aQvqAavnFIJhU3w4ZbizL8gfq0bekR/j/nTXve0ywDbMsyjnwK0WolSHF/3g1 +MVzWI++yGBQdxz27RAFrlo2IpO90XPb0dt/Z+/cAL0ZW++czMxtzu1Z480aqccgV+xQGF6B0xrzA +BJRIWwI/DAgEWPhAenxP8geRCg8Kaz9XYtUeYPej/ngygDvCuGazNWvTFWoPWVM9sLWYdevDnvk9 +bWSWRi/3KMXr98xeduN5KEbW98mdqk2n/LvO0nnHvfw7UB7MmOv8vw56sNWw48CJardCplWX9ZB7 +yn0XdUqD0uSspDbttpVgCf23sMnSYn6BCC3/AUcI9XfUe6GWV53/5WGmr8IVUhHbQu6sjj1iesZM +h6JQUJjyLLWIWKPeaqCUMwDU8fO72lvNBpcF1d+4y6ZH3lXgRcOGsWu3Y+ytNM2xx+0w6rKAqEYJ +mFWr3RFTniW3AoUcllJPWZW+3QF7PAFfUq4+92FNmgy3YXLllS/fPygq3guQa2z62ugdZQaAio5v +QnF3Ncbq3Me3OB6dExoGWHbLQjRSQEspV3+ZmnFfPTVu8yvWcOAOfwkJFUKBIDVsuiJGzGlEPZVx +tx8/3Q0g4+lFaCZaPOebcQI5gzFh+at7xWpFgJj0XziuD86cXTQlMl1DUOi2aSKUBnfLtXshrsxg +7rZ/NucEYFAU1fa2GRFSbivR+itzd8L2ltDYaZBOkdgN0f0Gjh50n2FTUYc2SokOjvB7ePpILfax +yh0JaM6vBQAn7WTJawuVc8C37yvmJ47slLHkOu4n1x4PDdqbOKXF2hKtszSLUUbHLW1dEq0ZqHgQ +/CyisHnvgwEVvDZ0eofNyNefdjtAJxnN2zSXFjT0623uyOi1Ih4kRvpr8wH92CZCWAs/dd58hcz6 +y+OvEGuXiBWl9o+5QYEurAN90Dst1LSfTeeibgXoGamEWEHvz+oB28D+1na01FE8B4uGKaYDVZnT +8a2xp4+d9k4Hi3HnUMRwB2wfQ/JSL1JgGyjM1W1YF98qEbZFJbevZJBS+PBSn0ePjkxr9Oe1I999 +oaXqv0wP2Cwtovf+FcmuO2JidGAA4n3Gs4TxjOXft/mPyWxMUkvRQhiP3jPH74wrZEPMwJgh/ERv +cTeHaIdvTGJLg2gEVwWDR7CwgmvlSUlu/6HHXIIVu5nDtrDabfhMNFsmIenaQ16r45nevvNXB+uA +jH7H9upzCf12U0aZp7YuC07JDAVdQVYzfyS6VRRFfFmZonORtRrkr8jcV5wxRAxFqa/d9xT5yS5W +6/YFXeDSQ4H1+uBI+VOBmZ5zfTDQQnqOdmxKJiPDA6UqJfCnvEP/gdGmkHfabx11F/NUmnNDwNH/ +Pcr8Unh6+gTv6DE5+Y0H4E1z/1pNJlhbWVCnvwBXs5LwNDv+D6J6jnBMqDh0E9DPYXizmreHYVYS +prkduq1UgL/J5m5vHxK4TTPrhsbCosNj88STmhIp1fFq0SwP1RAu94XM1EgtdGMcRdeT7ODf0RTt +RMyEgLagr5yhJCyNfd/ylF3EnE1ih/iKCQpOGV82O3XfC6FBHAU+X8XOBWdOqytntgJ/Rq4Gl5vV +4diE+JE6paOwb8E7F/rn+dcCkFZHxFtGi5wKpXfiOmnHmChj47r/xqc1r1NiiFiuaiiDyeWw2JZq +WxVdwD6tC74AV+e9Vc1VDQYuCCfx4L/RagcngvT0z143kiUbHpO8QOndZBKbuxYDe6AJCk63ciER +1/awyJ2GijR6y92ZoTeF0oGTOuKKkcAXb8SwC8jGD3Osz55DRnXavnf0hc6CPjZlG0nsZMdzMRAg +5brEOcDZ/38DoHZTnH52gUwM6IwdQgmh5gkcfJ43dVZUD1qWI2ArfaslPLHOvA/79LcxDGcMQGiw +pC3JSdIKAeJobRdGq6wadEQajiYSSxqC6YJjgX8nbcJ6mIXEkGk1GWZdPCqFe8EW+WrLVClk6NuT +/3QkYsWUdS3PtzCnLHTH0a3E2/vTLTGcyRk5iDihVSvbRLJ5wM8alVe+SfNfycPoB+hUnDJ9n5WP +7KFIUJwaYysJGhkUeElljoKZKafcuWF9h1uG1a+EaGjPdkKfdr4jzjE+BATEGd4KnmrPMoHr78yp +rkceaxPlfM5zdUkI1ilGfoqZ2GyuLSkDj0wzd/v3kELXo9SBbVeeKcdfUxceGMi1aUvZJpfAQshV +/dUuggwEVxdAg+FQo3GQH3nU4jgy2UvZu9WmyH8opNVkmPVWy4By9087OPGyNXQoksBW3/wqMyj6 +At6B6w+oQ0mb1swWZDcmbQk4+Ec7AaHpUv0+UUsZ2BkcJQXbx5uj1rJzLoJQ6IEzj7hVJCgrw/J8 +6guVlnIeCx4wrtQc6nogVvDGFHw7q1S07sLT6qUyNOxxlT1S3E1gzva+OKv0huKaubZXhO4nbCil +Tw++hFfyZYVMvha9dt5eXQN87QyKF6soH0Ggo8dkpfaQnU0F8qP7kS55zACOsI7llvhSi27kYDr8 +6cT62hFYKfjgCkUoyJnNqUNoBufezJeJAwwyjDp9d1v4XUrdKhaB35Lock2TuBvvPC5DQMiUeBLj +RuG99VGsPyvIHb7tvJ+futh+evxqi4MB7rtjVSQmZFvm/vyKk3bnUk6ntfnpvg8Vx9cHxW5FCn6m +5EEV97DYg2rwjzl8o6ms7S/A1ygiOSMzneJbmUiUBKrSluiTC5cYd0qrxVbzEQFCnNjXFiGLKr8H +k8j9h8lgHbOcFIM7LyK79uBNh0ceF0H8xEHYJtYZGvLy03rbJpvib3w+NEGMUtWQLBCaRAs6YAXw +Vs5dCSpMUgVfvSNSQbIaHQ6qTs7OiAZIaLwLhu9mzYvQL7wRCi3xUtX4NG3SAas0i75DZPH9V5Sl +zP4ahJgQq8Ek+F8IK6cfIeOsvUBEo1LC27RQ2EtAqch1t0YOu2rikhUqGOAnCM1RCphfDDsnzqfC +PB8ynq7uCPzS6w2q7FE7SCMff1Jo8frH3NNMMZ3zcFXonylNEqezYbMxhRDPAwdX3ihyeNQLKQyR +WRnyrwnYTZzN7923Y8SV2vgV2k9h4trfMhOV7NaFgSFD9kTpVpP5YOSF8BmmnNR/Kl8rNS36pgS5 +FEIXldvzhx1g/pF6QEx/qAyqiBolBi2r+eeGAnNSluRQmKhR9btvEGrAnu0RzaO7FMx7lzF65PLh +i5xWPG/Uro3vuow04/47PtlkkLOTeMCUYKBMvwOr1dl3lDnqoCyq3Y/D/INSb3fMDPOb5f9a8AHu +RbZ0pmBLE5t6P43ENupa+KmPJXhv6Aprog+DtJ7CqhROv7V1e3pnJLsMLDNJwKVcpCBXjcq0Z6we +Y+jV+mMmrDY0IN0w4w3Okr00Pk11u3CmKZl5y7PnAuvHIhghfeTLA5S5Xmgh5Fp9VZ9pdDquWkXi +9ih5Cy2NGfdymeLJ3e0TARjui60RjHIA9ONWpGQArPo+caHl4U60pnaFkPwYiJrC+MxSXT3zszM8 +5rF5ObXGcOexdmrpsr5he9ego5f250v/n+1MyoSJmjQ0zu2ETU+y3AME2utpm7xr6YjYAZQtANzd +5KOPZd9bSJrVbKogBeOjpJCmv+bTQr8aQgqeIkdVRIw5QhXg32MiXQfEeGeDffHJ7o9ge7aa6jFZ +/CPgr4uYSArk48nXNWyM4j/5rqckvdKAnfOVmxpWerGHIpxZQ/KGeLwtUUS0kDn9w2ifvAyO/Gh5 +N+W4GfzNRgcL6v0UO4O5bbkh06RdobHJundM5fs/ruxBietxVYvXSOLgqzjUq4/OzFBcpGGUT+bq +aNxJR9bYbzEh33dcJYDJFcQp3VWHLAl5yVAhVTGT82esYNmFnEPN8mgSt11mDlBHQGGBlOS2MYaP +9eLGPQNWc26FOFMYBg0Y1IguywojVVkI2rYHAO7zP5iSVlk05oZ+Ihn737OjkK/RZ012SaiEqH2d +u2azNGgv/m0lDviAhf3riw1se3oQjkxjKC2g02Vk8NhPgZmEUKX3Hnz2l9n8xmgb4iTjkkKOnsA7 +Oq7a4cX6WjQqAO0C2evDLk2PkynZtl1InNBrNSzZisFjydhhr/rIqT2HicO2SP/I4yO+6VebLAll +trm1A3opE8wR4Ob38DMuaLDqZ4DHIhOnW+8ZifF/sR2uiV8feCJpW8iJGk0ke3Dy8tF3Cyw2TgIr +CBS4DerYQZwvermoupQyJSZ5UJ5EutZ6R2g1+wS/i3mEQdobLRV6gktHJs1+aoh4kLL7bb9F03cb +RQQ2e9Bb9VnlvSpM9Tpinr1iKjuuEfbPaU/JsO/v/iGsbTenovCtdbATUtbJWuIBtIZil0h7MMva +pEb8a2kC1LY2Td9I6qhAPnbMGbP8vNoquJqq0jHlJFCgEojWhHdDiy4QPerzlkHyuXrFI9Q5tir4 +sHo0qFvBvvY9598nLDQJGuZesCHj65Azmu6Dk9pmE9jr1fKQ78MD7qv8+QJqQlJ5C7CjmVLOxsFK +cJw1WhLxnnwI7fv87leWw/1gkRRXE/NzZt/RIIHttPOcdzoODCOOkik7hxFQ4s2p6ZIcLU1AmgWY +Uvc53z4KZBPV0Yo5O55dfhxiOZu8/UCRMexEef9a2l4O1fxKAZB4yPKmQgX6pbbGKwk1qRiWvCke +rjYpZAyNOMHM+n1gVc/gV9KwCMWMkx9By7RnC8JveD01U8tAJd2+Pm4NTHMwiiVlNzJFuLIF7WW2 +jccXsqCqcZ1IZKoUoGUCepSGBdSddokVeSWs0tqnhugYH3QYMjP61nmRlYfAFVDpWw92OeHi6XLI +cdJlrf2gOvXGB9QXNV0lqRV//PK3NBusu6LVwUNNBXtE2jfpgt2nd5V7U83MF3gDdRg3DZsfiAB4 +qR1mI5JJG3+ADQThQUmuJ6XuX/ZjKeeogIC+iooaLvjfGsv111QHyVXTKSXWdh1wJbTRbq+kSLo8 +ZOmNOVTCVWE6igIU2pIpNmOOlftwP2bizTv4EGAHBERg46/uNYl0E88saSOFanlqpKu/43e/7QKt +MSmPxiikJ3k72+9Ca97ERwRe/J10za+UTF2hbMikBRSwTQk+JkY9fGOIpS+omgVECeJKI+r5BTND +NGlAyH8zmQg9SOpNwBTbBweO6orCjrd0bs6VcDOjpxrr08V2wkQ3A6VLbEeAXc6WLgqeNFQvdtEj +KhIpO1KYnfTbWtzAkIBhIWvZbGdE7zz6KhQHpDe6tg1GD05Pbpsb86yfjt7f1lKA/cUMjsIJVgwU +NkB+OcI+Acp2bd1Q3Y79rTIqxr0ReDAGwag3udSNF9/Pb6B2eZTjk2AX/MulNsQc+OibANrTAbT1 +P0SSEI+z1Ue1qF3lN5awxJqpb2yOwljn8/eoKTP3ndLacs2ikPu6CWvIiEH0098KnYy1NK66598+ +7r32eM+YAmlTvu/9p950lPF6TX5m2I5BmHDn4Prg1tDzaolSwCjmYJ1YbBXqC9HgeR8dMoaFfrlE +dCn29yTeivCaDV+PCurNV9mtChk6hPwccM1zFjdcTRNo2ydLEiza68qe+KRO3Tbit3XNzmTyWykE +VUbYlDE4T5fn74hfi3+DGNbsfPqilamPfn3y/FFn5o62D1bMOWncpvkWrRfO92oCn/Sn6g+QJlIC ++O+dnLaMooELInHrH1KsdbezWDlUMVNtVt+p57xEFqqxjpAs0MWKgnotVvTd20rggEN4finEwGGU +J4Pq9IBFR1K0AKiXTnNej6M90T8745oWhUf58DzGjUeRUNp8z3mmhtW5DXCSTVk0r9y7CVKw1Jlp +zPluXhc9LqlWffYIu7lGZEkntlxrE+qupHUpUBoD6D0nwEBXei+GKAUA+6J9BQu+S1OEpFslA+/P +mcJPVv50YoeHpCxPQGMOn8NWssgfVgwPlIWBV3+6e4zcx9qHOuKQMYTvIzmsxW6iE7Bdmz8HpGDM +N3qgWug3Y+RP/+/cBJQqh/2L2yrVXpWfDP1/MHnTjN1Tmgs/a62XI4B7Aa5ezoWIbleBXNaLuRSS +E8aIgh5R9d0Yoxv0AtS4cf8u7wJMQa9hZ3tZR1k6ONJC+PMh4439p3q9VufqXD1AlzBkelyAaqhZ +DNVZ0we4/+1CV5+/I9qTt5x5fOuS19rj+wpckj0HSBF5QmzL2+YrX+Oc1fQw4UhFQ8VzGtNtydFV +ZHi9O6AfScC1KtDOLmCrWfDGL0edZU3Shhs9nY82gfTAmizORKM7sItAidgL7ag/LMQkFW9s8lxo +aElcD5sjW9uctzWIs5eWx99adrlWSsnt+STqkTvYTUn2YnG1VMMUhlpMbVH5y6yZrHIk/m6Qc26S +icxhA0/iBhTqcBEqtWICuuep+Wjdxm4o7tleWC1+YsF0uM4+9bPw5CohmyQ4iPm5pdwqp3IMfSp2 +Tlqpr8EhETvisDYjzBBsKGlcXjnIHpYWrMRVjGjTsrm8GqvmlNlXOlcP0CXtOhdAzx5aXT7rUDwZ +JU7mlxAZgRrcEPfCsDISkRYAiEHa485Q9mjvJ/k4g+vWByTvKpQQr3E10qqnl5wWvUERhnJ7sjUR +LLKv525yhKgRti8J+N6QuFI1FA1PctqXDyM3GBDHxp6N44/Ps1dpRHrZWrDoipyyev6jls3mHdtl +pLaoqlnW8NH9lY+jQQ97bd2SDmoXYgUS8Jpx+Ffuj4TDq2J8ueMEfuCt6VBQ5oSDv5veG74aw/AH +upI5kXxocZfa5u2Oj6HlH6mkMYzZ1hqtG3AwqcPjIA7Gnh3Cc2GR3bbie2cxWqpg5dzxMdW6fQar +38tJX9ktoIj6FJuayyH51EKUsM8qLxx9sCSdT8sTJyyxXuuUacWeTzLMQJ4MGfYalb8ejeK3Gq1r +VQS8Er2ZCRQdWc/O5snZRhFfJPD00oxkee5NuBhniv0WrFz74GyTprmzwOu4uip78KyCoWZ0/AqQ +PMfScgf3+pAQ5Beh3eWXpPaTgjiQ+uZnlV+r34zp51d3qB8ML49gEpdA3dfsPwxYH2fspIYWrOd2 +s2don/cIn07UR0ZH0Wdebqxjo1WHhYWcaj7x+6iy9G9U9zrNoVW8z1w2K40nM793k8bH5/QKTROQ +knqsdHpJHfJj9cZ5GyzvKhCrn2uWLmNt2tMcVJXEYA66HBLxf9fmzVnFwu7Q0VMhhq7KYegXZar2 +EJLYGea/od24Pp77htZ/neQE/gbSoOojZFgG7EGpAe40NvUpHCQ4uukapurZuUUAD9npZKQ0tctX +52Nz4Jk7BavLHHlpBDgR72ne39DFKt7hBOTC6J4PEtEEMkNKh87VBdaC7RJD/WzBDdvgDP2P6j+t +n4a5ikVLMTlzwPmDrkKDB3N97yGMkimnKNb0Pauv5XGVGuZbxlskSVbRNPCYI1FhO83D2DFrOEMa +EPsFMsW2zax23S/voNS9W0oXmgkZPGSwzkek5MvcrDBltxFYrGjKiplOtxk67ROHQIDZpy6dj79X +Elh1YvvRygMGqbe5MSv6RM43+v448iQwYh3bog3jLLhUuE+YGddG4gtY1HDI/2AV/WG7ZrzRFWug +FIE5jj9XRT839wWb1qvu+GRJ3p505ABX/638O9aU7gNaOoqzxZ7shyBCIM2HyO7KHJna6H+XUDE8 +eoZ4ue0rw3nH5SjRvjasM5DSVmiqrPRHgE8uFRox17MfX3GMEWDQJzxVxwI7os7KUojPTbxBerB6 +ZO5z3DE8WyOcdxD4KxPLb5B8zo6xmEqaXYutGj3MPPxWKTWkFk6oH0R/egftp3yJ7pmo6s5gUSSy +YayeRuUsaexLOEXLUh7nhIcmxoDijtamX4Lof2iFNwL/8D3fncYkTRywS7o66mPuPmSj8kM2QQiH +YN4ksViNInFp1GXOCLvAMs4TE20VHAIDKNA3YeefRJ9vEHrujdbc89Xz5aDRsMwVDb3YPy3Zy6gT +qzAX24Cfz6oHmF0G66xUXRTHPVuLAJUmMKhiouto7QweJs3BesGddBIsOVMaR9VQGaFUu69CpglG +/TE0ZNB/YkKN6olQx9waLi6F6K8FoaG+1jQ6HePUdJ3MDMWP02UkrquQraAZ9T2mAKusmFVxqOEQ +yDn8fSe2U1aNfh9r0ecEL3tvivieQPYqXy1T5rvBn6oKpa4YDRCmEkSGE4+jHZtvXU5d1pMos+xy +Kr8MnDg5CVpgRyrALLq1dpPcVDWvU/JsweepS9w9Yqx+WNKikYpbLLikdKRC5VV2CfP+52gJ34Mt +YmnYlqY9RFmVRpNy/GhHBNo9FX5B+c6Emfxnh/rAoGlf7rrskx9h1/hjhfoFjGx/sRYH1137c1gN +HMeAVIqkUGvFxjp4i1fUmw1FFU3puUwkf/LJ6Qpnw+1cexXle4i1KQsrsw9b3KdopkleXstqbzmY +igl7uQzzOEWBxXvgiOxgY9FoEVqLY99tTUPc4CzAMMnR2bA6srol+T0jjkvVyQEJEPAZXGqfXzBF +jwwKSjzi/wcJQukZAZaaB/MExudKxIhNd5nFMeBrhkw6I9tesYNE5qKedY5gEnCSf1OWLoqJxP82 +xWMKlh8U//bsJ+IBILaIgyj3aUUuQxlxn7hR3mK2incmuGO9U3urC/QRCjcjCj1h7OInqMs88aB7 +WyteXru9m2RIL2moQk0BSCW2EtM9UCqmiQkfJx+iZK8fVKL4BUGqFwdmIc7dnx7/XQyaj+hWkan5 +v1OTZDnOWdyCK5FLG3vN0DAjlu7XUvYwh54XS40sFTGSqGcBIQPnX6xtzK8fApbdyO+QhkWFVeOS +M/Kr4QBx2TX5Kah9GOC/exDy31tMo9bVfmPXTa6E7/ocJ8MU/hIf67+4Fnenw+G30m+ix1xty/Rw +2IXOK6wLGqKO38oBErXKWaOm+60B2vXiHl/KQVwy/2cCsiTTi3EdEJhVoNtQjUWSDHU+gxEreJ8V +8JwxlYSVROiMng0Cxvoi7LDKqHx/GHWEzvhJHza3rGRA468JHsRVwhsThPzelE7GAx0HzJ8KijhK +fCfE1RuznkJoMB+x1bJ5exNcjaXuV5zS3PoMQpmQw1iJV22F/HHCTjKRg5AI+2F6UWpm/vntEGan +YiTLOouWaD2m2XN2cfSwN/HfZLSrRhOKdq/kTSyhxGT53JPTFGnKvwYwB6EHaoYIDFxV5fGkQGbi +7zPUBPIAEbFcZV30vx0YsutQnXa30VVYljdHsML1JeiFlzwEsLuLf9UkOKSUe66E8IIFOSa7jkef +FHJU71898eLUznD1M8VJw7oXTeAosDhjfKtNS7On6GIFq6iexfPPvM0egyEh79wENrpCLJpUUnDU +5nt2BAq99dv3fF/ZqMP9wvTFp6nZpbTM7fDYmg7txT3Z3gsOfufdXOoV1sj1CbWyDFUrPvvyPPPL +cuchmWd51Cu8+U7NLuZfi/YPogsog+p6rVkRDkVGNcB8t7Pjp7R8Z5gSqaoFnAyeUS2BHuzX2j9Q +g0nrBbQieORwnWas6AFSSnUwuxk/ZHZmcoX7vtLmxSWyzl58t3JcjbG02qGlGuVeTDlA4m0AD9wM +7xWwAgvE75+oKQ7hk8rU7DKPyFcKNVLN6idLy/OjdI3TxPBspf2ZtNmC9nI7plcChkRz4PRCgOvz +kDu61gy4uja0hcOwFbjrKW1wO2kxEbDb343dVMmi9dH+T6DlNj4YMRq19OjjfcKMWFYK3W6urCaP +ftq8mfBIdL+htWIJ9kgXFr3rNRaPKMo7viHboGCwVr8YLEEkGzlqNXKmRs9T81rinL+UiuTbIcoR +cKkQANtT3WJztFb+tQDWsLLD/o4f2qRUIH4GJon34e4/gw+NVp9mDfr9FeowI/mLg1LvsTJ/2diL +B00ZZCMxMYazvAJSbCJlMRM+grSE0g3vmdRNnAiv999RGqPV40DdndCzpf39Y3uGt/s6mNOxneUE +r48fAnEeWhuHKiEW4HZvUSKbOQxmIsoqzQMIIf83Ia7iQJjawIXByieoDz8lTUUJWdbDQ1PkI/G1 +LaVkVNolKzjP7nvgBkKFV09sdKnh7uGUVqrdvXT2I6X3qO3wf67yz4yOlj8AM0qd9GUQbi5SX6mM +0P6sS1Lw3RF7GBZv4L5KpzR4fmDI1DuCiXgqjL4VmJUjHsBOh/6XFSYwRbnE1vwfTryS0H4R5HAe +np+UOFLOVxs7llZJDfQIDnGWT4m65twToi97Ow30ORsOZk4cg7QKciI01L05EdyrpB2RR6wuNeW8 +2qXdvN8wS/MaHsM4jEI9+g324Naf7z4qo7bsTtLWa8/QHe1j6kb3t+qjuGAIHeTjE2f28aSxazFU +jFT9JR5dF146Zai0S1B1rDQQff9MmKlx31hNY8zaKjx9y+j5y3nNMhlr7zhz9UXQ+jTQHiRmNNhC +Jkx24iN1VeATo9QAgMN3Ny/cu1QsUdXbWXpASv+4hBxT6Y6l2+t5G06OU9yQodORV0nfUYFr6+ij +YAzAtvpgzBlPIAW/XmU6WTgmotlytTsIuX98qVnQsN97PustdW20yJo43NXWvFrIWB1UY9L6ba7R +lpY3WFfQTcU2A36jZ1sWuFpPs6TCMFSCS3deWBCBAAx3E14nK8wNs3FgQOFs6aR6oAph0TQPErAa +6cU+5SvxqkSanwlJbZr00SJlO9LL9nBl5BHoBLpBVYH4I3HN9tKNu+i+ua4BHljKMExdOeLAj5+D +sQrNB+mAQe16ESiB0XETfjnaShV6RPZPuN+nA8NQ3hip9Sgex0+jhE3IkQ6fTXVzhCnmv0ocQKEx +ypERBPykVGiuKjicO8g8uUt96zMeayoWt24XJoWG0yUsnFfbCPGrcletdplN2sanktG5AqhLUpeX +/KIJuCXpRzUE7xjZPHmkMn3Lfpz3EgDuAE7eIVTY/0VnRZkZqSaNjowFb6YT8I743ReA1yYeuzPt +L5wSIQz0YHgruD4+nLGsZxr6onSuHNHH+/DtdwteAbrs2MxI7QdtP9/J/zS+mpkJQs3PHKPDvWOt +oR37Xh8VauRTUvWOZBpIBuPhhbTmUDK8PS0XmVem7Bnvho2QZIVuVxZslS8Vqizb6J6SSRtk+WDj +JQ0P3iNA7uM7F6+7t6NYmNq4CcWg6vzYjVAkbRX2DVUJRnHRRryle7IBAIEL9jxaCle6c3q7GVi6 +7taWj2+c4Tn/LJypqhaSnMWST6fOVJV1EpZnMncVDh1uwgQ1LdAj0b0/yyIhslRQ2b2y6X6WXcxZ +eh2tcFQmkI5ImntPz+uS1cj9A2W2mIN0FELTwKA8J8EOssHiKxqJa4/o/SWT/ghNHn9iGtjU+r0m +OSW9qXu+czFoAnZxaNFWhB8XE+pM+BNZ527xxzUdpV5/J7VuBMOpP9ZQECsdAb886NDHy9C2s/X7 +vi01wvTxOmhhIp7I8OaqBZTFkgPMyhITEW3WZbwFpTo6acvM8Q+vEmVAxlx4d/axkWucppG4B/Zl +HkuScSxThL8wIMH839X2OPebXWbBR62wlVhWJKZIOenTxmTtpNUm9Gx3M1IVyVPIgH/c9SbPV3OF +i6AOnKIF750+WCqbiRiPNy/n2cYCmgOOS1ueKFeNgr5dRCylzjpUESeiRHx73JfxcMffrL0ykxn5 +HpC9PwFg6Deun7aOv5MnJrjwOrDV6kkYRExzlE30bPfYgGh5900nDuWzmTDW+Cc4qXmoG7AmMFF/ +bzHV03m10Ue2A+5hW/YlAi1NGdIP0Y8detbyRq5jMkElnx/CcsnepMe+3A8KJ6z3XdPdfW2W8wrO +LR5uRes8rJGCsyb/L8weeYZSxlFF++070OgyhztbE4DurzrkJIDPbQzQ7oqj7WSTT/B/CDw+OHRX +SidOxgNuM+wuSZRea0uhBXNB/9JxWX5KMSf1zECJ+l9EB/1pceznLT/pWSwgzIon1IA0ZfcA6CqQ +BBNCVEqKXXsvlyIoVqBGY+A3HcmJagxWyzu2GWKiWBcnYSicwEnd/fx1pqKKCVnGUV8StFW6nPfn +HE9wp1ZpZ68HlWjuE3D63v4FQMGk8gaBrxWeS2M8dVGX5UU+gK4RU34JFk6MNCmwqaUBOnmM6kHj +thsoooA0eTr/F+W/Rahnm5L2MYwWxwLcCO3hyft9zrV4A7Myl9t9ZXIwJBHVBvHXkirbJP+Kf3Vj +XdI112cWbgJuhYObqFTMTeSUPmk5hL6RCdf0RjqpF8v5hEp5smCfMZGo8jZcbwtta4zBk+VfaC7C +CPNAO0ayqtbesy8Q3t2+92DTQNWMWVHgyiRE8l4m+P+Xr5d78sO7IH0mRASKmrGUYagC7HmCaIz5 +qghlbO58JK6N77r8Cu66e1iUTnxFpZzM1w6awnNVoRD+okpRgKHgBYmecsi7gv2O8uh51U3ZpdJP +iCTmxTeb+jOF8LoAJ7gnGp1wSJcxs702/DHWBb33XVwVpLNquA4vRU8joVEnbUNxd/drg5yCWJrc +ACv7CVc2M98jnlY7/iCSz/CfswYtPd0R9O4ebBEkQ7J1Tsv1AwbqYbgq4RDYo32SWvA4tPTXSsMz +J7rP8qXg+P2Oj+q30tPl7omGhRdGTKwfE77n9zpb3ASzakcOkBHpTQPntFnJiz3ZrlK9Wr0qKl0F +7MnN4BG3mLWmnY7B9eJMqoS+XaC0q/CwTEJC9ASGO9hK+yHpyrv3ihD+aQ7MnnQ9kf+eSubL1a89 +wFLpEH3AB05RCLu+cfGBfGt4zusahWSe16/VaDKSEc4eRYMoaNxLD/RWdPWA9JR/l+NoodP384o4 +uLvUfgjmHTidNRlMwq5F6wQKYwyIb3mB/GF/gBwPapwjEdzEnq7zuNuGY03IUBm2tWHgHCtnEiPu +AsDjKnL1QoC+cEW8v4DoBTBJHg5fWy+vn/xFLfFc90+dsvXhSewlofiZ6MqYdUZxgaDArOUzDu6R +PJvNd/KGKEUVtQr1DSq57F6jUMBiUGGHb98gKmwuLdbn5TTkck2upSxfTU6uixxI75Cx8kcvgcjO +YpNjwe5wYsGHPDYsXZxxLE3o4Gf5VTv74jP6pu6v3C27hK5dQgcCE7gBr5TId5NObRwOZkUapvwW +iQWY2eKj0b1wt+FXqxiNaZoVeiEjbrqKT2ozK0t/Mu4/2OyjANPYEVI7kZblMbDDTsiPULkk525T +YFkJL4qCEPF7LmreOdHfiAUodc6xvbGidWPKQmejGvb70hSUT1Bc5G5vDWBO42OoUnTVqrs9XIwb +5+izEBq/sVrJJ/iOzuUJZ6kFki1x4A3fVxVjiQpD63s9gQS+atqCmNvlohf/4WJ34NFiv3qbUYow +/akGqjJDFpV4sdYR9xqgoAuLLSvPtYfM60VRrJjig+bCUupCuMRglKOlKtSIDukc8X+wuJKb2S9D +OMq1LkDwB8a7PkaUmFPCjHOfk8GzgMOtREwU6tHWWODbMNzO3U2Nt2ROHRiCDdVRIlL5V6XAvsE1 +e5mVjEQIm/r6XJ9TpCW4z7RTN1qnYKIKkqRI94RrcGoiVFxGIFPD9B+EZaqEroGhNlB1FUwlej1I +yRPVLwsy7GHkhXTOgeKk661UC2g0qXO6lH3fLX6NvKQDznp2lBZDaqjXEyw6vmJMD/4qcVsPEE9W +ucqpOhmT5Ft6TTpbkuLfDIvuiwlIF9DxSjfZ7va2KNYWCSHsqv6Ij54hFANLlYXEpkGMxQzcsbOW +q3YXKzkiu9JVSyDqBJk7Q4u3noEg4ciUKEz1zlowzlCZawBv6npa8sNjwcutCg6g88G8CI7Lq4/I +uWf145WsvEgOgNvtce4vEbdL6RWrxLdkxuAHiScLcSJiMSOswoG1nCAc/ZRmh2fRvmrSQyHbFGhI +OMrxM3kcx7+smgXmLUGogP3nEQgroHQ0LqC+x5QtL3Uq4AzelcjJhLV7CuFNrfcZ0hjkZKUISbCl +RdpNZvxAqfXfJllz2pNY00B6F27MoDhkGXPrLlXorogs8wPArtqqEVSeajrrsIV1qAV7MgiHIOH6 +s0JbqBCv+a62EXyvwvSIXUYByFjFuvpN2fkJKwlrmXUZ1kL5Q7SrMNGroxaKZA05T0UfY9Q6jxoo +7tjS8FCTZaW/UeSX8gxaLl89ZAW93e/AWTEKqUBb1p474n0j0DfZz+7hJM6Bck10Zm1j2WqSp9Xl +USTAP1SNIQXMQXuKre6ZtV6L83KDLKg1ssRWcg6EG7UnOG9ncNh0T8+ufyKPZ9482oc2F4cqU4xL +Uk/ltGzyPIhW91pAt8RDVc+poGpxCwq67vw3XCEmg3DYuNhjm3w8JAxhTPSKG2OMUpZTy8Chz4lw +QVt4aadxxCqexDVy5Gw6DvRboLCMmR60cfgPMkv6TVqFAjedCxcX0RrN/m1K7u+bBUdwN7jTyuwV +Ttf7CqjXYVzRbrkMepmEh69D5kHoc5I8TpC740u32ZrxgVruhxJhsNC1wNCkQEqwRa9gkNi9YbS/ +jJA97InG//4iGNJ3SPcLfQuZxKWs4horhXRKJBjgh4PHnB0/yAN+ien0PAy36hmZX3FuzFbOmklE +ijVpAwzoPZg1Hkw4czhEqkKJI1cXtz8Cy2t9NZ5FYeTnELHR/ZRAz/QhktUlCFrddscg6upHUx5m +7lnFHgus6TfAFB5Kq8GyqN/duTY8wdLd7LW0kahLux0WtBQGwyyiAsdbQZiXbHj+bNv5DAsj3brI +junz9UIbziTOEsGmglCODsW0bX93l/TxzSkNMAAT3c9st+fWQWkdD8W8QJu65zbbDNffewyeNEZk +OvbFxqwEnbynC4IVw/tbqShKZjHjLwqOMLQesS58o0Abzc4uPOIxc3lHroyL4u2skiZCd8CDcJoC +4VuXJNkepwCW0zxhuIhG2qO9PMTtjuJRBYiKYlUmoh0Jg/oqylT2vZ3ct5iKcxge6qSDfN4fA74+ +gtneaqLs40GLKdVuZoDx0+g8eQAK0WwV9NQD0F1V5urlMnIoepJKqTgsjjCSlhoG6vK4VdegNMTX +eeB5zFPvIEBtnm5EZjHIcm5KhlzcDrWCFTQN2qqYd3QvxgIC/i0XHBKacDmYbROCUDVlEJ9kLmoO +aWx2AfDPEX+F0zUM9sflUoI+rlzNU0GOgIrk4Yqk30DrCgoGWlab3hv8ZcPGrPo1KHI8mFbIZv9U +E8w8ThioG7+v64Rfb+RqvYCRzWHN+TjiLXVhmuHhRwAfm3gGdeygpv9TYLbaxhSE8ou15y19LkFM +A+Q9fcKVAU9e+z/NlG79VEeKgApbRhk/QYjavpE6kVcvEmifgV5hiqaQtDPhHgJO2JBCeo2TXwhb +NFU+sRuH4ROuwABI8DU7A8qLNLEjYi5bFCa1SAcTfkGkqH25sq1Spp93H9pZoGKVVO4Djj6n12Br +x/VyIWH+c2zluVmr/TtpycVk5tZZFfBAjjOD8q/n1WHcaHVAQJSGRXSc/77CoB+emkM2Ac41KSE3 +3Y7vVOYbYve0FtKFL4rwKOISaFElMbsa06A5uAB6ByGk/lcimB4evqoLUzUTGCWuKZLhAQJcVTUo +e+thVElMHGmFeVdpa0FXPGkXkJyPhnjm6YVROjvK4DpDCY2pDxUYalarNvLWfvhTpj6CQNUkjnMj +P1HGgwCsztuo+5qx3mwJ6VeyzKdHAJBxpHycoqw99BhlkuylF/wboggPRIM30z5KgJSaKKGZPGUz +UBoGC4m/fnjzR5F4r9QOzoi6oBqIA3Hf6wTB/wnlj7lZFOMoGKIh56WhI1jMYlDeC5PWJ/oOkdf9 +juaEHtHsolZPN2Wfi8zhbK8YI6AO+kOZPUMrQ7SKrCKmRL9x4lAqIIrFpiL44mTuJN7mp8TuRjY/ +oLM2WcFkc+AY2UKmtGUvVhseWCRFXc7baTmL/n9keNUei7d3j2bvtlj0Cz51wlMfa/udx//SUqSG +Fx5VehbL3tQCpZo95nwpOECy0wTtgTQpgm4Okprv8AmQSfep4zsV1dzcJkQvcCmJ9uncmk9hExJm +DpWsPUhUbKlFohfLQ1l7G9Ukzp3DWj/LYXArCTiWLKq25KmF5Ne/aevgOJUtoKjpZpFLb0pJQZV+ +KYWdpnr3QWo8lMs3g4ZDgO/HJzod6OJRQWKkxMSCn6RmvujEflljuFxMM1ky87gRTLTY2iNAdkxU +HVXEjcW0vEVQsHJEOVSqZh5F+l85koDSNfbQm3ZofUWK920MOFyunYZ6y/4AgiS8IDQgqhXGwUGR +2CqUzHyakcDjeQfrUzeKmNxpUzGRw8kipJRssaWikeeXOGqjR4MPJJAmxyiQDVY2budO61HwhODn +TQPKQfKG6xh5mxYTXqHUrCGBK4bv+VxL779Sn4VfXpPlJOhUom2pMeNkuYBIccj3tECIi/MnhSNz +QkfUpx5tk8DDfWzozrL9uwc0Xpz24kxb8HX+Qy7VVx0EcvbxMSsk1HLzFvNpwmLrr0HEoQZXxckh +x9DzuhcPyj2Ynch4kYCjgiyjujyreY/MkLmLUttARRLjW9KvVTCeOrd3k77Vv0PLLqmdAnwZ3mMw +26JHl6bxXpoV0H53v688NgtqaXZZ1FwUTAaaGkVeETdRkaSWF6mxj9/qxuLG6MXkGCmmPVg0uO15 +0v1d+KSiFSqw/QmXmdAj6om24RhVuXKRHB5NDoG2wYf4O8FQBcdHzopFk2qLf9gPcQmfYARYgalX +Z+w8Ce5tILds379rmvhyGkyC9GsU2Hd5HvCjxaFkzE0iDy2rI2TlQDlISvFjZWvKzzSIhDObdDLZ +AqJED8WVjNHLLkTuE/4JlIocyB73MBWzcipmXS6qqZAMjlxfCwjQPEFdRkErKEg1eNEMqyKKVI14 +QcnepvuXnWoxjAaV1w6DSND0A6MUBaC5RLTkSNLv3n8EDNHdNMKf7DnoPdk8p422d395RUTPeg/I +oXP/bEX/u+UzVmGAlyEnzb/jnp9vIO0TMfvewCom+lPs5oXHmyBX3UmUT4nrhWcZDBP7aowY3SY8 +9vZ8JZIWjV7+EV9h/lbwQ4Uv1oFsDMUwPEKT0PVdu9r7az/WLPh1OHJ53jbP4Rpt9Ygbp3WU0ppl +laDpJiBSs+D/eKi9FdRab4N4XBrxqhz8QiyquRd81eOXtIYOoNXyR8gP9waJYa/nAps8G+2U1k12 +1n1MSIeOZWxspbgA+U/rd5MFUCtQLjzI3zRw9I29dnNtkJ4Xn+ccWKU7hVzUEe73ieqZn4Lv2zoE +kQGVqEY0wgh9sIEijD+R2jLuVQOMmkliDh9BeJYVOhzG9hXYRQ0CvvRgdQaHX418sPEAoGREx9RG +kvhidzf3sX6APqqp3pglTNqecTvKyl0MrjYMSwkEiCM0bdllyhIDeKbTspRf9277Ny2PNSBZDwIF +EZqi2RxA4mLegFGiC6hIN4jvRcB3iKhGLCJqa7I1LMcz2nU2p1o5U3DxgremyPpg2zUFJ4HzNHjY +6Ipc6gvLT3eU+DDA8nDTobJYe+BDWjlfWu7o6dneaRdLz2TaecBl7ngIBy9qlMh8ws0FKvRyXeYQ +wJk6RrH1BWegX9o9OeVO32AiJEBNy4LUpyP76KpGzp8h77nMrnyieW1RG03RuTfFYto/J05xADE5 +Fa1l+QSiRVX5ZyJIv8uBEypnp5e5TDE9bGfd07rgUBky+KTiO7SllPz5YnXYdOWyaHdRmt+9Rual +GqRQcO+vD3sQD6PyaWOJ+EzbpiR9NoVlTGqTtQ8zmtmxIYY5Ok259mKyIhnC0/mu7xF0CZosl5m9 +SDpD228TLAHMk2RljYXZF0Vi30UvW/k/77jg7TFXOoHBwn1JyY2zrTNt2OezBDtnQOIlJyc2M7Je +QbqOVw+pr7VPk9yDPjirI75hI9MpjhlwCNHL67+um/Gq7vcUYvvrMcko5Qi1CgiVqiOhYgaRd78A +9ZGsJMlDLf0HXPFiX5zlpUbVaDm+UtcufOABIqycCa32v5twOrj13qmi5pcUtdF8eIyVUsEOBU8L +cRuwtI0i97qfkEm3tsPV5mzRBPZzBbBr9P7lnFgCF+FLj5rhIXUW90JRMqYglKHl1jniEWCQ7w3d +0IeyhRd7OZb5W/GOo8wgsqwUhm0GpBdEZd2B1Vaiil/MMTq6IWWi+pRhnQQ9rFXPtCJoAb9XbGUA +LsQIXyiOJX15FhHPvqJroG0dW/cGV01FH5GwJYNDSD3rgm6hSg7GkpreMOFi3o71EHmJnReVx88c +viI0fkVR8nK521MZrA+aO2goAIGHDcIqPXuq504xgrZ+nJp4mL4Ix662QenvbQjCdwByvmL/WKne +ZRx2DZeojmibLzmyzh+nmSAvNDOj10jbP7BSxjuizCXBFyWiMnVpwDaHhvPfmv4YAAIa4f7ILPag +uYry5up3NA6lVoVvg5CNzBOL5jphTCAXIbyoujcq2ZW2CZ0IIwD1UTzYoOnU48dHBTMDaRYiJ11S +3QPBuX4IeMraXcnaHRdPArcceOY9lmro1cN5cIKfSOYob/RFpTgvm1ogRUdKqdGLoNjeRFzVc9Ic +NyoXDniwnVbeziVvYTlQIvMdkccb39/ZiZI7SET31PT3djGOea+7rZrDSmaSEDlvqKMhVRH1mGxT +PDeKC1xN1h+pre9X/RIbBRGITvmBdLnRP5aamk0GAwXioRMJeSVNYg06/Y0BN+9uLETa8m7J8Fid +97N6ewydj6X5GY98NqHNoLWhk5CzRcLkHc6pKQgCaF1utMPzvKhXYgt5sYmszFzcG2OcctDeTCYP +RoM/ydaHo+L8Lu72EM4xO4D2iJ/nAeRhBWO3rIDr48a5BS22JEmm60af28hDgCIx4KaaNCQ9klQ7 +j1tlBq+8WlAJoL9sggpUeEgNMHge9ZXRi/TkpPvba1OhSUNNpYe7TCW8LvKr3uIBnn11hlm5MZcj +m8ui2eSJhOIqDc8H4egWfc6sNlE4yuRXjK3nltYWjt2YdbO2EP9UrdO22sW+8+AsoCz189PPbD9P +oS3y+008MVr2aIoI6CpkW0gI3Uwaz9Vm0SIlfBR+UleOTCsdmEpJVgVp7ssKvCPv2HAt1LwCYY3F +j7s/x1SeaZW1kov7l6dNm5bsRe6crzZiUguwdweVjmIU3jwq+hKof9tOXGOxU9OpjhuLokTqbzik +yLNxhSpwu7WUrLFYAwj1xTHCvY8FT75F31tPKjaW2nmAGJJN0oNHOy7N+nVhGzKjtZuogV3OCmvp +tDU3ILH3alQ/4gTlUNn5wFIBvRm528qxtHnUTBIpp9bvBve4KSVpcC/+ZONeqMWiKwogql12RSrn +qsZdqgeCVrI9R9gQvEm02cyowCROauPjPyk1C2/g6XnSlKeOawpwcY1fJtedREjeUf/WrZlG/CVn +Z7gINyHJETJpB/+y3e04q4670t1l6V8h94l3JUyUdg9jNdsuswOHT2x2O+T/m//xvovsuG/LQYjf +J2DtOw38LVx8NoADOXvJN2cMNI8tRiY3NHT+4FXpQGywkpY1ZlIT1/dpRHyfIKSuI7NiJxDeBbaK +4/F/W/i1lv3q+ju3Z+aXzgSWEV9NBkeFCNTVkZ+BmmEYyMHxBuSwQrLuXp/FsUlt4+one0PQwUcp +HHGbR21i3ozD+i/tuDxICU72MMh8a837jvHlYuvkQpzFnefatxS7oJY0s8H0lcKk5dLqfFYAnppW +0iG0SDSmMbiirZMr+9mGQJ4Gub59Jb/ySxg0fRX46cu9v7t/5Tihovs9URA7+80lyT+npH6WEefC +0ZqGA5f1ev/rjJyjfuS6J4q2GTM4/v1AHBrv3xJeC2OYHZ0pQ1+qlxO6tFqGrTk0CJSAVlO/dRmV +ZHGlaBUE8W54fzUJ2OPaZ/6t/Vgejt57emMTc8QOPSrfCDztT1RlaPJfxXcVOjmA2hU8oiq7snww +v35mXeuTf6wMLfTjrzEHoQMjMZr5VuRsNWkl1tbk0MvGIeZfJiOgvjH1ToXAh+qc5juGnzMnsamT +vRZbclzah728c8suMrs5YPcM/43ZbWUo88Ts2hscRWO3fhdq0opyn559FPO8Zqv5+l6fLOZf6mEK +f5XUYOw+5NFrqvClgthDo6fBfL+BlHT1Urf/HZscmDSggDyywIODe7m3zbWs2M7h3Q6S8xApiGK9 +52kBly2+97GYk9d+b4+Lu38GXnv5oPvgxAP8nYhL9UC3HqsprVqfik+Jn6woVXM7g6f2UtrPutQ9 +erhxP4P7ret+vsaphHNxwfHfD15+KOSPouoRNXTb/DQz5n1HK4PgXzmgCA7jRpIqx1oi6d2vp39C +Qun/1eEA7kBpMGvjc5YMuVV+Zl/3anQsji65ocB2fR3iU81JPkv+4z92LPxkt6YChgCMvogK7/ba +eXkBkZVL2YRCVyK/949lHbQglxSlLYU1Gb2IR2o+5Inad75YEsMVye/wIvoJwtPHL7M3h7uLEmlh +l3edODKubMLgr/5D3K2B5MEI9ZYFYc5/fU5yXQv+aDJxGQA2x//X/KfE6QwlMVuHrxE5CgrwxU1l +2TSZjol/kuaWmQhxZFHVs213tvzWatQ4/o8yu16CTT+MgtfGEU1vxLex0P5hWQKcPXyuoaN6Qhx2 +95ZsdTuWexgWHTKVVQA89Kv97XonUf5V+wRxkpTPlD0FL4g+lZjEEWw1Le/q/Vccwk62jfMl9RCb +zHXvV3wU7B14kz1F5NMjpL+SkC12VkwvHFVedN69E5Mj3uEPpV6t3fKr95ZH0GkzOyLeQ8x/aYR2 +3YFN9G9fbodstgUtk7zNuUtq+6rgmPcQUItwGDkqbGwKx9o7UN4oAXbHcrdCc9SWa5NLyghlAQEC +geivNgveJfbYdvGasv/O6/olbUyQLZNHULnQe8xEXdeovz2kT1/lYi991kHM490HohXxSZpLFrQN +dSGWI25SAyyMBZklJWEoag7r9gQKLlPHew8mIpDtgAZBx0wTUNPjWFdFxRk03tpab6uSRy5rqSLK +FSMBeDxcgEYJWN2tULIX0jmaZJruuNphcSYfoIgr2w7yB51u9W4DJ4Fxe/9431sM/p1QTAfH3wP5 +TqSzSGfJdjity+8h/nEHnqdPz/06vW8M3Z1H9hoLajuKVvnr0Z7w6IjtN5uHwg3b0QaXBjRr8YoX +31VSqibZT/ldK1GsUfoUbOHfaA+Zj9WsGI2Z5Ub8lRple1QAX5uCgvCF5SfnQyz/Rbqzh5mWwiBV +ZrNp6eQBXJMeUirZAS0w886LA2/fodO31Od8kcl4AUz5KmStHTHqwkmlB2S0aHaQApB8L6i0xqxO +CdC8up39omXjzpX0AKLcNLuqlrGNgEplHhJneGR5w+XjVNER9OhPPiG8yepvZyII53hOBXxxBpwo +daCYfV6kyozu9P9Icf94SXowkhYBwHXfhdeYJSB7e5GTNBBy/0bAK+coEC84BbjVZQLx5uabgi7L +I7AZGr+TSRwZ56QdByeE1XSkavzUcgytgG6L14ORtLB4eM4VCcS/H2LD8c9UStlVMEtMR4plX4Yz +AE9PDYehSpobYfh80pk2lTiCjYk3srl9J+525ZYtP5aMOPJDXHprqgkXackPY9tiKYkEi2zDntpT +PZEwVxrn9IfltzS3r2ZXCTouqxQ0j8qikUzvLi3gJrgGEXtxjmOOSFybEWveCyWlf+D9cVeV0hcz +HaxDm/31/HEFja9WLViqB6XcndAqVrqUpyUbF4faIPNxZiE7S+O0FnyYVLrjTdjHOT0sr5lbOHCY +gmnSquDwppURTE4uZUWfiopdnzlVXS6FesEGVMh/FnpHsN9+xPPkCVptNyFxJBCyp+SZbFIQ4Xbc +94yhlFVZn+lZGCc4OUwpUtslxlsoEMOjhhJwAR0mzff+uKDOn0tBGUVKilskhHu8xIkzxowAioMj +gEOarivrU1EGoS/0cIhn2qlrpC+cF9pT0j1MS1Y4lmy2C5EwDpoWKnRKAY4MGanpadKbb9N/qyBj +L3GUX7tnW/SlpVc4eYAxXenms/SokSYFUO8bWm43ohKhc0ytLP05Dtjc3GUu1o+o55L3Z+w8O6C9 +Sdeq0pka8b+jik1kDjVIFUNK/pu+k9ktQL7yIGeG7139vLV9N3tiC9vKEicHzYm/WPRn6NU/yW6N +nQGFO1+FhNv478GxbivXY0tE8B8RQMqXU8g+6EZogZQIYp6iZPR5ucE0xgn/zRhzBvuQi/hVg6VJ +obUYtbCtLPkCqrDUDnqV8nOaOaH26Qs8xw1SHSQSH4/j3oUiN9+3PEYMa06HKetP0Gnqsi8DnSxX +JnZL0HzqJ0kJpyeWeJq0xAgL3829wXNwIz+LmQLIN33ZFixeU/Am2nEtS7c78br11a+iD+UNdGdp +0dThg1/ABNpJvgluiR6KR22xOmb14WAwvey5hLoDR2mXriCiumQs56JyfgkLoft8Dv94EdKV+irz +TwSGu5FNjz8k78FDTWvIMBPGLyOyxi17yOkpt0cv/5sBQLSt7x4OLYMrWrN/uihtakzcUU9B7WcH +2vs6/5Z1FdROmU2Y5jjyt+4FNDzMzyGDmvLJ9OCyTFJ6KHnhGEydgtqWxEpROzYvt5rH0cSL0epL +fOc77si1K6PID2uZTyUDXLHpDOfNDmOx62zRJzDKowdU0mX/7tqgyeCqu4/2wWqs+wq20qzz5Eua ++coQvlirKK7t5rwOl5qN+T9O7XZNtW/6+Dl9GBovF+5OYS0SxfhtiVczXzoHfNyhko03ljjeuNRa +7BP7K7n+JpdehSgfo9S3X79MKaypzUixH/oSHQq0dL/47rdx2jamRpB7rxjEYDztVelmuKyiChUn +OoVIt1VotEGYbwIczo8jXQbckRUWzjrbG8qV1AQ2msGCOe2NC0yTfGgm95r2d03SkS+MrQNtjmnx +X+iPz1d9ji2KjKPDFpLIiG7ucYKOkARiNznRBs3lH2eeOgodgwOf2aoldYTreXO1sIGJQDjCdkTr +9JG+REzbRZAde5QENetKt+Il21/Gi6Nq+1hCX+uQhOy96z/wG2UUqmBDQhgBP48NlSxkev5zodov +bYv9Zf6djkjbNfKValoOMsYL0ram2WxIuDlTDDdEyr6AchPokSf76IwEOfUUfDmqeEb7XpP+e1Kw +c1yeOozka3B2zghUo4HBIyulhc4fLZYAq12Bywg0jL3SoMyN/ebX5PQQ3q/jVxKMQm/VgZLbMChW +fDfHvS03tnv1kHNTfyZmHNJud+nxMjJ8q0iB5mUGwOqwWbu7Ht2m976OMbbb9vYc0u2vosjllNu1 +cPQ00nUsh8InJHwB/4/G1PhNsJGdcU19khEWxMZi7vZVrtG1v0r5no8Xi/4On4RWU2AYqzRhuMWy +MQZRrXKo/2jZ4D5/DU957/xJB4QGr2/KLUNboEMBn8hriqRfw6eA1oxRtZ1m9oOXftIP554sQpp8 +uLNIjuhPkxY35Pm7y1Q5zApgS4Jxhz1IgjlaehP7wYTmu9ksO+m8NA3ZBCq49jA1gkqBnKOff+Q8 +/knxFmGZYz8bHN8r+YtUCYXTMFB5LQdpPwKVWbEGqqUfQsxdT5WN/vlpAw/YOr03uce3bawVNR23 +DKkIlp6W/QdpnoGto9DvjyEoqfyo6SLSWtt0ZWKIDTPftJr4SOUIqyLaEy801fBNAceUsjRX37Us +utHnxfpnrJcyEJ20CAnU9hEF+Cio3YpY82x8L8GN2Vo+moKiVEOXmgcOMjkrXOYy5ZKuICYoLwNB +6OgW3F2HyHNxikaO7nU2bXjuLkb0dFYgRyWD4nnAdJYweq6hXLyCxooXe8qf5a6AFFHGNVAWGyPN +zoKc9eRKCTLrSIqFPyLIrGwY7gFrPSr23KbHs9OYt89tSewpPYIUTvkmS4cO7QdCSo/8xLQz8Drz +kEXcZitlSlzt2CllDxFWi+yl1sD8Edo5ZkEgjY6/Hd8tbTBj7OtqTMslHZnYQmsH1ARE4JsYt5uo +q2Kkc1Jkr1iA3cy4mEseCQIsQCjL7ac4EMybOQtkNQ9gkuL+CpVWHF/HmqfstC8UaB3hF7kFq1WL +pIXVKK9O7iw3eIxcnpcdurW6R1RNRFa9ohCRGOo5Lhb8/pytFrbp903h9veMmbo+Ii73O4+V0RYE ++cPN2Uzi/HYOypc39oQPcF5QVfHnaVBGY9JceJHZI8cGRmKT9HXg1UtJjZd8ZI1sOMJr4oZikbmh +K6JUluIQo0jBNMbIYq6ck3BTMjtvIM57xuN/hgcd5VQADqmuU7IY6XBBsZ/mRZn1m0R17KnDqTZE +BZTPmAJT00WnllUxtwqJc/1KN4Lp4yJuA4/XyvnWMQ18kR+Jtq9SYWHFM52FR2Wd2X7xBvr0mS6Q +VXIGxorIRbb0zfg1KhNwyio6AS8OSu0nufxFKy8lo9rcJ2ekqTyuGusxafGSC4kwaJWDOlteiRHI +e7UhU7YOBl82Sfv5qW8MXh6oz//kvf3xorJAz61hUv9hykRS6cgOVmbWWZ0bKSpLqOZyAMnCkBqV +Dg1u4setsHH7bZHu+A+Ynm/wnmc8teo364G+5iXLtHYvvPYmdaB/ou1R6VZPd9Gze04iCygWAxBE +GXeESufA2nI3Pv0CHsc4imVBfla+w1XjhVv1/TkQFKHl3x8qC1jlK1YYtfbNnXApQTzF0SIvug/k +MG4kQOCy/xyBLk70SO/7pprspELYBoTwoA2vFUJhWjoZy0pyLhFDKEWK/WJBxwFQZ4MpO1HYteHq +zSsDYajCd+2/sFHVfeEVW95nNw/0p1Y9xlgZawmSJX6OQSbVkP6cwL9u257kL8JnkAEdno0AOtSh +RiUt+2nhAkmpDG0zk9W+ApcFBaA5dv2+TkSfuyEQQkuYL506lEhziW6FlkaUcdcvc65wLnsw78Mf +yHWmDKG1tntth2K0mqrfZ1bDSL7tw/GFcF5a7/woTc+eQpnzHd3w+aGSRG/JZDyt3Iyk0ORZm/Ac +8XEK8NZQ0ld08ZWAxfotWgvrLjNFv38LP6meRJKZlvpej0Gy6NIACtw2ufrqET78m/py/hiCGGia +kDGMLTB2X8Baf/5/hIgFCRngleZ4W6L1Zqjl4kA6zdbdCRByslS3ZSy5yeTd2GHhS1J2F6i3Fb2x ++pwNAnkY+qXuRFm5pLOc7aZf8SvMWB/f5BY5eVLPy80mfvTRTPm81SsODS5zVA5cJM+dTYRwBUyA +KesrF/4RdYCNnDJapyH27qOEokvuvCbmMcNEx8TPBwT1nx5rr5NzYBV2GlXDH6MQ8jWUWF4B0qTy +/Nck7+oYLOYCHhlpwORAe8k1WqCGxY35vte0bnfbaop+pDwZ/iA3WOndq1VldTUkO7CBkMjmFOwM +alp9UI1lDSyPwB7vr/7fzgEMjeDKMeg/XHSdOG3JemdD2dERa74YIrp5shXA74jAGj3K5O5MvVjd +bVLxUPTdCnXJPrni/x4wVw5g6isQKUj1WNSzSfUQ/tCNQj2KRczzy49bajz7a8AygTnm2dL+MXkH +A3YC7njOMIjMaIhcfYN+H0+WYh2pohFMtDJV7N+whfuug30+vgJ9k53s1WK1i4O3TWJiSlhlomW6 +7D3C7UqN+ssNaFMPq2zPY1FjMTZsKWgUTLCV5lUBbEIGXZRoiL+/r8SmseAzOrhdI7AvE2tapAU1 +Jeary9Gncq9x1xmO0oyIhM5vUgV2/0dN9rLgxpphvfqCN2Pa5AE6llBHMeGjLrpOyoZwku3ZAxjf +HVwhETY3IbGsEgzXRqYRFqMGPFtuIgvAb6jQ9wKUVyRAlfjiAf183bB6e/yY/1z0vlXYATB4iOHm +ZuE8gg+NzdYe4SNURqsJGBN2W0ReXX0dvkzujSyXBFXrTqv17qauPgYu7+D6owDN5s6vmb7X8cvi +uz9emLpKH836fC1HSgxiKARMu0SV9bSDN5Q3hWrvoUpyjbjqzkltOiSD7aX3GO2AfvBEmctfhkRT +FZwPuU7ESBln4CBcAaTrkwyFeVO7QTmixF3sPJSi4j/HwhfBlNBwwg9mKN8gwrGkcOPiBCrrkziR +3nH5evpNdrBDFMMYWeAzi/Re6f/SMWtSi+6Ytx03HeYqBvNnZmFa+/TpsZoO9S223KaXJLMhbRUP +tVE5HIh5NYZLMWi2n+YuLkc3oSVZm+Eywo4Pae8DnutFF1kBqXxXWHZfQ4hkURq5E69iUEK2TJqd +gG9lvznukBqVHLFSm7/wujvUh954CMY3K3f+7c3I3nokAtxUlXCzrY6WXoAbXNp0nBwwPI7Ff+xU +eIBdjQG/4Mypli5B+6H3VrUBfpm+ZB/+9nckeYiwuLPcMNaxb3xXssLGGn7uTJqu/OJuFl6ZHiN1 +QIhWPRuFKO4vX4j4omDiIQ35XdoYMlCb3x3UT8mgmK9mZCNSfLLKmbk1IaWTgI5chZJiNdAG2drz +HC7hIaAL2aLPVOHGxekRVHeIWOgCz8aeoSwLU8k6j+fof05hvUE6hBDnyyGKiZnsk0J9QS1eAkuB +VDxoYAYXHvWtrWlzO3h3VA45BOfx2oGggh5cH/4Qtf7JcSNl80oiv7GjyEnZCwu9cK6ry75vPPV0 +kH+aNfLg434ANuIxoR7E7UsJqUjg7ttzIRI86FTIsGYuKiQhiE4uFOfOKalWSifrfqzYZfSgI7QN +NrHl5qlXO3GcrMR/jS5MbM3WggjoHPJOR4NrErD1dKSG+EfKWMf3nuEbOX79D4KZHKLFarn+mcQU +WvTW+5PpfUtYS59PFyXlX6OjvcbBOWfkdFQDcBgCxlp9i+3+26aGcpCr/08gDX/G6OWDX9p9GpkQ +65fEXbGwPpNB98C17qkh1yCGJU5AWtaZXBMD30SyhGWEohlZ9LwunCZnHaam07pEs27VRbjK58Pb +hKFlN4ExO1JuheJU0EbYcG7yPh0jn9OIkooxn5zuFRAmMKmfw6qQ2ZjR2WFONGXJgffHqoo+IVvu +zBFyNNv2UUHudhMzEG/lUblPxXUdLmHXv18yGM4qSn84h+IDa6o032CR8aNznNWRr0DLjUvbrQJ0 +RszHWznQF9yliWQI6AxL2kbablg4CaA5Nx6KCcSUPBwvaCsGRnroInY81nDdp7B2EofW1aHxQ9Qq +qvDp0LyYdqE5mB38jyTbBRVLBLMEhGIf99vwG4BmRwPYn3BS6LY71902foxJKbJ80rePiz9Whj/X +Vz9iUvFe11RK9lu0PBHx2QNZCXWhegFT+cl90BFU+0c2oIWETvhLiFogQ4alfEwhYwU8hqTc737i +hhVgovyqKI6RFhsPmCfmxLKdoXSg+xBxktDetIy/33JDHsopwpZRmti1CYDiBxL7cA8HfLIBpc8u +SSaKemWNcCrjXJXEajs08OUzQA4GwmBF+yc368ILq58lZ5Pq3S8O6FgKInFL2jmNdkJc9EgW0Qym +Ee82A/u/C2yDh7nGzXqfNyqHwCKcwC3KzoN4WLUz6eXOY2/2lQ8XcYfhf2b5ZjY1BjXUhFZyPC/f +mrztLEvCckClnB78Ldecp0m2a8cQpM5Cs2Z6MVu+uwLlT8In5Uduk2bX2J84Rxg6SUjqiotf/sZ+ +/ZPaS2mpAflZWh+NePwPxqgpKEF9fkGVzi6d+sq4rGDTTVC+lH17aVgbhgYI8PNq42CvX7ouTHBd +u3MFfHNtph8g2rOAW/yvtzbFr+dp9J+B5glag4dLkyuUglfpbAdhWUXulEgxa+5ZkJ7ip38uES9p +4sPhRqiZikUIHfF1iLGETccGkWNebN8/jjqxAKNOZUis4ZQaMlSeyYIm+I7fVrsI/Jovl0TMXf/n +MqQ/XcxI4kP1eoWtM4a5rartCIcoJL20O1E3EzerdtblkQ6X09NLHHImT+h+kad0cbaMvmCdA/Jw +Wjdmr6PfMFsOvVHNeIsg+K/nbzDDQus/yjsAaN2XcaKo9R8H72u17MrNzdV0Na2/y5n+6ty7fn6v +NsjKMp8bjVOpt09f2hXPU/PhIOpqQjDoBP/siRKOHnPhffGCdP+w7cWfSt4f9F0YH7saGnwmZlG5 +0o3HOveBJNq6aC1Hj/7p0PKzd2+awf1R/jdbDWoFGrQYemQVuRbwZZEQJrJBvhrJqNyH+aeNYd1v +eaKKmo9RlbmhBB63gyEMcMg/MzJjwunVxcOLbMwj4Mvds3YqgDy2TxZNz9mImY0QtKZEij7rp2PH +CDlEPecJT5FncfknWweP/X8dOBArl+HSxv//+EMiynQmyKCDdJBjjIqQboJXKO/iS2v4OOZ/1UrO +7eowHKRGYcLFrVvfJCZFUyV1iyAJn8Rx+TCeKarxRLEmGqFQlRPFBk+0e4bYjAwlzgZ4e54aBgRA +8qi/xLbVvdVrTlXrbR9joSdjj288Bvg51Yo55T55G2C2IxlQ+jSz4VkppDWuaNL/QxVQ3GH1mEZj +RKLD2banFb6U1iguBgU6d7NsY0qdPD6x0HhpBoKM77we2GvRIbJ19AWXxTr/bt42OwGkUSBMXugB +Q3w5S42c09QXbSQaVSVoTdplBgYKMM/iTCSZpozH8LnuTYSDz40RlUFaiVUGXIvj8aw47LQvU4H6 +2DdHlJt0CFccccBj7gGH/wIh91kwdVFbxgOIG0o0sxzamibsz+2tLYBMyPonft3lbwdMAedd12R3 +vVbU7Z0+8Si8ITKBL3btRq/5HmYSpBqqeLWL3DaGXqaZD8700TW/4CXbYq0D3GStcOXoQZPYbvYS +j9J68rxqBeq80mV9FrMlYd9BA3DM17gIWOkY9fB0eY0lhALii7zI4s67ZnUOIeept6lpVlhVGA3S +Hv9RGrMjUcKAz9UfxqYD4e31lRd1OuI5RVvsaS91vTHPS2FoUzjOBfPi8hMT5klrC1kZhDaPhuhc +H49LX6bkPUWkxi/nIe0y6xZF6oc91YP6wRfyv1dwohk3r3kOZCHdXIQx1uSb+ltp+y1pN2iXN1J4 +BWugnPaaJYYbxkrYJAKfwLwabTCDwSH7z8RmkYsvF3BYwxUxtzUy8NM2DAYMVOUZ7as7T0bnx5DT +4vT0UerN4qCXYKHhj3Q/F05Pn/eupFmsjTYBEGe1OMR3W23vRu+WyAmqAftOETJJqcj/pEdIWoAP +RCERBfF6zELlwo2SUU1Y4JfsI0GTdGCQzR65RbYfI/ynI3FqHnPN9T7pcKwJK+IspQVnmPkidGFK +lyhAgneee/P7opAleDMx5vP+moIHfmwh9Wb4RCvwi7RtF4rObzPFEXs12IfNbgdocl/K3i+3mUp1 +FBTacGsk3IWoYThVDFjKF3e29zP2dtxB2IaBMaCxg/BFwUOhLxPvssXVXuL0OR/22C4Xrocin00M +c90ZT8Ntfeb8OQcO9O656KIqM08JglQ+Y9F+AXWsqqI95V0rBbLHTLzCpaqsKirpDGf84MJI+dPM +BGaNAyafGHTpNXiUWmyAXNErAoMtPYupimHhaz+OomgPH1xDoQRUPfU0CgVcsgZh1DmzjxhQHfNE +sc3trJ6j7AaZqPEtdRDYxRrwsC5EW4dN4RCU03kb9zwYa/RUBUz9Bej9K210n0+XM43wbBcP27hk +dx0+ekEm0EOzfX74cqgpICzXCWVm+erLzca0oeJvotmPRdlGf/LnaiScxVVg0CU+0Tef9YbnWjm/ +KAasDegj4nE7y6rk/1DQcZ1MwsXEgHDXig7q2l+3MwY8+NsevKXqd26SXI/HHZKnm4qPyhzuxrji +NG542xBJFgNUO89DsMc+GWlHynyoh7U1JngI+GwoVNZSxwk+iyxpTbpGkZJqTffslMHYg+XwxtMH +mvhc5QgInVRAeR2Ow51khgU3VVCytf8rk9ljklX5gp/gKvmpuEDeQ0mntI3m4YXDI3jnR/3Mpo9u +kMiugVX7uvzT/NC3IBhU3rS3zZw9No1U4wkviNwcj6UEEsSQCBlc8YKwXVtXcWQZUO+7HTynLt/b +/+AFJZuE9VCKkTsGl8TxJBHep2wr7kfrR0EGs//pM5sjkvOuIKuinR/I5bQU/OzqI6CY5F488wmc +nCD7+HyPGCDC/rO+xqE4x4UfwutxeRGRBNnMos8Ab2/cbK/QLK1g1kFl6g58ykwRHxe5lPYQttm4 +0ymlAVqjdbzgKxOQaiw5lTQbnWd/l16WAWDulI5vv7DAn667cLeLHnu6p/MuGBvnxfuJMjMvWIo2 +PmFKveweOBcsKtCGC/U3+MPePzZhDV8qVZwdzGt1WoyJ1p+U6jKKN0jVeI3/qakp9nds2W8YvGAb +zjdj1UMA4wpwewxPT5vrV9pAond2UOCOwUaNmsFTTLfrWqOLUICgUnxQ8RhkjMQrS15vBJTnuJvA +BvzrTJMEBXMp4uNJPmLbKztzgzp1xskRrhy4Hc7WL9PVJV6PXRoyik7FZShNvq+qI5CV+k1ANZVW +cce3aQdkwz8v7ER0lo5N+3RgKwwDNH7Ho9zQ/bwKg24mtoVa1Upr0FsTtY2RFoeeSBYPTiTu2Th4 +Atkopmy5W1HxRGnf8K9busw5BffXHmt3YjX74NzGZED8slLIkuMFpB74vhncpjCQBKk6WM4Jxrcd +72M2bUkyi3+OTMPlKWwjO4XkPB/RF+EhljEJSQ0+SsiAZuValFPBSiAbzF8EfGgxrrifTP+eUYuL ++OMeZzcfAbJ0opw9VB2DPJDr+P2cRuso2mVqm9QYk5oGYIVQ/zTxrCEYBhFLFx00VZqWDZdznOf8 +nYC8R42e2pW+SfAWZywkkFesTeGoo8EcIpXSq0Ip6mu1UeXK+gbMqMJJCt5wZ/UujdzMdj9C4Olm +zLgcbvQ7oxraY1a8O80r1Fb6Tlx97A4Y0rJBdo8cBRdcg9T4uH66Qx8MIWqQSeqeKCQ6U7xd4Gm0 +f/sZiPJDX0n1LBT/9GJwMwHpQqygAJe9bXx9r/Joq5F9wx1cTNuA9Yim8zi4Gg53/Dg8Jfa19lPi +v4YA/9s/1Gbhr8V1CL/DscHd+sNlG92J9RVWAOzSqsOeROpPN0Cul5w/j5ogb5uyzWkI0WuJV1xN +KUnimnkKJlV8c+RKMhIsLrhiksGRMgrxh++94YYSemDlVv9Z9CSlpmEdjgaIfcrcckFgKqFwp8AG +W01upFtR4QbCv4oAhhsT0cetjpyHQ1eWqsEwgUSBeYwYMjFwgdgAojJHx7GVATpT3t/pSw2sle3v +CIaJDX9DaCSfHO1S5tbK7hIlxi+nM6M/fK1T2D7HtVux9JWl6goZUkmeLhIRe5OZo/QFR78duwKo +k1s6vAWBQmUQtqFgvJhGPKqjBH9+zfnI6aNWN5MFW+Y2V9L7fbr75PbEwfcd3BVVT3R96QPftSTV +Yw+QahkIFlylNTLl1xWMKEED08sxH5QVCaKe+ey38jADKaMzSazowEuRadBX7f+ghnsjnyh4VvUV +TGQ77UP9Zr9zIQ9RoY0XqqR65ZCYE1nRp2hmeAmn4x0cYBLun6R4wauyaRKQ7r7rCyvOrsYiB2At +sXeblTr377tdHnoT01h+0pdY4g2cThPf6k8ny7UmL8GbLk4nh1ToIGYMwQxxgBhps3SBOp9y7LOi +nCBESZg/80F2DgtrYKTtPmrHxAYd6OWfhy5ZlevCu1IKJETbHS59kjjLDfYk4vMsEC9Mc1Qpdfap +1HdbaOSLDsc1ufP8uojAH3uDumhaywqlFNAEyiTHgM+I4vzV8Knh3DMSsVBbZExtKC5HfXb9Rw+m +dh+YGHPgYUvdknCBAjAjS9ag6DMZ3leHY5rWZbwBkf1Vfw5WiF9UNW0vQjfoA4Ty3uzhaS4h6ZPM +01XxyXukNigOl6tk7/entHjLiupoWxYe1j5XmxoYNrMI1HP8L21I8suj0wduk5VOa7CQno1aVB1c +hqavYWwhxUhCV4BHoU71n0GlIcFN9mkR3SNBqmiXVaDyF5kVLOGMJ8YKW5go204ld7ypTFuTBpma +wE3sjyX4s3AE9j7LP9+ht9j74anN53xtmGyrgzXLeXugS1siKKjCsBXBb6UsR/CEWYbTXnTja/2H +HSo8n/FBGnhrDkpGBPVmDjWd4sEgBL0y7mtxOrHYkZwgP2y1Zi8GTgdlU6cRfobVQG7sFxHX+zhO ++Ui58w8F1C5OlzS9biTpVcflfFwJObTtWtGeL/y5xDJ2llM64gTMRXnQ/eBjjQ9op8bSBt5xlQmD +B+u5CWQpKu/A3OeFy4UomjW//XLUrOGU4SxXXkojKqq8eYl85/KjWJbOvyQeFkw5NXKwKStLWVOi +irUUg5ji0kCsccsM9y03k4FTxqa8tbTDoWKTVO+03j571osGhhIpQL9ryKkvOjkChnpd9lYJzWdz +Z4HE6itF3YbvRmJYniRwB6FqNis7KRd2RvloWLZ5bsUOIdNiyHPuvduREP9CCwXGIsMYECdJWggH +DrpVXcYDUuIlGRbvs1vETKFfFE9L5uzq2lxvbOuZVlnbvULIwi3f6h+9QC12i4PzlPeitNRMXuOv +bBIpn6OpmKq120acpgr9TsXpBff9GiehAHG2I/SJ4n1rXyjKYLwjA6SV4FLKBDkpBGzSquRzbckJ +ZkHacV58NA+GDZqH1tALT8h/WtWcMgHofmEZrFPs2NILmtYv6J2GeAbCJzGAPMlDUKaWPa96wnV2 +kJrRoCYmA8AAL1BBiyC/SkH7XMHYFQylhZAfOFw/RI9vAwUisHVHRkYuI3Ctfw/lcHGuWao0f0ro +gRo1vqSwHxy5IS00KqGk/KyUgpkUeJi25SV+oqkdUSxVDsEa7S2oXwUo3rdPijJQ2387N8o6OnDH +9Un/v8QzZlxSA0I1cR1PLFPsaCjuMpuxpgGyP6hMwWii6PpbIuRz7uJXzjpcZOE3EFCIjMhBJ0mt +X+Z8KzkroJ9npm4bsZWzchMfUHigWCPyZYNfd9dpuBhynYt0dntreJqkDkbRT8uePZg6TOcSG8Iw +zWYifntEIaxf1TuL52gwJF+ZfpG5kETPcEffago7sEap3yzc1gD/nnaWDeULTu1h0qxc92m44z03 +r1JufZ4DTzZ/VxzsbJCBguOTlWmhNUJe2siF5mk/Yyt6GMqtYq3CNe3K+ZOI7JFAPtx2wE5BMxWZ +JY1B9wxKee8TJb2EBtZQRLfqfrgdl0O2ff3xhwHguQ+xVaQaYr8k4G2p92S1JkSHqoXMGDRM3H0O +w8edrHCLVJgyBP/upUxVMt8SkGM7QbnVNvK8f2nvxPAjLJX8TVh/Y2q1u2cgdagsHUn3+Bk/fkdg +AMT98yM+Ya8pa4fIK2NhwbrXT4q+wdf7zfE8FDtr4zl31utMbitwh94CGwrgane6A06KVV6swlxH +uScVme2h6E33CND/T1JfDNyFnSIvgt+cmQbxxglkYJaBz7WprJ2hxAPGfOftgV0FDMZvHy02wTij +8zYrGxoerPnqsvDDP9PPQbpGND+ESEhl92lsXpyJ0Akgte04/RaeqVrKtyaA2HvcbKMWyX++pTgH +44T/Mrnvtnb0xLCbEXs1vx9PU9Ei2p9Tj513cdP1LHu4BOm7F6BNV1eMoXpf13v24ZvyojxRNhe6 +o6xN2JRFsV3K8O9dKVSMMg6t6CXj8Enk3sYnlO859WzpXbqJlhNgnk9lZSOaDM3SExH41nzP2l63 +6xhUtYDx1bQrI48alj4lh+jLnK9tHJvOfooKkE2mAUiYVgznEFdB6V2mLmBcW5/hI5oD1BBD3zv0 +FUyOng1A3dR4zhOW1kFtia7IyFaWXtP0hxhXZmrdhQP8h/qBtWOvdrWD5SQia/pk8t71+0PaEyra +vuLbh8rPOniaPngshlUXHyGS/cMMNQCzcLZM9yG5W1ZvHQwsCRZzCYH3SROlT5A2+lu7m5MVCAQC +/9OudUxAdSNGah0ppWQl3vyGf3+2MQmuSFFX0Aage8xQqzcpKvJ32v/Q0e0gYGtCZklnQeSoU7x9 +4Tf26YCcAxeN7z6014Mh83vn18NANOmEx0zH/RlGbUklQyFvH8iM+r7QRrvsvYJfsfWEDV48SmVT +Fi0JUgofv5dF+onTfIZsCKWcy9wHbeVTHSS579keN4IXQ8aCjpra/yEDq7C6JJqsuHTAabicRl2S +wTIv6MHmX42WUjBj3RWt1eoLqCSqRbUEYGfE9CMqyW80t8t7JBYcu4Qo09FXDO3w+zxk12j7Z19b +Rt7vWb1Xk29LzyH9fMg4HrLFuwxxIz/JblkS+Zdfz3GywsjVo/pqBBOSRKyeIuDmCW+NMRbezmRu +aYCnI4qEeLDbXD9oEWcPYAUjYczz1Lt2ngFj6ZZbqEbQHQSSKu+KnIs5t1gkRlkmUN3fzJeS+fUh +dhO7b8lydXHh4+KCHqxthIW1VmIevXktYSyUPVjwGOp1PB0fbAOUIPDOWSCYxgKcnQXCfKn4JQ1d +0IcVB9aiwOJ5/KztK9B5sLYfdjCqPcmMZCij/diKyU8XnEM8RE/Z5nipQXxcLwpOWZUYAc69IrnA +kyuUQ0IVZ9bhFgM0MTsXgyWr4tLcWmHBqbruDaYyKofWKPlRKYQNBlpGIi9E2ktqv3Ctv2tl/YpC +XRrqVEFNQg4/E/l0wY7fs3akKw8mk1KKPU/jwURECkj245FsIyKW+zLg8Z83Gq2HIBiuq6CZ4Dcb +Z8wmf+Gjli3QbUWYpqVutH0ATG1mQOMEbrB+zgB3wicrlhTztjq0TWLHJaToLerUZ3bLLB76SdsU +5+Bqq6RsRRQTs4rxHQmA1r+evysDTldV5sDOSolHiBkU/DbUUcwa+JYTnYiIidwwHUkcskurab4g +aP7MNDGRVhIrs99d+Sfp2iFuCYlp/eDBhRvJkGFEanFOczwX3Sj4JixCDBZOKJ1tA11WWmdY5Xvs +HaDXZXh7AkLN63iIU4avso4SbnZROrd0hm7C6cH8mMth8b61YbdGywI8I7YvOaNrIMpHGACKv7c6 +XATl2KBVc5Wac0WM67X2WXEZ052ivGEf1V8h+ldqNSASKk1jyW/+c5bY3P3xfUR2SRX888GQN+nO +nhfQQg28dS9Ga1GRfeijQtrmGDbKHIQVAoDOvuCQfNCXhmz0LoXdLLt6j0VJUBvNrwu4gMjgF8K1 +yzF4amUKvcX0hGgJUUgiAw4O83Z+7aX4A3EeK5y8kTL12niGxq4DwcAIPDUG5FQ828+Vk5ZkBqEv +znME5LDF6/ANnQ4CVF6ub7q6Lv7CSqomF/BXxJ7eiuGe+nrq9kYpeXIcCknk6SpSxlCH8RiQrLYm +fKrOsFFNMLs0PgHOCsQ1+ayZmNfKsJf0wp+ieMLZDSpiDMrYAXP7rG3VkNfmR1WIH9Wq4jWFiBmt +wAgrSIWsRfn+adj4E9VZdLUWIbkU36Qt1NvaB8auq79zpqMBGAIMAYfheUDY6KXxQ5ptv99hIA71 +P/zmPU8EJwsAdnkod3c/Wxd2yY5YLOjePbJbQscKEV7zJ8VYHqdYj7m3nmB6pmJ4i4nkhXD6o7G4 +HjDKdEgdILIVg2qf5RsNg5I/ubABwn0W9xgE+NHcGja1qbzPDhhS/pZ1Zbr9QVJxu8dNYDmFbPW3 +6LTMYWkoaYGCNBKKGrraP8KOqd6TvqtGQP8g7tC428ohnLyCGNwEWMJPbZcT7sYO9uIgmNH0y8Ay +8/QdsmTpzff7kYKQeEALJ1AeREyeRZeC1qJRh4yFZq8/hZ0CrIAhYJ23VK2dWVf8S2+Wme7eRcWP +C4Qbb6FI0pYEfxiBMF/XOD5Y43SVQzfWnGqXsLzZUbyjwuU+ILClpYox8PcgekYX2q7ukAjL8tNV ++jGd9JBgkv/T03BCpPghEOSPtCRscIiTf53v610hodviGO4EL1mjhCbE65sjFfXg4N/FLXzcJabk +hLsDttN4rpJ9XDKMMWKYVjLauKV058f1mTU0Hwdsfo1yVOhGVqIj0u01sHYobaoUSqbDQeDFWHwr +kZtyK78juGFFpQKLN/mLD6Yekw2/Uy6/mxRVJmiPT9wHuSOZ0bruY8GjAgMhtJ6EKiBPhJNvxpCi +4aQBQdRTbC3ofcrs+cJ4FD9n+Pu4OLOVzD1VP7FaKCNnSkBmODyvilwhJXnaiLaQ+7EMAcH2T+aC +REfwAebvDl4hIZgc8KA9qL472xkqhHE07RdW4wotv85m30ZKJzDF4ByES0Yz7lAVjKM1CD76eZbn +QteiC3GJ6jRkiNoXDGDI5EElmKBGUiv8DD690WsiEeC2NtI9M4FHjRK+oNxVh+yXAJftz96KSBGn +yZwdkfjSRBMQCPlC+y5ckfKmka0E1jPQbMXsA62xnd5jr0UnTC0UZCqsbEZnTo481Cfl3bHQmQMC +jIUK6ngL5aUv54/HW7X+7cBSXKg3/fFHkAxoEN5WpkVCJuMG31lZNuAaO3GTm6rIvKfCIHU/KZFy +4byOekjVFvqr04JoP8YAPHvr/WLjHQet1QDfqal0RdgNDyIb9KOQeBK3K3VVBu/FHdgrBI9nA80o +9UvYmgnS+0AdYo6N+QHdtjNuWxaq+o7gh8A12LqLQ8/QqbbDreDpWtheIXPy6XIXUaI/JkLohimx +VBXKgMzR3BjZflmey4HhSJZJGCfDixZBhkvI3IHXY2QcbE+ddQyJdc26rf1Xe1KIYV3TvWTGSm0+ +WpbLKnldtMrNs/n9nrCSRN5fTYGnmv4qk38+62NHi/SUIdyAf2coHj1MiHfbyhDmZUX4l+GM4Ofh ++76p9x2slqhIiLoZY+SvaSbroXqaMldPT7wFq3OU3DIc8uyXtaUDco7kLxnEzFsZkZdvcAq/m+bN +FGZDFIGV+PGq3fRUkfpkZrxMMFJvdA6NHWLe1R8M0VakANIDsAJFQuMgHAsUt5xojMD/3g8BDVXL +mV4NTWwXuw4Sigp7IO6ZLZATmkSAmiC4Q5KXj75rsx3CkH+syiU2VhwxgRcLCIkD/VDk/KN2k4zt +DaSkxkr/vOPtupaTEUtLC8m4DxHhIK/tTgYYKL+GYOV9Utj5R9yNz4TIwzC//IiSzMqlFVLF6rgw +BYOp8MhuTwz6tZmd2rNW+UiX0Fm8s5vHOcxgYaLnn9VJ+eJf0dsR5lmrmHcLCxXcDeXqY2puXYQW +sp1FEC6QtEpiXJm9e61ilTYgUxLnJuCkRGQcJTvmYmqkykvtl+GpzMDWQub4+DfWn+ncPp4G5aqs +ipwOCaF+6TMHumelpbogh4JBisvcGEoEI/tMw+KSUJtm3QSelT8WTXqpn/9ud2f4ytIzc+yUeGjS +htF7VvdVeNV55Bl8SzRC4JV0j88VJ8AGpwLB9OAVPEpfBShrRWbecR5TayvWrlbF4D8eYc/N1IR+ +rOjl5drIIuhU4X/eZuH59vA6oLJrtaUWz3pEOp5DqCvGhkW4lvZ4a/Yp6gscx7emnhtLWQI9wbFh +ty/nfJzFzH7QWC5iZw/n9LGbFbM9qkgquKon52wJdr8DBcUZT+MF0UAnQdlSx3XM7eIr2K9xs3pB +Yg9xuLqc86zIWTXcZHfxNdXEjyTPTJaDI9LCOKcT9uIt77+X8Rjf8h6DMSrJ3oY813wEGVAoc4Db +NPNOf5zvWP51OepDK7ZwORWR3FIBu7X8nwBBjXHoOsb6e6+H64DGsd5C+IFYEV987FKY8gE9qO// +g9e7OaGlKq+iyZsK1id/+W8I2QcoXicv+YUyWyB3OuZakoBmvIQPlNlzOyNUTx8ucsePj5xFal3S +8knnHisUae/QVMmCgcbokr89az1PPSu8+ZTK80nXzV9HtoLCFVfEHVyM4HY4n5MsIm93vlLapw8F +d7i5Owc8QHRKWjnK1Ys8+5HjImJd7jurLR5B+2qFg2CKVonISksnyO33VKkN3V2j0dfRBaIcssSz +llZ5phqmQOTJazda4TF8pONxbW2qyeIH/2qRUArY59sQt20qxq04rlLC8PnJZdg3e8szKQPw3WwF +NJj1zDNQIfvGg981hfDrD/T1jswe5aDwfj+VJqpyf/5bshOdCTb5AOfbFiGdZ4oL3JOvTxci5AAG +ZCybjj9iYE74Or/x+P/6A/9zHl6kKcFW/wDkEfUHRxWWWcqrXXa7pNJrW+DoP3D+0vRVQRjK0ye5 +K/TaiIrz2wnFOhwx7TtYcpG6v2bhQY/Jdde2LcZWShlOxY0C9gof0eOVBk8khPGeMf6h7xCxyM8l +WMYNiKkhWNGp9yDatSCEZAGPNaEfxkQmmHkQsPYydqLW3XllG4tXHHHVH4DAQwgi78aLnSzOt6U3 +fv4bx36JTwNbBNnEz/0PNvMoR3fP7ZJe8Xt+8CiYeS39afOcXKEN6o9F9uZ3/MiHKcK7HHFfVjjR +Ds4ES5fsduRVttk5bdHbmK0UqZ6P3lAoWf31yTtQZSg78FiQbopvBNAitFkvg2ivD3G4vcppldw7 ++RX+EDwtmygcOjvX69ghU+8+zd+50WG8SZ+xrWg5LrbExQVYHxXEeXGFiKiV2mj8+wVOiYJLFZFd +kYwyVyQ07Td4hPA1qP33xAXeiPOVke00a+Gf+OF0m2iMwYu/n1EcVzNRSVUKuPK0vZpzUp7rP6ST +OqbSRYbltjrKplR1BCcd5dR3TX7V7Mh6uxFYOvOTcE62g66Bns8SpKm556CSqKr2nLglcJnLa1H3 +PyINFx4Z4DNFVldQGrU17WNGDjjpXeRYrj9fepb4Y3bKyv+wG6SZPN7xncXx+CCIquhmbYTk0op0 +x6EyikjPGD2HBBb0EzdezwLySXKKxboFxXd5DqLofdP7AvW/noYft6UxZKJv7rnER63W8v7GyjkO +WJEPTc4jeADECnMvk+Cf1sIZadH6v1jOHPsSy6suLeBS1OU41/S6907StohntjEkySFMnI5ebBdz +rOXH7h4X/+Z1ZUC3k58zBaC+ha6wHsu4qPYqrPpkYH7ILNWYVRppR8dwS2x1rvQyoVo8y5D3EY2w +Q63h+5LllCwvsJYwBfXqJr21walUCWGYwxaq7RETYso0jsmNUjq4zWALNG4nJHlbHlfrjAaUF5yV +ugf283XaJvL3YA0agJsD1m5hTH/cPIMnO+HWHaxT58V8ggp7Z5iBumx85hOtFU4bDmPBPugw2tjT +cebAzhcL1FGlxvJQ0kQbBSlaaWiVTLNWoTUeD23fntbOtYvCgMdISaq2Tu5Qz4Efs62TQX28WzM9 +v6/uzsWu0ERpa6TNdZnaQIbStog+VXkrMr0MeI0oXlI6aZkm+jdnDug+BdEN+KaEnp3YJFuaig4M +2oq5pNFuSYKuYSRZTDWU/fIaNVYNLtidzOzqJjIo16Y89S3vxWrLjK7f7Xd39k01dunW33zTRakC +DV6oVvjEei+SiKGYoHJ4/Ers7cweVxZUuhvr+bY2TGEcHzNJwsSKzK+LQqRJbNQudO96UWUNtGtT +nCmbnoHilVSC4+6OSMK4wN3t20sI5dXv8dbpbQ+OViATyQJ/UxetdzGuETetkjruVhsyhiIDam4/ +PFJfVqsDZ3sl5UX7r9CvdqRxZg3J4Um3/598W/SMaUb6Q/PiQQm8rCIIt42R0ycl1u05EKRCnIpC +ZNbT/iY8aNHf2CXRq4tzxplyj6WRMf8+UVMwHLGs/ryIrLhzTW4OwNax8Qa70p/OhxGnZHvKHQ5F +LjJcphkwsGLH3l4TToIsUXgQGMTMtlQLikS2UKUy2ka0Ff9Eg6UODkM8iSppxe1nTopyaFXqzRYa +78k6uX+kAiFtvyDT6c0XcJeKLrhZ6xzIUb314I+gx4JkN5CXz1CKqH/Z3N0+9uJx6yYLv9b2h7Uk +fKTeXgqhvm4SYZz+vD0UwG9dJvNNhoWwl4vrHB1aYcI6kyH0hfbK888vHkUFKs+Lq747IqfwDxuJ +XfCdnrPKYT+SHE6HGM965zlemUfiszrLqo5YvRnz+iipeox4AqT9EhRduLDcbgYHhZ96O6uMcwSH +GQ7lkHMG+h/JP92By3NR/yaQGuBtAh0pFdkjx0HFy+XsgXM2drgiz7BCBm6foFdipUSqOLVmeQjP +JFs3gbvV11iBj/cYWz5cb0IAJtJvPw8Sw0SxO8dhZ/MsAXCygL4cnax22JL2B5DTadn5oqdN3N2T +Wv+4Ji2rAmveCcbQ/tSHP9cY5rE9UM43ZECfniJPBKGbVY7WsRxEKeq9n2HhT3jwOtH92VWCCQyb +XXR1w84xp0hcf/Pv+UXd8oBIIOCACxxePXDidnoUUXwhe91zvRu2cg5saRgB5agDza7Z6hOVMx2J +ENdepmf2h5itpnpsH0XUV79czOMqIygwYE9fGnnhW1KGoqhpXUy9lwtqcKn/ypeglCMNxo7pxrtO +fN35Tpn61pOYnqJ/svICSsT4FRxjHGZwLrL8F41m5AggDcCusEuLhgha8DEK0EqYaN2oPq0XcWKi +fwzxTEZkLfaJlgLFyLbUyoXfoYBmwD6ZkGOkQhyfd2WCPaWQt+uIGUzgt1e/x6ztwmoSjrCTBDnp +VCwVZ2RX60DYy4uWlUw0lVa+00UMfGzpsyCxnfWL60f8LH3TVzQkvfO87O39TfhNxX7tB0nN+Gse +r30o5S/7aEFxQnaObxLSKHQCWl4WvfL83oSk2ZYVjU0g9u1iH197n4ZY4bjjpshe0ZKW2jnudYpP +bEIkX8kab6aXv5Mhp2A2C57VtYHZaEQlSonZ0i5tnEQhZsi7H+SQVUrGBBwBugYSdlhDBc1n45NS +DkAHVk3XKOGirGSuy9c6J7/JBZRIxe5VBjiMc+837ZaMGmVvPFMImRWP5LWC5zlHZ57eX/cHPbYU +YEBZR23Oxs6FnfJtckSIlDqZcF4/2V/GO6q6uq/Asb01Xt7UinHVKG577F3HkrMCLw59Op4u9BSw +6AKtMkUKzbuO1So6ndn7e/OZkrd8NP3gQOZHtxk1f9WXg1ZBhBLEHV6eFMzjrKOuscbsuesrVYXB +eUTyy+Q8bCV1WNQ+VLpZDAtbnfT6KufSGPMnRR5oool1vtwyJquK+5kPOQ/ujmmEOTswOIYoq28x +eG0ilS8IWtOZoOrIK5Z8OF7IuJz3MBW3anu/VH2qt8QSlRQfHl3Vn1/5N5HQhndMjd7b1gDuR4vF +OPQ25pXx6h28/EMLCX3flpnAmuyJLwB7uXJWm73Y22YVAmzQZD8YXae/3gb/PQ7ogCUFKnZGMb9a +YZldM+BlUuXZg8Q28nTgLbOMQWEpfG+9tVEuInaIMDPFFHyGZcbdRYs7Kydo75j6bz/OltN3S4Lu +1TS3PM8d5SRGNu2e+BnEHb8dvCbhi+7HvWyKmdshSEw4rgd1/GsTKhoLUZ6jlNiMPvvogEj8djks +/iq8bPG+0sHvgfsJPhrR9JH5bpLqx8MjmTJJUa20nWkaHZfTKv0zFFLRrbBfDEpOD+NGyH29wG1n +l+ykUlwTcW8cMQrX4Rvfq8FA4Lh8yyGvK3Yj64CVWqpyFFZdRVMA0/61I97b9Ae0pEpQo7A4N0VY +nZyYNTxT+I1QtnO6dhp6XA2DOvfIcxBlLGFZPDcsjllxvwR4FlVnWLHk4IzzQLNhe8jzA6dcc+z2 +W+foUMCPzyEc3zcD6fpniLzzRhBZvPZ+8h5xD7QN2xTgsl2kxmEOU66G3ms9rmTY8/ViU3L9kVA4 +f+Gmjbu2wH3vPX7YDVxVnoTevhIt3TiQAaYsY+y53CoaKUpecROLlIuKk8EqBZ8eGZnWS/+eoiWX +MgE90KcjYbcQdTHpviVaQBsYL/tA6bTS/Zyl8qG9FAxEyPuhgy4owzVwRgMYr9+wgRTeolKPyoXe +4C2BnP3XLRsySIaPBFHKdmI16cf/ADesCe9QwTdpjzANrP0+B8JhIfkRzfbco4tdjbjpDI6+5Olk +RXCx8cBiCxjrxYhqq/0QlQgUN48Shc4Viw8y6xbjYyYuTW5jXu8AtB5fQvTY3UkmKJLQYYrgFRtW +iP8bFsAsAoyWVD3OueqfQ054z/XDyl9WIyJJ/3oy+v9PzEm4oqN5hMLt0D4TOc8p4CdtqijewW2b +02sVrotTGQ+eLRIuAk229920WYGdzeXILJ5VknVxUid7CU0olLNuZcERLWLER3W4k7gaKtm+nXDY +xRsxmUBdF+BiCMkGgvQjoMwX33o/nrtuV7sN9P39mTTSh7UM9SzAvLfdvUN/mlzJA+WiJt3/mkB/ +p1trYc50S3Q6EH01ZSLWMBk9TmXsNQCLHVdnMtSop5iB3ZNMhvLx7W2x5R9ven9H0ARFhf8gI6yY +A4Axb8y5gLeZaG+8qpo2TquF6GMT658fTzArso4JcgqadT1D+HwibZEd9PE1ebzS23BGmGl/u3q0 +kjT65AGPxbVdewaWbTRat3OIKgeb+UxYCskgLptoR/GhzPGpHbLCn0VFt9X+nEXrHyoeEeVNC/HL +jZ0y1et0lg2rva4mUhbzTgGXEQH/mgRbyYtpux5EVyQhz0fgzf1HJc1e2Siwt2l4loUHN09A2bcy +1/timavII0kvDkMUcx36yKdtLGuTLSi/A661LEn9qd1wothIgAGSajQGe3SaMEEk+8swPvDOgw11 +f1ZHW2SdoMjXLc0qu5WXQ6V14bIVP2dnUvHTejjfQ39xyzCt2vscXnkM/SbyzZ0BzBb0LtrdD76Y +EDDDDHbu2oio2tm1VvZeGmXVg4VXMOmrGK4o3+ZLAxb6+sduHRX9rnQ5FPCy0UrhcJ7FimV289xd +SB4Vhgxu7zmuj0EB1iOHTCn3wI1x04XhVPqOqhBvSzs5Pg7Zjc6FBE/ZIqcOy9EjTAeZ/anT9kMn +SAcSEezz1zzKzwtArXHJntHlrRbRgbg16Iz+RzwTscLxF5BM9sPV9N/nlnre1Bi5PDlnDBtA5CGY +upHqflBy05GDXSzaVsKRcUb1x2eZZdpuNmcFWZANs5/9B0cwjghgO0qv5jzML2tEpuJhBCWw/Atl +Z3LvSE/qYNMVDsWOlRHU5R4PRDF4G+6WSAOADq7WP6ucF784P4r4RpEdhH7Rg3mKZP2Rqd9nmfaS +uvJ9qZOF2ejujfSTwgw7hgcHLhZCMJb/1ta5U1/R4EngBA8twHlY6qEcyoATtbcQEgK33fpVeoq4 +eb7MOFxqsVe4F6Qf7Mo/PkTTX3guG9i0vQ6rlqt6LHLeTQOO5So03CoXbqF7JK/8CMOa2fxqWjdI +UIHA0JknjCpEW6u+Fw3/8LmWhEDIoEDIrhiUOixHumI+AeuPF78V8u4MvfFRd0+coAOEMBED09Vs +0DBUATx4EajR7qG2MFdpQtlkNwxf5iSGtMnsOP5iJndgINpUg8bIvUEggymygAcBRoAqSCkZ06M/ +z06tInLuAeQBnv9TylOTJN3YFDbAz8qOKMWIt6atPvJR13UP0u/5dUWf/g52ZJHL6Wbqdr+XMV8o +XcL2t6XlYmrgDGQ9RHYkWfxu1bphh4fs7iYxi3SwKiJ38rShLNR+kOYlK2Ey/sHOZkCTYqT9Tu3J +D3SUuqgcX4quEXA73huC6mYzeo9IQnOOCTT/iI8nYzwXVyKPC2lvQVAgiZVT/B0ZV2hkGbPcIewv +7sGhSjEXzGUBo/QHlQAN6mcCSXMeTv9KM09167PX0XIUzpTApW+vC3ecOP8H25Ryclh3p34EVB0G +T6m6PMJfzwsdamjlrWhxfQ55ucyrxtDqfZUlEG+HGh0tdh4Jgyc2ZLV66S+OPtipadpiG6jnPGt8 +piCGyU9JwZfXPoVh0fpxQAQ8cLDcM+YDTGK9WP1vCSjNTmUBHGTHpVSRZE5Tu8BONgowxFS9N/Qm +sH668UBa7HtxHSi8jGi6e5Dzg+sP1E0RQLKBX/Gl/o73V9LiVfZC9vioqsBBloREWg/hJHj78+7f +UFwTi7P3Akn3v4fiffiFUJ4+FEmtVI1eMAgUiBapYiwGCunPKUW6rtr/yaS+kdRyqEkF6TlEh63h +GxrGJLEEk2f1+IJ52fZ5k7LE07/rWp0KyFZ7o3lMo3zTc9eSTqVyB+FZPX0Q2qts9fkodBCPv90m +VBzL2FX0DiBK3v8yKQTvKr7kCV0hak1AbT7OBkjph20/UYwVoSe41EGWY2DlkLXUUe5lbZen24u1 +H1gop2V+Dg2G3uP5I6+kqaU+mvPsOutE73qmOZ4bHDkojoMIxMmKWh7AQ1UsIGrBQGVHI+yCNpu6 +sdZ7NiQcLyeYon//WgUSNBZbNmSX9751KcAaFO7m8T0cAkPl3L6ouOE91VHDaYM/rJI13RMCb+o9 +Wh9LGEQ9nwI3jxzUQjgzcGbEpBAS3YsVM3ZsMJtA3taLn+xU6QC5UCKyr1cFb0i604e2Xvv3+mX5 +GFdvZmo+XgvfphuTRhcIh3qNVm5ZhLCM6hwADQlA8uYlVFrwBIb49AWHjOgwyIQtr6rqlfUw9I/+ +I2Am1MbEfqV30JaBuMTpyR65T8S/qFgLge4QhNA+2FMl0LaLAOOrwYck2z6UiDulRg9Z8vk/LVAe +DM0jnCQAH/Q+cEA49oAWNwRdF4GS3t0qNH/eEo68OwZWgEH19FNDIn/4c5b7L5THUnCS7IOXunyW +/RZs2mz0vXwkYwFrtKQVrdEoO8HhwJMu1DxbatUfl7h9VzKJdeoARPVsXHJECMooMqVEbxu67Gr5 +WnQ39d/yzRQq5C24QiiBLLQLopGdXRdlSbaZMloIZzUprWMfkpI3GszIJxM9rF/Z48g/3HeNrTzd +mNnv9OuDldhKif42ur41owUQSwr6M3HAG2gaB5PYogEdNBU5fPaw0DnQaV77kj3uPeWwnlT3fvHh +IITdMIzKYwNAiOynvZCs//StoPKmSU+ToUVru3/uCZFCWJkSv2+HKCYQaGrQCpaXIXixJ645yqll +Ev8YRSvPDTiCGH6uYgG73PEWhMEUewo5yux0JpjzEKQ6G8FA65yXmhYN6hJ7Py6ZKv9HLFSPMWI1 +Gw0uc4NTCL2tg8ZGH5N6BBSsLeUddLgGxniguvnawZBChVSYSrX3jWNPvubRdQsHz19JG6vFhoTX +v0UdJE24/wAtKarHCIEJ+hCjhLQCJljPq/buaTRO1ebOzKOxRfQZxqwS1i9cFWVv0ICzwLf9uxf2 +WQXZitQSCzSzeAmBdchFQ1js94K+z4Q2X0Of1r9H34tSA9vtczk44pLR9e02YZ68WaIb3lv3fgP+ +414sZj+W6t+i24aJbywaZ2ySD2+L6PEkft9lFRxCaSKdS6AqunkTh/SrDhQ+lHzQnxi2YeYrIb1R +eIExUfgQ70bBBcqL5M2xSEDC0Uvsge47VwBvOCgpUIQakhI3rc4Ue6/sZXC3ZPHArT/TDNaGxS68 +hlhZJEz7xPsP/1J2nIgSWxTP0JCZoV7GNobUkJiTtVG5711euoR+i2aOARqv2p40oSBCflVbAvYK +PSG+JTEe/rj8WvhTwP2OM7i/noT0jWKmGRdGiJc13iZftj80Cv2MktUXfzqfkcUZDgKZyY5hbBiv +0kr0w/vPMFlii7Hp8IXWKBkHm91faaqC2xnq3yuiNF7yX8PLVYEiUcRQB+Zq/vg8vdx8QJmTQ2TU +u38LNbwB1lhyRLsTLNvecnhX5+2ALq3VmHJsMfQWjkWQ1CTEXC8I+FyrEtJ+5KqcfZyBWY0mL27h +38pqaHyjnCWfFFyc5+9Ov3euDa5vGIKcOjgiFTUFnSMa0FmKI6G2H6ckLt0LbOpCt3d+47pcN430 +LC1oj2bA3eVoz0jhWJTzUrDruN9sGeZOgZbjwkZsytySSDHGFwrJ3g0tfMj5nKQ9LpxZZ/Ox1C6L +ka9F8e/yTeUIV9jFzDePdeX9/0Hu3rRDHF6uXJECyYCpeQ7EKd+r3/Ssazq8M0lNcxT1yZbcug7h +6H6tzKP6tmSZOhv3CPcEZkytM81HNXC0vATFRTceQlsoN34UgjZTssywQlZ7bESkStIvPV20b5pF +GPUXslcyhTk73dz8qm6s/YJWgMTAIYBWvlwxIW+LIxOQ/IozQCmaaPf3V4FlJsb5K1dEgDtEGMLk +1NVRUr3tUHLjM1+kxWONYkO6iPRIL2p62qMiegWWcx397T7jaX/SMH3gtRgM2IIPZFRSKkag0PjI +zCLaqwj7IQB6wPOkgZcp38LVAksVCvBC3IqCoyNVFrUHPsQHxAWExeUzp9lYCEa6MSAJG1I2qL+7 +BzcK1PTCnYbSLJOWbqIZ4/+AysmiyYRkPKlfQerIPGBSnbsmIdNNCUIJtichqvMy7HePh3ZRbFBU +qkqUW88QpKgAqQCRJOe6/JQB4ALvkloniOogY5Orgm918pnTf7LIIqnQqae4KohqSRa1EMWM9xSz +zKvyQe+yCAiLfHNMS9zvFHmOOdPBFPtEvvM56JWnis5OBac8CMxAj7r0bFycd1+BmGQnwfxh0nVp +JPYDXhHvSrrHhfT8LtSCCsLLhOVIujmvOTG1z7dFa7oDEYF6QscEYfdqpOLQoHMY8uhvyxY0OmF1 +8VZIS/hDacqLxW6+OB0VQ9I6Cj/KnPL4lv6bfCOYlAgiVUWv6xy+QkE5W3LsUaKXDiic2TAuQnTh +NajUO314z0zGXXUplejK0jPDIQztCdH9kiLrxNbp7QClAOtQG7AZbv6tlcjCQMdBmQFbIULOlgAx +5zpRpJycO7tCLhRd1euUoaFK5SKYKalN+8+4y1qBIsn7UaN8a1Cr0n0kT+lfG/RsGaMNB1+DJIi9 +/KJCtl0QcbGyG1/4BvQq5AQZvgH/Xw97Dqyz2sKuAsVV2CfZQmzyudeWu30YgbmIgL8u7Hdh8LUe +7C2rCNEZP6S8c5nW+MeICUa3P1AFVx0oZlR53QysZJuiRBsSckThaNjIHYo6yQiPJcizQqkwJo14 +bbqD5oBov2Cmumv4GqaAWWHSBGrRiOoDPrK89cEyF3gyVER/aRUgzlmY6STZAK6+FRJV32tVMjY6 +LK/u9dv+ZEe2WSTCTcvwb8tjUlZjI6kaEb/ksjgBqdKXl3pGF/54yVq1F3RUzodQczSeSRqaoOgc +dhWqKgDSyIF6VoOurQZBvAmfSXoxiYruRB0AxjME40gWNlWQZXX10I4K1gH2SBE5jnX3gFxs3rF/ +QH1cGyxjlJe7VU4Fbl9pdxXDFAc13lpwXPk3Wxec+CpvKvWRETb04U3cQ9dXACtQa+SC1VKwiYBP +h0wxWk64auHiINpL0mZEjU3+H/Gr/kJLYstahzPejr0naY/8NC3FfJHVt2emd8FQyfX2GHRIzEA5 +DSGLqUKN7ZsfNqljb3DsZxEZBL7Ego1OF1WEe2q9RyYY9I6yuTEjab9mQsXhD6GkD/zOkITZUqBJ +/9mZ1GUOZatidzFnEcPwgWPwZUMLbdVJChln+ULgSw83SVAegtrwsxCCemf1I+WtBdif0Eq/iX6S +6FCzgVrAQ5hSNZ7EhzX61FYBtya7o3cpeVssyisMdsSs6oEy13RURc9gL5Obk5bfDRyCmtigyT2i +jVXEVhio4iyZvKZvO1mqHVug9x4gtx8LXpIzWG5EE+y32ZWfxqy1xSN+jyH0Vlp6/Xqr8EY/mL7o +cUI7yfnn5rk7XYWWK52pfsMjhXeZDzEbdKrgGkKUmS1Sy1OusMCdKTjW6f468nWRmRDXb9wrVWTq +A2jhDrbzQfVmrg/A2WFsnABOHPv6jsxcf+15laUeRCmDOY1jPqlGyXpHMWpQomt8rAisH7HdJqXC ++zu4uHadPQrifcni6DfOoDjnkGuecg+lfqZYUqZh8uFk5ElHMwAxzJXMuhEjDjjz/+peRq8LGtxO +sfqUfXaKYN2TcrGHMU0G3OF60eaTx6XeNXUbyh9lx8Bi2AbM6JHkWtL6cz99kQ8bEP8l5mh7kwLw +b4SBn4rdyGP/8W6ijivdZiZPY8JumRbyqgl1DbBAjnHEteweTaJZc40ggQbtmxycJ06x+UwPqvqA +aJhpIJl1vAkYbzKKaNGV7Ypu6/DavYWejo7fMzX5fBxYnfat5OHWmy3ubxrfM2GCBZP5yIXTGdTk +nTNLV2yZPIfUSWq+VWbuP5Ff+O9IaDCbTl+YA6rzNJzsECI6oNw9oLp5C0tR+WMqt+ounTw2L/vK +jjaGEp4IBTQgsXZjEGsjgHvtHnATGEBf2VcfmodDdJmDXyd5WAEHlqYGTusfqUoZ9rLEPmzx5nKC +R2rf5w3oosbfRK8h+3r2wb3GqHBGdqTi/AvIwDm5LDK6q1q8RPxJRlbE9a8Ux6aGjGJ4gswEYlqM +B5nxO2sEGacoeXmGEDIYyMyRKboSUfJCjzvyS+1xd08d602H68g3085wQ1wr7fjYJUQOxU1K1nN9 +f3/UQq/kwYBfrOrKMpwjVopFnvut4qG1WwDBdato1xEO/tyMyyzhAm9jHnkHtkQwRBL1emyMHo0p +z6z7sklzHVqnzWIge3GBMIn9PE8qedMmE7P8Homb0XplPZJ0x4q6q4M37Dht+6QvzO6yDn4Sisbm +uVsVcgxSYMwbL+KoIDGunPEEdFVeVBW5dMN4nftUCuD7q7OJeVkt3SP1YK0lx9eZdKo1yZMReP2b +p73ehbUQEU1tL72V/qpfl4g9z0/6BHZaoG90wueEuVGru8TMjoSUEyrwW4Gmn9nezaGV9hDNWgsU +xx+GAuVanJD6oY8lkFNI1UKli/AYnQRuUb3U4yqCM3bIKTLHWtLshPSKA5PRQLQXiKayLVQbIzmi +GkyfprOB0PZgKhQGdXQvxQjXOwyn5XAo6ahIDCPkVeTjN1KAzC/B4d8qFTaM9eS9AmuTwYNu01c3 +vmqA9rEShrZwTJ9XqV5aCMfw49r2Wli2+aZFkYFHYoOciwVnMXbsoHgL2ubHxVKOQ9GiRuGuCy/A +uwn8n5HPS0VlLhBQCqyiSyDjnCdJ96jEWTxkbV3KctPxCS3MRQA83kgTlD4K0Bn+LaoTbqLlTLwo +205ELpdU3wj3f8ZI6zOVFyFq/q9KoswjNRWF+yaiPKzDYJ0+pkLTzS3DKgHo+1JnCqGqPFeAcHHU +HgmXLS7TbSO5VgBFkCuX+t4saY7s/5mRDqh8MBH0JuuZ/h7SfcaganrwAYWk0c500j2KqsJaD7Pb +/g0SukYzYvsM8rSF7h4qOKFM5rEPPqsOKz4F90Rvfv9PHz61LHs2+l+tf5c9ROVWhBdXmcnMs5eJ +Cnu1MUOUy9pc1Sc4kd3fmnM1G7z9YW7KjBvrFYcsCjUNPVRlbepw3m2djdfwFcLt5K16IR8bhoob ++EJN+lZBvkuOrY8FmdZjHNxKDpXTx1oFtiwmjD2vc6csuXdYYgh69TMPifaE7RaN5YfDwa2IzCT+ +Q+QAksMJrtkdYC+Y78eZ4op8cdVwv2Ri/05harhz71aJ428+IzqIODU1PtyYpWAiNX0sUFEV1ruj +I4WovBWk2zo1ijtCutDW5LWUO+UKd6SyxPZDUhqvt2e0DhXoMP7u3GyUO4QHgS5L6cIYLjHl4wVi +hpumgTYfjKPbqSdZ/FZO8Xk1Wn9e6jiShnhjxFyP0FSwibo7+taeqqpOrU2G7LkxciiqJv/04Tbz +yKTz6PAPwLnAC89UJYB7GbLxJCE15HkQmgK7AskHQoOefL8rHAkLJBErQKJrneB2KgBikuF3MaBY ++QDWzGF/L2ojEMaBKaWtQh2twSuheKHdON6Y1HEN/Sf7mKo8Hb0bWMN5a7kIlaiw96sLNUTKkBrJ +Dfrldmlo9oEu4Z4sPa/nOrgg434+2FmR+rGrtG5bcHUFzUk0vVjTXLKwm3Zd7G7qNSoHIM0KT0F1 +nJ6s2CkPvoMO+h1QZf1ah0bYr6PUc6l6hFhNLZnbVpamumhqDuIQnqWO316IlrdmvVHWP36Np26k +ITpFy5HgSlsK0iaZkS+NNWOyFHxmb9jlSlY3arK7mCnIc4xUm5P0AV+87akql7Sv5YBtj2kY3QC3 +tfGoOwStMUKG2XOKdqgnmcxqlw8P1ZqtXYSiX7IHRYuVayTbLxjnm2EcKnDCI1wMaat8AtBxapoW +f5GUDA96QUh7j/1x7PYIEcVDiiQVIeoZzW8d5hh0G2ogfeEuY8sIqA39Ksaw5s++wUW8T1Phw1fC +AiAi1avDB/B6q8SbT/Byb+0GsxvqVqr9588hgnqjSKPwGXzni05oudTgjcJ2QZ3fBQjgvixJ8JpC +7UKC0Y1FEPo8o4sL/LorDICwat3Y2shoW5gdUze6+alMHnEDuT5vuU/jA6eAXX51fS1b5oMrUQwR +tN8rAKjN6O4X7Sz5tpvJ7A/QrTldT/jxnCe4rLU7fGDIAsWgakCEz0Gc40LdIL0M/PRkv5efFsoC +IbWc5gRg+HnoMItnlsp/fEzHqvczFsozGkqU4+goGwjT8EfmULJ4oVkawOK9osB4VqX0d4quItze +fEbWlHqUOY7oQREoatHDsTg1DNZP3UJy9mxIZocQFJeRsyS4FUGepgZ/IOTl5uxFZPVXSZgPfgNZ +fcZbtjECtD+o3gopCV2KyAfoaNlgC+99jaVoViRHrUUP1A+djEpwXgUU4ELtDUxfn/6pAvtgN4f8 +YB0T0K/gaxI5OF6IAxWN8x3PTsNzPrUs3Ndy70bFavnr48rfri4aDHnGD8vuZsArw2BTU3OyRT94 +td2dpR86H0FXnX4Zloa40Qq08PCe//My071VSgSMCqkCIb/0CpdTklOs4zeeEj5xA87v8peCkKaP +Jk5L4wQdI1dYIYF5bdKGTa9YgJDkp0+OM3/SLH5Bhy3PM9FGQ9bo8W6LcyAyO08CCONVY2lWfnIX +XziWNNjG0Z1TUF6oGlt2FwFwZVJ0X5VNXMOz8Gq0N3FDSUjvoQt8ETPlhjDLTmtqAVFtqsHiOjJy +PUgpOku21YSNXxCXiNaJUE0SpmIzQvpT8t7VA3uzbd3YBl1/aU8qxX3NqtbOoZNndwbI9Rnr79/i +/YdVX3KRcLV1y8h78YNB3O82svYZdOOAWESpi3kFC+H+xJPfFl1xceAOHOSAmfAtVdDS1b+rp0rO +2D3IfE27Pm3/sJ/COZEBcgYVngeII4jJSNMRGimlYRARG2VMZw0EW5+Q7b4nPA6JgzddFr0i0tIG +8474N+4smpFuvXKyiwZsmp7+LM9pUqk+tkpR/KPbqwVrJIpQINsZ0cHfvMT9VzcFPMSe5JZ/k922 +VW5I8947PCFIUv/Lt2xTsUvjLqR3J5DPHL1vRPt2g7QaLWFlKxeb1R6H/vRRL2MAphturKfwJB68 +nU/OIVQPmkOQNOFdoq6Q1gIb1s0ISbAclc6yif1Drc4fyrjK2/0DCjZdEa8NE0pmZrEgbCew2cv3 +Oq2ZiROBITiwVgyd1wnLX+ssl0FJLUQ3obR5uu25jSgG9jIXI9n53dMpBEoKKraYlxIhODGLOscg +rHXaW9Uoa9XIHXddI07mnGwMFM6F8CNvvFizsL3ZLe9Mq9EfwkPOKVWuOUqkcrpkhNDg0SIFUpjh +qJ26x1sV/OG+GLrZSyqFwT15+epWBVJeAfTDMhYbSCNmQBVEHUIKCIVs5wFHon/2PUjYFNBBx3hc +lLTHgA+N8/3KBXdPkYoRMJkxZxr54UZa+A9yQUxnNyBSnSejz0hCRTjoQ4b8Yl7HZUDbHEzUHHiR +bIpcwLlF0Gz2uBtgpZCYhzNlpa5Rn6Cdo09U+ceHY4RmA8Ejfq/rbDaGq7pAyk3EmnEzG1Dv+PNs +TXa8zkqV1mMT5KSvD8Jm5UsXst6NgP3/dK8zTutTaeDua0Zmgs+wk6xCX4dv+fXTwyIrZyJwZS2W +KuCi1BditFezedFOau15zJXqLu7c/uqgJmRWuH+n9JHV/TGEKwv9Ix+IHDHU4uzQ6dioGDLsHx29 +4omdLPapIDEmm8JXUgjW56I+3YKaJekCLSZSjx+4qh/p9MEeLVXUO8T19k1A4F6RTjw/shgBwto1 +xXf+hZN9x0I8BbuXMxujb7xaTkwzr6obBNzHjplhLGt+iZ/RzVHfbGE8DeGV74WE4TQorTFfNRJ+ +mWITH6PDFgPcpqCQBSd3kfzlhIt7tiTUskbZevZvmOx5kHuS9BgyxqkBaR4VjUYOQCYS7mM0az4J +pscEtT6abmuOnTrbecyjMDSjBjujrhYm+2S1BUTFSBCF0AyTSaBjdf3z2Oxye57G8YS83UBRq5x1 +CzbYcrvq4h5xtvXfN6+CKTGri96dgOaB2np/657NFnc+Ns0iMb62z0XZ1kt8W699FWia4PSYwROn +3/h6MnOz2bQ8mz5M7oyMIlNDd95wPZHFbgIl1fP1EXRhTtKqjvbUAxkIh0tvkNuW6W0G9zupXsXT +zwNNEYRDchAc+27JI4VWfr84nrrq3UazybI0GYq8U2IxTOSKW6qoU4FrwLkMp7pPc0dQFrW3XMQq +Ory+C73cWaBPU2B2uPHwz01VhdO30Edf46q8QZLR5XLKVb41/Ob7IaHDVt9jWh/KEFLQj73OeKlI +POamAKzujvBYaOHjD7GnkvbbZ5nN5ZUu69m+WhfuZlbuwsbXPqHu6233uyonpHD4VnES6vP9zYS5 +91wXTuhxA89rzlwLy1to2F6VtkrK3dVyHASFxdaI+PIsXdidi7oor9qTuiys8qEttNF5yDAyoVIC +vzGLrCBdHdn5pOw7aHUZVphjlVCC3yO/+rgEsN5xnvH579yJm4worpWa/Yx+aADN4Ow6RfKq3xOf +u/DW+JWa+30Rrw3/XfaM+TNwVXncMfYHmPRSTtwDRjxel9gZamcUnMTdow4WwzupOACwPWgBcqhe +RGZq8v+WS4RqTl4X+1XGajBguY6r0cuSJR1YYv1DxJLZMV8kRdnq0C/9CjdpQysYg3GkCK2iH8hs +3+DW6cHUvKzw73LqSy7mLshim7CeoTHRsTDoN2sLjKl2jnAdA+aWzTIaSYOo4/6/imqC/sEbo0JI ++Lh283uqxfFJqMU0QLNysdlkxmMFdNV5COgXVfNA+IZpRjhMBjy21kTCKfn30eE9mMqiVvX6ckid +2pZ43OGeeaN1jXWF5Wf6G+kQ/sh50GE6SRvzRMJt+H+9mQom96IxSpBolJ7WAr8sNJwYtSp72bc8 +I9dOjRUvrl/bTtht97lk7jX0V+wkF/eZkc0JcT5OoW8bdmUJQtJBSCx2Mp9SUwiylDovFNEJ4FZq +kK4pgUeuKThLAjMRVcxFYzZfnR15lalaSWd1b9dM2wdL78LwXdWPD7mz5KyPqUQbQ6xL1BAt9iII +ivFFXyNxqBGcNOnakQLDeeDRgqQ+Sl7VUbtU6ERrcVwMGdx/upD7q3/O3PgFrT1s9g7eNP67twy6 +ISKqW1J4dGfCxS5rC3eSERsYG+Il8Pvs7hzw30BigPeimUaWph5MS3FD5+M+qN7iSgB69G+WeS8G +LJDDn1EollWrVoHnd9CeMtbwYvVTNhTySZk9MMUZl/LDsAkplPIKKqUWaS7MZBHUewVpjxinWkpA +7qjpP4R+6g7/1Jr6kGEt10Ek5Oma+R3WzrcJh1xAKDL8PqA0eZDydCSo1TjBfYbae03jsC5cBTdD +bnTXQSd1fIEcYPG+kH54UsxOJJBA/2A4USkF7c098PHql0BLEaooC5Qe8oMeng9OG9BibHmblgdT +Hcgo4/YTbuclCSlA7+vJwHuVuqmhThXUrFVHq49YL3ENHUt/0GcWxocy58n4urnTf8VPnNOhPyR7 +v7ZEOH3GtVkCuVriGkwTfMb9RSmOJ80wVreH0uZURakSfD4DtPBU8dYuW3FhvyR6M1vmwBIqDisg +z3P37Grq8ffYIhdlWCgs722ZcNDD2ONfcBp5kskmnfCBMRZbxm8o5u8cJjZMEVqmAqrusqToelM6 +VJw8RHFwQHOjOhLifDbMtfvBFdJUeGA4jlSXZ6mI5yxi+VvFOQ7ZhaV42Qx/NzyxnmE8hMdaCrPm +e+0NTZQEC75eY5KHZH0sutIkgeqEUThTWOne+VezA8rbJqH4g3RigjBAUFBKDkThLYaQ9TFzJ9Is +DDXXQYJCWXIMRXd0QZ3CxmYA8gYEsTiUD4zsizeGWwn2HtTaa4c7Uv9keV4EGvGy48OEJu4Et38u +yiwuGKbk9xCll86fHAymBY3zRkuCC1Rqlkn3k4ThPcalT2K2nAy2PsmiUMnmPP/FHjTmC3efLB4n +e5YXxDbA/5/BRLCbwgggo4kGSbRsjmdPAKuZ0s0rKDQ51ALWCJORFmlrNn8xhMezf0QH3FBwJz7m +p9IZmptEkmL+6CU+7KDdSMGkV4UctAxehLsFsuNEk0tXmWoCJMKsdART1e3SMJyANS1eZVlq2/X7 +s66lAh1BciC01A4cOq34hwvfwA9fta1N7SM740HZhlydcV52IEVZ+F0vO4kLw08GElDFcMnRk8Wb +G/t7LeqQnW1VAeRQ9eUjphAB6Bq2vK338407BmtZ+mry64LozYUHsMrSggCOzNETp3w+VBfsNf08 +KVJc3chqY7i5iPQXCtySElB5V9e2oHFIkLT08qiwyRLo3uPKNh5ftS1U95pxy7ok0yK6vWA27L58 +ohhvIzVCic7GTuOY6jb+Rgz4EiDEhWDQfUV0drf2faKHkXtKpOe5Y1NtWzJH6OihJUlXnmn+mzQJ +tFT/0GXA9StecmmoGYj2mO4eLaiTBrkFrlCbmlmg5hie1xzTimL1K76NJb/0OgP1YI1u1jP9u8fg +oIKd3sC6W0M4WolPOhlKF/AUuGO3Cob1V5p0OpkkB8FCr8Qks84eiIAyIeY3rQAg5UGZJkHASayM +tdJj1DOf0hjCxND1G5OzM+VKGpNrCiJUZe+mPqNGFpW+ylAh90eM/7+/z6x3ZCtjIrlUutj6rkhO +Y8jwfgpPdY9XPZc0L3eKM0aoAotL3ClUlx+2R4pSEZFRjV4GZahxPLwVUwjh92JwhTT6bqbxltvX +uhp0Vyc4Pk2nxgYMmNKji4ABkY6lK5BLzJJrph0cM2znT800dYoxaPVcatBScbf+SA5zV/bXXjDm +DSnMW6096wDd7nMWDfKqDtKYEGHk+q1ZEwz0lEyXkieqxTYGQeZBcnL/pRRvTOvTDrW+/1jo5V2p +GM9TbdPXauHaU2ilGdnFdMUZiqyMmeV0SVxgK19VnIuMzjRMEk7E9Zuo7RbdbvC3EeODnUPSBcBH +QGutLF6EFMEKazeQKjE3Xa4NKKb3Bt3NncDM7s4xKqWrfjJCJhC6cJSDcczE2WAytAm676xqHKCL +hOypJDghPYwUxvj7+FqFMLpw1uRiUYt8rZmkdTXCNIbyAKeYa4RpV7XmBaz4619MwTj/kh4VyEjN +QbNxuqktlgB1iTP5/47AN7IuQxDjoUhOvzTuNpWn3D+AwG7yBBe5s/9Qwuh4i1gitat92oWAp7EQ +Dzo1HFZ8JtyDbW7c0YlCSaaSeE6hN2h/SD5fAzTeQq0sszH+7+MOZYSP8rxmVHIB0eEGtxQb6Jgj +O4Nr44dhSdCvrFyHNjSmMKuYk4RVFIl8pPETdQAR6g+QvVjJn/rWidOQet8zYv6v5g+1rFsXVRpU +JMAnI7cIL4Y55kP5mpIW36NLxEWUProjmv1rE+imLxTU6P4wTlATM8JBMm92sZi1Tz915i/1sEBp +zenNrYXwcUQlI33BhOHyoE1fW7XtOlyx6Yordr04h81b86NRFyvhpzkAxojtgT1e46i5g7vQVbBu +/buG/FRFGfPesR8/BoFk+DGeAh7vnRB45yw+Vc1ddzPiO64SfnPMWGciGsLRl3JLuwkHpggU/GDb +NmJDPWrFnlpgfr46y/pPD8HEZ+s/CJvfe3FdrIo3634/+bng2SutcuQWek1W5X5PsSPJrzrd/es+ +jYfMt3oUDAQUCCaQ5Xa4UTuLGm1BNEHjNDYdwzDitBISA0Wr7RqT1g+1Khu2GuiOzh+opSRpaPJO +JO/z/51G4+eZM7DOnrZkBYCVyMNsbfBlX2kBim69QqLqA5tr1/oArv+uwMhHGBH9o9bmoSUpaU28 +Z1xhm1wjWAPq3z/ykxP9422+TDVuoH+sNsfJIYzb71oPkgFerCMZQ85u6cEuLnYqnp+sKnen9HFJ +jy3tgJCSHKUFJI9m0FtyWNjZ/DPvJuDRbghgE8TyXM4MOXN28bouY6gPbouDXGM21ANx5SO5UXiG +bu/ovaa8TVlL1TK0ypM+8TfWzyLXYPe0wd3QWc3JcwWpCfskwn/BE8nvmGmYoTXIcop5EtkYJB/x +53lZLjsJh9rFyCVDJPA7r2JM1iNS3J56jyv7RXvlcnUm7r28jjVDoeArQNPIneK9lqc/+WzwzUJD +21sjYY6iQ7EydUWE5JDSK63LWHasnkTiuIph/WedAYwl3it2FI/MhKSyFQxbctxsHjvzAOmOH4pz +SnX7sbn0k7zlpCJmypsYVZByqbapOgBeCO4ufPqsTJvpudkqT0IC7jirOnINJla7OUrpKLdtq0AJ +t4gVHuLcg/elH5pllPAPbhfzaXmXEWNh4AOM9YnZ9NJUfnGjc1zpds31UwcVZdSEaf3xneugE8fu ++XDSbvnom8aVS1BFhO13Gz0fZTKffNkpN+wfs71PkghDK+IC5G5PBiRHWKsHQ/q44ngYU1lWS9tq +VtDYKKRVUS0u7VvfJZWkZS/5q9iM7r/gxVHWq7tpZFpB+DBNm4GkVRT0CgPQ5bTD5f5SucLNsULJ +3A/3C/099nY/u6ROGmLPEg2BZ1r4qpGO6gq4AQKddMCznX0wgKqJyY7rFGlmkfmvAImlv7LAuHkL +zjT+d0FeHEbvpXeIhqKkF+KQOtn42js8JkoZyfFPdy7jmEypvnoF0jMsmMlk1VJxGB7haRhT1x1P +EZBUuVv9O0ZkbRoUZvRm4OMu2wc4UCT3KPK1q87am7OIEJJSQ2rLGk4oqS8oXpHmWCiuC8YVmCzs +XwlRTBrfO7XB90iTA9qxPgVbiFcgPAgmsKj1l3cFk1LZkXZQyZCWlD4hW2CvG4MUWl+rP3kAb4AD +F3CFoViKz7JDdcgNJuiP9e+1uvzKN2cBWlN/wRVQevso0Seql6UO9i/icjiYFACQdckwqhRHat7x +9KqPha85aZeB+//ZzttkPuacN/gRtUC0GrwG3Ia6TTpzIu/P6dpVoLuFn6bwasAm2K+9CllbXmFw +2TwjxBz1Hl+DjctPk7o47RBkMkGnhPSfibl9/k3c8v48bvNSmpCoJAoCaL+AOqYgrn1YxWnxwWC1 +vwadc+tQ7mWuNXN7OwfxDEXlTUdTyikGqBPw9T4eh7qfKIarYhS0dSyn7YMA+zcl5bum7dZa7RDW +hkMjUZnamOr7p/iY7GxHcRjvZuBWiciOT3AzYT1x2WnkFa5mpaQ9rz0Z9pFFZaqrDZNJqb+YpkO6 +SmT2YLA73meK455azpa4XZ5p8qFcx+vMApBmMKLiCV7EEvqR33vZpjO/Cz33b38Z6cC3HL3bgq+e +GQ5L7eYxPEn+OmoETtnj0JCxXYxLqflMl2c4EaN4bvrvXZ85Xhx1JkJQKAEwEJalALh9MDwf6OLm +zvYnGZ57sBd2uy1wuolGhU2lfFKXDmSysjTzMviw0H9K0nDJlSxZxA/9JUvtYVxV5kGwA9M04oNS +5xR+IMZs8Ka4QPJRoQZNQgxI9tg57hqUp67WfyWGfLtV4tv1SDb+Rzn0ZllJB6s5cktr16qlBoYy +PDEqiwoAlpw1ykOdYoPhhSXGmMYrUJ23+CmOB7uDBrCs7QbAARY0xCfb0HIIw0S42V+8aVUo1nET +6BGefnxqkJ1xnmv/bIqjORSEnaVBap6kW1Ll9AKHQBHT2yesgQBbWKBAPlpcPvoDueNwrYgkhMb8 +K6sNmxtNzVhoXDSn72dOgwzZ2w01TeqzjH4ukzpjzmLRlDpnCc2hiqqgOXmZrG4VgZVsDWteIcCT +Wc+rh1RkEngzo6DbTbsUcIYOQacbb9dmz/sPucSPRU3MNFVhJl/olvBXJQRv50jBdNSS+VQMV8+6 +LzUSQoctBJvlqCpjp/TIfBg4097mslblU5GH8C7mZ+psix/H1+GlXwyCjJ7y4vLRUbWTVAZvdrcA +xiRRYvgHxJjSz3ZURLI6denK5fweoLPUuYvPGioblH+QqM/0z/tGBnONzNvicwcvL9wN0EyrJHio +O+bNw5B+J2fwSmAXvIjnJmtNLvgJhZX1ba5UjWMGAzTA8SGdpqKdMHxzW3D3q4M5WPyUl3kfWwsS +2sX/4j2IGRS0YhXfIosF2GzODs7lPGo3PYFt847JocTDZjJxKisAW9pTvYYew5zdeNApjwcA+pEY +taeuXwQiBA08/AULOMa7LQRZcHIi4HS6bwOiXwPZCa6y57nk1t7qXdPFtv1DkGjensJp/Hzbgav8 +eR3+OHFf2TrmeIoQz7A/vdZyccI/2p+FUcpsejaAXwsa25+PboI/cYLEji+74cvdDPNaAAGQIofz +SHgOg2n9MhDtV2BEPikL10W0AJhS58P+KCVEvrynwaJpFrqdigXqjUohPY6KeRzJ6lwmX0jDlooW +D0DShap7YSVpylCVSiCzCCRhvwZg0DaIlxY/TsyIrS385pLIUuT+c+FEH94M0dS7QVis3o7Mn9Dw +rwTITJng3kLpUCswR3nbK87IaWD/s80fZgy1CW6AZ6luRDRUCaXoyHU8Ae3Q0bmQjcRVFoUsq4eZ +0Q6TJGGlvBWapnoH2fNXWSEF1wGFpd/sXWj5TgDspTTgaImiSM6JMz2+wsoP+Ar6FZNouepX7uKo +D5mBv8iRftuWtoCxvqdW7v3CD1x/fEVvP8jJHpv31Sg+bcsPwC02DPbDLiIOaqiqjZ5KeLBj02zT +EDq9Zf2zMnWXgTPrZhF+eDyy6VcztjaDEhso6kdrqjWlH1x7qx8tqpKG4Adjud8pNDataYpVdmFJ +eHyimAFEXW8/eWz0bNBKHNzCP9PuMeGKe1F3bJBH8y5zYvlxjCyiPHQ17j99lh+nzw73LUiyWAHW +BV/utn40uMf49WvXr5+u8G+qkpwxtlZlPgFmnGboAoaMwkLX9T+4CY56IzAgVv4rVZ/0tihmjMPo +SMWoJAtroENmQQ0AQKfkiJB2qzDZp6PExxmWh9bvsspWhbCUW/JXOcgH5cfG9lZBimdoH2YofmGR +LZCqVbTwYaJFtYSFUaA/++6flVIgnyz1yeZhGEVEw1wMtHGjG2pruK/qUJCRAJENIpc1ilX4zhxj +jQP+S5jFISbGXWq/H5AwsU6kW4YS4bZ6HdcE6ad+NhqZWzza9aFODkJaqesPX1zYyYZA8WTOqtO2 +ygHOV1lCHPYt/Irndn1UkDLHepSpndI/pbyVq/dpWjw322zf8qc2Hk4BxpKcFy8UeIMM8BcvKhXj +TsFgfCblRjsOosmGErdY3q8/QrgHuRRGsmzzZ24YJ8ir0VfXzCF+0WnVWmFVPrFxrtHShv/uIsCD +ZTxMEj8o+46Ukko1qvw0KnnJnp+2saiKSScMskuvve7QAPzK53l3x/T/h82nl6FB2ovs+myI6Tnv +46fi08pMsgQnJmAHp5gq2A/cPawsy1NxpX3ptNas1a1uWaw37ADiGIx65BLwUZU+mSKKHkoAO1z/ +IAhh+1Mrh4nZ18Yfk/DxezeLpFvPEZdSBzkOxc6DECbvmRLw5hAjLDcCmXiJPnEwnxBPFTDxcd4t +He0SVAZLy8P0iWFXB4ONF4Av3VZgTUCvjodX4aBNg8k78NckCPS4gNzycVthBRKT6RRuujIJ0dUH +xElxXmyMmnSBeQd6ubHPR0DygBk+XceEDVmUW3nhW6i4zRwDtj6WBa8460w4IazBqlfsSEXtXIfR +uRjiqceNV42JjOeT+P1ddCxbMKho7UksLR518nWgMshSx3xPvxL23iK0IOPWGhYogTN2SYCYcSjt +SMHKJG578exRWhAZ+KQbL61O/hHdQ0XXgowLQaVUhm6ghh7RPVNrkIYgn5Or7l6wBixFbySDwofX +gPQpbVlSEc3LdIECK1KDzLuDiv2TZThjx7lDiyCGkduUcFmv4MgXaczrl3OrDFu7baz5JyEGIQbA +pkz0DI91GnAOoKgOjsSDTrq2qbac9sYLNNwxcXlDUU7YMbse4bBvSe9l06dU+NKh6i42BOFa6CFd +ObEmxe3STD8qWSRoL2b10WfUy4WUX1I0WMTk0ILt1btyocSVJz7TZyVDfdQGtGNoO1HqoGHFxtW4 +Jb/b8nh8E3EfHHqABg9vkYDdilCRmd2U6L0r36WiqPKPU7oAElJTznjiB0e6+h2CR6AalRD3p3qT +UyniBw5R0Wnq49SYb/i4Nf4JCb5lXDhFjdHDnSwrD1qaiZYShLzbvWObMN9PnJqNK3dUx/DzC90k +DXLdIU6wP6HYVBdFOhOPli+1lkk4sI1tIkqpJU9ZnIClwxyNFYVEohVBLWvrsTOi9/9fr7I392jJ +r5YnehmSHcnWYO/6cXS0okFBstKWQhyqcZG4SFz0RCymA5BamYcocMpvhO3RPnttCeQwKLjfjkV8 +IfOffWUvYacQnL6gts2dh1gk4W/qAewceHQhrGO1iH3ywLI5DjGKO2Bwun87P/i9SH1lWJ8yjdUP +ez1eTn+MUfo7OVfM0xiUtq5hCjwZbKeTcpk5NYP+XeVeGeTGKoAo/yIH4smBaiFijfLO+AEPwVfs +vT/kz5HS3rVBm2rbW96+oXEcyV7IKf4KxvjTG62SKhi+N4sph+kfF0AsEb+2CJ4G6gLlb0qiUEn8 +s1gwmXFkfi43EK5Ro6RPvETN0V5Jt3o6dWps0eUk0GpD3OAKQgNrGPhX4rL7AYTgTh566NrMb6SB +Gpi8UPXa7mSQreqknziQSx7Gk2mXqxUIekodJkltpzpuo7n3zoGVZgqL2vesYV4iAq6dCK26eDLj +fm4zC8XkXfldbc0eG8hDIAj7eqGZX7EQQMw5886aqmkOG7KRnuQH9A95yp+PkiRAA5ENjVsCjp6z +5Kgir4EIqdy9kIrfOhksSv0ENtN3bFiWDkRUbZMm4pEWBSqgqzFaGspizjY68V9cd6UnZqa6wdZe +rgDWqLYSUR3mpA8CA2Tb2+hDIkCfokgTxiuqLEEQNU6E3UEv5r1gqJp3pSwI1xAbvuQY3c0prMt/ +gktTiUgsRwbxH+qM7GxkZP1Dclm2aMWnViphCNYpli8zjJ2GSSBSBcpwhidOdamuxbR9zdps8TV6 +Tzgo5y4WIjfFa1h0JHPl3J1n6Sk7Ba3bV/pts3KjibZ7eAAe7uhTl+SG259sOLj2kbdWtjVfgpOW +zsHgKAZ0+MGNM1VoZsJUg7KsT8oxpq+NNhHYREgvz36YRxiGy6vfQi+6y/zMy0/KosE4K10kFFXU ++nL9uDmvG9opErhb2DP0LU3lK0YZHUyKK82JBmeJpSiSSgZ0Lpoas29vQ2mu2S1EWaJD+L43ti9S +09GXso8K8Ky13nEtSrG7cC97ahoTIvQa932G7dMvF+ZxgQP+18qV42Edlds+URaoarhC8J2ydl3u +eAKmRX03YQlYuET/rhnI3TcavMh7cY3cfTa3Udu7CPJo3v0c9lMgwCH0ph5B5uG19odMIoshIJoC +UzZQiYdHHVSLfOlW7AbZ5JcX/uW/d+c7thEz/G5ltm/lhW6IvaigCoPiXUO3UTW6T757GzsZ8i4w +5G05rfaIHoY66MK7nnS5fytwO8PSEqZd7fPRm1I8t8u09usjLJTL+QiNhcTLQOJJzXODxZibRJ0D +DEro3RJtY71luLrkF/AVJkQR0lVWco1XzsVFmeTQnNkGiMDpcbPqt8R5K/AZM0ueVlEpW9jbqsy3 +eQxcMj4ZA78gSOepSHiLfnRUXp2ZrCheUfoj7GR+VsB3uoheDyO4l/AQsH29RIJoV4Uvo9WwGulZ +VLiBS5e0Z6LCG6DwNyyLO3q6xtbV2g3nvS7j3T8n3Mp2WVvS+ZxM42sxTL5vaIOvkFDCnDNzt82X ++WWcJDu9GB3A/+ZZSAea36scdm21da9bCOdhMg/eoO0LQw0Veq9vUYxlYv69CuRo0P6zJfryYB3w +tr1vTJMYiL4+D+wl3nwF60E1b8PYCZBnCjeHpHZxZFj51TzWRJSM52Kx3kf2td+xzYFsTstg9yI0 +MAIXnh7xUb63YRJ5CbRxdwnbGQVJPqK/a0Er53Cq8iAWPjuz0p7ri6Sqqw73Ruur6pqhzxMO8I+L +5a1qhCknuonno/aNmAvfXE9kOwJIKCWZmQb6jiIYa3n3l75fMHYJJd0ko4aJIXGhdlR76HDrPQEy +u2jO/4SVftJrWcIzOq2BZW1Mxsr5bE49iDQyBOGh4lOku6EUVT6EWdQ1x8NVMYS91AgMG9pQ5/+K +ME0EmIPU/xD7Rz3xCq5InCA1fm+J6oJN15yiMaIbY0m8cf4ZZaqy11lgFJKppWR4UroZEfv9fiH+ +pqoy3BSiannJzFE8I+vTdims8TyKftnx1e7g+fJshnokS13p95zliOdGObN/yDissxrRx8bmGyFT +1aD6dETdATZUJLryEiTswBAlqhXMolHDcZWu1DImNWQuVJHV5uivSJmNQoIPck3vgVsnIlVbog93 +GbaBk7WmaoRyic7oWFHsRu6XTgbvj9o3/bwqrsMZb2Pah70YWDTPTEQrVCMVPZAZOZcdDfDX9PWH +3QPL1lVLGQzkAbaih1oCrK2cLtWw5LTgRuHIr0eKaeSHMm3xopvsEs3RaSd6/q2Ev+ziRMqVXhcl +nrQ4n9PUal28EeLvDGjqjCXzK3uPLTGP3Wzq7RDxDACLYdJuNxQHKeKJx6iDj4G1vqZFMKiCqL6w +kaN23G0u+a4lF2KuMnwPGPGQzm88SJH0DzZ9lBsLfvZfU6nCC4K4TJTSI5mEpHDy8I5L/KYkEbHV +M32GErml9taiA6VT8dLNIUnXkfPSd276tknssL6KEC9+YkU9No83ZikwxUBf/CF6K+L30qENG+H4 +TrANRwBUqC1Tp7A04mH4BZJElFduywppMICagivTiJGzolNEOyoVVycgmhgrKQGMuao4rBIOSu0Z +MyXwV2sPpgd1TbzmygQMW7skW85FlML937xma/dRkIYSEyCfF7h81SnLyEdsRbCJxkBiVf/H3Jxy +GixL87+w6UYkYuIRH98Dp9tF6PjZZepOQ0j1C00b2tC0YVFOqPQr6B4aps3dYvnm1SbSXLsdtUCC +D9zEZZkHhQAO9NKJQJ9g5hO8dGkkutQB7kCEMpclGQEaWUmPLIG0meB8wpY4sLhyoNiSS022ISV2 +J8gdHY8LhQ7MILDxEy1RZd3WXgknc7aZSIujE7Bujkmvn2IBiQD9/akbL2yk3wt91WZ84rfodTG4 +upE7x9lz1PuZmnpRBDZpgcyMRgzH8rmt3oLubdhB/Z6LLfVox8gPw7n9/Or6oTxfIGEY76Nrjn1F +uRAiJPTrEd0vHN3Ck/wgHXW75EP7oXFWxwSHMpm1VckIBxrBV45zTTmJX4U1QF538CwYq9BMulby +yduaYvATKwpkQcyMg2Htl/UMOCW3V5M4uMcg0JYgsA/bk92oSoCJaJRrEe5drNjbdor5yvgJlQEV +3JFlpB09g8zGv++WQQEWVu66IbNZg3mRqsT/GseYiYEzL2A3EY/0e9giq25EcGJ+3fsgMbBBStmT +O4l6sY6aMiOcJYRKOtEqE0wshAI7J4YvqnCg/AWqD51D8QAXaDDZ4QrDTcAo6C0M2VCGmPGqMJ68 +2K9NNQ7T4aBgL5R0ibeVKsHLTHETP5Y9TJdLZafhOLyMOoSqGXw0GAqvzsQLA0wx90UKK7EoCse7 +mhyoYE6PydchMk2h2zqKHgGv12IdDaWJEFczSRVJJMAtrGl2WuRRxDPj6LNkNObCbGImRaMD9sJq +u4omHw/tpQD6ymFmrMqQ64klMKro6CCyna+K6QXmZntT30li+gzrJm4JHSHLtnDUoZxTBY4qOvI0 +QC9qnsC6tHoumBjDzhxyh8Bi7H0bFFMr2bHm0hQ3gTigeunpV3bYFI0DlaXQZJ2x1FP02Z25z3uV +SHyT8gM5F3zu/EEicQo+yNgXFGWwDCgoMajvGQFTzsy77R8vVtqJ3a6n9X2BTZcNhXzqVV9zens8 +/KJQJVijtgLlm4dQWdjQ0P3PGlPVqn6prwiKZeRPj2hw9li1sFKppAV6DV+g3nQ6ImrZWdXTsvCZ +d0nawO4cfK3dfysNkZYBbl1zwEAibe9bTgcd1PekovPrYPO2/ZnNmL4fd+P6EhteqjV+xO1sYTVr +yJRrSXROruF3l41qeaKbF3JXyLoU0Vn9fR04RTzRoilR2IkEjBkZeUDIzQWQAZgHTQPBr0D+e0lO +Y+4Kpx2f26SmhPKc6LNSpXPvjcHLkbQCGg0fSwvC2W8K4Uhu64Dm58P85LTOQsa2n2y9RQnOYG/a +5Bb+j8L4EmAJieFNkTj6LkyB8gRx1c5N6YZdOdr+BzVa2m/Z8s9qER3VtTJJXedqom/Ck2mQMEfB +tfKv84+QwCZFLeiglZZlUfcGgkZv8wOvgM7EX5LZSyT/C2sSlVkrzw4ioulxMWu4mfo686VgfV0g +jbB22N1vpDja3PECCJacMnrOzFlvCf9Oh6g6S3Voz6JrJgjJClDjYQiUPFPpYaFOe76/bqKCL/4q +TAua+mayP7CTAAcewBNXPkUwmdZ+OzD5BlxsM0peVEDHABtQapW0VwS8jPysnVXmai1Q6JURjs58 +YnRFJecF8mGo/UiJdFqvjv9IYlq/RyVQRbuqNb0F5ZEQ3xlyzRnCfikmQDeGmWW2485R428daaay +O5J2hO7trMfZLdUshWmxRoPBavI6VrmTVoPmomz/wuskco2Wizv+eQYZ6OX+6djElAaAoUTfNAbQ +lyo9VOHEti8WvrIy8twmASrvgkRozZJn6oTg3ZtQWnAljUXIVMHZapYUVuuwc5ryDS7J11DYX5FY +ffbPIjXpeZUoQGAnvQBDjiJgGcZ8U+44HKj6/feDJ6Z4osP4O+RYoRFefJRkMVMdMH+hjPWrxDW0 +pCMpbB4HfRkdrxfIXk4p+0vwY78QcO1FS8jvy7jr+tqIlYSYCyJCqEdQEfCITuvRhcx63zAuubcv +PD2nxWK+UmjHEC7hMdA3PNu0h8u8PdRTO+PNRO932vmBQk1CISLFfp2I6H0BPj+AQYHNpkm5RqR2 +SSWm1pqSuVDYdM5QtizOS5uviocy0H6YmDuxTRDu3JASNnf6mEDcolcCxs+f3+vVVKHaD7d3OnXm +yBkl2tvozsQlbZNyDbBaATG3KpksjXrhf9O9NFnFL6rwp0KgqHj9vavz0e31g0gN9RZaQmudoxYv +vLQAo2jboC5g8F+fomiSRamn4TL60phxYINOlgOE8vv0H+7cwv6/zHwqaOrzeWmyXoRfhO5MTukg +iLm5rxjahwLfP7SUFLcClebqSmICoATJ2lQZEC2HI6UZFPkDps441ktLZUJsDSobAf/lDNaxR+hy +syhKiYP9eCexCaFRs1DJS8Y3OBUVtWXVf+BNsj+uLejqrFHKs6oHkwqJ+vlB+GPtQV+J11n6Ysej +72bRzJQTt/UHJ77gKSe4tNNvnF0GMZB2wfXOUwJ9j8rC0FrfyNF4EfDUBKK5jBLh+k0BfUt1tcWt +JbqRwIwLqSqz8fXsHGfUj2DQu1z1kVlIwaLsjy0Yos+XIXgSd3xw19UyqwurZfTZ+hhFMHX+1bQY +AAzMEZWWVcOy8/UoKQxX5ohyi3nml1IE7er20XYWPYYBEnNNmKK9oe3vklcy9CpyDSQIoAm8c/qx +VYEWcIHPNmr9jOPq1mujZ9Zsc52RQLF3ebzD3ZoaZI/JXvI5bq8kWvKBFZ5m46eUqeDI4Exz/YCc +G1a+8mfRiP5nWxY3HsdVWqtEMY8+nIFQg6pp9MKifxy1cXU/P+9HSSDVvq/+7NGeP4DoImVPswNB +HbOoiwLgnQcMv7Gcu0NToQBAtw9yflTYgOb9YWUr0N2k9K70FtSWZfv4H3aaMBpAJjFqqCeMJQZQ +ayar+g1pYViFXcOJmI7zm+ZstyxOrZfvxrsWa/xYwkDo2uml+MkBbAufyXZa6hUREtu+pb2nhaIe +htqlsAfd3TwpWnpnPUYX1gSujOuRO++iED0l5C4gHVR5biSiifzMNuN0zRK/FPJzx+QcpfSWgQMk +ZSJECi4GVQj7dlhuVzx0j7NF3Qm2ZQFDTXoPdxq/gE70ycRLAFD2MIOc4CMryVLeVHVhclKwhyhj +ROYUluVkYUntoQUaKxWOs7z9jzoowieyokVWxUKqS/8qARJQ3EZPbkgkHxzGjp/iPqoATtNTSIcz +M+1B1n0BudPEvlON1GX/bAK4cyzsERean+71dkX+um+hDFBnMUsQ2H3u9lFuE6FaYTvdfVs2ap8r +bleEgHU1tFbNOnffoWL26bTppTybjIC0Ugem0mCD/xiUWwW1bCq7jLrQ6GNkRxy3sUQZZWHjfEA2 +VSuDAIBIIjxJHe2TCMChNAzFyp0Xhaggdfysq6wD/6LmYXggP4RclLlVneR4hCQu327JyudaqYeH +xzmM0Ppd2phL0Xq072uDEtz8Z20+zs4M/zZahiYtlZ1Gu+/QLmC+7Rl+N0Wc+pbraLL/MFVLQNcJ +Ts5Ln1xNLIMR7sX4RKTfZzQCo+nwxkFB+H/WYc9qfNTXSe2S97kBOeopUP+mJe7iRojpL10tGOj1 +n29o8NVGmlefTCjilgutyySEj4rdr9OJNVKOskP3WpgiMfXoRdbibASxFtoackZeB3tRzhfdemb/ +gfpF3reSaMfjKG/nEueXUIDs/YbrCHJ/kFgwIfBmuRwZrQ/XHNYpbX9IAIMH79fYDsuaYx5/rMBp +SRGFxhgfxQtKHjeFeczqn2fHCQflkb054OoEIx6DtunnzcJbPYSz9O3HS5HAXaHEAusYXif88WJA +D+YOm/3TLSZYebAZDHcyaaDoMFREcoBEgRe9dlir3DmX4wZjAayeiEbELRxF7iu6Hlxw2nTJE967 +XW01R4o2NPrecuiaQ6S6sNdXkmNhrhFOWQVOCml/VC8NvUripr3mWXjchTs844E2YWZZAC12pcs/ +VZv56aQFPzEyOvjDSxlzdI3OCgwY8ku+08RbPZyYfAQR905VwLlmdrjaElP/npFyx9X736GtNwCC +5WqOzBcFtS7k+7Pa3ndYVD5uLz0KQ9mOjKupoqPorGlLHpDxnI1D2bqlEXupyQ8jj1OiwapvViIi +rfVeR/DCpONp/alOnz9ZpxWqgEwbIqQCQaTaSpueyD0d9XxQ9JkObWFpYs5+ISjDU4fu2dJKrgzt +Z8caShqjjKmBlC23YCq5r7tosks4RhFos+X1gDSAE8sMJta8Tlki79fuyFLU/3llPHFAaDbSwg3F +BKJw9jvbodI//pudQvagtPehCzwLD/v/cPyqmPaM/gcBV9vbSqzLhnkN6UEPdNsstMVwLbf3e/Ml +pzxMG7knSL+iZ4M85thbUU4CyCQ6xDaClbZ9ZAM2FB0soTNf75/Ay7vXJvnkPGfw6IR8PTqTv2/m +L8432P6j98TZ9eJE2gUSrNrBQITrZoJxBJP82Zsn7jpG2+7rqrW0USGRHH/xDaEYIT0J/JFz6NYo +owrngifwFAZSNnfSGDgqTu53NCEXxP6Tv7lx9efXd1QmXDHh2YSfyaBrYzPJwUUfXfu9T5uVPy8K +yo2Mpuq1QPRbcm3QrDXCdQo/mZwRuiEgjrbFhpZSytgrMVmlvpHfBbTweBYxbTyDSnD4WRNU/hKp +VClAtUJWAK1tUjullfm3aXJPEdWyKB+q4yb5aYUNEGjG1UMk/dMX05X2sgEOf4wdpDiKlcuA21gU +1BfnctbrITvG4Bx9/UO8GUu6Ho5gX4mf/hMB0FbaF4N63TN6DP3BwQc0yWZW3An9IaVwPi9cOl6t +rWTxFu6wKZETJD/fn5LsagBB+9eL1vDXemFbu/IOe9bN4xFrQ4BUYaWl7bl4Or5ABr14wKU96Y2g +Fdoik5wTOqspU1eMl3AYUL+NxXFGVAh136UO13w35eBuKnVwH71PzKZFmLA2M9ZXiHHrnbZ22JWo +nB5eHWzGZJFeLCYsLgjwQBgOzx7ivSU7oj98gTeAxdNAn99m4/lJHYPXRY4qr54PH+IqpjERop0N +iZDpr0Z4RlRa/bAqPWLvG2PMLb3txsNIUZ3oZzAZr1eGKYEhK2c1vWBe8e7q0wlLkEp7JM/v0Kf7 +2+pFlVKIcFbny6uvmGS4VFQpvTnNPat3hc7L/NwIc2nxir3gDHkrl4RO2tAc0ZRNtJ5U2parNSL9 +hT3+nPBUEKum0Rjiwx0jOnxp6nhbhgoesF1veCvQwtwJprqfmzxlR0vE3iklhtNH1NRLtDQz12wb +PuID7CqG99377ooT4nif4sR+EKWHAeCK80XC1vgRqLQlfwfNFgPkgZ5w2A/uAtZZDsHhLPuDtEA5 +BdrdPAyYlxclo1HyINIDXAn4IkhkFNtHMRzn6zTDhkKJe0r/MrSc5hv6ya78UQaRGWzAbUQy0Kf6 +eayhMr/chTmEl/NtelT7CCo+M0n9280JSJXfw6EOHnttxepcqCFoIjvDAYH9Tu9nhn9XWq7CWpXe +xKOYu05nR5TGAJhqKjXNWpCCTy8Rhbx2GMmn3OEbTkAcA5e94aguLMAvM6tTjZW6qYzkwnLBhK0T +TRPWewkVOTjp80crRa2P6b0NPqi1MPyzloavRRSfkrlXTYfLJAVS0exHmgoXh6ST5KkZiemwC31a +qkI3hV0Reb0tQK+Te4MrCd9d9AQXQl9ZoLBpgZ2Hj7wXwbajYXBvHmU3I/fdTeDK64E9hsfbPpLp +mGKwc0hV1p9qqnSCJmcQZ+LoE/Cd2vx3ztkqv/JGNcSjbRMuU6fpdarT2BHi0v/UMy0zlNpT/X7L +Gq2+2CXq5qzltiLgRFYTrpwqCr3hY5qYn6pbeK6FckyFVAkrUSnnskxLo6iXA1b739tU0znSuaF2 +xTzo+eBWp+anrgvokCpRPKDdzz+i5bVx0KmYg6GHmI2fgB0ES31bwijD1MT7lDGK0qm/ouXeWx5c +SzDaad3tvPOj7MUamumUfGTelQpBP7UvXqUjOsSN+ul7pwfNfhXSXys/4vYEwRlzZhCDomHvFF0R +P8GYEAWaOt6O+xuRAbVaORLOH59tSgjw4KB+LvU+JF9lhW31e2erywQQXI9BrqJ8RWNt2bpl4kxZ +Srt717m6Y+PbyBHijrsfIVkmiVyLUpVlhF/923gm9GyQDsjqirybUNxEKQWm+UFWgZvJCDAg25gQ +/HjXm1+hEOMGTZK53vfzjTLEXc8YmEZtD8Bm8wWHtaPFERlf7Pv48F8ONlbFPCuA2JMrNSPV2aOJ +JE9PBdsUUQn345nqqRu2E1pnzeDA9qdq0I/Ey0zBbkejQytkLkrLr5uS5iFLyLo5isinTWwUP1xs +99DogORmSJZb4UVIN45NMKkwxyOxrP14JtT/ZK9Ts9xQKIJiu13JFJdhbD55qxJKCYqQrEn387/u +B/Pegs3De0G7+hj3WwGVbzDJoFnyZEvb+hedPqrddhYtKypBdwGbRBTJxPZ35u4GpyFDJFH7JJ7v ++pgmcAMA8MfTOglJldxgzi9m4zbDAPmstJSCvfylbhoc4Lt87R9HaQVsxqu75yM+zXiHUjMKF++2 +Yz0IfZ25I8k/pQ+BWTK8vVQ3//KUaEXbvw9remq3ZabMR1nthhEIXw03VS6sjXVesSMerAzI4PTd +qSHeSr+14ZShKYsxG3Yx9KWBROo2k+7WZDQVq+XBdd74LdxKWXG+FWGIENHS9Kf9sil2ndQMmOhd +FHqsBdaztmMfjP7EhyCC6dFQi4If11LyOFGuTpOuJyK+mop0cHFV1myO1rJgIUfXP0DxQtLc55Uf +oKwVSBqufQ1hq1b3G4Ze+vfeMsThJl0yGq5aVxbcJxeLd24gXowSkEFhrRf1vMKS/oOvyaTyAeGf +HW07hRFvI2mzRuDnfsHNye1jolu7C8lfb05+ZG4T+JvzyJtnnzN4+Ik0DzbFiKFmmLAE/lfam6kX +9JtLHaUzyABjaipuAqvi5ldNnyLoA/aWRJVVlF1QIRKTyXPdI3K1YfVgJSttdnVg9J1I+8V5eAJM +/AbW0dqvjGXqgcTxWv1ZNhQyvHa7RB+R9mh7gd+69HN18ONYu7Y2CSMrRLZqSGWaQSg6R2mGj8X1 +2lsACwmZIr9eJHHrTc/LBkJtKyQLFpT3bNCaj9Vy5LytSm/IVvYKoNAWFYAzy2cXZAIWnOup5nv7 +ie5hKMjCjzTysE3lTOmJVDPx0nrClPcC0Q2ntzEPG7nru/zzWoQbvXduDPb5dCI79IbvDpN8Untm +ScOPcFPZKmtCWuySa6CHBYwB6K35B7OrXENhezUClzLhlBGnh8c+pgaPOA6G8PJ6+8ZIGnIkCSsC +BU8tO/3RHQC9TMp5ejucDf1NiNkTEjP3z44K8DjdH3sZkLiRXpEcP/6umrSky+Fyd2XC+ruCcTdo +K2axx3KOq75Px/qwIiuW9ElRnqwHs3wnNePkhxDI1D4r+bXAoablf3EaywdgbzjoEUB5HFv0de5p +CcXEJjLReA70iJUuzs5NhbjjclGZ5imXOHp4cT0NQRRV+xl+gP1WzHQJD2AVuJex0jSA6Dd4Yx2v +/61SgawCqdMuFwNdzDfYtlhwJJF6aAKZoM2r6jfw+tcEuwXuUPLM1N+p5vJjaSWKpkpY+JPEWe9n +p61OKblLLD0xppQcokdUtcEFGd4U0MQgVLdFgtY1nu8+qKib4Bm8B4RhgAJLtkTMr9A1/ZGFvQ7P +TSffXX8l9C6okl/RzlWWis3N71Pnzix+Tu+QCoBGY9Iwg05cRwd6rtfzgt6DV1LHr6u9fMVHx6Qm +uE3/HaW658fWESMme8WeR4ZBezY63oVaYJEZ4nE1Opmu8uRQU8YJJKkc2V+n4Td1mQi037Lyl7D7 +7csGQDAV1gyANWsDCUUx/7EzTtE2VOrBP5XG1REC0pjAfSqRc9EUi8UxAaQG2Pswc+C1KvRqGUhm +33ppdTkgxptRHOP58DKJXxUQ86tXizgd37GvBdtmJpWoQ3z3OeVOxZL9Kot8CowlLM43W3VYNW6j +/mHEBBxGTZp8/uSuqxeNj94W64/5hXdPFxOvlfBbjgiF4fCuGdPN51lzwfO4E2PgS9Vp6nTMk5l2 +4Mlv1R6eK+a9f5Fe7bTjgRVNKrP5/V40fHW/y9GGhuoX/DjjqLFRw5Gs4F96/Gm3MGuBjNV7Fe+R +gRjjbSmpwQNNabNsR3rVNpAVZ17wqnBM+lJ7LmgB7f1aM2x3t31pLuxY+H3u54ed9fz/8fygnoa6 +HRBCXOeiyrFewpKJGTJsOIrUFzzMF9U+m3rKPBiz7HY5TdStSiiBLsL4xv3WIfJkrpZdz4YH38tf +afjR+gdCaLbQTupIl8aHGLJsojbnbJRD9DsL5x9iHA+eHW7zTbSu1UGXoNYYahxqmDFGsAR0FqKq +PZUez7IkA9A33QNJincABy+TKwSiIl+Vh2myzLNXxxnDG99u/Q7Zpgz+adzXaTaVdlUWIcHhp4Sc +TF75LGolBhA4NRLCMPD4EM6G29JFcTpHJ/iV6FPd5mGSX/o5ReVKdzXY7fbOqeuFZ2CQOk30So9Y +Oal0mK0LKOLW/5dT7+vJPXQRweLGH40QAgo6t/pl4RNEyNnEqi6aTFxvvVMGHxrYv5T5zZ2vQIFj +l5XIM2iGzqQTwDEhMzC9n7QkI/g9pc+uMfq6ByinTybmsVNGq0+qOveBpHN9yXLHIGskZoNi+YDP +8tki0mJyr1Ud+dUNQ19W3aOUmL8buMzglFhqUq/qykU23JjL1slRbR/VCIFBxIknlIuSU9ZzjGJM +4sqcFA/9yX+2SK16g0o2uy8jarw8T1L5U5D4x0RuutklDcIEJtsT1i9tz5zBDJuGBRMY0hbnz6+J +lkExi8IRpONlkHtbQzMV8EtN84f9GbRi2rHcQyNGblKDSAdwd/h6nfAj+ZkHemzFU0VwNSFgc8n2 +qDrhihleExCl3XJdfp0hSwU8Z0ntzJZc/TmHgZG1cWxas7/EkTHNjqXTdyUOt7+d6neNkunAnszb +dUUPEe4o/0lBh6P/36CVHswrElfyKPpeiFOLd03KswyLDQhS7w98TRBv2BhdYOk9uOK1gNwPrkdN +3XZdqhzIdejYC6573HAtBNgaWnt7Jij76jxtXreBiCi9Yege0xkZ9He0ma1di/gL/MmEpEpi8O/r +4lotcZXQYbHVrVbA9ZSDN1Ra1jUrTIORhGYybP9VTarnWp9guNDMxtXdcNRCkVhtLntjNKXs/1fA +8IbfQulp8m5LqX7dDAgeBbxWCmmg8/kuxzXn+ZVYnEdLkYUefV3bEmfS2nVA5dBBxAeZrKKJ4eTW +RJrtoZf7lQnTa4wiAoJEbLk1ucU4l+s/jHt6mrtdoFXNVz/5B9IKpE53exBEZ9eC+3vgZufwX4Tl +eeETUTd+Rfvtbk7nbpqK/UVuugF9kHpcsgabgEf00Kqz90KCSMWQNYss1rdrFBRgStshdALFmKIQ +qgempwWYl9T6Z4IB4Mto99zxcS3AAFCLBQVYXRBFeLRnneMvj2QyIB9WgX5T8LJ9oMoBLrJusW85 +9oYniQQJwWMSaZt3wKrLa3lyYrWt3mxNeAMfEuOccRfI+6UJXL6nuLGbmhRDI4e+GTUAbNN+HIAX +J218bK6Wbi6GoWDIW6UGIJv2zgHt/HRpBB092sRe2QSz2y243QjJtV6hO7ve1C9WPWX/on+ksQiY +ez6YybO4TreC5JmkzDjEyNybN9btMBHOfZPiWvgK7F0RnNfxQf+F6ukyMo28pS5D4osbHduggCVR +kp5q7km3oM2NmdS991fQTGPWwoslXzEtVMVkC7Ha3iTpchoXJMeNxN9FZ4Gp9dBii+AUCtq/v6z0 +Ny+RQKmsDj+QdyoCQakxVn9R7uwgZxz0W3x+KvLHprLYTh+jOyd3/FhSHohkkOcXVf8bufReFIW6 +Z16skrqC3RNfeGzYYDsj8j3X1Y0sIWVVpjpWdAQHmD1XxyLnBgH3FMV3F9GFiQ0GpP8iR6MnGg9d +jSxfeBf1LCgMghU6uyT5Ckqf7HMhTmF/WDiSP2S3L1ycAGM825JKMV5KgGiycjEJG8waIU7HYatR +T511TH/5duGv8H0aPthCtpcXLrF/CxawJLRNEz0pH1XpvjB9FzodKq7O0ni5k+rSARxzM0OgREU0 +fQjB0Enwh87Nq6esy4tBV5glAACZu+V9jcTTHUBo/IQTkoORsXSQE6esW6zlBwzH106IaINJ0BPb +WQUX65X7/k8ixXs0RF+grroncZLcvD+JEbTbx4ZVyKQxanjhIIyhCAlCLAPNIMgSgOMrve6uX2rf +RZt5i1goAnMN/7EL0e4/uZC5FLN/dn7NdhKMDcMsRZzhMIIFUegpJr7oJgQo1pb1//tP9RWqAMLH +d0jfig5n6DMpEZOLobGyRnFW+71epsjRR0dQdNSFy/Z6LKxtV+VG2ovH7s72iC76Rd5/2kS8Vp7q +jb4ghxZO2AxHjNSBgcXFdHaWxvXUMGdItgBS7dol8JhZyT7y1U9dYz7fIq9lyfxFYVjpFB+Gzjrt +c3uCyGT9x/cmyx46LGw05ToWP2tNG2x7Lk2hWOmLQXbnl89D1EmYClW2fyEwnAe6OOpoLZINlyuL +R/ADrz5dZBByUyQDzdp+sLUfzYvG7fgFYuSquy56UKuStREUW3E1QwqRBNFJQQ0LfpGPcmCHjaDz +pa7ufJLl+sCE9CVya452nlvQoSJxfsI3gz02ED0AE4lbvLaILWyNZfY8x8ziBMX1/6eEUhvOvY/G +XTEVEqABKiBSKn6+cjzA8PqJYSmI3geFPGHRlZSaj8ThUQ0dr2oo3mzWk+B6Vt8kdFNXB36Dy1N4 +y+yl/U8OKaWCMETvPKiMP3qwj7NCEpKES8sRnvAmAN6F1/6wF7dSXZLXb1UzsTyRauUDxTiDA4A9 +XSWuRwAZWp9ouGqgQurDzIVs/Bvjp+21Sb1Z7hb2Vr2Dqpl5kxIONVO8EFcdnbn/2WgWNidiwVP1 +B88nRSyIKdYu1FFhXAEsGKSIgxCiScnDMnwfy4afpUFmGKtvrrTQnk+tR4THkZrli5w5eZ7WuJod +x6usFyY/voqqiVOhnYvJHYZzsjclAe0kuDdUPbmnUZarDA25KJRY1tH3K8KWQqBXZio/3kkKXkn5 +Muu/dF+NXJOJn3210DNO5BzeMDFBUw967K82EsPllk+Vis/U223M/fyOfz8XMrpH6hpE6KrDB5H+ +UyMdxD7tg79D35NNv3KAObowPA6y/JZoDf8iAhUYtt+9nxOFb13UjELgsbT0t/UdTDP3xxGB2xR8 +Nq08rJwxOOnIUUc3TyY+pnk6QX6F++3DbaTULbRVQJk7u0gDEsMgfb2J7GMAHUpDxH87fKKDjGuI +DJc4utfIweX6ztFdT5Avd2JLdLT6ssd3BnV4pqzowC0b3thbmQRTcUwMJox1tc9WazcZ/lPwHEJv +ZhHFiUhsHzw4U7HPkofJG9GdNqX8ISlMJO3vCmE43jDHjAKOhS5QpbFPTZewy4uDG2VaXVeWgezi +kIh9bk2E0pzKPsm4VEcMHsIMlg0AjYBRU6brtCVBnb56GoGlNi2egCN4agKW9FP/+6upcdFJX+sn +urBTCN4uEdt9yuwHUady2bBEjG3lcCX1HTpffTf8LTfcvUyURGj8talFlAqswx8u9DeL/4B8UrwK +bsWBbMhS0X4A31oYNz2iNCN4OexG9jinUB8656dMhjyQe48iRzzk9J1KuYPv5l8A5rNXDntsN+FH +TfAMr4NAjqml9H2GwkEfT6FKGbwxPVbl7phQegJQADfQVc+9cDgibMyM/dvXsAbQvnsqlCBcyudS +R3kRxL2XHOgQMxXiFzCksFpriqP4DGF1DcgXswCnJrUnGVE41ZLKE+3mZLkmmybmAd6bQXYRI8eF +3BFTvJh8RUZAYGGq82uDJO0uDr/lERQq0hPzfkAluW2FGL8YtdSlIou90Un/jzweWmDGTT3bTrRs +4bOhP1Rx/a3iqA4LkE8jfgVN9VXB2qN/V5UW6759UdLi0G8eLurFNg+g7TSfALBrgt0kR3BjGTU3 +C4ASsfwT0NemvEUXt7RHSpJBPDhjYW/BKA3EyUGJWkS08Se/IoXmIxYqpj9fqW7G0FM9bcr1hBrj +tQEFCu+s+KwhWVzWp5f6K9+wR9o34CD1kwlRnPWBN8RJIlGQ4R5hC34GdIgcI9zpL4xm3PD+5YGa +CcStZ9d0tEztIfV+F3a+ylDwhMrJ9wHkgaCkou73zaWh6w9fWGtjXbDfYrJqEVTxBbVqqK9MooA/ +bwKu0Ps2XNCMyARPn5ZQeeSIsuaPfAgf+YL6SCLXQ/zm5q7gD2CJdj1Bk0PxEOl1j70jRDByGoQP +VXGBm9Q0jUjhdzyIsQrBuRcObEbu+9sv/ku7ZZTiqg1ZVDKcaJzDEtIjhQgoAkwFPfwcyziOPLPq +vWlH1XxhFa173DHAZFG/lc7oKXTBjRka5zF0BmnR1hlHysYGI1gwvXqry2PsrpmuhABkKHUbnKA8 +xeaQp4Yr6+DnE8sXg4PqmLd1bUx1UAxMYKK+2kOV8DTyW4ff5nxUIxaZVN6DW0aeh/hlmbUkfHRH +DGiWy6MLghxS7dwNB3F3f9TI6xzDkgDwr7vAiICuH/pAG+8bQadP02ZK9HqUsSGhPPxFjMf6O7W5 +BLCjIgZyTKEljXpxzThxpGBjUvjVX16N0oIQ/6iIfDFUTQmv0rhCz8UERTlqQWMtkme5pyOvuhWv +Oo5WF4O80IPljpytfjyXq46HvlOLaqotnr6MnR8BC9eqlvOQfZ3dWKhyvhRqHYMU/I8ejwN1EaBo +Fz7sy+8XGbrDrJ/vtwhyabnvunwSXgwVe+ywUxeRQn2VbzQeDdfHbXDJUhjnVaJJ09+HduJq2gB8 +o5JhN7egBCoHsaM0SXF/DH5JEeccGX4ZG3FesHlhybIdvei4J8+UpOy0T9U8LlZsqJZiG2+hAKwe +JSKwSfgp8vOWoLeUW0/5uvIqEE0BtAmeXLYT92sVr2DJHpGWckEjP15RQwlGp19Z9GbRpAHHvptY +ps9ym9P3aRIXeH0ywVPwXfhhIPq/Z3w161nlPiDoEuWA6MCsr0TZ/wI/jd48eKiV/URE6+L046r4 +9VFLmssKJ7gcT3Tf/Y938EgwVQWU33h9St2pXjUUt5B1XOQOvLRi8l7RXz7SjosMuDirRE0gD1f3 +eUdlEwXsAiQwceiGbhZr6yTddAWOb6JNBKqdDN9aFY4lKDiPe2gQRlf6b72xY4bH7Oxi9hPjCsQd +kV4TN97SvkOjVddM5Q9t6JUbX63XgJ/GdHpJSvboTNnMyyaU22gVVatrTnjFgqHdfdaYOSMJH4cX +BkDOA6L6d3/Uq4uT3fTSnGD9lP/U0nhJkdMUC/2q8qd/wyGjvYfbwto1zQPrMzc4eXVhGwzFBLJi +LYKck5zFW61jrN8PtliTTbcoswPT3f4Eh3NQfcitZbjBE4gJrQvvjfWN3NCWXZCmId51rRsA8DF3 +CAgr9ntjlbC1cTWrKJ7/HIgrpi2tXpdRPZ2dVNfOvTYVEU7mBpPBUIt9h9geURykEBXNQ18BUKXJ +UwImWC7Ugh4/jy/S7c4O9GoOLvEzBUjrQN96MQWQw82V6wFJFwr91L+7OCG9Z5ahmR1AdeyGNvGK +kCe08Uzk+INu8MCpir08J+W+g3yg9DQRcaTk9oHfmRjkXknC7SV8TxhWAMqzXNI59xEA8mBKk9Sv +4VPDdwW7Izx62M9lgUJOv2OXgt7qNwoDav8WCxrRYyFIZ6JhcNh0yD32H0lwm/JdIlpJ7arPCDNf +nupY/c4EYkU5faGBH3hHHSYTWnq3A/lQMVw6NEfdtgf2vGncI9B/35d68sDhRgB/nuAxNzwawOKy +S1F0mj7+PX9SE37Ildht/ejwiKFyCXNTWm5P6ehQu5kHGzGD7wRIezKVI24I0oOCy5QooXnJOvwc +n1XXWNjqf727Pr41x9BOQhugF7NKao0vdfNumdFfKO3E3sXh0SCT4vja2ZP6nECT1UuMrU/9SWAJ +WtDaiSIq4XBDTvh2OxgK7GtBPCcPgV6DpNM8AccrHHjJ4YXTUPVq0Y3fTpN3zZkM6jBDjLDlT/lp +NM4291oUo1C8U3PMCprbzgKfUU4zSWlqw5zVaJ9Ngs9xJlxavwXE+ch8CTnZXjzZfiZW1Pc+KoGg +4G7grEPcVgW3Zfl6NIjBeaj8v+1ckiYvhrH8xOrKZLnNqelNUifBv7mibZEn4CD66b0+/PgeuNaT +xgvIDswlgRsoNUms2UABVwipiFHBBIyNVYBnu69akRUFr3mTL5ol1+Td5N5QNlrFxICpxU5oA2qc +iNmnvApjZnE3vk/P/7jLV2jXFTnzsRMNl6ggSWBVSWfVMzWieC/uJgEqXBBqhip2pFvgQLrIFXtu +5k8veCrPBzaAAJou60lpb6ZYhYRZtujzYFLTyA8PeQr9ycNGwAVx/YO3200BaDbDfWuKoqf8RAET +MrHip4+uKWHSn9nGDd79SlUBWN0CbNXs4BHmVFWCCZQvLznsh7AvQEC+P1eGsa7XRWMhK24LBKjP +U5aoIVHqIPUp/bt0D02ToHmSio4NkLi+mx1cMHiShVljRnqiUvvLvE24vygqWoT5VsDuYz3cYsuN +T+jO/5KGIR5A4ThjzTtFeKMzh42iv/ePeCJcLoD+rgionO2w98sZy1WmwTIXSvIv0E5rZvkroCgG +EJLofE8pK7X40plViTN1ns++fcOsUFj6CP9w7HsMQ21SEKTgkSn4UQkMJIjg7bgMkkvExj2nGbLF +pCbpaphnrDPi5wPAkPf1LR0BLqgDE3c7sTjSg6+DpwXIrJUW+hlO3+R7AAd8WwBEYBe4rErIGKbG +WU2DCTjetlrswMYMefs7iEHcWGoAttJzvngJcFZWxFMRC2rlBX3qrHUcyGNw1OVufLLOG5z3wwsl +FwIuzCEfm8Ko7IErDFTrFbcKbVAH9vFse6JBCckJ5bsOlfLznoaPQg3d23g1QJUtXn2OVs/Rky8U +YV9AqXnF+K7nPuEW2hcNC1FutlYJZH8LIh6hKUjPq+tdIyJegSFc86EWiPvjNRpY0JvbN9uiHmC5 +PTAK2kNVYOZHPy5fIY3UugvyKYs7cAzQMGfD+ilUznaPS7nGxWAYvuhiB7inDj79jl/2ML+5SQOf +HvfRLNTS9r2MLlh1mv8OLHpQf1fSyeCFS072N2FmOAU80RU68+ywR9DaF5Aelzvia7twYj23je0d +db4XnQqjluxk/RLf7pGr1qbN1rtjrDkPd4GOjd/RSTIOG8GEmkDKV4Swx9sFrWRtGKM5ClPZeeA1 +EQPQig0B7taJ3iCnDKK7u3ojynmdKokEtIXQzIt+VJynWekZU0cYNGjt5AQyOR4Gqz7aoi+GPNam +jmhuZVUSyh/ZSlGPmPBsDFwL9sVcN8qR65sMWyEOX8IDsba0nJ9A0sPz5EyxEQeyk9+J/tysMO0w +0c1krm2TolYjYCkt2cfKPO7K7ebCWXHRq8SAV0Z9HUUDMI289f3jvSfFei/BO8DsqKKFpW+aDN/+ +u915qiO4Lv+LJOjrxUAhgMc0bu7iKpeXj4egCc4yB+y708x7apXtUaNPMqSNLlHpruRKSM+DSBlv +GhtZFOkfogiGCcVrPOAXGq4/ldg/vzPmDHyiSJFKw9N/YQm6rJq01xE2Fg7K9psVOFykt0A+7ef/ +ZCpRlRr/SeUM1iZkih08vGHjzNssL5aa3FTLcRUASUlqN0DaZrQKtvu5fDhNGUEJaeFpOLVV3oyD +SrAwglEZoGffI+LdBkXC+kK0L+qhB7bQZSFEzA4nqZagxKcYToFGBCi8rMXqAplDcVBq/AouctYv +5nlhgudKcrBkP3wF73hYhwO7huIJ3jeFoKdQy0NaUh5VQW0kho9G9jAWrryWc5dytaC2Yb4soEAx +RpuJiWrez5jqMBMReYnXCKfZYf7L+6SG7jdBDjUNlA/8Xx/KGy8/YJPT/YHp+wIrN+jYWgwPmftd +xZigMciNnNkSfaNiqJGyfFlWUwd1hOrhYvILRkD1yGKld1TfhIosst4Nzz3AFJmoYG3C8oVXrhpB +xpYTOHRobNSEwdQ7v8Y13U7XLZF0eEFt4uF2N0ShF4VPcWv0/ZoNGgvT5lblngv33eYt94QO2Abi +NGJiMJCURycKlP7+vaI6Khr7mlkU6pBIeTmH29kvDwnJB4ange+gfjiB8573c6kNOuuDPMd8GvlL +CGnzBEl/pcqDgRuW2B4oj4Fw6IBecH3Jd1Vi+i7w0mcVNGOp0smQUX4FP++RF/ikB+HS+gZRx9hH +/Dp89WRYryHqAD1nM2eYJojTtA34aVcnx8LC0fMpJBqAzOd7fe9j9S9xJrYKSy/j2u6GbLf2hEaW +MHEIPCmFR1ZePivmjyF1GwwrVlfyzxsaDlqGQDPxViz6IxeUX+WUHeHZIvZhxfhQk3rrZhsin7qW +oR7QlkugNB8xDX3pVKBr4UW6R+GYjGCaY2JwqeqiFPP8V1D/tVULcDkZbSRQPwEvsAHiQACuH6bI +TuEZM7NwRx0vduKLlc4Qbmi9k1APxJJSispkprFaRfP9BT35uBW6qhtHfdEVBg4gXpx/0yCZ7Cvt +SDOwI35T87+YtfR1MqPLHzVG7oOHsZwiYCCS/0aeb1liM+oHXn0csz7tbb4ndSU/nPx1GrwH5tZ4 ++x98urjnVlKMgkHZTdhC17mkm2Whpu5W1Jxt/kajfKS5zG+XpzsqSTgxeyofZ1u4kCqe1ydMWKyO +hGYDdUOUjLUPbN+s+Sg/sxF/UMP2QLZJyf/gWOcVWtg2ebLnxq9BXpI2Tki+fN1AbnLU+g2cwqvO +He+YxxaY+z31jbFynGDWXpU4q5BxmLpNdO9+9Y1tO4SizqfyXMmDfJaAI+kF8HZHdCeGHSuxq/ER +e3TdggkIbcbGHW8zqulUQWK9E7tmFrJE6OgGB17rJqtTp7YAcTd73QioDesbNZV09vfTnP5FCEEP +Eeq+QaEMEcM+WF1V8nPPQ0MWx77fjc8PG757ibSccXU589F7c5UGLZk4oYH3hVc8vre4JazwDJlZ +uHf9PAQTc2fRQZpRIY+9gNMLZRNyn7evOmN21YJ6WYW0YHwKo8KbQcLMghUlAUqulINNim8Gnb7a +MVNRn4i4gDS9Sm8RjtziuMIpZRKvV/6a0ATpMevplmom7xYtY6Dd3UWmb7fT3WvcHDCkpwVoPQyM +UvebDPQTNg9oQ7eZhjnkFU6jX4gPEmKvm+HL49TLBMUliSTDndChPP5W/1uCogbXe1rAITAGaGvu +5vrVNQE0YqOhMcEx8KAu7xRfmQge9jG1p0FnK4TVx4gkkIFxf9XoK++RMnPzPo7qhkoj5tKCzTl1 +mo/bTJtI/nEcyIh60duUKtSOlNnQ4R2fDQPWVJ5Ulzkd++bMVNwEhM70hK3UMsuui0LCG5ZgUKVL ++4vkRmWZ1U8tffzXBHCNMKg+9uWJgt8ixE8f7dnTT3qjZqVw9TM2sOt2FOXG+ptJcazRI7PncRfL +HZAogRKRgdpLos9Z799c8jdmUulmsRu7hr7r3NstsnTHAYkwoBabvaZ8lPfHQfyuAPlG2ElI3uZs +gD9gIBxisYQ2chww+Dx3R69RE52C1tCK++k+h92+eOjz3FoXnwws9lx4smva93EULxF37J/yH8q+ +XTQyMkboUEfHlWRg3sC6BVp8OrZa7F9jq9+5pgmHoM5RqZnS+3hOYxRa+YVrOusT46WayL3JVnXs +NxGuXd4qP/Jz9EI9FDTTZGsiy7XOdlP+2G4aarR2W+hPoGkgackuqgYEjKYYBLo+M5kD3IjAqg3c +yBM6W4ItrZd7TvyAxMJCdwbAb5qpCg0xxB/E2TYxeIwqBmw3KhFd8zyHTtTtpEaQtAmR4rLZqix5 +tnMsIz7h+9a7RIfIMYFaYDJvqt5pgNQpvpAqtk3aepgO92+BO04Mpn1Og9vFRRcEvF0RdSLnf4g1 +aKwNTsrdaNcY6Q3PTHqBucYhST9C8pJo3VcivHdQVR7bMpkwwSSEsulc/bxs+SNMvmUi95drWJmI +j1AZguxzOelwVtOnr25F+51PiSyySaHEz7+GKCNXNrHlF4Jb+ZIceiMKBSyI5ml0OuroU3NOiHHJ +Cxd/YXT+IamJr5wjUA+IyeAYuzFStYrIAO046Opquo8WnO+Bjrs5pBdEBbCnZJ9oWvNKB1ks3vkn +R566ejDU/29qsT40AIVzE95wE34yGC1RrUCqfN6G4CwR5rvfvxhkU79WD60AniP+1TcSdhZiCseB +CJtCb83OJmzfyqw5gNj0I/3c4bQsvv7Gdu47UqbhZARYHuRsSq8zu5FjonOK4dC0oUG6FIcYDwPs +UMMnL2bw8XlngCkK+PmzSyavLDh+jtgZOILwLHmei/XDM4F5kdhoUWIyib6jFGSC49DNPPIJ2b9W +EYYQy22wEYaU24I4XURTXEo59BnoRalEik+wMqsAZK7QoHWrAydppg4ZHqtQNzwJhSlzd+vK6dJD +90kwpiMkNGpwwvmHZF1N3pxg0mqXJehMZ78PEL5ea29ieeckvvQGocpAqd4/2vaMsoGnmGX8gBeh +6H+Qu+dXMQjpRloX+lO2SuImTCUd+tlQ62YVjtc5F1tUSGdxe/SKBbb58jrRc8FLkSbyHpaguNK2 +JEOcNazcvqUph+SUFVhauIEmo6Z5yK0mwDoQ8F6VyRWHuWtGxv1HtLVLhuhnHTKAdkICrdiJx0+b +P6rXVFRDJDYaVEjheS6GkDsw09qQB2w6FMmPtmjf0D1j85LOrj5SF5WgMLJSmxZ0QU304wVN92hI +P/j8W6b/220Feprrw9Iap0laWDv/4QgL5bqC9xb3Rq677LAArTOnee2yR1SnA9zrkz+TvPklPGIS +BTP7qKBolQzxiS9Zlt7Htu5H70nb87w3x8vRUeAwjMgkzgXF9muonD5jE/AyMACDuohUU9iuPMGl +GaUEEgD7e8SDVk9vDjKWeq/3nTUfFNsNgPr8yIVAcuTXUEhj4F3IcEIHGJGBhn0scmQny0zqkMUh +Ew1T5lXLgSKTWcVK3qDo7XeNPj4oug+jgN/3ve83ZSEXlJiMfjs6BI9nP2GQiRyjvO52AWOxXRMu +6h/Gd9ZNRBhgUmeGXDJUzoPxtizHP3U1evOzbMS4YcxundJR0lJc8FGXl1soRmL4XQqso1ctDhCL +RLOd7Gl3F+kcNxYM56FKE0EijHur3SD8m3I18P3NE+lLT4s3Qfz47K59WIEL2yeVLGlENCtaTWc7 +G5lAzthSxNM7zgRdG4QmnGPAG7+ugg1Ne1k9dF+sGMkEXukU32dbOvNwXV5hsIChcyJHMSA9da/1 +r5bd1fCUoqMndCy50zyHgsvShd5U5nzozkqmqj2MiXJvDDfbeR8PPNYvqgKP1E4aHwGav+Khb5Ie +FONBw1ia55GfUF6qUnpFE7GnKP0dPjmMpm47ixUl0zjeNKByy31v/bEb4xa9oqDlDburk6cPyH6w +H16+tNo7PzYmfYPrpUQ0X1VEISeHqsJ9pdpyiYwbGjacn+/+nRO3g8whkJkhg3laPDzRY3BE12Hf +GgW5N0YKoSl5YXTY/e+eGisi4TKD9pztZsqfYBtb8/wc/BTkwYd5Xjot5cyFpMxUbjM3rCdMo30a +IZLa+MRbIj3KLbHz2vA8MDtjETdTg3RFzXgbFLR+19UpYFs/4hJEAPK/WN/ZmPqWcGLPtHYqzm5V +uKyK33Gn5FIvJIBlkDB7fTIiDk6+M6pK0cyR/FOfRCDS2ZpHllAWKwq2OXMz1a+yjFPEe2w3YihR +wlC/QYIvYM7etp8yMA67Drht1GTAw1ue5NRlvc1RNIyFlbTX0870pkn6g0LCYnwjgUY8SzF5rzIs +Pd9rtfLVgKnVVm/OdMTC+hJ9jDwy/eWnogoLla4G5ESA9p45udDqvTnN5dXMq7Yv2KFrZE1D2uqo +HXaEqpTx17Am3TPE1SQlrwN/qXFlZmF3RlFnLDH7t3NpLJya2/esBDbjL3nJ2rUdqjzZtF66eYIe +g4KisP0aHQe3Ie7vqCbBzvUvSWH7SDl3S5rsJSoLoQDpmVF3+BnvQCSkUFH+djEp+gUiKbaCaU+j +nCxVccSQqawl6bPSppIfGFDPkpGd8dx3O1DHIhjUQXfK9XR9D7JvJCbDjBPGO7jhgV8p3bn8fHb3 +I0fJIJRbfseES0fcXgZs4cWPC0TcsDOU3oiJmbX3Llc/yPy5pfRl0GUlMLXneSKWu1v6KJbD2SWA +hqDdjr9Vd62k+rWhWGlq/dVXv6GmpoViZZtFVN7koKF2uicBJgmlGUGPHQP+NgZ1XN/EC1sxynzS +0O50ozuTL89OQkiPNepBYsb6qwYPhkVEz3j/W0oyaRVBjQ3nrHdVNXlFpVgT1eio6DYuUBDahS7F +cEWvZziyvSmMQQTIShFHfU0unfCc9WFdSNUJ1VNeB4IECcRlxxulXisFY0e6UiGOimJWdvg7URQ3 +jJ9AflW8pw+XiLzi3IC047TP3c3z1huww2avgc2NiOuUBc7NJiFhH29dazolLKAQT2qoPP/tS535 +hS9hREWDMKiXLcL7X6ME+fDpSbpaaOQIQn6LI6CC65kXB2Lbw3KrhO1cUZ/f+2ZgE1iKgjsxbDOw +y19Pa6Y/q22SLHYg2q+72gfWOieQtZKprPrRV5Y8QFVcYE272vWfhgGxWGj0o7FQeGiAUfAPDF6f +KLkM/DSSV45+g423YSMYXcd1vZXEgx9Zmxy/g5bvQHUAsL05Nm4Sx2/KNDBISRWhV/rAVhQyBwO3 +k456gcDdcSrQOzpbTxyVuzqJtvVskZQGWLFTdrXXVznU78pU1AA+Ahh2hv0LGsvQJy50iS+ZvTZW +eMfsxrsq37jRvQqL+u+niJ2gex7RdW3Uw1KpkefcujgaWvt4NQVZ66Bb2HrLDfFLlXCoj9OzGd/I +UNWB26NDXaVkjEThLngx5NNyt1iPO/N1pWDBX0dGrDMckQG2u7lElEpb50o4/v2D094XNmF6CY83 +ej6zlJCtenTpkAoieLF/AbmPW8ZpdKtPwrXz7jYVzj63NYtri9RB1v19E3XwwmhJe6cA30pBhktG +7M/inr10rcAzQqrGQJsO+7op1K2zyiSBDQulATvAMgklH3BQMSvGyc+gBKGkdrWmDj/+QdDOf1La +MQATuaNjWILi9Qg1LTqFo244d893Frqx+qmTceYU9zuIEIFIRRa0iyOvxW7jQ0CfNlT7fmizyTmC +R/WBz9FlinDTfpSN7NWWJePDrrq6eyO27kvEySwBks56ul6KaleRMSs588DW+AdbibdOSwfb6wCY +m5MFRL/o6zrawxL3K79OoI/dqDVTh8H/gAffVM47Mu6KWxB4H3JxL4QjL8NC+IaPUb3jsQkBYbNT +Mnnq+XXEY+r9o0PKnABl7yxxF9vYfmFV/My+Cu8cciy2X2CEQ43DkWQ1J2Z0w1Upl+E0JglWaXG3 +uj1WtBKhqLcYCQfn7gffphCuhswWK440Wyhgyi3o2ymfuSmGZalPbhQj7bLuG8551KUABlnqhusY +n5W+kVGBD4/BcTgun8uQrweVRchTJGzRY5rMr29Gdj6DxOwDEQZld5cNb9ppLa4Wt0VL9bIAulln +C3a9+DbR9D/xJ5LZj9wo8xu7TzsGvmKNsDKYfjd9+aJb219B/d90nyiltVHJp8VzZlUJ8V5clX4I +9jvsFtsy2NluVE2shOlZr4njFLo7H+6Z93ffiT0JhEDZcXYWvXxzI9TWNgV8wFuQo9ksMWpk5eoN +ezGEcl5jbnFEzqYyG9bFF/5cPPNsQOEj2Lr2ufKTzlEcy0pX4u5CE6KlliT1nQyFZASPUdDGUqq8 +SX9CTiMns6/MMp3Zug4Gl7VCkpTtVsl/pVqXMEO2Z7L/eJcNa6Sp4ENSsdljiI0kEm9IetbNZHo+ +XWHoLfGkUE5ex+iRPtg0y8s3oC2ydgv/IKF7/LeQYDIJJ5uywTFI+ov1BpILnFanxIzZ3/+z9ued +rNKU7hrBof9PKtuUCfJIGVynV0JwqNP/n2msDm2z/DxYZWCleqVfM/Pb1we8E2H3C2D7NZDdU1V/ +CgHcaQj9mJ+S1rkm/YBG0mSookxnJYlm0Lb6Fe1a2dO3ShkpMx2IwQ572Rz/TsXjD+P5JE5paj85 +32hN6fkYJMEqoLxqF2oJsXmPY7vE3ftsWPRzNnIUe5t3MHm3Nq15hYGOhprPk/WS/V7JUkdXYbFQ +0uji2+OS2iM+7RDhMipMaD3vqrwKqYNzq1nbOoFVTZCYkqrcuCz4dJhihh63M6fZizadrOpbLMIq +ydcgcCLUq6m+Hb8SxsdokW7DgeMLR4K7uIKS2LECVxb2MgrWKHoTFr3BHMLCpFmWshcYXKVPkqu2 +MIU9We/+5zJofPtxifS6wugMBiRBPjWqTzw9I+4HhxEslz7C1KpXGLpQR0+f1aWjM1/3/bFUHaK9 +CF4tF7GWPMz/A/AAzOBXxc2gtm0RrrEc9Ttl6qr2AbOi+IpL0nOh+n4QxGOJQTvomuELvECBeL1V +5pHkjfa8NcxgjKYmJ2wIl129XfctkBlRM+AvT/gL1dO8Q1QZI+oIZOBAjOaN4tNyglyQV3ZEeNt7 +GLwjhRb+5rBVJpg1FfWAQpleMb5XQ8XFtESBCNh5/TEwQRb5I3GdRCxwgs2WpIwMjxinrfMKG471 +fLX3Ic/X6VOWFXDu1gdgcid6syw4Cxxh44sh2FB8cGUJNweYtdzbCRn7MGuKQk8XJaP35yQlJ1+m +7ytAb+QiloKY6C4R+PH2i1TOgUttRnmLrltAvfU+ooLBIDGI1dAYUEGrLcPeiNZh0Dz6cho6XWpP +TD4WuV0UnXu46fD2Zl/1cNCacPLNAHuHI4Ct8h/8X8mjFR2tqS+rhXTwMqmNOHWcEOVKhnV6UUXm +LaqnZkRsySwR6lKeqk0NRgMupo8YKfMiqc9CIBCoYT5d1hnorv08JEnoqEO3iWbSZArfoZz5r3ZG +tZhau/Ju4TLlOp4E+/2dpgekNFuKNo1o+mFApxn4tJmeu55yRK7xOX5Mw9hJcYnWN2PXd+85rqMm +RFiRbo9rBdFcMg8jxUr7OK20imXOPgcg/lZkgMGL8kzRsYatblD3PU8BTm4ob3jLwoeD315NTgtC +tJTonwyJ5WEp4TOrhSAmO9GyfwNqjNTeVy2fvrlx2NqG3JlTevYhKPxLvD9ZVCI2JX2wbOW7JPvC +2jj5f/sVmPuuJVAVQ7YY8bTMLmcnBMOgJeajro7YaDom3w66m+j+W+WIjrsIJViJ3itljpJsj+ia +bdQ1CyqyKCp9tpYVIWJTF+jkUIstxzQJHmOAUWKqWTKYtUXEwXNOLQrjWpDbvvKfoyQC7bQyT1de +jCT9ucJv0iDEXZ1YgETjYPhHmbFY7MmdzNRlRiQN4VGxYlibl+OZlV1oPz6PLeGXAIwlaF3xdzmq +KH2ypn4GHfylC6KR+MzbBuT9xuNs80+q2LAzpNI5Umxll3aHpvSXrkENV78Cb2DC3cSjTSNYwZei +I9QRTHYdn+J8LcYiILAhewX805Lu81oGu0SJ8tIUnRmRkZc8vPvbXKkX0lbGaywAk6sTxhd6pGOC +HxNzgbaYn1QTxH6I/nXJ75eR9LwFiL/ZBGPJngE9qQZYQXdo7/4hk/2mnq+Uzs3mbyux3dWe/Ec/ +9gMInblclksw1ucE+4OyrFJ1sAyi8S3WbZLznSkJYbNfXVN87b5GfN43KVGdE8McgcEHXpDIbDP7 +rPrFGJ2BHELa5jBhSas8JYSKBiUYIh8ZMRXbPZlBTcoKj3FHYnydF7y1nJnazq3vqkFbXeZlMBf3 +GrgsCEDEwn5CjwRC6Pm+bqwdR7gcAqVYCyk3pInzYRVqcOLtg5u+r0vUZMJcyFTMMhqiI8rIXOU6 +fcOzi6XzjeY7nYGJLBlLdjc2aFYJrreZz/utDa7U/rpw+iunepOomwQEsNuJB3j5UjNcjOa4oCzb +B79dBbG1LScDb9GDCRVyiILkFs53T3URsg7gb74tbgawg88JWrJTAGwMRZp9+me0PMMqCf47X1Q3 +zTbBcd7999di5CknZggiGSA9O4Phg24CahPaYaw0GM3kJhjcZoCqctWKYWaIcX53+5ohbQdD+EG4 +Cp5mc+buwinhDsYNyYw3UeJIJxpJtfAoJbm18xygbay4puCf9qvxAJMdc0omcigTk5rOWyj5D5KK +GMEvzefiLvva4kbrRNQEv4tY1udOyw4Wp0LRTiBj/9n3WaZCFLjClXeGGhobRSlZVx8ltHFcCJQz +2DlFfdxMHIiYnXFNzSBDsMH3RSG9VfMFA/t3swWdFVF8eDKtWtpqaFcw1irT8DvTYVuf6avtbuN7 +BtFiYG0mtpcE+gORvKbftr6QhLhP9Aw9XUL0bb7gxNnGaJDLCom8dwqh705NlUSOB2wNfR1rb0PW +b97fn2EoIeEr7yAk9MQV/5fN2VyfA8I/3OnPybSvsP/UQjX9iMW8Bga18U5xnsvk1PwAL2bsLsnh +4R/LDHOznJ/HiBNUOaYuE/28blS3g5DVwljM/iX8+gFY1Z2/0I1Ib/Ayrm3ijivuIaBE3ccxCJaY +uFegiVk9XpCoqLXQfIeIKFB/pUD19L7/RfuIGK8EdV0+iyV0sGVwJZ6p2Hmojz8lPsw4tEvxs0Wa +4StPyUmAZFdsaut1mBpV378hMpvpzGGRtZtKV7ugL3mJRSB+H/cJqzPGTnBN0auntqhfYpQTcaTW +YLmoy/3vSHc7AcPJY2ixfjbZUKgeitL65OHS8DHV2R+3ShhpZ1PJV97IMLtLUzWGViQ4GD4wy83w +/AH3IZR5Y0haHPWP7MJLYlB1gfZ1cFRiOPCiK2e7ttJc84w2DpcRtniq0XYlPiL5INI+6M8SNc63 +ciBq4czpvTQmhwSZ3cq4MaCrEOm7rIJ6P3ZdwQ7+DPwX/R8Q5UoHaL88iFRNjN0xgQffY7KZBWbA +kcexiEPOjnpjBr5QCdzL2pUB1GK5u4Yk/oKxzSsuhSeGysmJEzcyJ1Qomqm5JGaY4YP0k0q8YM3M +SPDj0lhipwzWCPK+q64IxBlMgko0dd2BTNw5WZEhXLo0RpHJFqBip74Xhb/CECX5axAM8mwRANO+ +W8ku9SJSYGCBisL9aMOvgIBZStR0Xvl1/1CdoDNUdT89N/gUFbUosGe1tbOLyrAPPu3cEgsdspuW +GvBUoDIif9SJeS1wxHdNk0gDArr/FrfdvqAiyjp64putJnoSUKbZelNFBJ7SwMRedfJJDAgpOE9z +xChfKGPyU20aJk8Jp4FjoXoe0xa8bvGq5QLgt84SDYPkV8aQsXZk/k98T5i6DjEZxWz+vp0gm8LK +b7BvdAZWjtovFFiU4MAzUm6MCqboNyDGgwC+cGE/2CrFqVb1LSPUN9VIQhuCsOuY5VOnWpKZLL9q +H+4VNmzEGTWb1SqfiWoc+MeRPFrse0PkRlEcH1gHjZw8ipZ1lOSwDQKCnVQvNpO9yteILPoLhKm+ +Y97GpIzdU7oDd4H+RXBTSWJtxNJMPwAfNaoNv7kPBqLm3ITVBfsaU36DvmZ83+Xl0cgezXSK6aql +YfPTHhSamdzJopAtbBnXgU86CVybfa+8vCANSZhIC8zUVjP5rablxBfG87ha6newPolUlEOsCqC7 +3laQcMJZsXJl8u1EDJ/Sth/z3RsCIOP0SG72PI4Ol/Zoglguag6D7ZdEhHgP3URdUQQZuZ+d6XtF +OMhg5dLNyGjZcdR8p4xVwZh5meUQhw3RKnJ7qcdLadPozWsCUTvEltFybu2+xIYFmNxbNm9PLb4L +JAOqbAYldrJoK6LSxay7EB84GIebf51fdUjb7k5R6XMY+o76tnmivoHYyHpBnW3ZlKk29tqd+tNQ +zz7zDDq0doaWu1UPMHybzNRRhPdjQ7yXb18xmrv9BWb+F2aBrOG6/UUFtenj6ophPZK5wpCEnUAE +l09133FQcnmvYjG4+f/6+una2k8WVqnkOLIpEU3tK2Jda0F7+UX3QF2WNLxjQhivY+49WYkyiZt3 +SUc6/IV6CuHxJJFuSeDQYe2yLD8w5qqDaJKa5i4zltUgsKjQH7Yw1TlNgt8Hf3PV9l/eaoZUxZHC +fiU0pFFAqT486eECO0bWeC2hKLLRcse7lhPWSv7aixDg8wapLbHGXxmzD1GS4Te2XPjUNjUk+Pas +ObgnoDubnKKJsUZpjUNoiJkGe+EgFDFJTvEfirC38SQfdInz6mNE7OwlUIxICI7D6YqHLTP+Ofjc +rE4jiQ3PRjnqFvBvrpF2wdDTICZaQGtoqIgim/GIrGt2RGLcNER+R/Yz6hgOyMLrv11xTy6G+P2X +6LAAo96QQlWzC9PJkSZLluXwuouFEb4qqvSI2BmUrghZdjsQGvq3HXiFv0pB/aWW17zho2ddqIrK +W42dFv4qiTEPlo2kG7kv29ticz3Fd9D71YZC+dJbmV2/RWNxaJs6j8hCaxHtPN1kDQp+Edzw2jzh +/vfazu/GynHpADs3T6dcVUXgMr33FgQA1zKL3CZYq2VIKAguq3F/AcSznUjLuuyVQGq8Qii/lbRO +xbH/hlIrIsQxA9Jwyv1QqUuoOZwd7G+8ur2GI7Y+8IfeSbqeRaC5EWHEE6c9PzL76bNlsokeeLaW +W4+aS0EzPIQMZdAy6q6F882+Nf6IbxeL4au8TvlGWAbI/wANVJUbv1dSl9n8dT1nhEua5tkydpZL +W7y64qAuDq06MArD0w0rYdaUklSbSyZxEsYPdSH56Q3V2byhi0hPFDZfYBVAC1TgWzxSA6OBYmRt +Y1zg7cvQ6UvwD9DGZtq6OW4pXCKSv80InaJ7inRPN7mi4rmOg1NuByAv/w2M4B5gVq8hiD2mWXLt +zxYTKMZVTG9ClA4Wma6NG5MAdeb6kUyvBt5NWqOWxySbNeMiVITXljf1eKx+Cf6do1ELxWGb9kvg +VRIfPZ2a29WsKaBZJ9aFjQe7Z7lDTf8EhC21VnoHJLHrEegVHOu1NZ0RnD1OEIpBmaftXutnHyZj +3PuhGO0JPcJav+VtMgxA3kxMyUvt0iy3MfmKDJVdaQOTj7Mh6jMkFWOGV+Rb5Z/nVKNaVNSTiB/3 +Q9dOgzqPEh6mUz1jo7zjSz68FqmKdMJ763jA3qoZtZjzv1Kc2rSBEf7P38G++vDpw/zteLlBvfH0 +On6qCw2OuvfwjayOz9GYAmtlJM0+u2tYsb6pk8ikXUgani851ZOsuFOVRvAMa0uE4H+Fds5Cyae5 +WTv6t0+3fpNoe9EhTVml71WByDrf4niRDZCBtCgcVLUAcK0UP4UvXQaCElDQGcoAjVUJEyRPmk4w +6fmw4P1nqPFnfQzVEH8xrJGZVOi7RXoak+sYUpCeGbo7fHWUzbBU4SOMO5s5L6B8jeam97iwOnB9 +U4CFvtsTebVAST5dzAaIx+YhQAiHWDT/7rnkSA7n3oyTspnU5g8MeH4c9m3KSvUsLaPw0I1g6rMt +RZr7iuNyQtZ8E2dHyIJCHNwSAg3Wgs4NlrwruWmP4gxyKwAM44E8uVW/x3dHvT91qaY2v4nYS4Kg +MmZNBIFF3aymfxiHCvnAgLcKZPjx8pCDxMS5iobsCieA1UfrPbM5vinSGtNI36ZluyaeSHvpJlvN +GH7gL3oH16Xr0Ig7oFAU2yQslWr38npoxAx5D7s+HL9Gu2E7MvjHmfyZcYrdsvMtNbnkB+usvN5V +qvo+6PT3qpZLTGf/IFlb6A4n5Jhue17ZqYgi9FrZTQpLXS0+Ql408koMZSoI6rHAaN/23dtZBiMG +jCaJwy/iDsY0tzeOWvGgJCkBEOgB/qbfHZJ5Gu5AslJ6Iwuut2BOUF41LFcIvX5aiC56FCzehDu+ +9pVhe9NNHKQLRxQQkETpOpstSctc5tmof+PqUauknM1jmWRBQ60YeUz7gVGgkCLF2xMAVimRiBWc +WghFpUZshvCB3pdQSeI6jKWicQZwnGvfDebxDI6GSuqjns2T3CaXQvQ8NdszgIAVa/CJZludGuQ7 +wzBcbNJaXZDDt5OEw+iXw+OzbPOOiMT5G0Q+edaFu0rqkHKF2aCOhzIcl3SMKNo/sZKMCaQp/yq6 +iNHIusHU3FpU4XUeIC/EBIsDPGe2cNjLMD2WoYLX9eQh3lkpJTw6/MUortzvo+X+OeZnrR3m0IbS +6QAQ46nGs4hIeq5ao3cKW+WoprPXXoi+7yUPppujiptKDSaGaku08rhpi752wmlHSkmweCIlCrnZ +TSEz9WtnlgX//yZub6YRDtAW6eI/455EzTTY/RLG8FEPYIXEyoYdsZNVawaTQkCEfQjDb9cJ57r4 +pu97PjUiJWsJYmpKTKY9hFCMuxzedgo1at5oA608HyDbFeaWney6YGy1ZhQtvEcnh6WBhhKEWkXz +6evMsfzicIK6n9jmBWQ/09HN9wl9fpq9ImlU5TkE08g9YLNA7WsE6ZAPNMBFDQHeAL3tp1iwXq/o +RIOAKPMZ98iL9pjr3CNIBZTI8+en1Hs5hxLrESawYr6Mgm6HP3bmCY5LVlxD7j8Pg21zdlNI/iJB +hOrWtdRhY4DdFNm24NfdSP0Rj7W5goyxh40vSZo0n3ueBDn32q2ytY739nvWmEcmwevF3kzRT8qf +GNLFD+r5CAz4RE2m5uJqeEPtcqV85txdBw35WBIv+Yj2/LFbx6Q4M0PfVhtG4EU2uy6eDKnPv+yw +HJqKBRSgKTWw9K4sckG1mtnrIYwFAF3WYfwGN0Lb39umUdAl58ZuUr1sDTLVUd5W6lpYzhAxLC9X +gvl17kXN3UGToCiIKLl+QXYuVK3Th/H80g1DS9DaXKX+OI5yPHs44qVjoJHgRW4fqe0FF5+QrZ4H +IQ18qT7Gowb8P5eH7fWSQh2yozsq1b9a49ywOJkUCh98kB79v7JCYoDtXPmSHMd0cgpQ6yNfoImp +49Y2FxB3MStTSz3wpeAMLL2DQtWxIGHp2yQ34rwIByejTjvWMPKe3e5LnQb9NrDZu7iIadlMUSsX +FAqFv0AzkwoglXoYQzEzgpcpPxKXZtHzJPfgevvOA036GIxnUSQgUqzamIOLkDxga39dtf9JvztK +l+dhu4yxmK9/t93/TVCAQGEeN+AGVYeZ0ytiPu2IWRFpIkpZZsYe/ZlP+s+MeA7YGix7qmPSBXqb +bwC606wBVwmHQPnTHFrSqycnxPQv3W9gPMCDAM1Y8m5MxME7xBxy9rrP2bh1pvqi2gt2dMvl/4df +YriPSDyzQPK/YQ1NR77+1itbUO+mCQKL6AOYJAclrDFVZpj3dDvTBBW760i+bT3eryjJyj3CQhtK +IkJgBw4cQRwWUP5oJ+6zLKo+sNdMUXGRWsq4vI1I4Aoi099aY4yKT0vY56F+KGq2p+ctU1/z0Nv0 +bPDxXuaMI7J18LmRgqHTrHuy9dmCOwhV9H793Ch9duSldHHjaE56j97O8c6UnFEknTFMsY0Ox3q7 +w1loTNw33nBWNJbOzh0bEKPU6EWITT8PzM3q0eCG80TwqRCLfcdfOQ7rzQILltFCezJBSQjMb3ji +O4IbNLnH7P/QfnQYuaSkIf75EQUwVSHh7+A7Pv78GgWwhHXd8EpuNsurCvqqlE5FbOwPwVQ3Fify +HL3g/oyOLs7JeZKx47yKvYB78Hx/LgQDUgWNopLxKhK76NbNA0ppv0UWyhkV7ghRRZeaiGBMks91 +hqsM0eDuczxLouKcWdHrQsqfhDgUIXpG3GOTLD5/bl70fdHOY4qFlaRGD5ZlfeUQ7f/uljVjNmcC +V8OYHNU4yoIosTMa7qm5D3UAUYNNtXmW4OiyWHtkf32Z0M9CGYVOJ2OEYJiLG7rB19DIBoGWgisq +1ZawuGXnlNn34F/fJ01zdrgfIGQ2s1YSYOlJ1t1aV0mr/Wx6uelitc4bPYaqukVvF1/LXdyWLedm ++AWcZSlUrDnzAzj8YbkdthIxWfgWNGmPAjSae8E6gelcGN6095EBGi0qDBpU6Ewd+oe03EuBLzxG +zQiA1U1KzN+6Zi8Aov/Or2LRxR7mSf15O7eiwV+z9vBIutMxOrGL0twrtRPx2yV+bFHw3A6Lm4jc +EidaI+Qwmz3ae1V0aKtIF78xVafbFh6iLvdrcqirUUBLvIZPrGswAAZXLjCceqhcaG141I/Ytmdu +Z+0V66JuQc/QSnPPoMHZAApeXc10tVgXEbx/WzgL/d2c2qQ0jDEeYV36QRT1IPmS+Fqy7c9qGGDs +ZJDiVEu10WaLfUMq81jJKPm5Fp2ZHCEaei8WdOI9r3YZ5LVAIlnfxLqwxiZ8LzFX74QQCmnMFWjF +tcmCe/HzWlxxT//Fd3xI2z7Mq4u5fifqepcsh38V2fot+2N5Yy/LV9NKO+tQZDHaRplQhamvBODt +HRoxtWxClu+pUG79PNrUHh4nG+7rBN9skuFM1Ndz8oQfmp6CBP5eTPsY3Z1iu5TLtLk0MgVBhXIT +jrol63gtwPCnmLPMSvMzPelr03RgGZTHgeu8Z7Uqo+sxC/Pd1VWg+6CK8OXxmmMVUsAjGNel78HD +ALsJOXgpLaw3LZMjdesgB6+rnnDcUGDyMzaeY+LxL6KP9Glzk4uRhkmP9pZKpl7sM6YIe4n+T3Wj +7SXEq0uoMwqQ+KGnaJvueLoMd8Vf4/kpxjHwlvPnY8iLwFhpQ+N3EHwwC5IFmAQIRPtGwUBULM9D +B1GMrOPdStht8bYhaC6fapvQD5JrYQiN+pAkqCsyRhlh6tFLE+clNkLJGcT/nWawIu+nULInz9wz +LkLuWg7hOdiUA8rgTPs8gYy2kp7ZCOGdkc3L5580U2bIv82oSDvxMD1CgrvcHKtJwlh/7ve4Wgt7 +E0SRZPI4udsab7pP743w5Axf+DR09nBflwuroNiHf2tei0M14j/bjNeTJQgD8r+LeF26MFxScQnA +6mGA9VKfvYwbAv+ZylxEBfRlfaUOBLrh6PdXQ3DdaRY07TksKxB1YaFInM3Y3mZfqf8A9h+pngaI +yiO0EQpJGrfPHuIX81/HAUqaD67BTRugmcI8V6GrD82/uQZmX7hSVF5B0gBdqiF/7OLfx8gkMWCk +An0ZZsJbnGIrFXOvHHuHOITTTzF33BbhTb51ijcOxequp7goKq6mc9bAcSqKgkQguxRUmXUFSjfJ +npu8UqARG7vDtIXrXcJUBM1/5FEYjFG9SAPRDg57p4bHZD7+vVwswOS23Hhq9NTAPpzEtPOD4Yiu +aLfkTuqyd1QyqST5HbSuqhbjqtoHbdXoOhztWgk2jCxJAmv7T9BAZT8uCtCkY7Si2gH6ZoH+Js/w +IDYr8cXeuUALFniiMVB1SHBhduxM9YVtQzmuGqEXBgvxtdLzExGn5BVm9yYBtvdrxaikUKyt4+k9 +RCe3HWRJMjOSj2cIXIn7eThelq9GIySxrb0xv5wzNykt+2u9dH8+zmUlPOJWPcf4dQX+FkrYdflW +A0SbskUMqH8hjdeN9fe2XlOSQk80H2bGs7WjHSlgsDer4DaxTNZP8B+ry/53yl4ZGUxjVRS6fHJi +fkzq3q9vAcLrpvkZWZBS3QL7KyVbpe6NnpRuI7zRlRuRyEIa8w/XYVdTPTW7wEh/n9h6OC9v2Vm4 +qFw9IARFa1vYCy/NtA1UAD24DE3yvHAfGLlnnIFwo8hLzKz0yF9RYo7t76fKJABrH2bCuTiXzZdn +eQf3NSJe8yRB5+NA3xkS9QpNs4MlHNlP8YXQYPtEA5fA9oLuWGuvgQdapDRQqhPinh8WontHP4Kg +iyYof68qd8glHl3RQHTyFNDOVI2VkLQx1O61dKywMbNztlNRB9t/rfSYYSurRdXLvRrlavbmKPjq +L0UJfVVZPW3yTVL3py5mnshvwALxCD9itDw4YPbB1nZrgbLkMDHSHsTvrhyp4JcDmBk/Vw2Qsgi/ +yVvaKUc9pSnQCz+vHdyCaxzb2q1Zc2QudATuShwkBDIoPpxjFwmRx7ZDFROiJxObOiJDv3mgTkGq +cUgasVi0+zWL/AaUPXd9oMxntcTqkwdjELHaDr4MaC8Vt+PyquDhOvMu/RV8U1Wszo3DTlKflVSg +VUmS+/uZeJWhOn130AeqswFZG64LOqzOdkz9sQc4J/M5j4/UKzjfexcwRah7GRcvBppqxnhgJGlo +CE6XGapdXxgjfqYN6lC0kw8BFr4QgSmZNLBAmE1XELtbajELasLisrYlBwOr9180z+TS7+0bmE+C +ZdRlkyWDYlN7VylthiOkGoZk0J/NeNZvaqdFmF5mh3AY9iCvGT93eP3WtulZTUEKo5a3QEyw8XsA +3oHjM3YzkT0B6PBdgvBgeifSkwVeyHvjSbJdfzNA7Esco7IPAYH1GgHrq4AeCoi3mtK7QlJ/nUZs +hy0LPr/eZ0qL6IMep7Our0ZcVakzzUOnjUE4VhjjhY7YL5sN3f7of0pXRVYV1Pxb8nBuVgvQeL2U +8SbteFUKJXCPjFHL1EeiPTe+ZNUV6y4XP9EQbqK81mq/OsW1l/GorOj9wd+uMqcD08/Kq8SvI4B9 +pFY5aTmB6Ji5d+xPsxrsd1eC/L4f4RKXovFWap/kg6itpiM5Oj69uAhs83uQmYYT+cS5hx1RCsc5 +NifpYwWFKcNDmyQ2c/yDgVYPaNUmRiQqPxI8hzBC7BSfbwjq2Jb126rLZwt9Wp8jubIlrFpct/9b ++ni10710gyJu9M5eRZT2MujRmLtTdc/z55NLBPS9CFgLoke/YpSoogiGRKqFW7D7ppSCFA5vqi1m +v0P7TVSAfr1LFWCouISsDyOsx/udQ8SLmUnfPs+T0OKTR3CpcUVcR3dIEY4+OtM3Jh39D5DBGmLH +rv5poA4HCnuRiD5en76D4vt/0lI8xFknc+EU0TmbEE7/xg9j+nK6zEqnk3zfw7S3D8e/ICOGBzLX +jX+ikyxwXNbeSlpsG3AmicETWXUeBw6AEzo0R6qT4Mm6Zdg5XzZzQtCGj7pLeRCLQ0wmABgBzSka +SS8RVnP7oRSP6/1HgoZGF2gwFOPVAldep0UaoOp/24TNE6rx85X3hk7swLRrC1HOT8dLSdUbC++a +kWThQlbb+BeClttRMIgr72UPtNB3vQFjjpZHyhwnQRFw+YyhzrdekTdlwLvtl62msYwD/SFtH157 +o9soknh5+09TOcXzx50O258PmrXEvqhbw0qcYz4AIkFYw0WMW6r8zOWcgXupNROn0QOcvO7TbFLz +osjApN6xKUZ3vFJQSPLwF8L+0sxGGKucXDiuedY+Ci5favii2z8RUgiHEpRANzYHZho0FYQg7ftA +nJuTy0Akq8V/Ne0vXq5AkxIz8Ao7LSg1z5b89SzcMV+h2FquO+wFVDiDjPW0o1xPsfPbDxd3yjpb +CVtyDHosTOG4WAxhSEKtw9M30QQZoaVZ74+bQsLjeSfxy9DLeW1bA+5StdOe3i8ncdulKpmLI/UP +7UdApl2aljo2M5Qi2pbejximdu6sAvIAjRVCCKUXlUzRI1LOC65kHtGGxj8iU+swFdr1y1lNEg6L +1kYjKmHiVtLjwwaUFXX1Mokrgzh2ZvdWHSRUSh1aLETjQccowwSBktxn0/nyYQUVaegFZZ1TQYTh +lodgc7qD6IBmmX+eq6nozPeOiQSUSAcWCvY3J7tJ9JvTx+/R+/Xf2HUnjVs65QZjlNU2SBAV5izO +n8S/+OuCf1brpnf8vK9WAd5x5t9yCy2X7iEyDMiBow6pFb8U6v33XhXR6tUhFZT+ugALsJkF/QCu +uuAFhVj5t4ba1k8Oi6zBk5edJW0SLv7wRMYcwSh5SUklI7ykB/mcyAa0HKDE2mnooHgdQNUlwKG4 +W0m5xdzCyCk3rFlZ9jipnpTzAi94VVWNyCVHc6ryNW36pmXEv175m4W6saWX9/CTnCtVbNHXwZWD +Gy/uMa1m6Z1WjNPUfOrSB0g952/OId5tX8fnQ/Z8s7mm51S+gRfbU5N69neS2HCeMutilrFBPO4p +ATyAQ+pSkgy3JYyqGhnLn4QrJnelbg7iaCTaEghjH6e8aeO2xdWXQANczTd1uQbodaL0e7aAiwPA +cLzeFPpSI/IX+dKTCOwTIkb7lTuPjIySvNUmM3b+SWZAxCXs6YOYE1aXnDPCBaPIh8IXIPuUXYBN +YpaJGEWhZ8gjOVBfqzkQI4UqZpPShrTx2IrSx+XnLQjprvEqPE/WLAu5/ruOCisQjCyig31Mj6n1 +aVFLT7iGKJem7WabLLZv8nT4hcUCHmGwAjZ1LMW62HldeCqdyrwHw5HX0QKMn55Yp4C2Lz2QDDxY +7EutH91H7umegMM6cBgfyVvA8M2Hg98c7+aoy5SrfRmmK3m8/hPj9HcSeqljRnJ3uR+yANGCdIq4 +ujS8P2pqNALYolBhEed3HjUIttbUdlRmIZKFXuGZPHvmdH/+6LOwlxRppIZ9R6mwUrMuGdGEaspy +Tu64qpew/6Putpn6aYxxuPdg7n/7J7FxxZmraAsTbN5wbwcuuPQrpLw9zLvM/SyozMX71ZXMQCXa +w6ruVr6JUvY24CsaWJo/vgTfRsnZ+enm6LA29SfQM5HS31Z4s7bMpdGAaV350ck0uJgOoLyO3e83 +mHJM3e3sLXMuzv38qPu0aBZxkj1FVHxAlFZVh8OZLehpLF336P4c+x2cnMyIaUNWcADQjRwhF8LW +iU3OQDd5Bsk7+eva0E64Dm2pQgdsszqG8N6l9V+nafHR39sKubjrkzCkeNcEaqaXxcDfT3UVyPDh +bFArQYUnJg2AY1SKTdiJjVGdoocM7pLjP/1y2H1egcqfrvTCMI5T5o15xN0pPvi3dJQ4Z7+SIDgU +Vgvp4bpZjm0ZRuDIwRC2JjwBkuro1yNpLOoPwzAnRS9NTv4jDPLYc1l+voShevycUIswWLbvsUvj +3pgfQy0MSkCfhTN00Y7do5Ch/5+U7FJHMt/wS0sP1y1HYKvb0uqDjQ77rRPnnvWPnECjWYZHIbJA +oIG1OA0z+LCndmijGQWPQAGhBW8Gc1BbMeXGP039OEolLjymh1Wg+5I5wDy7i6ZjpQXlDO71Y6EJ +U1nbqBLiBmTT+AYoqzl5DcDTSV9zAzt8/8Uar1TArsbrViI/B74xdpQc4fp+y83++nlCVpepSFlI +imJSPCtyh2cMEOHBcC/0Ii4Vs8xcbdyHpbwclYmObzPX81A2rFHawdmOD5i5rkhzxDec5ysykg81 +ZdG+HFNpp+GuCg3CZB7C9UOFiFdrIyWscYL3ImuyB8WbXvY/cBxiFHyypfu/NJ5Poiwfw6Yf/gst +43/1tOyqo/crqgmkassRdu4tyDyYI9G6FfwrT+NGvkr4PLcCUA0BcuaJHRJMzBGCeFpfyuFjQUjv +6m4jfONAoaJw+ujWck6KfmPmWQtaKFvHL5hMr4CPCxaM9QLOgiuMb+WGOQzadybODV3ZmRxFHEP2 +Ep7Q5KhwDOIEsWpQNyk8e8YqW6YZyT56oATaPMUbH+HFLsww9YmJXs9N9x3E0fDEQOrkaT/zKqfS +y5qQKNn89OeYlQlpiDKHsUpdf7hT59tG3oFh6meD86epMCJnKar66YZ2IWwSSvyXxjuxoi+ipe33 +eYZsAqqmXSYD+sssw/G4w4hBT4ub+vTlDlclaW+lqoBDtNRf03V+YjpYnU6S5Esw8baSjwyOMrsv +S2NkELuMHlO6x0z6rV8Xl9K2KHRX0t7+J/oIiUxVFgO0Dy7pMqjUGnRh6G+xYHSmvcaaAN5wm0ob +TFPQyBsNwNoZ5ORQTfnBm5pqVp+AahCvrmakmaQXy9NOA2QuWdZPKJEWXkd/Z+pQYUctL6yZvB86 +XPXdD2n3kynjUnaq2cF7pR1ny/qNrKELZIoM5jtSmv6pXUIpxzzF8o+joaA/4szZ8Dg+V52UFE8F +qA1HbySNBkzYSuU/Z8BWIYUD0Fxd1bjZI/7lwLON9pJb0Udc52bq6HS8bOi+Ar4O0KURzsBanJIl +SCLQOLfzUfa5k7DSyxK/Ndj190fgAMQxE1PvbjfbXCqsPEAtoKfL45p76VXn+ezu6dI4kUHK2H8v +7kihMFuGCc7xpHlCkp4NhB2Ir7HPeVmT1zNhFHlPnZRPqR39VAyyOTG9iO035IjhUMEIeHm11A0F +19fYBEmQ9AmIHesmNbRyCW/FwZUmNz3gAELkUfbpsuAAchPtCvQgBFt7JL8JRbRH4aLn8VnXfqxn +/Hg9V35xR+A+U1m0iVrwJBXoruFv8Aj1ROX59PeR3lhJPId95oXZzBlmXECh8Ae74ZsAaCbvvS1m +qZIDZLe9M9PMtMa6DghCLitk2eUJfZHLP/n2Y3CrBrTbepiytJ03XoVTl6ZMdhBgVszRqjoY0CPC +kLd2DjxsWA/wJ0BDXafK0uru1Eb4JM5kdChQ50UT3FL6Auhop3IlVoDi8hemVpCS62Z7ivjB5Wn7 +dKkCShK6mLW+X+koDgRiTwewhar38GOxMlfDkK9nUs+BVsRacDQC3EfzEDYHzFAie9RWMcUpHcgc +EFkiR2TtQrXDAwSlgf5oObixAV8i7mzzmeELC91yfAU5WC9llx/AHhVD50hdFiimpgiE9CVAZ8qE +Gxx/6GC6uYsQBRviGJMN+Ly7VyuiIU/Z7uXOotOe/+yGS9keyhgpNdfRCbaUnbBO8Nw9hWZdi5X8 +mjp1bvCvmZGWLX5EBQGOOIic+jjyi+v1sLfIYSPI9Ln2jQTt4thYXqm04jl/Q/ICjt4Y7uvaxeD8 +mGQ2Ot8iJSd568QoJuDEu+feS+nNduneOuUK/HUvhOF7ebvCyqLRtwQqZb442rJVmmUd9gproSEF +fHHY656UmSN7EFf/stpexVJ1vhGpWV2CuJpT4TtawOxCO+ghZFkUsfD1qg/zP79Cz6Udl+WeFWxF +VfZnJFI5gRLUXUOJhXcqC5XT0pEmmmpYTEinhimtLgnIuCXBoyTdSgK2hJ8yUDO/G315ARyXQqYL +vcv5XE/mQ3MFGc1TdUNKahLLWNzv6H4jpMLNk8uOYO1bjVhLxqiHIHTRhf4OddxPv99AvlrpO94k +2GE/1U9OaHuRNkvGbzdwIA2tU1+xrvcYdcFSweOyqQl3sVSM18nQn/T1g3i+0X2fywQ891GUX5ls +rQWD7vJfWqHqeZVmhyphDqJ2gS5VPCUtjy0uQ1/HkRumz4iuA33qHNccP5jcJul6hfvnEvtlMVIh +KBoJb1ZgleUGiWXrYvawPzekNxZMjtWiPvhU7FyJ6hTEiR+lqv9q85YpI5z5xT7gZFsx7bWlV+7C +30E6X3lrUQLmajsJC/YJ7djSkmCF0+DXxjhaLAoCl8shWlUSRqJxNL9sEPLMUX7nqqLrUghb7d9+ +w7aUu5k2UB/lYmHgsfTCZuGHXs9YBSxKm5F8NYrIhejEo7ryWudHCSI9vPw/NGT7C6jqZq7xBbpK +I1DT2kkkiR0nNSR1NcJ6uAYzDOMx4nQtfcB+WlmoUasikd1/JVMI84sLVmTEloQ8VehX5tHnZEEK +ML9/ygFPBKN7SjMY4T6dj+HyP9EjXf+f9Boyi0JMhDMUKYTkpJWDx4X0DaRjIL9mc3U/gfQRnADg +T7EaFySdYsa4++/B7sg1BvPWr5qg7v69ezbOmEurY8yFfoopM/SlKLbGGq4or1eaUMPtylIviU06 ++p2p19nqQaHQEEhL//UUjd699iGv0ru06kdoyG0y1CFgcpdqbxWY/tYEqZlGVnMdPZRcOYqusK1p +OPeWiCKheB6XTBaafew3QalX/raxcPfwp/ZJZR1FPhaVX3bLHIzbLFayu3yO0omEP8EJsxOJPqo7 +V2AFDbK6i4iKMfEVEUAFhgUhAICzZmWmdXMaql7QBqSD+Cv1hf0uCOM2p7b4bGRDkj9Y34xuU4ug +SUbZN3xY8YLHP4GDr92gq3k8er8xiUbRr6RuKqYzjPwCM1Nxkrgy4Js35xx17iepmAVRQHuWEReF +flwPQhX6UPb4hOQQrJUwGQc5P7nzTc9KGE9oraubMTXP6/av3iCO+sNvkQT1JiHOS6Hfe+Pfzz73 +N+uj8eBJdkx+82cxaM6tboj+BgrxIjvsBg8lJnxN+4OqHTZpOmJFiI24SwwtQWbROC2MpTPoDYoU +d95B89CuO/Faqft2aXFiIbUe52H1PRCSAjsFuwhZLrv+rGKAVDEsWO1aowhXl2qYpGWR2ujsV3ed +LQkeeNurcXt4C8LyHEoF3AsyoOH16keVsgiHEC1mMTN8GZ1Ac4SYd2F3IHlbRsjYRUfVn9OR39tX +pMMjnFvcXdpIcYgRFDFaf2yqSe3VlxDnAhiYO6ztShWJzFhGQQy5xD+v2RKGHqNA9K/kr9Vs+AgF +lCAMhoX5DnyaoXwm3497R6E7FTkixoQ74CTixcmwl7prZRNEoJ/qP35/12iFiEcAHeHe+PFG6eBb +QnnTYYPCP3dXmuwN5CAHRrVQKEsRuPYjk2nhv1k5NbFZMao5n0tIhhiIB7plM4cxkTU8xlG5c4RE +G+S6foUkV2dNz/r2z7fvU3zCy1ZA+rAav8TmaC417muNa3fDCOkOd0EZ5cpiSrEkjfxTjlBloavY +e0v6ykeQ4VtUGnl6Rypv9gUwtcY9enGBHUR0ebS7I1EQEuPmrZ8BUdjVXOKCdY2Pg8UT41yQpkHG +JR0mBZQzWfMQShWVV/N6ySE/l5Pru9ZzwRMYx56oXLVXysXNt6nf7x5LzDyD+0Y15H1v+bBJIsHx +xY+BqjGmsrGHv/FaBBmyyWp+lmLerq2zl6NSRWqg0/0CqzeVaOv+ivJNv9VnqHROLW7wnTYvc+Yh +YthMzXGXQ20+2wmPmYByfIvaukh21zUGLEK/WrpXbspzgChU05MvJXbMjSKwCwUiWxHSgRKnPE95 +VEtIuCx3TxfSs2KxI8fr4maMpcWMPbGNErlp11ICb0yLxOu3nSD9zi5syKt3lU57QXa5Ce8ned9Q +zoxhmOsK4dLgM9t71XEOJZjUzeDMGhQA938xM4LY/Mt8BeDQXApfzUC9YfWSn1TTdMuHa9qHwCWy +UnHP0kvKyKyQbrMdd7PROGbMtjgm5x8M683jBHBnbqVxJNp0FKhd0sbW0vSu0D5RNP/yHxcpTN1M +5wjsl3RU439Z+VDLjMQHzYC0GlxtvsPz0eDnytDUX86jJtoLKWqWI2LelOQ2aVSLe225Ys7+difm +tCLbjk4PbT7764RnoE/PSIo5JLkeFLJN4HZxp161khak0Bzwb8iamyway2VkEfhrVOzbCbyZaBJ9 +r5OWKFc1qiuVvoMsw7Sm4kUxkEd3AEyrewbC4X1shT+lJeQBGlw9mShbZLijwVYBRLqF1d+uO6kT +hm/e1sPGOhXqZ4S1xBPq/m//2y9a4s3hYkTiJqWD2TXfCyiqrl+lILXH4qUSSu2tUOACjGGRZzSm +PBu9mYMs3a/W4KfrOqQ8d8WGYsZneRZnBxRo3pSeOAATvpd2hcMhTlRpihoHNAMVwnDzRPsEkPL/ +JNWCs+fHGbvDtGvAw7L1zl6umK/9Gxjlpd63A1WBarRyXkc72UnmPTtARWgEF0w+D5i0wcTeMaPb +nGBte1t7A/z01e1WQJmRHbncTy1+hXtqVcp5YhjPyywrDWF7X57XKrYvzh2+Qp2PV3iAYLQ1vKgU +0V/zB7cHx1j682ris5nmRJ1ZJQT+IqJPTckroLNWlUV7GH8Y515/OADCwBgNbDdSWEOk6u1Hu8VA +M5xhksVu7ekMoaLmcvHJfINnn4IRdkDbYI+uvg9Bf3iE1E89moY/GwtRblUbfeFdGe0US7XdPUgA +BNbQaOaecf6Hm/ltgB3tMipHdfQQYbefubgmiBo7W036NTOJaJQ+bDvFjzkQZj0nCOhl2vX9K1Fn +WLPd68tWMMcwrHcR1rx1+RBzg6cBAeiMRUBFkPVTh4OyvWwjYubFWyHmtN52/U+PlEj4U+Wxq0Ia +prkf0wQEg7+lbPV3+EqvglKkLp6pzRR6K8Fu+5dNoxKAR3vZqlM+fAFKdvVz1TEZI1Tw3Rnp3gFr +x+m2PgnPrpFtopWrli13iI4lZF3Wu3iVCeD7/Cbwu3vDbJuQd4lG6VG6I0BZVlLkiF/9h/NVE7o5 +pgtnsXbWE7FfrOKl7pcU2zq2Ldmzx2VX+JKAtlObIZy6SU5Wd1fux6PJuMSfyOobsFiYayckqhDh +Og1KMbJnT+kQjoSqaA8zQ2CsY3Ni80Duti24fdVnu2yhe9PW8YrCi4WMp5mJrI3eWGI6vmsnNFFw +adakbNBCM2QnFIx6MT55gpxhISqAJ5trMqJmLRVA4p+aM4wmjbv4gp5fZsvcrpnc6QAOaKv6OE3y +CAY7pQSeLqtOQE1G0vHoD5SWKGi/Xvhib3JiFFjWHOWx4h+Ez9SZ6wGZDGqigkmDbezEKZCIBN5h +SHUufzDmrpXQpYxXD3VJ9zzutO68KWps93U6a8UaQZ25pkKTJJpoprlZd7q8yzFdesA7lwlawQ/n +ZDkwdmKwXmmJ7AF55KVTt+uRcSKNJfYmVUJmnbZOiLAMkjzSsRVXEAwFcvoVozB7fPQEpV/x+TeZ +eS5yFMMMGXk2sF/zwzJXhuZhZ52+C3kP7yqS7TXb7rLJWR8onZ9bYDpTw6FpPq9/MfKQd1N/Vki0 +auuEcm+ujCH0TZ738JwosrcGeRVbUYEteanVFWhD5RJsrpOYFtBKFNV0VDX3sXn/fxURTvOoKkLP +StYRNPU8j+vCviicgnugQUhWFj17Kxx5Yv9bSAblf4+HorjqaCCtW001VeyrXYfs78B4bheu4Oty +8IvBywHRFJcUZbP1Ez/YzYXpWc98zEk+gssY8G3ISQdRDGMg+6k8TIO4Uo3qNCEfk8n+ehzWz+gB +t3Vy2ouTy1/0AMQUdOWEQuTVMveJqF2bkVTBN1sa73V4jSrOE9tN1q0o21ygqbhDD5HuaZ6lETVa +Hm5heMZIZqgscbFeyDzhhE/36lEUB5sJ2rPdfkPh1tLxZy60RTeDnyZrF7/jV/2uVJ4LRlOM0PS0 +UTUyDxl/eBQTkn7TsJXTFKJyEZd9cY6Fkj4xSNGqmu4XuvkYUJvd0y8xbQwCA4RGpekUq+2KNUDY +L8KoNh61CGt1Kc2I2qdSg+avR6sDqI6aavIXC2lykwAGB7vXbpB2p9SvDz1T1Nn8YOCBfbuK6F5X +RxHhkpXSeRI8HO9oGt2hNoZlqC/XXryTERQFn6plONwmi47UltZb6GpH6mrU6eAbP7l9ICQ8KlI1 +8REVb9kTddeqEZ57WMGwd34Ia3O2Y+P911e8PoTXt6D12/PWE2Y1grIPCii0IHO5lzKQzKousBGs +Rd27U/+a8PK8XpR+82D0wFHlAx1bzdoW5wKslMmfN+ckPxkS5FN6dq2f+yMjGbWFStqWkmBvBeyI +kNalpHv/frv1U4dMGABcio52cJ9oG+p9tUWZpFgWQ7B2hYEcE2VRPnKF0SI2HEEZTLSwrk0geKAP +KwJmdPQFnLhTSAqdGqXRf8BQU1bMsUFgiFoFOnF0vDrdpn4JOAWo+0Inz8Gt+U8F7mLAp8stGVS7 +JZaC+2+DIvH22pxuP7qhmXC4VsIdsfhyIYf5so2CmdSjgT2kMLmhtqzRjBPM9Zdy1ke9H4hl6x1X +xKA4zmcG6Ftbbigg1gK45p/bX1tiglyJqtU3YUn7tBFDLhO0OZP7m6+pUiI0+HuAwSLVCaO7L1nT +ZkZb8nMxD+29zwi8dyTZINfEOTG+X12lH9ixueEk83dN10KO1t4cUukPtUywcRDBjXC+c3Qq9pyY +W7s1afU/6FTsHXNQJpGVnoYrBiopS4dAmjSaRFbYSkZVdESIkhpTopDeLmqAhT9fgVj7+Ru+X/l9 +q0pIX8X/up13s7sxhbaQRw9sUB1msXZMdoI8OxfPFri6sL54Qg35X8j+RhgsyDXpB/pNNK2Z5HUr +L86olhnSabjXtlwndnxLwrlo24oXc2G5ZW4oFOLy2mS2xBntsHAbjwUguPnU/cdKEAf80KAjT3E2 +rke1nwHJ3c5nn1MtdheUwBMUZiDe5yHcTzx1E2Z5hqcaZHGAroaUKRIkPsWEoaLgnncnIxyHfdD/ +lGvfpP43TUtcXXw2LZ7YZAO5+LhkgEVVXPSdeFJfpXsqr2CyHESN0+Jg8+QShCPPZb5AjGGVoOSi +Sfcb6yFiooIXdm5ySqTnI8JTcfYipukghoY65apsNgd37y+PSbrmBbBKmeFNowAUkobbC5G8xWts +hgFIFucmokRLj5WhxMgl0znb45NT7fSUKR9doPn/o+A+538Uv6doW/Vm1NO6DUTaiYgRNHPxgSyY +DQaIcEDosj4BcOy1ls/uPyzsePh0eOMzcMhHE8dxFCZ9lBQPmQKs2/6vRzk2+OdtMFm3qmylIgEV +uc0ZXzaNnSzRrKKUzM0ok1owmeJ7GxyCLP3Gl6lPPza51fog6SYw/r9Tol/2geGOI6LcOpNA6IRy +aHiC7hKhbAu2TGUmRJHvWqEvW8JHI9Jl7jUKuCdjVxP4utHgLUGrO8z7n6KTgHzsLbigDJqgseWK +RYW0Slw1DBgF+X8bNnSw4oqpBVhly65tkWMr6hm2EElR+JFpkNqD/oknISw/VnmEWO29elDDJkjl +aJt5lcIzZG2y7hjHzCbmNXZDDX6pJqFDvnjMIU5i6607A+vDXubNeRxMh7JKWHvsZRy84i9uYEEK +bLufqx20sRaiBJ1XIJPsBfhonN85JFlz1tCzgG152JV4RK4EAJZYucN+uTCIqDZSV+dVXWrYNoI4 +zDbHSXBModDXeaeoBbfLNShSU+9QXW1f0VbA9ZlynqZH1fyxJrbIwuOxk2q2zfqoXjZXL5VC9NlH +lMTYzBPkbfoQXQpwxpc38qm16buDnQqQo3NE8x1zTxX1bGhxVQLZDA48XBA88ANVso5e0vZJ1SOV +qVGsRLDfhLxD39QthbOPwPdIPIL0XsCXtE4L6I7KVNDBZ9CDcB8MavTrU8e63bqWIB7c4SBKRQFI +qeIyw8VOAmAZs03j0uMAJaAPI9/K3svtCJ7tw9Cshn1CFF8wFiCG4pTNd10Dt71d/dAm1KdEKZIr +Kp4+uz6VsiT2Qwhe2oG+uhu3nFZww6CZm8iWl31BTu5TVtwy1bPURsDjnInRGXP93Xr8Ioa48Ik9 +FRPdjCVjBKJTdrkNl1TarBroG1ainqmZ/+/hgCOsBsZ5Aw9Sa5HuR/s1vzgdICEw8YMpho7UrvAV +jqxdpSrfxdW9fBsS6ZMNQT2dozw8Wep2mKV7OTSCHOED8HqXBGdsOlAGBJrwDSo5vQK9fDCa704w ++1oYk6synS8ti0Hj4zmap1iI41nn0fsDhGwdzJe7f3gdo8MlpJvoCYy4HutSyBEMTCpLoT5ykrnG +czFwVV1J4OFwhO7eP0z6lHfVruoWXc6rWLfRKXtr0NSUWH19Ie5PhO1YoJ/2QQsOWWf6b9GwXcfF +OYn8Ki6KwAgyiQJ0mlgMDpUWXS64aWzkiDh1gT3SrrdEEh30MqMgTvmdeuSdN1lCjYTqTVFW1dqv +72VLP2r0NYJCjPoOqkuklFUcj2mihO8C+KQFJYr9mdYfVir86t3q/QkyB6j5uRAsUQA2PpJD4TFT +3S6A/Ih6TanV/lTifFMXa+x2QSeGlODHUVXKkOzOpvZyMTNsGzM6WwTt2TrNwNUUssp0h5oncA7m +TXXutm6WgjjwZlsfTSBusNQuv2LetEv3ZQ/MkI/EXVMUa7Mc22wO5MUPPVkk3PQWoW1eF0eGV2tn +hqSzym5gePsZ0IfA9PrUZygH2ptRVCbmVcPNVM4b2pRjuGyUxD8ZPzuvhPTVXocJrXQfgArdlNRL +1UnuBRQDuHMkLHATUmysKbIrYy76CthX5lQz3/m+kFBSF9Wa4bWLRVnqmFKcer3IK97JqYTXkzpr +u1KVkYOaaeIfYTZ6oAHS5GvUwIELgGcDBUJzOmLMUlvFA6tIzwKRAtv1hMHWjUfgI/NOq9AK2dye +sMxiUGW37omadDJ9I3q4vaJRY0Fm84E5/LZULqBiGNHHASuJcQlLAnN/tmkp4E8WSoafdTQohxsT +F8pgLK1/YTmsO5vPZHYN57RKSYo8gGsoHP4ifw3o3jZqD7rCts3MrJpGgELbG7hU5ui9K9l5O6fc +NTWNmnjmMwCIYysSUIWaHbRgZqoq2mAFXU31jt+4+h5GAq/r4bKnvC6KRAIgqGp2zhl2figHZybm +Wt5fndcLtVQeTLBznQKkyfLqHUaWooRPGUb/i1/ghk3UewRsYROpON6h8M16em2vOx3an5sy95/R +YMmWcPlBtIzrmMUQmSfmYidKkC5BdAk2KAEz/2garaLtJX4hk5HhVO/qEE1/5kyHorwth7+RUlee +EkB5hvHBusej6CLkqS1nGvCV/jLT8nXRG3T5TF0rEyGiYnWFvlo485nwRZfkrMtT7Up/NMZuSX5y +CGbXC8FNa3/mQtLZepq61eQJ5pI8f+GujEH0XuWARTpGzz2SR4O459W/uaxSEoEUmMlDPdlQY6nA +M8CWEWSbT2AJVD+8lOF1/2LS+/dqSYj1JdRaL23WvluEa6taytn4RzMXvL9IhOxlvAJlJyHXW4JH +4aB7kdEw8ATe1NZZzDdfNaSvI2zF31I5eMz2NmTKrJsolBNyZVTZEukw9GtmHJZkeY56Ioh6qNcz +nXivO4t8pWoppOnDYq/gp1yLmLS4civTPpZcIfIbI3kJqkqjpkYmFdAl+c4P3D+ZSVR0ukd2DnzH +MJclZZL4dx6cHsv2exWmNPcSlDbFgE9S5jdvQLMK72Eevd4q45E3D8NziZ8uo2V80QFGVDIuBwCk +SSmLCZ/MGa1TVEXSO6Rs7/ta45nayJvyodvuEVrXyg5pWjPmnv3hidmZuEqoM9oFOrvBl9UNX7mA +EBfF+PnD5mBp8Q5mUIMxvMYWstaB9lc90TV3L7+ytUTBaZ1agDKKky2ZzT0sTkPMbIUwF90oHWFe +z1pFZrutM9BNp1+s1PPu34ennn0kgGEGVaOeN5HGu3j9B6tK3jM/8x2cP+uF77Wz8wkYmKx/sEpQ +qSR8SkU7UobPGf3mU//lkdN0Vj7qqr9K8HSbcSzZkeqB296zL1audncZTA1BlP8D9TkI92n0noMh +99D42wCyWSbM7zIle0MhyHc1orxlE33R59gimmxgguP76PG6B7V9S2ftqwtegTlPJg1HY0sXLA1H +UecSUA3TsA/Ugl6ejWHc+CHRUDSA38kc2VzEm+JSa44q0fPaFh1XdtO/org+O4CWbU0jfqDyPC47 +pnHdlqtVrlzgd1PrEQJSH9X7g1Oja8Qq+pTu3I0+Q5iLOGgv2Z5QFS20FAwmnp6fx+QjR3RAR2Sl +2RmYH+VBrefAt5FJqYdicRY6+gedqCn6mHHFg82sOZ6AySG068vF3rwYF4rnD1BoNUidbslOohZ6 +QuTllLn3rRk2KxdnRJ06NAEUs8DDtPdUCAWLHE3q4ggLhd01u1y1ztdW29ZI4dbZIfwMozpsjNXK +CLvv6dQaghNGavY7Ye652XhStJSvES5ZNqo6kvasRM+HCQtlsf3pbpya0I/YkOl6wN/4KYDT5+Mu +PppYrdb744YVCp2AN7qJmEnQFvHtlVcnvta1oKB4Tc13KG06/8Q6o8X5cOK7Yy1YCjyuB+vrLfQF +9+cuZgV8luYe/bJ1ceTzhuehgr8CM1FKPQDYF80UncmH7ER0hHxT/5CxOcEp2UQRKQfh/RYYbY7/ +d9nk0f9b9iFw77ShRXhGcf1CzT3a1mMuG3dKSFV2cZB9xEjLBZJ+1NjZ+KMA97JjeipsQkQabqdx +izls0SRA+yOEaYcNYX02OHInWFCCxuKnDpcHC8YlkmJXMromlYlou/VycZTYdcogWdDImMsMO2M5 +uQtzmTerNvCwbBJo52yg/n8UtM2ptRQNrByfOY/+vVep3MQuk8KNotSMoamjh53ci8ShPpEO+rBB +P23u6w01U5RJpi6xBn0Tuz+2jRf+mjkmavapawmE1qY8P2BCw25sR6eGAij828t9y7xntR82KAw3 +Eywb5NKtiBx28RpCFfgrDKRHdlgQAQYbLGYZmfuOEhBjBlcr3vM5hbfs/rB9dZB1/HEzwqqoutcV +wbdR036IfzFAfWRcw2T1YX8OslQkJbBar39HVyc6q0gqGUbfoLyakAOzWVEmso0NZQ4a4wkRKJbr +O3zLX0194s1cLKB89+i4PrU98C3HtKLEfCpWfMYwuFYqNNmMUoAsj31E7abeX4m3xRZveAikUzsX +SacJEP5r3LzyBt4J2plh8+D0LOWWPu6OCqNCneq0rjZOTpis2HxeH2E1Ta5ru1dHtkWeseA7Iev9 +Q5Iby718MDCs8cqPOoyMnwNTEkksKzzbxwLkXoDphGj04J72fSEWNFOxyPnfoYGxXI1Gkbwf3ZYA +7g0EkqGW9lCFcLGdrQ34lScezESZaJ6FQBn62qAKB1gUYaNd36AlKpPZoOaIA5JsJ2PO9aSECGuL +3HJeyPfc0c+1zNLm29zOG/7gSzkvPdkWii/jbsR7hMdhCl+fCGG1DXrnvvZfIQkj+0sjRnB073xo +4K+sVcVaM3XMRpCK0wEWrnGkLd2jCoarKR5QfYTjWGeMLEKlMqHsrgVmwKO22Kjg882/T+QH/vVv +lMgekUNBRszfKKvF8HLL3fM6lHdCcuPadbVz1pyfMSsihng01fscZwWpyQ+R8wexUqT3H/ef3+X3 +OikB7HtR4pIg0gepUnmSyw9IyTuKsLLUDHoidkCUbTklmyLqJg+7Kj8V793p6rdUUqq0YvLNNdrT +EZYB2Y9AwrBXrTboBZsFjtVGQQUQ3MfygZnMQW5yznwxgQO0uTOMwy7cnBqhkTDllK2VmnZthG0l +p4wK/2Nb6NNPb/1u1VOxLMbHnS3L8p3bn2bl52F43g3q11GDqiatu6D2t7LgDC3ET5Em7ipoasyK +wYudpxV++R3/VFb2rVUnhPqQ+GW98BqxFhoAWEm7bJscx+g68NQhPqyIbAThpuAcLlJNpgcCu9FR +NzX+c14JXH5esp8QtwdaYELuLqkaJgEoVg0K9IafjrQJqA89Ss+qqs/Bdj9sWVF4HByjZQeO6b5Z +WMYL63teLnlUJx/rp3GE2qXivzudjIOLR/8uS+2/HmnWZsskmQwqv0uKW0X02h2OKY6iQ/mA9jIn +cgkI4Whux2vyciXdScZog2rz7LWN36CZbjLFSwJNtBXsQ4+U+MOdNVyGLiMcg9xKyra8yzrrXfEj +GaRb3Zz+G47n5xTJ8PYilZ8W+JElRlwsiNkfn9DRiCeQ3hrE4jbP0QWrYoUK9NshoNXEBy8aay+i +sqlO46PLYSvDOwUwIx8sCgBLAHHJ2OCuZ/XTVgwGUAzLYPq2zZnMBUIhae9dRK28Wq+ZjcL0H1Hw +1DsE//b7E6PH817N0k74JxBm3vdl33kfdgaI0qz73coY3hZGrRD37Ot9FoYUWpC7+I9V6ngGD80B +lGJpCCtAHuJSUJJlkhFE2xWjfXb845k9Q4Kwf4igwUKXWyZ9Kj+JqOOKRNvtRM6ZurEyUcL4Vwgb +kFaQxPbeUaA087+dEpw7tTMX/X+NqcUoXgRlotdz/o1EoBg6jWoKgqkaxV/Ix5qewLpSssSW84B0 +3+4efWZA1b/49BDlLZxwdb+h7fx38Rrtx+qAXv1yVmWDxILYiiqohLmXl/aDx3G7WT+2J11CO7yu +6E1zcRzMzBZ0Ehg+0V4LjfsAHLueow+3XnvQcxV1Dtwd50u800hi+UneVf85sf8/glC57vgRl5NO +eOYqRULVzCLg4NSc0k3+d/JsRy05NxFiS+DyODlt2DObR+10k5a3ThYh5FEmLNLz5xGRCMLBK5Jk +9XSchzsqganY6rmhDP9phBt+rME7Le96jol7+pN3L0GpBudzoX1dF6yyU7YF04IRhN2TIjhcMYfd +4meV58MTFgAf6eBYP0eBXUCAx9C/e9xdPkz7w3Yo/vtsG3p9eZ9snHD1U0heMOBMHfh5zWJ1GPiK +wUOI0FHWdMDApkSqpCkrAAuJe9AbEZbRUNBepo6HKsZ3dwSgPeS8yceNjrvmXiItoROXHL6cv7ae +x8M5YtMJxXTcjakUjK8hrGRVES/unxq3qM01GZpe1Gzp9RPgqzbsVRp8wtcCRsKEufKZUsu9SSHs +A3QalvSCfgNZuxQps2y5AIceUGa8ONJGBgCna31xBVhhEGa21J6jeca7sLOHMAXZOgPuDANHeZSb +xZtbD0nXrcQZmNuffIbe+HKVZht+J5alp14dl3XnrLLafGOU9/iMCg+wP3yhgY/mC8FxPeOqzIoX +MpyVAIeltWk0bfeVFjGScCT5ZQ42K76Xke/0HpJY/UAbrBxIKtie2qMqEXzNfTHzOe+g14c3Bg+L +WEBclPzalLA5V9eaedR3YTrl2YGACwiOMXCJtPwoM9fJKPE2tPr/FNvnYw8sviR2EaR5UD5QJ15K +qrtMe5fF+TH7wqSr3lP2N2m3q3QWzmR/FY67/VnzTMw+iFmSteld/ZViLGJE2r/AKCRdnNwq3Mys +nst+SmISvC5ikcbY2rhnr5rIPbG1Y3V26xZuaKtTw9o5tjKVPu4zduK6n2yh7/oNAOknEIdfHNnh +LosoSTHx2Ivq5InqvBFL2Ml7vCZ9TbQ2nv+jYZvs8eD7tRAMGhOyIqpLu1UbC+Rz8clEQcBs4jC7 +sE33WHChzBxtt5O1513w11qbEP1U+SLLyak13ljP2umfmwTBPX7QlJnDDV6j7mNyscvmNaEKOZ68 +mXzBR5loJjUl5euAPHGy01Yc9XSXxBk5cHm5KQjTWybmLLKX7kja+GD9pTawaT2VfypDjS/a7qyi +Q5AucLcj9Dhgc6wGIJIZmVwX2Sk6V5/E5WAIpfQ+dxUjVQupAvKyjRplKuqeNo95/DygnOMBIAHl +gMCnbVJ5E3OEoyskTackZ0kmKxgp915rujpKBniEu246LOq64NIhPsmbWSA2puKPY6LL/JjEyPcA +x97ASwtPEFi7MG9VuPFZoD1A/DwwiEK5OBuHAZh5zd9GrznvWewSthcYkHIlZDZgYSCxdVoA1aw0 +tgCNvj1d3ivkqBEODxn9lH2w1fGo6oa8v4O5P3lXwJJm6Gnox00yaWJnkpsBcKRGSrRTfQPAT6eT +dYLrU6xiOej3duzApO6EN35BV42HrWWJ9o+EdV+TJ0Gn04VVLimQJwDvTp1HGrxW4UJzc5jUZw7T +VrrQR1wg225x/+XP9Navpnr0SVAR8H5iK3zwzkgrPhyzDm1dlfNqLuguVYJ3QaEW5GB+5Jn/li9U +Iig69W3Xsp1MGWDMSIPrwmnhfkB2M3SoaDIP/FSknsPmgmxwDLZ0Oo7WDd+XjnmqbeHBuXBQeUci +f9n/4XbQAoSxm0bEQYqht+4DdC5y3Gm8g15McE/PaZIsvKrwWf2SrJCibbPxZdRUVLVPeMegP0dx +6+DUVU6Fl6/GCo+ttP8X5+GEapZL58cOQxyjeS4XtpC5tlTBEGcCpR1mVqCrmXvepm1NIKd/bmEs +s4B9/NpfubLK+9MzvfmqzugELa26h4tA9OGlKaIbi0j/BEmiHfi9BMjG/SLOUehFs4tzd0EqpP/a +lGhqmCftGbx7mI7xr1bJReYTgVBQx8jfMXJsba3sVtPb91/aBb+iJsPHNP0i+oN/HyaiadGKQxzp +ytVINBd24fDQhfAwUIaVLEUhUN2yrgDBy9awJBMyaAz1X5F0/mVz7XfxhKu5BYDSKb5cRrqpxpDO +yUy8hb83IGitW8Tk2grbTuJaRRp0vcMSQuTveILI68Rmx9NVFQlxO+LartWilM5URhzinpE0i0ai +gcyiuEeFCYh5XdS1JvY6oNsNaJBdek4IYcqtrfwQblFLPok3prqhRZUg1VUFziY1QSjHnEdXxDv6 +NWqnhALiFl1XjWP4yDuf4vebGTih7BzgWdUb/f5vZg+1WIxqNyr75i3bsIDHXtNw2ERGFX/g9rTe +wVqxvfKSkeLiXWFX5CjtqlbJY2DzeGkKpn6sWaHzJSBNFD8r8f4Vxjp1ys6aXVQCvEbSdQuUrSmT +3GpLvjQ6I+Lvio9FHHlOl6ZSSp7Sx9geMom4kfTmkBttIKRTxthlAlxEO5frJ4dqk5qZBtxRKw1d +TEK+1kJBXi466MpvdEaVhbPGSbzLhpB+9sNfbbp/J0VjLY+oEy2WvLD3Zk/4wZ0tBn7xw4gyzhIc +a2WaiIq0NMTiQYHOj0Rx1ZMB5RAv6ATKFfc/plpU6Wu+u6CtyJi8cHwyrnkK8yV0xe8EFhDyOVDd +95JoSOT5hLlkwbDsZ8vZ8uYKJZuqltLPSTjlHuSE+4HY0jaubCdx2FENUPyPFKZNdi4rspV8GdyN ++iAjSyhOTJxAlV8aV8n5m2OU+jnFYGPIR9wCbX+Kq1u8M0B/yJu9DWPAdh/X4ZTjmZ6BpKpeXT4Q +A2YH7V+TIW/Cz3/K8Om9ie1Y9NTn4bLlOoI19k2zLcuNwqiXrnSfqUrNDyoWyfQGKMxLtZKh9V5d +DqspB/+ova1m7H22GPocDqqYHECRnxkggQobg2U6b4uSLgD1sVZOQGyTZj4oIVhaytR9I+gpW+qk +jWGdj5wLU/OY3O1EmJxtb2ASMdJTTM077L/nJyqtGIh6yyq1NRvOEstMYIUd7uzBuBCpC0vnLx/H +fBfdTNfqVUcYORilAD0XHQywNUXOxOT7oxXfFklBzY2HTJg8f4KNyDagvSg/AaBmIC4gT4WlRnjT +0o2mDz6Wv5XzltllltoDRQYBY3C3Xd3K+YK2gbshV7eVdeQDWe8w85qmitwUk5QuVBD8El/VjcUE +CuitO3KM0k3wsZmsEVt0ags4PFOEsERPBBw4RMTKuNiDjAnk6DbaglMbasTVuJ9wQrFUu3njnBMt +uvjNHykpYdtIVz8dyqCtiqJFz6Ty/f3SFjWtpEIJclJwbqOWTuVeelZU7Vo5hNhNGndRisyoV4vX +HNgNg+ApPgX8qqZ6Pt57tLXXv+EwQnlzk6qY4IOOZUC8VkT5K0ewgAPyMtuU7Na5XCAFMrk091z6 +/Bpft2AH2sSeQbxV5bYCIGER69rZNVdWCJt8nmXdlcoEHLaSbMUt05frSU55Gh2BjcFFtod+vKdA +XU4vcCra0ZNAoDrna5Q+UZ8k9PsskIUyGX5V9BKxmL3DnWlfU6kd4D3Abjy15VbOuW0E3QV3DXAY +DlNo8pRTMAwrJbXylVUQEpxrIi3wZYs2PmvmO3qcEoIrN7yytqhylGVFe+k5vuYrKM/sJ20r+To1 +w/xwLweMBs37F7UfbCA6qa0+YZOPq3OlMKIhtsw1ePtwHknthriLbDoas6HxKJJG3m4TkIn4p6oy +3/UXZS5oM4biRGd5bUleQmEo1xk6qe4DyiAY3+2TYUL+hWiV+bPXrFe6rL+7aVSx8Or9DGtJRZ0m +mYZ2PqYCEExecPpI1TNeMpTzYhfyvrdbKC3YxQKKsROCuKAxuFKv7xlT8ZqT6u4sehAvd3PpqBeE +CuLR3S6h4kvUK651+7iN98ZoHlPTVpDC4uIX43tSLkkqJsIA2kid2AJJqVgA5xnJG+PweEtOE4i9 +Me4MRmDqpOuDwe0Tu8PSz1cDZPuK7XP0UVEg5ns+ZZSSNgrj0LQpYqc/ZERV/FxZj0j9q2fNVfpT +YhhCxN0FVprOtzLfquq5bPFdVoZB4SzYLnH90ZLM0CKZHpeOObf09tlz1P+zZY3zu1cXed1yBnDn +bqwNswwL09GWvCugi4F2d/czqdM8/CozcAfZdWOOah67stLzL/WW73WU52vnS7Uhcl/sxf1bDrvf +OPse6R5WXFbzdIykD+ZFjMy2VLETouKuUFtG4ixEAh5U52RTsIuWMmUmJ/cgtfCUZArGEfUZd2Sx +fGzVOOxvkbtNCY8TZOUDqLnbXIdszh0JSK5p1HRhxb+iSu/9MvZVYtZWY2MXiYrfJD8e6HcpFEIW +e/6fy/7lDDKRTCXjCOx8c1syH6z72uYI7A4YPa9I+hE8t2+bPU7LFObAR375Na5fYk6jMPwGq6eQ +A/WrrBBZSU98wsnXWYiU4HDW0foLrwoYhQWdkR1UENPTIs+jneC3UtfNR0HaicZCj9nfC+oJtNFY +CkCrOYbK7z69IH+OeGxR4jsk7MtZaIjKrm6AGusndfSEKg8CuAeSVxduMYW1IYj/WCm5wklUAJb6 +Eorqa/ZqYNmU/pVye2PdVrzmJNVV2oAU+knekTzX8iHqGXBDF1AnEz9JYKMkrKiMiSRVxqANQXwH +8R+3ahygHXUZojCvS0cDppkSn3Nl55uMh8BlOM7/5uSHz0aWUM+hEokxtNq0E3JI67dVcUiMLe3/ +ZgRAI4PSZk1BYJ/vbJ+/nGajXcfKZ6MDwORIAFsJwBJfJ4XhEDQjJhexg3VokwdxHznOcsO9bGOA +LQlph9XF2AM5lEUmbaplla1/PDlxRu3TYr65nEBn7ufJv/uXbS3b6rikkJYBWooLoFQxcptFJM2n +hE4TNhrdX/mibato2+O4ZZN8FOojk7XRDLb7I6zmQt696R/Jd0K+uhWZRgmiqnlKNweYgzsmJPww +rm4fqMaSDjfHxogFLyYAQAQIN6iR9hk3c4M/PYsbH0Cgo70kJWfK5LOufLD4HL4dEXSj4EmOQV0m +6WCA2jgs+kAyoHdrRLJ/xUrIiK4nRcUYCpOnXYbgzyr2aC3+0s77kFqneM+VRgDLnWdcKXLOJ5YL +VZUrk6P9k1FRg2m6BP0eUSDcd/VfWCByae4QPnkafiGDzFlNWPnt208ihDW9RFzPu6Kv2YJ4FKmd +x1mT98Dd83Jm8R7pcxhmqPtD4P+6FMBGuLn8aDTECR18zTx0IqN0sRyfA7s/mpW/ytUhBnRk9SuK +Pc95JCH3jn/EbxsWrKwgJ5TG5ZFERKisGMRKxaiJAi1rNe8FRlAs45VY+8MYDJlp/sk9Ddb7D7Jw +lofIKfBjKgpRRVaANqt8odGsQ4YyhsLt1kzPme8JF7Xa6urus9A/34xeNAd8/7wUjSdCCGOpnIQc +RIJGaR+9oewfYrsHD3NGLT7hAHYq2C318hy56ji3EKzaGri3446AZ7Y8sHodC/aOTN5Gp0Ibf4Ht +M8s8tjWae77D4OaIUMO+YcsgoBHWC3B/IYraAo8k6j6EofkybBb92727CAqRgDGw6LcS5rSFYmQQ +m41mqe15OVI1ERZRqx8oAhPamnFjcah0vJ5Ae5/rpLJCQwQpeL4AUDgG8EtLFlNu+iGo33Y7B/xP +w9sE69s1RexKf6mQmdegINsL3s4e+9Ri9vypPIDtcJeQyvvBJpkHvRkdUXcS+giAZVGnHeL8/DaG +lresKfvM/8/CMZN155FWAnPl8EBB2kIBGcjD4y5FQEqPgpOYA+IMhyCGq4PMjTCOfMAfyQHh8GtL +ArE3xVi6j9sk5ad3tIULeTZy1rwpR6b74EH924S2+AUlSsqwW1vEkeHgFSe6F04YI5zyoL+R8i+b +Uaj21Rw8jrqZ/la3cLJgJ9x1oL2yqeV2NjHxPiTl1BN1v6PalwP0pY9yA3ZCLJDp5F6DtxOyQsA0 +dIkwvyDCzEq4JFb+AHfgLrc6AaQr7ksTg9VXE0TaMTsTEaWA4YSkrQ3WTgf6Y0Qo1y157dQImmHv +miMAlkMzsae53lQ9U9dfNWhGpXkAmnsTnyx8sYyjhghSbSsjZMFeiyJKr7xPg8PG6P1bxPvfTNO9 +zj+5xa9kPavqrTxAosVkneOv7GjkleDnNPH+Vm3lODpLujoiqerw35Uney5Um3hHcY8wPsjAI2y4 +BWGH6kG0zQOJYHu3HmznTaMqMi+7c3attW9CoLMZ/dkEqdiuMhaePeTvp4tKrkMiXyJW3gcT5N71 +ujFEBlQpGWfkcmyJaJfxJDB0EKlUnixdmjPnDXy9n04MAcwKCMhRpggYTHw3XGU8nvuBX9L9XhKt +pYrK3ZxKkU23uM1G/gHN/+Z+ja4RUOrmiTqIxvK8bvnKppfH0OdYerlr0zSzv4A9meepbzpIMSxF +oQbU1GV3BaX1D9GHZzBugLXVps9BHr48y9WUyDmWJQTmH140xMc+dUqYzbVb/tL/4Ak6lB5Uj3JJ +2bEXCiKW2TUz3Y70cGbLgu4Mg7ceycO1YvfcEpnef0mpN3jEKl1Bf7ubNOZHJV9BoVOjTRWvnB8/ +erCd0VYbAO3Y3P8QwFCwB7eeF56wsorfG6XZWnSbU23p+qebRExADIrfk/p8H0S452VwgClZYoz9 +IH/GoPhRyJPuFaGzE+esMBcI1bhDfYinLzMP5qzyO3nxdxM+M2GP9RQWfXNZdaPce9+yYdUseV8b +ElbpsbqsX5fvRxOouzYTsO4kgzyWxGcMvc097qLanFwR9hfGDBs58J++VFso4zrs6YQaGuSdSQvA +8mkh6UOXeyPWeJWU9SvsjyGwWgsLb3aMNYFnfKLyXCzohh8b/ZEe7gsU+SHjD+gmPYAc0bmf8SgS +AosRQ1rD+kG7BdlHv2ciWxFq34+g7M3xlBX8E+g2S5AfBdi0KLf4nwC6T1O6gfgfOyqC/GyM9Dki +le/9h/4d0iO64+ubuedhkpzYF423Zi75AMHAuHtNzZO4iFb/UD7J3QF7q1ih6C/dbDiOPRrzbnfF +8UJ/8LHDkIND6ckydrznhqhDE96s690kWNwzVJ7bEh2mpmPhbfSquFhzUmXWbLK9zXk2fXL37PMy +VX9CCSIxfWFZqKbShdJety+4WChh3FJZsiomlfJOiMqU8L/j36FTQ7dm9lWhzuCseKcNt9qzhR1Y +W39U1kq0Bax6/4w0mTY4/WdTI6Z+0BVQVRPlqX/KahbwFliWqOh6VVypuMliMWaPvnl0qXcn/ok6 +z+r01KWhH5TQG8awHsSpR9Eu952bLtgbKoC0wsmSEcfiGqULPqFBY/MXPiWuM9KjpIM3ScGXZHP3 +dWGp80FX9sb81TM8btdJ2Ca8N6YK3FTeBAmm8u/FBfmlfDr41YivzhIqtkiC4s7hjtx+JFep98Yi +4pGttI/737eVXlhFesVSP/3Z0QizyDU72u4RcL6oyzaJNMp3kZ3gWerXliLRhwfjwb9JlGvongxV +pDOMovbQNMwMZ/zehbK6FGtC1zM2S2SGOZ+sxaQgSYqr7aVDvq6H4mEdhbEBGs12vUdp4jxjplAX +dTg96//xQVAPL0dHoDLmllNz6sQwDcKm+H6F3U+pv9XxkRi62b2zPaJpilizcBx4GcYhR+D8BsaA +LV3sR04GcOuMc7SUoIhqdxn2uxcA8CNqpFyZ152B8oC4fmD9ndXDUyJzI7akpZ2JaGkO5a1gTqXp +lDjEFdl3Pr10n2VXTspKaqb1E6nCK5E9sE81RlAS0rkwsyf1E3PMrITQabxMgPiZVRSkXoDBKNL2 +OiX436vw2jADx7G8NWUj3QyAlX1SycnhynxYGFB9hxFX/HOpmlkP1nezpMB9OfkXMyN5q6H4c9LW +VVhIKLt938KCC6GjSrbDgHw9TmWZuHL6jvWyurYn7rruMoeLpgg7F4VvfOlV6zS3TnrMHswrBA0L +lohGXWnwqhIDekAkAXjzidqr4ygUb6QXrnUy7v3Io1ItitjKrFeSXBkPbYGlVXsZ9qPvBKcO3ygA +VEkAH/CZrEwVcsnBxEwyrjoqufJ2nWXsdMu3hTk1kEK7pmJopVAH9DloPelLrvEyXo/S3vw0RHJA +F1DsVL2M1Y/VHmfUhPPiu6702PZ9hpJw6KsrayYvGLnwGlgxe5XGz7kEf1+ZdV5JdWhwNMX3FBWZ +oR1SxeoaamjqdPKeBqOyMyxH1FTEy6o9hJGLcbRWki8gc352QoPldJKMXw/3+sn3fFN8GN35WzGS +CIPO9HmKwJVypkPWrubF3skZmZJR95Gved0v7eUBGNHYfCAV6TZe1+TQ8e2dOqGUCNJ+SakVy812 +jhinREgz6sONI2bpNT2MlZic4cKgLM3B080zwJLp4LgmsPYG7AsFUNpPvq2TNK12cJrDzsw51oBc +3K1uHn99Ru/gRdnLEGOubQe2w8YrOEWp1mKWatOXN+3oIcDuMaLVjpJl4SUCzYcij94KuRGFpcwe +17MBkuD7Afx2jUvUmWNxvEv8BwI7ejqavpLNKo9FDtoyVuGB/Pv3v6jlepWQN9esLuI0ztiEZjHd +Ycer8jTqeFG8oykCiB512hH7ej6g2OypJRAjLE37wWL/qiQOUxcjTa0UMIOdZSa4kQ+pSYtqLbH9 +/CACZNMgHV+7qVAC48IdAEWJ0PvEpJVPy5+0uzlI42GHIk5XRBO5y2e/dqu9RY6luQKVpGybQ9fe +I6hRFZcQ3weQ0Tttff5tgWoqOsuBfnXh66H+NVDafoNZyDDaGhXBa3siuldsbQLbAZMJiLE8+4zX +ynUTENvpTad8Yl4p2fLbtGAtgV+6xMZfoyBU9uKVisonVP+qB2Vj2EoMuZMpDFawBQNKdpYpRMz+ +nXVDpinu820Vonh/kE+Yhk3CAcUGme6YsBUwnK1ykZx4vfNYN1ZXld0OY5OixBpuWMrUkMSeA2nz +knUkXYvwaO1L3Af7rMe6mqkwSNt5hDovucTzXHUE/UgETYCzAR4Jf/o5MMpyjk/3WIhDbx8C517V +HbPuhRQ0IRCR+lC6lh67ZVIDqYe4hbJkhqpftE0P1oTpMibqMi5inS6td/yNitXDH8dECIRVLzc1 +E8jGvc4by0yulzYuEaSiEP0T8ZNoEGxrNgfv+zHTzLyGLz65xGK/QUXnE6+DtSbabE2CO9shMtVU +jXZqaYNT+Bjao6fGC5yAnunxpSFNnyCMyVG+cX5vJcjBy1UquMjclHZXLPVM8nbg2hS4fC4th8Lp +ZMCLGj4XlvKHDBBI3TUeetgyXuxFudq1j1qSefiCG2pxRGgv9DYecqrQ+TH9l0yMKIB04qBepuDt +rLC8H39W7s65+Nm+x/xzVZNVzBdLbDqeA9bYixYoils2bSAbyfG10a1Uy5CDwtbKefFwl5ijXlKm +XyOjuegki7VXk4turaJeTw+Wt66LTnAlLHulRqMCX/IcgISie1jn6Qk/ErM9wJYjLZVa38L5IZIC +anK3b3KQd2aJQln/lzlaQH7ckEpgSxpBZbgUZf4z8dr3pbv2bDOwx7qu5GYWIfC1ziqxBX9tlknW +J7+yBbRNthY3aJBToCYHYbNiKx1I6XGW1d0DGCC7w5xum1PFVPhTh4y9IBvHVpNoPjBuSoELYyKF +dMwtLK5nYTsCtOCCCqTKFTmegZox2TY3CcW/YUnpD2DKyFu/1QiVjmc1nuQQdwjWefFdH3hCv5bF +ZFyv9aWuiKdZg3399zUVzoIONPHX5ASf9n3qCMHtgI6CWqXyV0Xr1t/7ilN37kAtKsSeFsKoOnh+ +VglFcO4YOnDGlZ8S4DTRZWJEjwgzVazjN6qmZcus9NFijQkpFHHFYPokOOPJ+pxmR18dcSUCtb+D +muWhhAE0zB4DiD5zxp44ToWksayyWb8cm6eNz3LrzNt/gFNOzF7toHZOjY/+f2Gwwqaxi05ZPw4S +NIcAYOtBG5vglBjwk3z11fJswMaU7nlHz1aZQeJofYMqqR1Xv7CsKmgOAAqZQ3EuxyXHRvQpo6gd +8toazfXQGWiraXQAE2y4LIzSHVpsqcCT6bKYP58olmLzTMf3RBPVpGT06ID3MHD4qrXM3mj1SHFj +KLGz4yaokHgN8jp/QqgQO7v54Mj9n+jZuQwf2oA5lT4IZSN5eQzAok3z609r+x19pQZTvWajAEro +C5VZYtB9yGHxJAx0Yd5L5wEiEk2cn0vb0P5oK/QE/bqnOgksfy+lXv3CTUevGdVVgWq/SKZV32fX +rA7BiaduHC00vDLNvdPaWNcWTV9Q/35eggd+q5WNzDOgwZTj6SzNWN9VzgHYtEUWyoxGDdwLbYtO +KNqH66x596/NlfnFq/mqs/hpGiwX3rkPAAtVG/971H/+fIvmPGHizzSB/m4ynh/Asms9X7eE9Hlr +N0Hh4RppTU/3ZAf2kbrrfBmjslMp62yVs834Q/CXvqSNrqZLt02RIyrfwklgZa0am4mzGn2wAqX1 +1x30HrqpbxPRH1U/4oHyvGhyUrmmFPQ2CEovVcYePm/1I4d3pg6RwMX/KN2fd24A6u7BegAwOxg2 +CwzTHnoJU2N1iSHD2U4Lf14WMUtmwPARIygOQMnOOEhE62+Cyi/T7az3kAimdtdXtv9ivEmzitDv +Z7OFFapHntbQzOCeAgHzUxG/DuJVJfKLdcakQnQUS4YqxQbD5vGOKE+YlXhFBQm2MdlBSfG8WmDD +71t2TblT6UmtEA3wcWIghyXkxUSGSZiliM+FVjTwIS2tQQncuGu0jmsa4QwmoZaNS8mz2J2+FYqW +OwxiJ0Jtq506lfwLKtMOPvdWfQphSVUX1Ku0y5jX4qnzZzZ2lOVhHkCypa8dk8bK4LcbGtrOyv+M +yPydx/tmOKHLTbcPVcCxYeekjndJGnb74YFuPM3oE1tBS+cnCTrOepw0wSnqUTZp/aXwbiKYdPR1 +d2pVqHzn22DUZSaF+t0lLugvK9LN5CSfBmB3FY+H2A/e6ARr6prjd8DGcAWS5j5RttaSNOITpY2d +q+xhA3yS2h+mHGMIrc237v3n7VruIz/AhafWJU14QfzJcRSauFaHLrKgKprmxt4Vzq1IpZa11eKy +Dp+FTYuOc0mViBCJ+Kj+MQAtdjU2m4jGDb3UIRtpDakJKj1z6vQf28AtSvClZ+i6VOUis+YonCWw +iE7dXu7NsVFz3wFFua/4PK+bIwKEzde35HFO3mXLiwWBsS3IrIx8UYSCFveVM2ouGlf/ezNzho1s +tWR58bu8bPiNBlmbWX8muxwwf4KyfsHpxnf/dl5CLR0U3juijaA0juZstMsmej5kEaWSAlI3QKS3 +aK1OSBBYujhHFcFaVyN38iACVd2DnHEMf/7tXPwxw0PgATigQZGkabG/p6N2FcpdS31COXOe7Czy +YRSryz4dQydo6HnYWem1jIlT9lg7GBjRTM0LXzeTY9LxISN7eXPQ1LnRQVwMkrtujUR/7kLO5ygo +ZoCf0qxYYcHmo8aaz3emm2j3f+/gjYfGUD/8qW6HBUt7YBDnG+NxxhI3y0InVP8/2Mx97oZrPNUV +F9DQYSYbU14JtYBetAJdakDrrCZQbCV7UKWXEC43GDqbswGQRR+VtMqnCN+oZunOOzy36DZyIReH +YZMIeWcfUkWaXFk5HgTdHh8SMEVzHKCQIWcj6BvKnEW4XCXexinBm/9wFRqT6t8dgFnqDuyo/v0P +rmJA+FXK3G7VD2gi4dRloqHH43Bewgtoopi05sfy+gIW13Etb6Gf4eIvPskZJPC/5kkJvgy/yJVa +JGaGLSrsigO0DXx4ZKRD91DIhhQW2dY4iVEad8eC5toCGHo7I4LWDZHPmoU0MCnu+wDGpVz3T/VF +BBsGD/1Lot/rBCdJt+NpYnZ7nVJ+3Tl6deZOiv1BpEh6Isq8cnqt+9XiWYjLr2HwA90fuLhcC88j +zKQXuV7Retviq07jfWYxwdA9mAnEE64XO5G42iNNOnLPNHJN+Gwgpi9GQrlfNfzi+BDnnQsmmfN9 +qyY35nH0uAqKse5cXgg8oABBn5evWyUoRYTIzkSxKAAeb1b4FRrDEWsr4b+wgwcz9UZGBkhQcnyW +VGbrkP2jCZRbg7ufrMK4K/sM9wqvE0infXTabvu7q/Sh7/BCPoTPeucXVc1X9aIr0JX83MSeYtMn +dn25u6Stt+0Jr5u8Qh2VFV2TFEuyKhl3TO+NkZyU5wSWAdcy1MBxfCzpx9wOpMcM68RMPIZfZJO+ +oabuvuKWB5wHs1WBBKWTqLr3v++Hg4Bj786ovqQw7Rmwg4U1beH8O2gJaqNwU849QZDY/VIjqGxm +8JwMPr6S8nAQ8Ehogw7fR7p5gm2EYnOtqDWn2D9LjnoeYvnU/8Wapz069v+CsyCUq8//OYS/tH7+ +2PiDZB0V5Csv/hxIAKxV3n6D0qMLnzFwjcCukkTatW4NfLM2kyITy/epapBC8ibzWRsv34MKhTGH +seppfGLQEhxoKJadB7NBMzHQe0chLINc7XLJwqTlFhOGgLNPqD9KjXM1e/ALsgugeoi+dbE+5kp1 +PzzBns3HDHqJCMFtK4clx/2jD0HwXgRDrN7vN3l0t7DL9wjWH+6v0YiDvIy+oYzktiHEmEwlT/aZ +P1djbLgf2sB1OPNwtkiBv+fA9h9tjZVR5tyHnIBLLLRKRpWvA1M/AtY4nb/tSLG8fKTVt9tfDpXo +uVMVxijyVj8kYYhJwT0OnnCMPcvUgTTIStOS8UtKOoIiqsQg/4E6tv9VK8kGB08W2KkxdiTTB7I/ +iiKl0m9SsU+myfq1WTTnxg6KKjSBEJ/0d3Vs486SWyxYU2i3cLY9ObTmflZ6U6J2meI87KlobII9 +SJSkdWDmPWJsBCNmjqlQcz119ToEqq878IGwRfUnWUaxSaQIyYX44CQgiDxL82QGNpmqSP8/242P +dXUDHH4plBDxmV9SlN69MNMLTTg2F0M5ojI3I4Yc6UmXBQr4N73oKVhK3u8gt/OWnlBK5UHxex23 +ZseRsG3b8Qcy7eXWbgAWeyKdK5AAn8KGAYQOOPA2C2+J7oORj9NgEQDz/ynLZ0iW3k3heMLnQ5Ji +EAOBeIxL5mdOn0udppUkpVfhXU+ru0A4uBdWq79YKOxRvGE5LZrkvsxR+rhUWctWPkG/sJ0KG7kz +A8W9GnyXT8o6M1H798XT0/uU324MoRS6tdxnzjXIgDRECefegLhPMWU/1hYsheKg38KVqyULOSRX +XlSbuneWwCif+P01bpMPI77MRx+O6TA0NCrBPtgwwaN5UHQVh8d3G0/JbbmNrM9Z9NVbGBiq0U5b +0IzkGhEYbvouf3pqIkgdkDYTjM9tLx3nZx3q/tNOUgMCfyKf/070CDFS83H866AnDZjY/y3nz8cM +l1MPIJbbbiFEZr3NSbeu6bdPZjOUBjqFdmf2fUxQFb6TEyWOurN6GfDIJQ1Oni4BhcS6yFWXT+RS +AsTFOOdjbSwg60F95EYrufkezYpix7BZnpUeJb+MbwqTEaP5bKMVrdU3gdQWT52t/Js4hZILF6/P ++MA/00BJ3TmJUn5Ink2Jx6QQWQIiNF8Y1qnoTJmmJMX+qZNFlDOxPITcWGF4gVSsEMIDhSWhozTG +NM2qd8JaBON/SGuoVpHLlbD2Nnt6HmOwQTdvmDlmal0QFxXqmRPhHEfa/ZeiPsGlrfZyY8v+3O6v +2ELkjHhFGcFiVv2aPEytWvGIN0fgaeXkpB59ALspDmphOSaXk4LtKkbHSfm0aixqIihTG5ayzhGb +7UjNbPJkTV72/dnaU4xjdbBFE3tRWFZ3UbQZCGVj/DD4AIbgnpeJ+mdSzD0nzLyiieRJQJNx4gy4 +DKnSaw0qOLwxOgPIXqSPoUbTr/xjcpYiw7g6V244JSDeGjnqJEi0bqLG+8H2Z2yCnMxBdIGSDbk1 +Bt6qS3iLLtocWP/v4A9TrV30Q6AhuoKgdvT/tQ4cMpR/c3vKHctMtYjJN2k5k8aQBbRTiOzx3D66 +nf+0m9T97aq9+JSGYZzYGKZPWfkjP8xTqICHYu0NlfHMqKs/SMgX0QTg4TCciJghpE+R3YbGZAeV +hVl9Kw4i9Qpt5uNPdmYWeyNtsoszstfVuwybxqp7I78Ehut9Oi1vC49fpgBrtsoaSPGBvz6RsBUg +I1SuMhbF/hvULxqL0C9zYT9/CH+65mtDHAHoUu1a2MPmxQXaoNLz6gz4cAdH79QhuuynbqnHVznA +VVh2o7QSZlFht2JCnJ5trDN3yl4TCmONC1L3dcpLhhcTJ4pM1KGmNNGmYisI19uua+tdswT7u2+J +VsqWfBfjaJoylEvObod82LXIZGtihOz3GX0f1KorcXLRQ5LTviQ4s7zsuZXQr0UWxwPJm6gtw5Yg +6uXmG8kvdt/+CkGNZ2E0Jsrm6jaExO4MMvjnPHnjlAXpGEAabIU4djflUPHOlyRcHhTBS3b2X8Hx +GIe6pWPEX8GkYeuwigTZdXjuoy+aIUyCe96uxcUJKzA2nj5NQRZN9+SvNJ9Gd3/MJoiVeX0plwPl ++MYQP5iH1TTBajmdiS+cneN06jkgGc5h78JvaKJ+8XH05WRRcA1m6YDoTilOTDljzJFgmnyc5uz+ +g7LJWq3xKAgNw0nJAfV8/NpZRHqvr6z+BGJBNCVGKdKOVR7hx9EUDieuoIr/1tCMBxFYAvEqjxWa +ngHqbi/Q6swqGfngFFZdBVBM3Y6fXTcJWZavlfmDLdlgTLJuENy0E9onSrU/Vm+v8wi039/uhvfb +QTuvMgmwRTkNciAPrBvJvSJZakK6gGsRnEpeV8LJALScZR03V/W2DagyQD/dP9Te+I8pVdTCH9D4 +wRTJTDzC/CDiY//xjcIIIChZoB+2dFEW5iLTOTeIMBVEfk+q/9tXjj2TTi86hU4TGBn/eJRnXBNB +CKmqUPedxqoYKsnfvC9hx4s+I0oJfG6m4BtPCIrzYU1P+Qe2lGjRF8HtX/oy1uJyGPv7GsMGnxZq +nf63DTy2UV48UA5CeSE5/Iy516mmN02p0O3TjEMG7LVx/P6sVZdRZJ+3sVo+nHbxd5wsyik5wLYD +eOh23Fzkl0Shw4ohAFfUrbP4jW6wvrDn+ymp8syE5qaXVYtVvSJdD73ehCDBggDSfVyScG80PPnf +2f9poNcbu440ow7fH7tDLityXyA4sfyzsqdcghaRFjqucxx+cf32A10wJs2h/H0drTjNFj81BKT1 +RGXiNQzKJCEXnIJKFSCmvs7tEkBA2saKDsqNIlagd8cJElScekMvYHTB22Rt0w4fkLyy0uEjRMP4 +OoQTvIO3/+oJ14mDqQtuOAoAUPPqSPoHv15C0jQh/CZBIeaW8Q0fEdt66pKSSjHW+MY9jB3FC//d +xmPl7emlUh1NF+aJagUVWsXyM7gB5mqaZeFbmu185IpQB+tYbWsd6XrYIixhjI0Tb20lbV4OOHjN +yqakhje7G4ZFZMP4UEt/UrHxq1embyHBdrWqqFZPNRUOfCix1e6v3dXjpBQ7VVI0knCVlAJy8RSa +ZKpmmR+s3U6fdtpLcGNQV75srw6ps07KHxJ5bxbjd+wwDfhDlD6THNNKG4BTDd/S7E0TDjI/dB43 +b1m8/JMhZadGNBVL4IuxQzVZKxijlwP/0HIkzVyPOqZYZPd2gyFYuYiCN1RcvPaGDWcthSlyZVX0 +1JmGqbBmjjY9MsN0y6BzuBtkVEej5fLjw3U3nEizzUlJzazUJ2Tz41uRe6O9RdM42QFq+hnPIDTM +0Dfdeg/u9XKB82xZ+C6cGcjh7ZVzwbw5l9uAwJPNO5ql+1A5gwXjP3Vnpr3ZGi75m4nHq5SyVQNc +4fBmLcCg0VAV6bHFGPzhposXRD5oFNomvBnWVc+nRfh0Vcrxx8hn6v7ELBCA9xdc2/50gZranBa0 +UpnK4F+RQXiFYRVH8WVSjyqihMqgWa3Sxhja+LJIJ2qvpg71dDniFYowV54OiG1A74vTcgrvM5CV +rHclW4ZNqRqWB/bNsmuc5shbmgMUGPGstE298YQutQzQrJtormXAwF+Iq/qEL/SzoaGRCKXvQXOx +7515kXrS8iRPnfi+Ks33iKC0HjelO8E5i89VNzeKsYaNMv4UEo9kqDfXIcj9FbMrQRdx/0N60nnX +HatPzq48or2+GZmKiJR/PMS7+kQtd27jx2SFBMU3zHT4z0emVqoxqVHSZl9E45EgjOVIboFvONTu ++YHIJflHwEcOxLxSWXDigl8b19koGXziDLGXeMzMqUT8g5Cwpbjle+Xh3i8+G77LKv3UkGR9Z304 +y30FwPOphhv9ciJdK+rJ6G7sJLnauIul0WOqqILsuEbfdJ2zrc6Y/5KgtCRTdldooU8AJsa/D/vd +a26L3+0O29aevv8HWwg0MQHnTCr0p8bK3GxVY3GO+xH/XAjP9fm9f3pEYv7DwGmX0Cq19qFFpldO +K+OSWareG342MxRbS2KSym4cS5zJjlo/kHQXrD1it8U+0PJWLeDm8BcUebKWNI6VJAnzqWWvJ6wO +JJnVBBN0F+TR9ZchqK69vyjh4Ywl0w03PCvk4XaxXyXAikrUopoFxGsM7xLRgJEG2+Q2mBURlID7 +RRtpi3wOyzQ6ffRFZfJLUp0Puna5G0Ri3H5aK+JrQFJ3P3MLfkNTakYwjAuRst6m5JH5Di++T7oV +8UJW2HlJ2M08E1+DhAalecjaZaFTbZkCpFt2jUbl8ngqzo0UIvjdPAUTGcz4FebwJQe+m08v2jUJ ++0x3i5f42jJ/z+uB+i6PrbbnHEBVcptCAFjbibrUvJkWXPa1UwTx6mQMx/G75CSajQOwzdzNjwZV +wRMd6SjyBb5uQlHNRjd8U9guYSsU2VFNeFBfGsouDCrr0jrX5/I5BM3mUbnbKlCEqSQ9cYmseR0O +UZ1eWJrPhD5KnBCAi4mNmGC7ST1+8lyq7EYe2B/SrLcql1uV5Y2iaXoF6nrGxykp/4b7si7O5Xff +vJ5Yh4lFGU3QYAnR66JM9af2MwsF+JyvlfKqADVdiNJlzD6yRpa/AbiJ2ac+XaEJHWvem8KJkvRZ +O34h6/QkioBeee49YEI6W2TOs1AFBVpEQ7qBxLGRKMuvyb5uO8TtWagG6KTETiC4QkLL7oXthH8+ +tFRA9M/2PBzKgL1i4ITGt3j/XpS4V93NFccF4JTUAn1fHNMcAVLVzEIeWW+zYdOP3jAKk/9D9CTt +rsY8LsKSyoAK45MDIG3tk+0TmtHCmmlKrnVFhSKV5kzzYP40i+ikD7PRfAPxK2V7w4CNHJjh3SUk +4ka+LEeL1Kze/2kJ4+f2zdiptljqZG0g1jrC6I36ri8jc/Zpz/PlUwsGLKMeXzBNYDUJhYjz3fou +ag7Dhf4dZhiF6N3/01FgcW7J0WVA9YdsxTDk97Rda3i6JjQDbHBGvJUlBw60INspNwIWFV+s8T9f +fE7vL8hV4O03oOR+l99sOW2JD6MqZBXW9Zrl8juNbqhspnPLRCtdITxY1RwZr8Jk2cgBF0Bm4C1X +Q+nnpdc1cszHui9F3bR/ijJAvAobNmWMJKEBbIOhbNB1wvGAYQ0jcJMW8GJvGljKywz2FhQ6mUc7 +85WgNHmcVEgQo4JdXM4oNFzBRZsrlSRDt58eTWmFg7gV+XHCmyovJaocq4Rg4RtCeKQxVBDn0959 +F8pOpTLv2UjNUzvh6xazyvEOSeZNU1z+LzQnjSMeCZo8AnJu+Jdi6y44DI3IseqksD6nenAR2M4C +j0Oq7XMzkMNAp2v5x9dMQoVhdXmQTaJrZ7hvGmUpMrb9XZHZ2u1dLpgwQJOOhA2VoGWPOoPbVUrW ++z8b1sZt42rIp436cpCGKRxVnkdmq40/MpT8/hKZZ+jqAtzLMslN/QHcG6M+hLroJVp55xyitT34 +uKlUCH9vOwAbL3s8W6cDrlS/PCxBsf36RLkGC2oF58VPPwtjBdkK4ZzYdv+a/HpOYjx5mpmQfWs2 +K+cGiEEU+A4Q7VEK2HdW7OJf8fgYaldHbN7Ig5+IBjfClNZfmmBX2ghMBUXJhfkBcGjw4pYKYgsG +tvOKbSeMiVW2DLvPuZMxtDwvCV0OexSJCm0vKUP/6HB4QjUXDmkm0+azlrBTEK5kvRHqY8RgNPtc +3eEEZEmORGrprxqKNovSoaNtIotZe/Sa5QSsi+G4637SAH9fvzkSif0MJ4VTU2+bIhe3skGJ+Tci +qwJ7uGaBZZ2R7FxvPBQCdPExIfXSSadqYjPZ3uoC8SrjZsXBNWB1ryg0wvQ8eJ5Lv0MJmfqxPadU +/3iPWYTfzBTXfdTybkOCX4bvtVz/XwKk14suW3TKIkEcopGE7XM0MLi6sDi2TD//WS4if0btjOB0 +wz891CxGA9LEeuukfirJFtI0fqTr74BRa4mW9cHgtHn35WDtxB6ZoG+e6955b2Ql1vFFh/HY2Aav +FU/lC6LYDa6kkb8A35U7uWItaUwl6Ez6Dh/CaSy1aHmnlL+GbK7ZpVZXFX/pLWIKJHX185VZcpu6 +mATBX6v+3WgFmUk1vwxxhWQQezQB2f7oAmU1WZkuMhW+f/T5ptjI2siCkoQw/dAgu5AuFJi467H+ +E7StpYzjEeHf1nmRDC6vVVzhR2Q8N+1LwnjLWPY0dvw9ZYCQ4z5hEPjhyQYisyJFyuVqFKF0XXAJ +KjeX1byi4RHUm9vGvrvVPQEbnilrCjN3+KMRBfY+eVZq4e9RK791h5RzcihxEzVxUop9jU9275Zg +II7zVBV83xNI1j6arAidnUMT9toKumJlY/6IrjdxLcTfcwbVnZxIWBeeQm5mvBAmPJhwreMJYt44 +05EorRaZ7ve3fw7AJI7HFcYTIqV7dlBVRB24YfooZr9U3RgT0OYqf5j79B8kpVTkS0yaCHW1rz8w +O/pTRgh84kwrf2DEAxXIjTS/jGL8DLwBatYPNzKNgX2l40fXI8GNRLDZ/wOJk/Ek1Zorsp7T9pnY +nBqBkopGGE9cYqm6fZpkNQhvBte+oRSpIK5ulhK3K3mlL0C9rfXxsYIPZEqzVMqq5EXnm3Lu5ZOS +2CUkAeSHWZj8l1LKTZmweFiLGV2ef5vrDuHjDDePGsG2T8HhAdmgumgrT8k2cBuYaRg3cN8JmnuT +GyyF/giBCR2m675rgvXqh8XlLBQnryFu9QTgleEtxLpca52pPbpgmWgG5WK7tJWca0zLY5FXgDkY +bE3r2YECzwut5YEEPw3RIi/4OsecnUZrx3HcM2CQDqPRUuKRcufAHCG2/j83zRjVs4AfuVGjT4wU +1Jbp/JmU+GSjyES5bCSphG0GMbG3uafREG3ALdIvQsqJ0HomQL1tQQ1gvlPytK5VT5aRcI6QguWr +m+kC1RSql968qY13eW6aMU+7L9ajAgujFmqhkcZuivJLC8KawmGBgy/7luTIhsGJQj3u0kbO/yWD +hfUIDVJl0aB9uWBhdrUx90CHArcdnWSoi/bP/OQGOueOzkKwVVOj106NI7/ERm5bO73Aav0T6gub +CnNSiZRDpMZpU1NlISyZSPTZSjJU38yUsTvnKkX16iDO1hiTzMkC08DizjmxW43r15tpKQKIiOg4 +8qWyWbdeTFPzaQUp9QbInYIcJfe8wjtCZzH7tAtmlq44PP1JPTV2VOqJ0jETRXHPhOE6HKpYNane +++Td86sW5qctUMEeNSBuxPyu3jbgo+leNVKnr0qPjZ9t4hywUrikZWRQTXf1Hx88R/MAOrAv/fnc +VTrmdvaQhqdAdu2FonnJeJTvxBQeE4Gh4y44eVd1g4CmQKtJXadnP5FvZwUUYuVk+es4vaVqtf4R +NuNDCOZo75PJoBVNQYa2ONwvIFX4lhUmH7fzUTKgnhZTB/FbSPpWagECgv2VSRE/1QB2JshHi4rz +Ikhd/0d9C8Hmx9tWyaUWf4BUYym/N9d/NESQKCMYACnIlwe7+RnweMAovGAjPt7DG66p9Qv6AAMn +HreqpnbGGjNeHX66Bmglk1nlsnCJqYLVKvH1jlT0Hw1gk4YqLBe4eD/3+7XUh7e2x7aAXDIQkGhB +B2SqRlXJJCgCY5Bb9ycPxXIIe5nWtyh2dnD9cQM11VbXLv19nF31yhKrOIricmi7Q/qYwCiIrr4l +TTdUCOeFa3Q06Pr4ULVNlvXmFBODdcse++RYx8fxefDvkLNmwQpaeb18Xaa5u1gQ0I8RdiBZd20r +3cFWaqo+RqHkcXrxP5ARoS69FqO0cnqpu6xcmvTV2hIaMO5S7NfJ9xkLWYlREsLtTD2jqcxVh8eL +naVouVXj3R0jCMVjCEa1wk2cQ0m9EDrCYr9EtZ/AC5WOTL8kcuFB6Y+BAr6/OR+AyU6C3boUJRW/ +rAXsSYrI2Dk5EpNGz986BozJsP2RDU8awU1i18JFB7k6u2DSHXXRlcCgX/JVUUvgRNfTyO67qmQ+ +PZZt0JATx952uW/Y4KiJuuAo3noC5l/MfJ2F4W7tPX4r0PVeO6BNndSkQsbldRyPqTTyq50brnLx +tLuCIoyffg92Db1fASiA7mR5VPi+kHpImuuCuZ08xZcpQEBz1lkhRVhGZnGOv76pAhf7B4Ohgb+D +R9X+V/GJis8HRQ0dEcUrZl0avbQ38fGz27l3TO9xbV29JQsKMskQOoo4JZq7z1hkV1cIRtX6uibF +eF6VgRlbU/YVmqY5OxMaFAfvyB7S7HprTXU2O0flXwu4Er7sRNHnbxb5mVnr8webxOM0pX6brTRR +1mjaSA2pJ8q2nnhUAnnCpZ2FhGZuHDOoYj20dvKzz4E96ZQEmPYMf1hEcDVycqoto1AH182K9vA9 +TR7ThvKnao3T175xGpoXeH7bHjCFW7FFkL7sPFJh0KUlXQGhfSrjH0h15ATyiSHe3/w8s6aEYRgM +NugZ5NCPGb9w6GlYzEPgzx9Y2sAMArDGEXUpB881pOXKp6D7YvjGHGu0fabuTrLIOjZ8SAr9LKT8 +CgqHlnVMRw406PupEuHC5LBvghLd+U6GtYYisaA6hwdjVUTgsF2TW3195Is764xnHiAHR2z/9G6q +mSPWG9dgACGK85ZzOYbpaaG2TyZpkrK0HVu9kBl2x5F292A6zQo2Bd9ZtzoE1+Sq5/PrxJVFIR0B +H1w9VYHDWVo3jKEl8qSLRW8CwIIuzG4qj13tdr4kllNCrhnYHIeqEf/PnpJB1gZt2ETrE5P6+oai +i2eBDnrw3baegnJXMKObN6+EthrQM7RqMcrtLExqSeeJFE40CxAUF6XemlBcb01LWDmw5NFgMEhQ +W1fCrRV4FynCCjU0MWDISzFRf3livB8YwXBfZaczHFe/eH1oNvFbONb24zUGltxe8Q5+3b2+oUtF +xDW0SwcoxvWPvrB53g0s7y0D6l0DsAqegpL19aFnMFahCEqdX19Zy+StFDSPRbNFmYsnt+ftOy6k +thOChtQV8eA2c9+6YdR5yPKnRs+B0l+SO9P8daP23V5Wzuz8HfImKKOM5p1yI9dlIYSKTqO5hZNd +lzpkQW6kgtpJPaZ1CD9pP0yLQg0c8PUowwqZ5DNiGtnkzoZnfoNs0PsHbQtSWHsUy9/UNdpMZ5o+ +jI2Ueb4Pal7bbA8gbRp3+8N5VNjFi0kBlR+SlGpsWIbGp+rWi+p6UgVWCNYaVt2VE77vP58gc2o0 +d9e0VACkfyxZhyCsk3HyTiB6fn0iMUJLXhTbvx3lajuvqFL3F2CTSc3sHU+X2VY7y0LYHklTc8Be +kuzlkfht8SlXsYKfr3Dp4VyYywpUkHCd6jv5NAyUYKTRAHAB99gLSW0KRXFyC7ylJZgnrqqHGW+T +7hZBg2KlTl+vq49/mMHCNv14F1C6F0TQRcoZBaMAWRkesbmFWCMldiyPfb9Ub2DassaHiCAgGgAt +xg/fqWjG3Qbj6rqkjmkamYFhj4PZQpKmZBxAfPsyj8emuN6rweZNcmc2ncSQ4a0Vr2zI1zNtnETV +znhNARxF0iwpl94gUys2N8VPRfws3HB6zFhI1vBma505ukHZkuaFzGDe2r7WKJu+T4QF5XPbrHPe +wuiRv292o4gUjmn+7zQrbPVQ+uod09d1KvarmEprh/D9eRwA7pMUjHb3jpwGqXVDjYdHpuYjv8DN +hYCEFbL46+eIsxVYJ5Y4A0hPyjeHfyE0hkzNXEvovHnmA7ZUU3dvAu1I4erk34Zdac/CpnhTNC3z +9rqi6h5y8HJcQCA7R53V/zL/C/5PBZ1C3dT96oJj7EESGb4yd7inMF++23mswEhjT10TtpxlfUCG +Zox1TxR98WcN3+QoER7hQN/r48YsNkOUUM8OwfLuBXBVukyrc/iQyJTeof0+pECPD4Zg7p4i1CtD +/6vtZUEFljli4h6FwxDgsL8UdIfucdNPCC5KQMShNClb4D1dUbN7N7v2SUbsEb91kD0+MLCI1C8X +osPK2lW5HJp/8IOM6ttrFdKA177GW1i+entefZ/ItTHoBP/XSfUMA3jt6gqyrr+Jbcg62r9zsV3p +1/8OyZpFLUcd6XjXCe1BMdcnNj3XGLG5eMmm5cmUvhwlCI4TPPV+aH0dYTzEgTr21sMHCQmaFWYN +gBPPAtv36NWhkA2uo81w3u1aUnwXVVuAInbsOYk0KFGGYykG9Gp30AYMXfVCmNjsKBL9Ot9D0ND4 +x8cFkrWaA8trP3iJgE+6i34jxZW5wNhPGCJsGoH6b24AnO7yR76hi1oon2uWUyRVKS5Qbl8cc+0o +lzfv6k7jV9iL0+FLasMXmkZpA6ib21Up+YY4qkzAQVnMTVXEG/Poy2xJ9z3j9GEHzyC3cGFsANrY +dAsNj1ZdRkMrfghjfXnWohde1B2zXhBokJ2tqWrGWEadY2tHW5l6lpjup7dWWvyGF2ZA8sK7HYSp +K6aTft7BdnRQrdUl/RRgQ8134mv+XnS433pdEhBhMeusNe7BL1vwcITAi33xayNzRJnIpR2uyX3f +k7NfmqnHYwt/Th9/k4EwkP2E6oCVMIw6x7uUUUojTfGjpvWA3RgsXLiYa5hX8bB2NrpQwy8FI1dJ +cPLyq8K/1eFKEVrGWcvgXxpHpkV12rJmBEwkhUEM+gPjUtvyDWHjnU74q/Q/dlqOFIHW7DO5yo4q +EHYTPktzcyAPNo922iHhDkI6JzGJ7ZLi0jHK0IiZQDdL+UFTk5UtAspk/Xj0RaPnEZ+ySgJiRqKu +k7O3jw8x+KtOu5dchxE9sbtlNU8UbVknrsBzCo28y+kz2sd6ziLVq6uNabBMPvmyPgSejWAgIN4l +byIm9xOm8UZN3D6NnvRSqCLEs9Bi0b9dZVbCUS8IpZ+jibEtFSwxVMw/VX34PXQYXCNiOl12L0c2 +E27QqdGQoSqqsejk3I9sgubzs7OxXED419rB3EBy3FT3q4hXh78X1vRSbuBJQkbdVyg+SyZp3SkT +LZ6MNdOHzG32T409nXVwY6ltOhhfinSWX3vfOIbi8l1S3rJdceOB4uLS+HPY47xZWRUWA1WnRW14 +ftqWdiQ+PaJSCORmz0Hongt9zC2iiGwbuntq/Qci07FGoChDruvW8NGAYoO0ow8N0JI08FVG5gA8 +Fwyc8fR/u9xXrL0enNH+6N7dixU8G9camLWgitOIi/5rDPAh6zYEgi23M0Y31qWKa//NhRevAmyK +PwvpVeuixUaiAMUq2puDAzvs6A0mActxUztJu2iDva6VHIkkwZZv1K4Ou3t690ZReUulvCNnKhNc +je/8iOFp48B7dWlK1876lX/5C3gQ1W5sq0CGT6Li+44BnM8ppxM6JV/e2wxMYGq4NI2V1pr+cw7J +C9luoigORlQfA4kd7erpu8JkmTB1qxhcCuhia2NUxhO06mZPugX2hNxfwoVTNtWsqtTwFzHSVdkn +ToWVgDr4Ge6IMP4vNrkVR+7/Dkkri3lY15F6zI5x4EtZjR20WFsGw1XVphaFAFIKvLQeToKsZWJV +KGTA1hfq9tLggdiipt7n/RGhrsblDRpSBJKgBELiOF5Ijg1QwK37i4UaG/zBDiibBtHhsPkWoLyS +y7SurFJ6h29VmgzBymFnn+FIBMXTWKHwxXxJp1ZHJmNbZGNKSxD2xFg6I6JIa+YnoMgKZKK11J+Z +ER8D0Lt6pTqhrniHniZQWaLkC4evAqW/ESLHRsxUPvfwx/w3F7Md56AMZLrRJ8qhOScDspa9ZZF0 +zSziq4h08Hm1vxPUwj5O+WaXYa/ryRn86xC6hxYCrWEdb0NeF1Od9nrHq+AhrG3Klr3SKnjiBbDL +FSu+0u9xRMCD2uhLUvzT2oXOnVlm8X7PnEvEQ1GfBitRv2YYgvHTSMAq5J06S5QtXU7Jz2FWYvJn +QEAkzQcfPmksEGpy4SFAUNJZHfTC9rMG+WDwfrZ+7/AfCQMt//Bok6WO54dqlkOj6TmQ6WzH0Kof +GwEpSGnvhxdNjm1GY8uiToxfG/WpDlB/46LrvntxqXuAd/mLS4tySXMseQqs0KxmOvGRQXSpIWXm +aKnuhdsAhl0X5fFYQ9KEBq0d+hsR45Wiqydvv5N3ngCpavOZNKY2ThWkZGi8g5EOjb8CzZNHBFlx +qOEae2AXtdtMb7W6EN9mdaQ8KWJFRfO0+mVeZMK9zDU02vAWgICQe0hYKtJUb8tYYgdMGf8xSlRg +jYJB2aehYn1hbXEoZucZTCrqlBfhv2WzjeQToGjsCCZvw4jARtb2TlU7EUS5utpbVHyPANaGf6xd +qkPDVflq+Lu04+lrLrMg2Vmyev3pqbeWy7cVPBu351hHkkPxBtPzN0JioKBeXcHJ34eTYVL+y71/ +aXbwmqLCZcChwvbIPMZHC3ofdUtqYs5ElmN123LXA4R1OIqruyA0R/OnJUxPQzLQHrkItUTH2fTo +mH2kwNvJgn+5QaT75Z7GioZJh8h1s3Pgw9U2z0E2okfsac/1nnFguxQs+bZsZInXhyymH76XrMLk +mPRoEDc0kAe6k9UpV5Y84HXxqOkXVgN9Fcd9bzQO8aaisMz4nfYz3mu6pLfw8glD4gvKnx6WVCL4 +OxTimV00w7oGhr4mntPPjxcuMNO7ct3stqpD1vwVcE3qgy9X7DISN9t8Yz6hNgrA+mPJXm2utoBZ +YUh6hB+iogXP6jhriJmMc+E8u4ElF5cwcNV4ssCkQQRJTc/fpgO71VQ9n6XzAJ/EEgOhhfmMKhlZ +UvANLhc2oqIgu8KYexUO2RS23PJF7t0YajEtg4iHoIJvPJv2N6Vx22IHGLKip79ngEFENo5mvlkN +rnjGR4l5ikfNm4zseEyQSClQiMH/O8UA2rjvc4yoSYVetWwn/K1lJTWQ9+wZXaJ7FFngyw7OAXpP +DkrfaXyMu6hRiJciLLafid1QeGoYPNqPUXcsxZ6JOE84NNwx5vs0fG3Sjg3fgLNPlfWv18zGVW3h +pAgKv6jF0eRS8bX5VGPiDYn2Kvyrk4tCopw9c+LvbulvhVEnSOW01jk7MWm1zFIhazT1Ehg7KotH +HcalOFTy4h1RcdA0gBL6buEygZ3cm5rSErQfWiNQVrlKPGHpfJy7zaX/kbv1MFPlxq0L/lEHYdf0 +WyJuxoT2wunuFxkufh+qNyoCcuGxMhK5GeYTlb5qIdsiaxS2ddauSZI8uWFMFO88eDOiDc2ffud1 +kErMNPdrfNZwQ3FlboUsV+zJiaBKyamFwnZdCh4K+r285Cl/UNTHvvP2GcD9T0drObYeQaEZzaMG +YuKUeTjosc7AhTQBRu7N0aQAy3d/hvESnjAOlGxH3205CzV0msg54qh0FLUeXve/dsPB8R/6xMJ8 +aKO8MyusFt3XGDMVojRxD5QfedTpc+2H1UONfIMkK9Zu30wNtC9JvY2DM3lBU18kez1gDFULSJq6 +7ura0x26SPl/K4gUbpVR+Va3wmJajyn99X6Tt7JJae0i5w/v4V69ZS4z9lt7vxq0MQn8DBvFX6hy +eWZw7ZhAvhYT1efs6MVn+EeIPyC0JQ6eZOOc65Be64dKz7HTX5Ufh6ZL6U4t4yTepMrjl5aksXCr +srwZkae44TZr2LTkUyDj84wCPEdisGZjbiVPNIIuq2lvRG65B2ZOvRL9r5InHBNeuOLI2Pr1gJph +fuBYE8PMMrthdHoBPvbjQjJ2d9f4Pt1kA2fJbrYZMmZ8McTVfNC9xjTKFpUjrcLZ4Qjr1KOl99AL +VjbS3sCZsGUczUWWeYyBFF8Tn45PT0t2qaF73gHqh3WkjHzI/tbMwGFUJEFv5ewmOMvdziTn5MIW +KtYVVBgZjJk+avgffbcMLOSoROt7Ih3zC2vg8amGftxT8niI95DgL0JjZvxQiJe+Jv+CxVNHxbzm +KpsQQDtwFoi8k4QIy3NYAAiXUudY1jsHg4Rx//R3LxAZtfh5wPKbm0cDZOS35Y6uB1duidY45ixK +YlGGS12t1K7PultYlLxwFLHJTCu4GT23eTmC1+Ky7RynNBkzeCnSA6eZ3qzMNh+lzZLXm1J1SY5K +dkf50sp3X97xPnZoXFn+FtLFK9a7cev13ksyoO0aX2GVJnQP1X5h341JFUR6Nzjki+Fg2XDT5Sps +rFNEwfeXTn39X9Us1p5paUAS9mW7+RjkhWtCbu5sG3FeW1KxmQrwNmhE6sgRDibMlkn+niw4D7gl +HK35X/LweKkfCmhqSdYdBk1+RfRhlGdquTBGorjrRuRflvxNYRubgylPVKQUpVXCfHzGKi1BuCFZ +dnissJnIqft1X1SS0QhXaYUZXvOtQDLwU/UeyKzC5LU9bnQJleVK2AW2hdVdtFXGUpK+eXgWKl0q +wqwIrgqBlMDx5KmCBefwoO+PrWJEunQbFIZv+G38JVyA8sSzq/g6PTuPFF63hb5hvf0G09jFhvJK +h52u4ik4acXBl/D19rMliY4DUNVlajhU3bRE9XgENowpCZEWf9vqk/3EDnBvOpxFt/EwjLG2oaJa +Gm+U8usNRf9++XWE0dTJvOOwSKM34xLfvVMjRjEtaSC1lSIPaPQBTCAWf4uHJcvpv0hCxQys2Hfo +FwNOow8xKLgBlOmtQVKGbyHmVNRk5bn9s7KAb5kz5B4q3NRTgjXx9zWQ6KELfZsLhE2nuYYZZCoR +OzY8Zj3XlnwVR+Q8r5uCc4w5YoIktJX8n/1xz/GaaBN4y80nve0PKthHzS7HTH9CINbClMhxdUTl +FJx88YHkjORYjlwP1cOLVfrDiEtA8UDKWVQHTIc1nrflmGLt8G89thApQ9lPWTj3H+WBy0ytGDnn +bDXfblT3f3zQd2/zSbHoETzwJx3R3cS4kIJTUfPW1OseMn2G+C6bDqpBnSxBZVse9WeXFWV0RCpu +lCGtBC0LcsHFklx4HdPQi1w/iOEiYbdhW4ld0tYLVaBdeinnNoShD9O+dAegTqfZO9T9bqJNYYH3 +MHzrLPGH3XCNYUpAQ1X5AoJCIi76bx+AIHKdjFZVxmcqAZR0E5bdkQOi7JruP9e6YkiUiCamnS33 +d9mGkIKZ8w0YBapNnnRx+CpYNVvEisnvcbptuKmS1JY3hF+SHn6lwpTpCaeS5iAE+pqzq8sXcVvf +7fhZEG3xDmeecm8ZPyv4sRbwM2DBu7hebVtQ7U1pDBZEcXHyMpAET7CJAZV+aVFm/47qASfj34FR +ylzx342TShYpuUXKylLcFBknJAmHualmxnAIAIdh9Bjcv/X46bN7dxB4IxYr+27ArOhs+N9VnbbS +fGBbtwu+DsIIdr3T0TCp2yr1/UKU2hedQ7HnE9+nrHzPpjTNeC6QLQ78A5rjlWFo6KUJq5IMrUgc +G7DPXsjh/6BvkzroOitnW6eXcHdyPG5bDuShKskd3SFwb669jdJd7Wby53bwkPDzqkBqxtP2WNB4 +HBEsYLYdGBMiCR+2z3LwypuxtRnRwQNt0ESuODoWcD9cKB/tTkaEEC6++ja44RZLBw8canTvPVBE +LStQ80779XzxdtRTNfCLc6MWAxLBnK5ITxrCo04s5ZVpHNIu+79UK3r74zYmVBLDv1t6z2UIwtqn +x89rOi+WHxYCq+92nVy6UJ5wA+IqWJxmClLlsBhSIxO/HCcEQ4JLLOVsxU/htY43gNBNy+Y+xFdV +S49HY2tQXbKRIkIL3ctyeA31SlS+r1uoJItvr2JzL+JqvUU3bTeM37hS1HYQ6HIUe0kOz1L0rgkQ +ZVUdo6ashPlk61yx7Jr/WQKdftYsmVnCSxbvwmlzJwD0G3zUlCUy5vqV6PQsafWZBe+kQSEyFArB +TxwhCZaDe1Tl1/FpV1+itveD9BqS4SqL2HEynkTpvSU3ZYQCg9RhT5P0jEchzs/SS6tv14OMViw9 +tbsdoywC2ne/xOLdiAMXcFuw5Fkd3PYVaVnU7u5guOF0s/9lc9etgIfK6w50D7EB3Osst3MgWwvp +bOE9BoN9tMRwmDjpwUxKcFFbZ88obtiTiV6W6xXNXh1DVAqMrb+cWjEp1z5xZuXecUp2jC282V61 +KLKRAXPyFRct/WtuBuzq3vWANqGzgWNuLodV91fj9jeq7LcLKFbe0XZZHq8bVgILsvdyRW7DK+Ka +oF5IP7tANVoyHoR7NS5n6vMLsZfl18DIB5jXBr99e0cPTZDZZ7Wbm7rhESl5VF/7jfaLdD6lKd78 +9Dd0HJ2lOFuXWQSM4M7jzQctSBrAsH5L3hWVJxQsLMS3QJdtnplf3AvQllf6My3aXHv+aN9gc3Kb +km4ax0LoHRC5pOdnspIbKUpj50Z057Rc76wSfQXM0RbppBsW6Rr/fr4GMXT144MAtzmIf0PUuWSY +yumdgpNjIGPBfn+LbvKwJ163tcFdqSpjRu+KL2GPXaS5dllLuv4L7q02ceciaHQ0ksVhanKUife4 +zEbLZB+uJUmLZae2cNjbmT0u47z1+N9OLP9abS3F81UVt6Prga9cUpaAj5SO1Btt5AO53dmxBLdI +U0Eon9cvRWnHfydiCABj1qh2sJA4acantqPkzpsiYt1fZ9j0opTzmKsuWk8JbfUcEzw/pLooL52O +6UrjKlVUgJu2EL0S5TzHMt2ID3Oava1XN1L8YX9wm+/krowZ+0/lnra0Qrsi+JvsaBxDc5YriXK5 +YM83eY8sDY1g5SFFJP69MhmADPa6uIwE1smmMz80wHJF94aAtSvAqAPLCjOmCNIH05s94fD6a7yh +AW6h/dyWJTZ5GSCv7S2KcxOE1BGnnYG1y4OrVKniXdjHhOWrZsRlhkL/rCRi34GEMb1jixc3DLF3 +ly0sjvxm4ew1raAoqg1xJPvLIfKBpFFLydAnRXXRR68uIwCIn9KgQ4QGtIq8QPnrf5N8/qzU+bZz +GiakFIS7MjHIN5fifcZTl/DmO+C8ZZpPcf/45NEkl7pMD1GeEZTYj8pmSFKCHKbzPOhtXRRix4u2 +jJHdKxsezvupJgZWlG/3si4dasj24q7mzlUeBfyGpe8lsYEx/j1QdFlS/rpdQObj6zY+UmOPhYfi +g1Q1v3YsMnllko5bst5YnV9cZT4orihToE7Z3/OlvW3AUsmKh35s1pIRNWsFSAbTJR/ftJUbNMRj +JoY3bKbuN/bj3X2zxiWsGAlyJrd8e+13gQTa7fV6CAiAkwnty4gRu5oCeNBb+hxF0+rMAqLiF8Vh +6KZWoDUqsjRFwkBdQ5Pba3MPkaNY4L2UiGg7+snHGhwHH2URUVPgvbGU0CctWZ71hRfkuyjwWdqI +DYGeQLDPzkzDRKsx89dGQC8uSetChJ3sFFNynYuXjSbu36qfe6jXQzv5IKHUgHrEzIDEREsB7eu4 +5sg5edbr6qaIpWcSQ1gpKm9c0pU+wRluqacRa7hvj7f+5jj7Ejgk7jVDBQVUCqhpq1jV371HPAke ++0fsypE7Qu5KXQyl8iK/VOJ5wT5nNUUyBSI0ZQ/ckAYGHs7Bs/XpNBM+a0R6ANkU4WuO6E+rYHUb +14IwE2be/2hzZIhe7kNKrM57ZfN9OHTqRa6+LnWQOzU9+vt8Fq+82e9QHyIRvnHaq7zj2m+L1Apl +rmuq0KXVidHdhmNWgUqynAzl4cN2pebnpC3fooT+E7UA0YHQrV++9bNc1ZKugd9V0NAn+LUqbCZF +uLWbe6BSB/kMjHQuejzHfhBCfLcHDn7gSDIiaMkXhMR2P9+1EuLmgxUrr1pgoqqTYnNIaO7Gv638 +aKzBSvbpooaCddtoKYV5h2JkLp2l0KQzIQWT6x9S+d+pG/CQvmxXn8XldnCfphxCjPiXRgn+Glbh +YC1seIyXl8p3GuRSW8PR6SEmVQTzo5slmiri0/9C3TMGwMePAlqhUgEptELkIMgq8UfvYg3gOUnQ +1OIECFeJjAfBe816U1bdttPAu831RevMblFrVkaMxmvF9MWE9mr/LC3JefU9JLrG9VA5O6gqSniz +pWwssfByKW2SeNYKykbO5OPbhEGMSsyfH0Z5gw1m0SoAGaLOovVeWNBdiHczFCv8bBR+j6bQfcYw +8fOzSY2x2Osl4KRWiYi7W0vRNv8tzMWfiej5MdPmLBlArlkC7TmV3YzWrygisyI4Eeazsq+SJWR5 +weVLlF1tYoex9HtAoPDw9JWd6BCtmeHGpzh2O6RT6cvPnayIEoRzuVn7Fn4GLRKdbLi4xM1kDI3L +C2tY83zY7wqP7AWKLHXkeWp4SNlK81KyzB9b2bZUwFbMa8Egw73+iyZ1kM6uDcAkb7NhlgUAXB6T +kgmxIyyOJEw6v4HD2oQ7KQ2UQ3+GrJUx4EY51yY72QwnxwJOmlanS9AioPXekCw9PEj7WZg1PE2t +npWPE3fNf09FWVm3xeecpPtD+d5JP2Y8qqJaF225rCXlnUx9MzuAB9oVKFjR3M6fpVP86mqDNaq2 +pjJ4oaTwtOcrNSh7dUDyhvidWKsPbK9WOxkCfwxw3FrjhqBCRrdOWa0oLG2jqimxNlMtFdRTVCS0 +VvLzi+0z8asr6+BJpMWBpB1/dUwRusByZYDZRfgj+zWQ5o06V1g5Nd6G5/uLpHx2GAhG5JdEwhdV +53VSFXU1yl9diwLusqD0l/+3+VVPXgZC7DDT2W6wS+ksFPAgLL+p6Jj7tw8FIGLC41LSrbltmyYY +FHjMci/qtbC638tPMJcIRR8OCP5/mwXLcZdyfONGdQJNuKbYjT7Znkeundlj3m+ePUVQ7dqB0IIo +l9/icaPO2Cyjr0Cpq4poUhj6cHrW5Cxt6O/Dy7Y4o5Yz1h7LAIjWZ3X0nqY/uaXnaqGLd9HEa8rB +b7bx4LlLx4vQ4FbCLNU3YT0+bvnihaK06Aqw2fIvFyxZJ2khNYLOYh51oZ0SZTnQgf4ObU9zKkzV +dC8jTcSCEFADigbMscWAHf7RUkBtCHz/RrmlE2NmQpeJPsIn/u0nVesOdgU3v0aVO9JMaoRd7SnN +hcQhQgI7Azj2bcUl/Rj1tArx3OAZfdoqIX/X4mHZS3UkFsoyrAhPIYtQ7kG324PuwUBh2OmN0ANh ++xT2JkSuAA9trnFu8Q2Cdf62cr/N2lYbKNYH6D91dzLolybUD0t3KuJbBS3AOg1bDbJJQ8V/2HRo +8NudZa4bHWPycJgNJutjPTGlsqSoGvXD06QtaL/5bSR0BZCoQA/Vq2jyMLMJDwGMCTa42d+gOTXG +GVKLaaH8tYo3HO/vJiPKa0fI8B3mHAUENyXiySm2KsXnOMnTtCoYlxwSWCKVPHRMMyXun8fi/1Oy +4kuQsHEZo9WTvIKRq0fi87iwT/5xXIVDN0PvXbZ+hMC421xQ3sQPCJa3VH/ZcIvUfGe5FwdBf331 +/QlbZKQUdC8gqLMWuJP60KMtnoM4AO32VnmFG4xGHXk1tLyeCn6E1+0Jq9uDD3/klAazuLPvnCjW +LOHFgF9k7xnHbrQPEpR7I5E3kgSC/5sSyS/3hYB0POsaL/SNyrqvujNUOrntcpry308WLpaRKVON +1wvGWrwhYDP4Z+L77QkDZF94bExBYT0j3Ewxz+X2fOGR7YV2JI2nUsYN6uTeswWWnNS6Oua3ATU8 +rWp/0r6ZT+eS8n3DbzHvSAKqYHRYhPwpD0pQUdkzg5lEsQNwH/yx4O3PaOyHXX7DoDn0uwpnbWX2 +f3PdRTj+V6lf0iSsNR7SLsxkuuIZZDkJOd7WX8QkuIQGTByQj4CH4mYIHkRlOEIt9zqE+pNl1Cmg +WzJ5UWRBb7JoBGPR9WBs/KFWM1dgLQc9itZc4hZf0qTTMzSrxm2WrpoZFpubKU5Msv+J8TEavEAQ +36U9EgYefKVBC9VWFiZ0rdyrZDyz7pSnnj6rTrZn8Zqr5VaZ3b9HGFxURYpxU7ZS9FQhGwtmIDNB +pKTTVHYN/FSfEbi0THimGFSTH7grGmYhZGWUbesvHpfj1Z76r86Es/dRkgc7MzGDQtStAqt+Ckfm +o+vRtjjhvhbuHGjJ4FjtHmhxraGXXyS6ToHE0EIBGhH53DcZmy27ga4ppRPsa1d4guAPM4tR0Qgn +hqeZeXqBtSaV+YOie5j0Tj5/jbNtkJmR5iKb4wUNoHy9G+AdszKRfTNjIrQ4N1OErboH61vxxWtD +SuiH3GFxqh/kStxhLKIQqeCy/4lUfC93+qBhzDevEbFXWZU+0x1Go837djW2Lfb84r1tlRF73ZcC +fr/64ykxvgCB800Fe2q2o4P9DJULjYWXTtCLav9D7tflhXN77NkjugvdsY+NpA8KUDijUa7vetAr +cbnXmL2AbwnjEeh8zigMZrkdzCpUL2W/ahpJdxNt09S39AoWg5d4U0+mjJ6VTijmWPsZP0FbQ1ef +LvzGBVa5aM6o5Y8O1Slf0eo3YpWH1aI8a1vDnmjQ9XBvImrMwVAfMOfEtKrm4tTeZadRSEiGM4pa +anvN1vLuXFOHg+py8VcuFCQFWM2Sqd+dmKVfY58x7ug7H4vDGBNq+4a4cogzKvbVOQzSMjd2x36c +A46D/N1RdXdc70PrvKats53zaMc1i5VCCdAGW/BI90NY3r2ffVaK6PMh8E02wa0etBnFyB8XlgYo +e+FpeLrRWIG4sgFn3a1l+QQMw5GfV3QzHyB4N7nZAIkpu9qF6X9B7VXUHgziCQ6hxjStI3jkzCya +2xvknzKCRRq46NZKBcy5pW15ysCCeA/aWr78FtWW++bJ83a+pak8OQSjpjApw761+igFk3p9NXvm +PaKSh6CvdPyuv4JbYZCqjfgCNrTadIIDx4sf1hda5a8P+4iB7TUaZEWWrmKPDEh3o0PorYWVxpaF ++cd+U84k8CqxfRGyQT2CSSbFPWBYvF8LKHxX0P0GD3aOjY0XMjBwcnBHEWdMb2pKKs2hzRxoXZah +/H17MY/VujxCHa3CTIVMaluC8WKn/qXKhqQm532C1XFte4T3Gh8xryUBd0g0BrqXLNOA9mlLVmql +af2KzhqBTD1MojkmLQpKi6JRS0MtgYmA+cMoS/5oHlJ0KtGVDdaA/jtq66w2l+sGM80pziTQomEQ +/qRgt1/LhrxSGF1KItyCw02VYmf1vDYxgrIRGJJFCsL3A1dftkl0heBxtO5Tf//qSozEJVGqn1oR +93i0ctAj8+et63QJ61JGYiF3R11tF15BGmTxEKLiJeLX8S92j8EZ963mcByMcPtWR0m7d2TGbOZ/ +gZOPe/7ATbLAuwgJEcjczlnlweyVK1+Fa1WaLb8nUVYHrD5yuBOzVNc2/hH8Y0Ntd1ngo4DKpC62 +j5A3oLelcQ++XWB0yJS3nhfnD20F/MeCK50ebCLy7NSh6ReCfvJtui93FFx7w7ZDMxHMn3LBw/XE +LVgDpk05a8Lu3soWDHuFDCS8QW1EbeE2psefA0zOl6cXzOoEE0PF6svVbBnxmnztY27KBHGBggZk +en9Hksj/e0h6NAh/WPu/d3jNgOeg0WvbHKfKvhOhgdBr5HmtH53cO4rQiAr9snqhFLmwVc36bKnN +2QkrN7wHAqap4lkjeAuNi5N2GxsJx8RLGIk+GWusqk6XFLt3ahO6GI5IPOthtp3wFVC7JwgVVZEx +jrdnSYfLu3OQMhPuBJF6JMtsQ5JKfmOZTVodkP5WhyoJJeZ6TjbdoIeHYi3GSB6KMalXFKA1rLBT +i17WWIsk04rZUvmR5qd39l6XCnceM0VUdUtUnjHX0Vf1cc5t36NBFcIa2aZvxuMpjI4G4Bx/PHFY +KBMPOKYZ/osbUu+hxwncxVqNhP5fwFWeNtYyAvKpOPE+y6myMO4EUljNnySunQSI3PHSR/fnI5uI +z57+u1Xyy8ALtwzkApg3KT18ysrzqt2mDF9JoW5sH8g2/RuMWmrW6L4upgEQZMMe02HKHRdFox+d +hLJgm4SA44qJEDcb4pfjfe1aENJUUdTmRFOmFcZFP1VAuDxJy0dPqn8zXtwdpB9yVVuhJbheT9Fp +Tdcj3P4IHK2d9Lx71l8OkKjj0hyn2wj39gTNuQImRdfAxmXZG54NPsYtfbDcNv56hzLHDUqaaxuV +sHFxTmjOugdiUYCKoKFmyBWcaBU7mq2WmPF3ytjBmSJziBHjoj/Px+S/aYXAbvKpYWhVturH67H9 +gzyQNsBz2qY+9CcjqOfmhD4vdxg2nbUnHwQlrSgP6FAGJaVlHmcHvNd3alY+3Q0myY9cWUwNEZAJ +Fm6PImXrGSkRYZ9ftNqGmT2jNIMM3iWvKJqlvS3lTkwWhBPmeOuUY3mJcClh5rMcPG/IWF32XZR0 +EdguaVhsCN27vBYiFAF0MYapIBZKQpVRJg5nFSFKUDbwFvtiRjegtoK0Kh00ZhCAIlZoSn/YXpoa +UsZfuDbYf/SgWnDoVEyPkiI/QHdrZFQtNI66caldMB4ouilaDp86g6jF93tPgkZwd/bG3u+eiN+U +fQWkxpVJNOa9GZuAch1Msl0yddubGYPr2Y4gvQOkUWXPNjIc8mc6iBErwXKohaLCJ2abgVK6iYvA +XdZn5WkxZYibUFIJMpsvGz2HVXGHyBmP/pmadLbhkqJR4Yq385bpxJ0+QZoTvACLPC4hWP24H1dA +h/fLrkblDPkNxWBr6Aqo8y3pt+a/v4NZ1z4xOT9rZX/Vlzb9zqcYjdjWZZo6H9/7X+1GHYyPfSWC +ZRziIyJ2Ebj8e65D/BR2tWgx17EHGfmSmOPqwfNnaP+BN8JufdPPMvlniiRJAO8dskAQ4W5Mau0l +0BSerVjfldJ6+0dVb+0AFhfC1ZKy4n0XMzASNXgHQn/AVc5UvoMV1Tqw95WZ3gzrwuSIPdnJiIbJ +BTT4eyNOBH8viWEzxWvVw30dXGChMp4rfWIbO9B2SyGdTf5es3SjHZC9C+Z/xVSsJnGC5nafwCJn +w59MJ8EO1PapocK2ClqVU4GQkTtpflDldEk1j761MX8fTB+Rj9mBvG2f/F1wzAZJh17GTZ5Or07V +B22uqiIQuIPEzuEzeldYuMm2h46hYdYawb4Tz700w359hHY8LpRTpEwcfNaiQ3q8dclUueZRyaff +XHwrHQH2VY68Z6/MwwG4vJc8Gf2ePhSLNc8S5TjgBZVg/3lZnF8+wI8jJBIrWlH+Om9QEMjVek0o +O5wRC+Le9v7WPHjE4HLf7SaSF1riWsnZ5GYgqygS1i5WHa3p+b1yMfXcQxHcaeiFgDmyl17AoLio ++ELbjba0VK79dZxP/VcYxUFyp0AA8QQzej0xD7OpSnE6PAjogqzA0AvTnh5TPGaGCNpGL+RiI/zZ +zBCTP626pm4nBHxSgN4490x4sszYq9nbvA++mVPe6iC2DA+QMvCftkbG1bku4NL9lJ/T6ZBkuDfz +8PPmMHsAt1k++fADsQixm3Nb9NlGyB/jnTc83nopL10/4Iyh8A3ZAO2K/dhevC+RaiT8Z6ogwdxb +b2NS9BBMBbrNYXF0FeC3Rxk+WrNCq6UVPdS8X+SmcGxhOsjHfkm+2b8Qv7Ip8PvIHvp5vGs+pHfB +Y/sqDEL6G4sGiv9gy5uxLdOYpryJLYomQoZ0oPrJK6G5Pw5QFO/dAZIAM9Hb9sho3iXXBuPHIxsX +l3r/eFz8p+dFGym0XZkM9L0D0K9zvl4U60OsKufmRuTLQLOh3pjdVT8OoYs3jCP/AJPUUbwbRDGu +kMX0CkwaKNTls+kgK32/s5c3CBzRO2CQyj+y2NPlb3U+hNFn4kaAblN5ktBc9C0jkGEWvQc5lt9W +E2G8P6d4FPqQgXcvzj5+BtyHLfJOxZv7Ft1EZ/QbmSjmX4ORdsijashvMrMOCtyMaJt0gPlUvp37 +vusjU3jYh2tfFX8OA84PGz55qjTTydFrGoCMMNEsq7cSaDOjHxvoyAajZI3V1WtMQkWrcgB2Xko0 +ECqJpMRSNMMdaZd+BpW8od3OO0VbipiNq4ld2qjQwbIABpEiBkr6IeLPFhmbIGs3YXFmq2thZ1Nv +7maUVw94F1NkmEhmPZuGqFCjqXVHrUMLK6d2Tdy+98L1N1mYhSNpuZflwtnd3FI/nmAME5Dtj3bh +t/MRfnonPcPx5Z1OMC1wsE3xZA23dJl3acdpJZaX2PiKk+HjudlELRuw8EVDsidlzL+0pKkdWwUV +5StVDAPr8jp6/D6sm0WYMm7iz4RXX6H8QEWLjSaHWHzuhQwVj/au9coAc+8kRarY3lZrIipiBJ8Z +rJlkgTjWWVHyqsS70p40xpCmgAkknIoU6TRwLpzooyBwUDF0T1tUmDdm9crJDz+pg+e1dopiGdlJ +U6dtaS2OlAkRMfCRP/bsu75C9XOAj7sQousRouE0n3OKsyI3w/i924yFhN+uokGR7Y4idK1LbRbx +X1XuYGhgQpuaJrihNh9nHQGbo+S0yrT5pTTRQ6f1WObwBKwf56yKaDsmR4J3leQgyXPHkcZ+ZFy7 +NlfYQciSwuGsZqbCzxCl/GlmXSKtShNaRg24XqbpuigqzMnxhyQy0Hjsn41BpPBhM1kOskoTyi/H +ZKM+TdpO0UTihT6fAWe9R2TindXMJ75cRsm4NBcjx0wjDofq9EFtc52WlZ7X4K9/o4pTYL8S+mt3 +T5V7p/yHmczPk4uGvIB3jFmeuSMvEQobfrGYe7HqVFm8WauitIn+H7cvkvcF9G8QXSX7wHVNsvkc +OGXfUVS0S5NDtZj4YABkkDIPVNknezNBGwzNyOFlBdHL1lLxAO9/ERhwBVKGFC0xAJdeV1btu6lN +2k2JkfDShqzqm8PO7WXulZe8FgGd3F3TNqZhDNgObj7e8zAzkSN4zUI1n8jNJgQUn2OJ7yqL6VAo +3cIXp3tEQlrTsxnuBdutLZNHhOhDbg2dObi1qAiowK+7v9KBQqp/UTsMBR+q66gRmslxrxxMFr3c +yxToOF7uOsjZyWrTZ/TkLWVhL1ePeqPpq3hqrlEdYoTBPM2EL/xL5pqTavAVY9WBN3wMTXItNgT3 +f/CrHw0F0mxS32EMKJlMuOH9V1QQW2ylyeQbYbSwnjk33EobtCzEad7S23xwuwFZQmbCDm4JU8yY +aFLOolHaVqCW09YvAll83Z5kcKM4yhS8ojK72Gt12Db6rrqx6QcHvRPVX8aYVeP79fw00tMxQwXE +eTLh8qRyoVBCo7tzpy/mzTwsU4ZTkSCCnk19cfVU6qLvoFp6XRRV8fT3BFsMDQonWbgvQ7zT7bSa +oiPh+WFWaJ6vFVCdA7Md7O4tHP9U6sy2pW6Wiu4ZjlY32j14uBOWNg/kjX4t6kVINGbad5tFbzPA +L+xUMxamj24ZeLXRXOOHo7SdISVUqm4N+N/sHXbgE6auzHRu2rraNTBpmMFgy5T39f2aAT/vDpAR +JwbI9oKbRGybmrO3K7DgWUup8OhEmsEzbujc9QmyiViPwbAB8wffB7rQBvqk/s1wLyYDA901k2zx +owm48QQ85682rk6KdX41eTpWJ8cgbtA+7ho/BS8GUKGBPTsiO/rxnSU6IYV53Rbw5qPJO/1GBaM8 +uJYlbDm3tctH2KmISJyCR6oA55de+B6erOzrC5attujukMmkbsUtnlgCajiSYkSt/j24fUdat0j2 +nVONDEN9sW2nfLCyrIF4vykFNMLc/5ytyw8dDrh4drM/oHCxOXrA1wGvYOBhnIKATwbU3MC+RK+X +yiEpxyevAossadxVWlkrXeYF9nk0eLlX+/MmAXBNqnwFz/woBn7Jwgm6WbgIi0EDGlnXEIN9n6rm +FLMb0WOpqWvRzRIWCglQaDv1t3jR53wbOFZO+tsBHkVky6gEA4obrL4CQ9xGcxy28tyXcwUk4wtd +G/0wvF8mqaandvdKdLPKemYcJZc7896A/cYV3lzQhpPNLaAKJrBowHX5qfxTnJ5BM6+nNR/JczZm +y2V81CwbZoHY8VQlx8O2RT8ji6HbDPan6igKXbTf57IjJYA0/4g6hDdo3T4SuNOasQGiLySyt7bZ +/QKdHgClt8f+DOOA5jDbViiXdbi4uGITUWb4gOIVaMKd073gFqn2wS8jCOG61gyDXgEwuY5sqRd/ +5WHMuRryunyM53ysLk1j5Uk8tLtvKDJxhzxSPPeQT1IdkAGgXzrmw2erri4pPKfEoPCpfIcwKfST +F6JJP44l4U22pwdZwHC/NZ2zXzN3L/WhklWHiuSvOEWCWGGblCzONYFZaYHaa9bg+AGpxkFQMctt +6WQQc3UG+P/DP5rvW45M2Emb4HYPtROndeFmsFYNOn8eVwWusUzvdxp8Hlp4kcClbWsCzGYrhJyA +37ejrPAVGRSfbloDwfkT68s428qVF3NeGPcEgU1sFm5V+ZuJYj48yQxV1GsZG6ea+hliSX1lEdC6 +59bBR9Etf2JWAg8AP4sAePQJmtbkb3PCYg8h4pjNzMYVD1D13fmq0H1ShP2wkDKfcC5DyIUysqvL +F98O8jBYeUs+pI8hVcnMya59Hg5UiyYNXAyrnIvZ7RXRVs7X2/3DZ0kTKkWroCmTXHes/qQkiq15 +7LGvSBqMraRVuklHMMvvNB/6n9XkvZDAjrn3aCx71oWOvk845ZaICoFL6qS511F7wdKjjY4NErUG +l5muaHQSJOivYcXN8Dc3XR1zBagIZRfa75yFrA0U7bhddSMsv9nR5ToRo7TyAm+zrwiFnCyANNNL +vaKfv+SX0kjSvYSA/VKyAS3xfvXHv6AUh6tNGgpS3pfwn0Yu+fNCYaBTO9J9+4Gq1Ku/CtLCqBoT +zC4YgvlSjAIL40YbL4rRBPvzGj7Qe+EfiBkE6KeYW+62+8Li2HAeO6OLv8YbELM5tVt5EoO/UK0/ +a15e7Bx3HVasZ9NymtBFZR7D293ewdDeHlGKNYvKFXf8MB7Xt0OAEWPI6IZsaWB6ydUG3+/pwj3h +br0m+gRqX1TfSN/uPPI6jWFkroEafM6amiZUrZNXeRZowNEkdDHVq6ALZJBCxy0OjrjZzTs3Boxy +Et/3p8sr4BtuXyJ/hpty+t9k0+kfHQyMEMiYcyCB2ipJPD+Z2OZ86WSPe6joyWp9Ak8PKEmhq1ER +QzTtt8SU1DoFTzR6UWxu3k25ZdNp7GboVuqhbYG5LWzeRyMQaxclErSh6wa2/WS6dWMPNcHwADGU +Z4RaZUWR4M0IX5tma4ZQslbd3VCyEMUU8mzfzzPhflibY887j/HaI24X1GC716l5deMhoVy+UGv6 +sCQPE8zroBqWYLUor1JUmhY5m+faRuLbwd24apOs4Xb3PhVAWjs6fSyX/vdgRCg0Tfr4sFNKbM6W +HbixwfdzE3P0EaEubUtJ9PkHGVrs5JdbBLap36kT0jRyLmaiMg5RwT1NvLXA2QyW/oaXjxtxSYUY +LSgSEOf5kMvh/5J70Ypuo+oRo6MfhrOY93wa1UkFSb8DvQIMg3plKJ96ZHyrQXzBKdYBHC4WWdU1 +v5iKusTZn47GDX6i+m5agCOT4NAY9pKTI6HQaXvSWr5XlySIGPi8qT36ASm0bMM00s1e2C1zFER5 +Hvv+kCqfIyz6cKYqouIbzTgJFNPfbCv5/EXjwWW5R+8FODCr0LuIjn0b9yLX3Ia1UC0QUjZEU6EK +ZS9polqG+qxyESOvoedalYEJHIDzT7w5R9VTTyHIkc7rtf/pW6EE6Zqgf+IeUgTQ13VAqEuP+aDU +Okmd7FkiPzpt3KK+RD1uWOiRkUZBqHP4ydKXJWB9TRsD5tfeyNEK2b//cY6UPwAdpbBF2LLY+z74 +AdG5anCyhug8iG5XOSnueEgJeWruKuaC3UfJ0p4BNDf9oZKyUc02tyxhcwAw3IpfK7N5plzVFMZ+ +wtYW2p4j/ZI879eRHiOeYW+KK9Hpcx5nd9oIuMGhgJxV9NidQoEAdYzWdsLZKAhbzZitXsO70O+Z +bdbBE1JB82B/p1Ry7zj6ApcJNdXSU5ixm24H2mwPZt6bdSizT5DyEV0NasjNhmvLrdznnds5vgbg +bAAYOaKRQzebx8msBC5rQFaJoaRPAOJuKCqeVaMLeIdPrNP5NIPaoEUHvMSW8yQ+IpWOB8IPdrPL +ujU1Fx4XEvhQPOOehS9nAqdzv6WqZoI6+HsPpJXop/RqgIG+0jO+VBxMaCmZ5bTdGaYPQidaCpD7 +rC5INdSKJ+3bKVmooiWZjg79JDBaZc7kpnw+R8szvhJgDLgbU+o63gT8AOHkdnv1ksZywq7MitOL +mYPN25u77WKbrqTtXOm++Jp46rcW6TF7TUaurXPkeB6mm7W9zoY0Um7MTan/0hA9V8ynCWJFi3R4 +QPHK8IUQoibabiiVUEPXS/qZqihPqA5Gs2iWk2TUDt10cMFbg4xp7fTJqcYp7whBT2vagDT1+1gq +D8XFvD7jrreXweAzet2+pGPs7ngdjiqZNzEpx4FoIK+nDGVBxLSYMldCTKnwwcvRxcD1HJW4LJcw +Sd30JqMXjvTCvV6DxpRE2EFQMaZGk/AtCE9DUrVi8k/EnR8axy0Ipl6f6ECY0v3XLtAxWTraqmL9 +sNDVv/F8Z8anZlsQCmhiXCT/eV+Xs/QiS9MaEkYuM5sO3ZVm7qIwOOvObpUXdiHwoI5a+2lFC0OP +SAdgowZBVIMQs7P5ZQNOQKRnP/XpgQVSnpEroIw/kmDyUYGMBBt5zvR/N9v/YiG0bfNezwL4MiZl +yHNclKqb+S9AO8T9fpVXu4RbpJ0V8wqhOzcFrbn7NBhSa/dQFu4LroUQ7O3LSCxxruBSp18Dqe+G +gh8bt320mxcXFxLsd+L4Ib7bbTHkYH772ebD/p1EKE7hBjYoyzHeLIn/ZORdvGa8aczkstumSb/i +s3vJGzDcasJqpSQYfbz14RoYsEFEIlBHZcBmAQpSzFMX84dnqrHjgoWTG2KCjDeJmY8S+o3984S6 +VCPqVs1fs/bEyOKZqkwm2Y4WeKPEbq4jLrS/zS5kMUp7oyo23Z42eiLhXDcrL5gKh/Zz3siy+1DK +hTwOltTTESbeaErdQc+5cUsWKxSPb/pyMzcMgJyCzegwQJCgpfqy/8t+e7afw3xmbYhY1eJN3Fmw +huLEurFR/ooo57dtGOMbgj5vVSUe3b2yeLtvc2NXndELiLFLLa4RYGZ2imaSWgnKrnU2FUku6RLN +SAZGYi+DO5TfMCi9Rrc8YBVSbKDSVhbxEZxdjs2lEwziZchYjrfSPf+o7K8AB6MoF3kh9LROCeZb +PBy3K2aZ2gPlleeQBj51mtwD9IPMowG6MmzPyFVMp+loGJjfprvQybCq+yT8ih8wiGZrB/prlYGL +4OluDfbha4Q3RbUXyWEXrWEn6qR/lOINGqtAuNp51lN87UAOk94jVElAZ3PZhUeMkTxm33mDVKe/ +KUe6MMtCVno3uUtcXZPAz1EWmGvZkXnCVqKdKGEgiuptGnaZ0eZ3ZYTZNP0TsPJMyS/qlPonXXR1 +J7PtmI5cW/EzocycpnGv543nfU32DBTXp6L4y8mKqOY60gLEs5qOGRJKY5ce6DTur9StCpUBcefC +DdUV1a2NkLrqaoKx06F+KsLDq+2rQP+77tY6wtjXyQK0DDiS4hCzLq7aOCnhDN2khr4taNf4Ju3n +Cgcu/DdUZhK5dJDiY/u4GINMsJ1zShcicYRIXWho/Ulh9HSYP1xOCzwwsClpPCE9l7+jjhWbbdsQ +s3genKsBkBHHpjvOwBm7cMJVwNwKJwvaTN4Qc4v+DBEFcZxNb00NvJIkbGg8wM+WlkXkLzNwGSXY +fWUxa2x4SDwZn5meXAyLTi2orFAKZlY033jGyoIQsdJ6Pu/AzTXRbXlRHJnAtjC+VExPJlRtlvsj +yYK4+fBFGEjxCxku+NdZtEdAJWyGNse1cd8xkNz6ohfdkGwnk4Qpv5wmkqzZDtAZM5OlP9MdDZ1x +CjbKFwmx4QCh2p1fk44Qn2+Tlf0qiLDG09hedu4X4NP41CvSBABMEE2KDDKq45hKq8eXVPTatu3u +dsihRLKX1bVql/9RNrv7rwh0Xx5t481f7t2emrLi5jZ32ECStAnJDiN7zmjAWMoREIJdMwZ2/scv +0klJP1OmkrAxfLBVms5My2EeEyqpdOuNHmuYeyRV9sAChGuWYMX78yZQ2X8l68ObjZuGHAa2Q35X +JlbR0WoVgp7kEaTOaKJi8gvfIyMYLLB7FIF56pfO+KQSR7ga18AaJcPmtgtYQCBgIkP477V1DB7h +VPm21w7uhhbf1lIydqrItOxYpWhXoDip7/26cDE610nV2FiM9TOchtDIssFcyrobdyURZgo57mHi +LhYAQxx1f6mb2/vaeJhlufFZ56v5Uj2rEe91waDWOc/+LRKSOSSJ8FwbIhxsMNlzOytGAG6Rk1xy +kM6mhYU6X/ND/Ox7DS5IJVB2d6mSqOnlgNk4KA5Gh3tAb9/XjppugqLsvotV/1eD2ifwZ0Lg/nej +RLb5fN7N33Tpahm8qTkTIb53wq5e3WyQPdmlYeBby3JdxktvRp4rsM9qYD5zxbiCwK9eg2+1DrXu +hTbvw3gTd2v583oRooPHKJRWf1nxzvhlVxKuuRflZOcDe0LFJW17sJnTEkABYkebP7vXrKWoQwYy +0umOI2OphMxGg9z00voeMUmk+V5Kh7f6KWeGlgTSc0qHkswXx6xW48dSMPfl8PAY+JjIVprakgjy +P2De/B01Q993CoFdMpdQjGCHvtE5jgbHKoG0J8yClF4nma3mwVEEFtcwnHTjvKhmmCpD8iWhObTR +3CGBiKDa3O04wLqjgTqm8aeqsn9IOykr3HPF8PyQmwMTLx90I1ESSENCbJjDyfn0OxU2jK2pCW5s +1wKkNvfdlkPj3I0gpK0/lvdRAUApnKyaRBiyZmvNSf9zV3KB95HZio8dsrRI8UOsgmIJX5bNHLGJ +5Y6XYRAM1PDpy1bB/o/XPxYuNY2FtSWJf5rAmAqubBzUog9eyiGPW0JdAUbK8j8dUBbtzpsgwhKj +wnD+/3J+Ei6Nwr/9ko6P9yA9lVZH1zu4ArRyVYnoaC9DI3p8KQADGG4Hux/7vxoBhcrIuoqLg9Pa +TQPRWT+oiMO44BxZkzbmBqIYOs+OnvZ18Ui30or2dt+BQyhLNhieOPktplEaVeYXzhdQfvvV03FR +15PDBJj/tNtfhFz22ZpJ+D2uv84NK4Dsq6xOa5XKRk1OD1WFK/6qgZVfx7N50Yem5GrJOx0+/d4G +xaPrCP7LYQHzdcLACKAMiiGcdSDyAl+Ftisw6ILWv6MqjaPZloiLFyBdw8Fe4PQGAW2g6GvVHOQL +1nuRSkHQKSGHBAkR/JcTU7YFIoszt9thH1eimcuej4MifWClfqFCKIcZ8pr6PFAXIUQPxLwZTxVu +vjgrk0sqMn8VsVEe5vNaJ8+JbqBVvOIZ0LRQgwDW+nHppOOFHiI/9XeaJp9dbg6SCbtqrjttubzf +QxC1aVTWc4//SLwFoxsB0MaGcf2CipDldfWvf+WKYEHcPP6qaK8BTSgBu7iBRnEncOx5/KTiYV3O +lMbaBWSPFzp5yolqO6VzOyTMV/QrSjqKr0T4L2Alc6lN2a4e8VS8qNI1QvxG5bd7LJoyDzF4+URW +LTHnKemCKr34CSPN7sBioovrZ6QotWbZdBJddtDFICKvvADlNtUT79UBGF+5PdKxH7TzbAPQt6pg +N2k7jhn0vAjQeVRNyPuByTPJLsVbc8MF8+EEB7vsxyRK7q4DWjZqO1slTOz2yFauNQJNpcOAxmEC ++5IB6/tfIVqknDKavSPtnGDmFttjesN+MzRHZGzeL02cf6hdbBpY51dHSAYdsN2l6EuuMjpQNI7U +qpUOZIUJ1/PvhSSnKMZBdwmmWD9Gnag/HvDN3RhpbZB634K635lk2mv6KXadUbPnLqdTMDzNQbxU +UJ1FaT0modeZ96xG+sjNIZCgunU75JLkBzMYatih0KV/jPE/2Nbk5fKS8RCw6Ot70YVuB4bnE2Cr +c3HYlmVHbfzmM0qKFo04E8ME/6P8sdsFxGdWcwXI4XGQyKWiYRZ9rMlmb+b9C3SNvveEsJk5fQlg +fCsuodh/rM88xMMjxrehuTuzbhznpYWurhXETDXshjkkDZnxnpxKjv0kG8pJbcQf70UBeusia7VY +srZcSjfMUgqgle/dR8BiaxY2W0Im2VUN/JyCD01Ad01P1jqsx6lzGvv6VvK9psfhgEDunb8SHFyJ +838TJxd6bYwgHhZ00bg+3v/1XN1tU/UHFOsKHRn73kOWptCo+M+ac0dlpJRXuogL5zxqYqHwr6Pd +gA5E0uv+uvLnGb6p4Mc+FKaZXKCOdta8aEeDzsXz8Vg7qW3vhqqosPXhaHWphPN9yR8PU0boIVpK +bj4MF0ZB0Dlgupd7WZhO4Y6DNge4LaAsPLUl66CA97pFq2GlsVeKgKXGEIL75SZbHH+0X5HRXt+U +XtFaJ94XjiCSnjvis6xgyPJlOqFil8HCQVARDKGZcFFEml8MTDWMVM5UDCJf9aD0D/ARXPIjvtXS +mOqRJ216I5CvmqcMLBCEcBcBhqgxaNTJQxWc74K195uKObfCRGyN9J+wdlJgDy25T/Kz1hFOdRAQ +VKRaBAyhv5cbq1zO9ay7iiBvteRsoHW+r4DAwjL6bEIpp6et4JRAK0UK3zQ2QucqjC4+sBMyfqt8 +bcRPp+b9ya41NHhZono3SOGnfecPLolfHskHayiP8JZLcuVX4o43KsfuSF2w4vvdS0qWezvxTl5K +0SqCKM5aV5fXM9bvXpfW6bKxsOiftDRtqQe1AMtysZOIvX5/CqXQWye41I4pwXAH0DNwwLYGPmxB +dvdwPjZSlILbjIPOm3NkGNiepKNdAuOUdF0CGdCEPdg2nYiDQf3JAgPqntV/GohIYKmTSDv5d6Hd +ZWU8Fcju459XSxkkppixPJhZTZTpZSHetAUd4QhTnO8xNGEOOLbmrGNWiG049kE7y1mfqKiDJyyN +j682FEybCDkVsDfsaSIqFoaEpqUjGloLXaZENIA8jr/UXreT5rwqxzMq6P0gkUoZm1ej4AQZ8kuR +Z/wqiGeDGtZhu2gaUT5r9DNifLE2tU7xkCFODZfjHtrnu/tB6ZLMk1EC96saLD69EIXeOWDFxAi+ +cwVvlarkUKEuW0JK8XXxaBZ8HtCfnQioCUUiNV7wE6BVIvQV8dKxwsfhUZ1kX416Ge9CurcNB0j7 +MNF6OpZCX9/D1ywRtcVzpd7wYcqo2gohQ6SOjkLVTg7+LqjW59iK8KbsYfkudA6KZylMK8UCq/YI +1VMNBRxiyym5VrYQ5n1MRkM1sOmW3ivi97hjC/FHhmMSaY1v+0BnP8ERb8Bw53jLtHAmlmpiWJdK +Bf1FKderUd1zJkxIx5I9H/eNUYOy2XVcrjJc+LDlwd0tcGMF30qWa2PJprCi5jxiDmSaYc7UxhWK +VKs7+JXDq+icyUo0MlzIYeLDu+uv6aE0ausS3WRKsYfOa4WOfePagg/o0D7oUrD9Zg6mYzW15Kbf +FS+PcqG3+wgP7pZUR1dCIrZH2lvOnGnMqsNKQZftMtg8QStA+DcCngzGyZFVTFzyOgloY5tUVNZ9 +kdLeJi9A+XB2Hov053jS1/AV5Lwzq8jEFdt6PYQq02VBfjhvr3pE4JgqLSgiP39KTXWEeXxyazbo +e/UcyFyxh7KtfHkzalN2cZnIpr4uzk2CXGShtsckVClssm4NZl9tfPjdBT80VmwsFjF/66nlqWQn +WVHVj15hbo3lb1hqU6dDbq5Bap6YtzfIgWrNevbppeboB/72tZJO8fiLzOWiT/6iyJb1HeDhhmo0 +THcg1SZBQF1jSZCKt1NFcdVHKirWtRfvnei2aGURpnyca4n/Rhs5y9BeKTDFyP1LxBW7megGbMxA +IVLvhP1+rQ0JhEz3Zu2EggeVytYveTD7CQZcM45lehtUOVCtbxY74hLupH5Q6BZL9PkHbHfWsj5W +6fA9wUoOdCZABgpFC51OuF/6EXwHH16f+GduBRnULNKVEerSUhJuz8GqR5wkH1kAWJxviTq6dYEd +v2VTPCYNk4X7wJ8ywREN4W58wFwkMx57M64GweCR5QYvDx33iLEGjG7/ca6QqK5o/azyTyNNlczw +elEcycNmj6ab571TuDirWAtcZxUNNVYotds0RSTAuBtBLNt/FwlufgAyHZNuO4Ijkw2Qm0JPgTWH +vblhTE3KgOiKw/vkRb8wzgn7GiHURMeRQR1Uz+6LCiYZJ+d80MT4a9jCD3DPUU+8vvyCoQDXIHXk +HljtwogdJLoP8nj6hv+t4nwplMRx5iADoRA6vENWdpOJXnLFCdOgSwWOZO/LVtypCCRc36blDpAr +YSTRGvt8TklZJbYvM3Xii5MRadPRxflUz16WltLGvfyYXm96y43FqEHdmeOVOx+3ZXBdkgzgnEzM +6U6hdItQ7OOpScg7xIWb/LP0ohYkLVNb+rzn1mpbP8JDdBUVJe7/tpY+GnFLpTeHqmUThPZy4550 +oLjjB/KEAgAlzDqXBtsQR8JyOEWpkI5kMH+XUvitMjdIOAlfL5sM/ZTX8LS1pCMZRT8af1GFaGRC +C3boNyRLBnKxxmV+OGOfDGPuWWxKOsD3kxFMMyq1QgTzVAO9jkhlZDoSb6cGOfSegohSeNwow3M0 +2MGrlpoP8zX/ITjU3kbqaTCNV4+ZCK+J5Y/aEfXo5QtMzt5pNjlmzIdgvMRO49MXgI5HsBxdBtuf +09iDUkRNmk8y8K4gbG/bUOF/B8xT+r4gq2DjqI8EFRS67eyQXYYJVn63EKZCUlyh2ucq76LU92pj +a0+Bw5xAYJH80ORjO79P4zZHqbmEFM/Ies2ZkgibyoiB2i1yNKOC15GlyWnC23VUNqU606twLeUW +EZTtNNelYUvUrzSaKwXM7JLbOjhUo+TatR7Q0eVVTuTWQ0DxfVioYUFY+0TXdYrK/7SiSJow+5xv +VIr9s54YtiBywFUBzkM+Us4GN0Jg5izCddpt7UwwGGWQeP90pUzad0jhhTOtyZgw2axlxKRm1rnZ +69VxBzFs1ssfYso5KWmkLo8NoYf236cmq9CpfQM4JIfrlloQTUKPb884CoCCKH0OikJh7cW7Rna1 +6pKmZQKyKAtbTS5ON9dVFRjKcNMYKpE6AVMz8mlzRzwD6K4rTIiPZfVuq1rJkOgpgjsLYXvot9zi +YCLmR3SSDWvbxDe0OlXJgYwIGcdPiWqUSb5YwoJ2o4R9ZX5J1A4p4FxRAnHh8T5CCzgD5gaxqc4l +GxRLQc6Oyx4VerIccA/Ae+BsBHu7gND452LDgv2e4fvHilRQ9pWba1GI3nTNfaowDvEFWuKR6MBW +DLzap4xdMUm4UWn8ouzGaVRIATctadvKWmStkoldKJdh5rZQtoDRgBlO3N+j55Ybjsb7dJZ6/jDh +dBzNzfY0B4iaJiMLyOaPIPADgqsxwFi0uU8eFHLl1RY1AvuUW5K9V+n8/PbIQELsFTAlFEGrn6LF +tQvo6KM7r8qZkpKQ7zMsaOokmvBNFGDtRkd4ZFGOGK3FEzt+m4GZjmc4E/DHJViT8mngiGQYB03G +AlT+UePzgINbVZ3bTE19qCEiL6RGyRoBKqzwmHPzf9dTSiqltUyf9UDk/98eRDgFUzPwFJRMUJtF +ypAOPg9DkPU2nQjMjmGJzATMM5Nx7zP8DGK83mUdT5wSQeJxOLnk0EOe8gWmXNemF4EmMHtzZFdU +k76bIU3em9HPQ10EwUrttgYEdrGhaG5kw2YotpVp0RuCAmKeaXl7b5bsnhiG+UXzc1RrYDa/9sHK +OtNiMB5xgpT2+7cW7L9MoanQr+hAogUF+lQM1kseEdUUXx8EalYWdfluvmB3gcqWR6KrRUh+JapH +1dzJEwCrYb+PcsfkPpitYZXZS10y//Jqd++dvrMbJhKDZlxRJVx4vnYO+By6FAVz4iEh+hyPdM63 +FjfVhvmz841mVdyyirNCeLdKMmg1YVY0b3TPeJupL4QC594V1L6w2EuI5GGppoB7rkwYtRB1mVr4 +SyjYtGyW+IooMahCLMbwKMO47T3Ih/oUmFviQAtzBQpz3CdUwimFQAo/fvi/MIZqSmeOyYd7Md4g +xJaNZtmLAYspdRjkuC9bDsaKaoWf6PoYoO+TlK3jpI2XjP3mPS5W+FsDoAw8Y1N4XOcDP6vmvLWG +T6o1rOrsD2138qyMbj+GcM2o8qr0D3KT/0+cSB5tLqTpMQvTfu8aFtI7M0iO/vihlYXXCvKWC2w/ +9Iz2v8cW8yRJSg9O1DU/SVCdVz+n4jFN0D8WNFY1AWxnB+E4jCtU9eqAwOYEs5R2mhU4eFufKTO8 +qWOfs403cJVIxOM6/PBwARSUjRkSDgvZKQ5LVQ8fzZp2VCCHh8mt5WJr3cycGeIAU6Ct1VQqRDAI +ka/cbGyAzjvPVwMpRoLLyB31DRRgcMOQcIK4fpqmXkOTScpEKQeAwUYGWZsshL+j6B5dyyiAJap6 +lT5xSrbVfdavZKyluaSBZ6MJJjxQ1qGA4P7nQhBbzscOSMBq6NxdYqJwC71CT6PE6ybTQ3aywreq +DZJw8yO6s2zid3K8C7/OYAhWVpwixjkGVAUDBCPqFLaUYEdLTuFm7Sp/alu0PBFdIn9R2e8wjDsO +EN+dxa3pJjAhy9Y1sqxDkr9K7zAye2iciiNLwtUlbPDyZpJXVdqKk0uTDOpagAhtlW2Ws64GiXmN +kclhtikpFS2AEz+8Yd+dVErD7GDQL7Li7j6raqb+F7MoiLm/HqBgmmvMq9+yXBrig5YSrQ2Vkwmy +uNtaFcXAUcrHaB8uHAr8F1AYOdrn0upb+PNft1pyCoptC6wg88TmH19+QoAMNhqZeoB5FHyJg7xU +6tFQsah2S9tXl9kUbBOq7NRKa1vYLKK/xUHt31NK3liKNiQLFAcHcsxGJS2b5fJL6sh0J7zQTLH2 +uEg8RHLpvvTbiG6BER/ndOX+JezAgCOg/i8vJDJNGnqa/c2I8xI7w52XIjSIOxEbtM5qwm5UKLaj +VgDcRMr6lB2CVxugFgFzNTcSaMvMU/LE7428jGvp+dNraooMcAaXCXeVJsh3EAQvbk9aOuBNL9wJ +JgahhZR5V2PDVxr6/8fxeLx059q60wKQ7JjKQW4BGPDpSMt96oLbixjxk68yfK6KpR4xFZzuJ9Yv +5cMC6gE1bUuSAzksKQvbl765HMUwWzA7uBXL8mqXew4TBhCtijaKyyHHowu0iBo1nJTuqxEmCVP6 +Clx/8Wsfmpy3tqzpETETfxf0/xPzhDxORwP8c25X+4um3LESoCc6p+pWWxR5C3s4Iltf9YygtAiU +hc94NUVZ3t7plN4o8O0dCmshuKoABGm0jGZER8N6dbXIpuOmZw22aIS1/BrbZPB0nykJ8IlUt3L2 +PcF71lmMt/0nUjDZdoCVrMSJSeVSLFCaPAGT8nw5RMuWUkSSj/gaAPqJZp0SJEoeGQ9GbJabB0R/ +ZE9awx+4ekzhJkCSE/mRAvKSu/7Zvf5BceNBSejVoNumqtzloOzkLjQxDjvOK14zzpoRp7g3mN1C +ufNNrdzl3+N0K6YX9X9kg5XF0/qvRLqnNpIbJhnxVW0IRQkQdnBobjjJ1jLHmyuS38r4fyT2hOTn +pGsNdfKO+Mwo61EoydCeOvW+mx43xQxXawYO4Y0NxtVMgoAi/STRAPohMbeH3O+keidJ6N9uOwQo +jckejlfe/pU6pQ92b2a47LfC08mQzPzvPxYJirUb5H8IskKkvY29Jkn0pYzpEg/B6uYI8FMOELYY +9VSSQl8ivYCCzIwdRedxZxG9JfXlKtQuUg+1bkchWRzRYAPJWo4AG0q7Kl5dvRfvT5aesOOjLVPL +YiIAwTFM6awlxrq8o3Mm1fwljSx5Jl9i/mubTFRzXmumICsVDcFWYQmfgKI+X87dzFFRSqqeEP16 +8r/vDd81rU+s00aBFPDQ+/5PEFU0x9Peqq3S9PfDBNlDR5YhijCC0zHC2cXOO1HmF6pgxQ0u334U +6arxFX+jmVs+wdG1WYKV5NrvuYn9z2k8WNWxDiZl3T5YVmdj3ilN59xzOElww+al//RnDtp2FuVg +b82TXI7U9DZUZF7yF6Q0ZviXYktYFUSJkjvh9YeNeAokj05kv4drwDUq+echCoqzmDxFrYOhIRa+ +qxeba1T2IMaMpGvS+TsPEjZ5+11dm2klA/ZpnYvia3mztb4IQoElkuxkf2E/NhK7SwlJjRfm/y8a +7jH6ACmejDQqSwZ9rLwz264nlvfb+2UPINUVHbPCWBBFvtK0WprGMPsdtfSzxqU/V3a+rmLxC9// +utF2m8JohecN68NCXqDfVDUo3UwwfRUi3WiZbOzyUlP9be42vli3Ahqd5SE8IgqDjl9NbEMtVNG/ +9pq4w/KAc/bHVtXOCv6Ydy3f4Ry/LKWV7LstGPS1bEo9kinXxIS+B8HvHVVWuBQsDHaNmW+HE0oi +35PSD1Sp1G1y8W/ZhvbJQvrVRmqlA8YKlUVXjJn7RRYeB6Wm13IGFejXEGRAJyx0t3NAa4c1F64j +cQExApkRq0jzUKehdGE944QBwO59qjtcciN0WWo+OZwsJQ2gcWEgFw2jKkvMaETVa0GTiHDpGEky +T+24rUDx6xUPvO4MPI/7TQENJ9kZ4Ke/jxcQXTXct6kNqwpYAijSzfXC8AEjWOHwdBT7agENQpIq +OfMR1f8bRIWujy+0WxSYOXSXrrovDD6zN+0qNaVO0YPIcr/L/65CHYM0o7mTjgfRP64YhLszFI7J +j1pjnY1CaIojQ9zBl6RkSB7ThW/NU1zLzUAAOaB4pvekHM0/B4Np6OLkhrs3hkvcAOIN2fgG7d9n +HGYAMk+2OrSjzy497Aj28faX8+Pfx1FBYWjkmIO5qzHnefRSYraOI+hCpxzwOuiVt2LStjRiHGtF +05nCdW3zxC+e0huTCqnW+SmC4Ls50SvzEXeZeN+aYmY5jNU1dXt8wlq+XqYa0qG53dtDOUAN3SAK +maXs/sF0fjxQrlteFPqJN/kOckbh23r1kLnGGpUfMe9zKBd0idiOK2F05m3smylnRJWdSMxPzdle +Em+dnyrxHXQFF8tXf61oBCLaTq5Y68o/GdMbXL6dvJcVDb734U7iVT4hph+cEH9uSZu0ExhGOJgY +P0xtZJshSo8otAxWrjslPH1SblgZdLc8AwDyhh7QKGAjmz9cNi/TxJlW7AdwCaXE8tVU8+p5xjak +CdZY35WIA4ynJC7nF8eWBacNayhgNe36CzSH4hBGBwD5kU462IT7OeTvOW9E59tTYvR/JZc9l1Ku +TyEt0agX9j4rG/mE4/JCyS+AGUMFeF/OCyB7PTkjOwYbVAK8x8aDxNy+z1XSv3h2Tdai9Axkq6DH +z8sYkJy49/14xJTEsq4YiB2jn6Zwlv89q7SnOdfkNN9HLDxpS9dSte39Ew9/7KW9QA/Ksx9azsdv +vtm3DFQqA5Bu9+3whYTnQ9STN7RzZJ33LZS7GGg5WA8UhGZ4Eq5oidLGN5Rxd5dxraRZZ67c6WLX +griLJjXhz2Rp3GXkoA0qdRU0gkW+reqW7P4ZTTEMGRrYG7cvDysr4qdw73zhwOKTw2Cv0kI0qyxM +nElPEkf3Wwt291NNm0l8YnS3cAUr5C+A2cUumhvC3fwmyYVHQahfC7QKPywArgvUnLOOibjb9s5X +oSFry5gIlJKyqqQ2l1olcRh06LBeHpeyqT3yUS+KGXsi3oYTP5g7hjz0fRmDxKrBry71ZVMhYWqS +jkH8LxHmmg1g70pasv/pJ67pRoalnJyvjUyVmb12LOw9Km8Rhkue0UeWbjJQyQdOaRVA6p/7f+iI +j/PK/j4vUdb+LAw3Mo/Z6AhNLLLfRMq8RQuY1yb0ZWKw6Vt63dkhfJt3z8JXxXps5gkimgbSOXlD +I4RGhv5NArea6813mQmVxvRT7u3DSn6w48B9m0uD20LMBL45O8NBLnhFYSGLp1ObrE/VZZFZIZTF +AtRASoQrUq0yZUPdAWjd3VI7HJvHIptgWNd+xRSxAjt23Cj2lEpbKdaDJPN9cQuTuxmVjSK5b/Qu +ilEzALI93KmRu/YOZwpQHUZye/DVUlI3x8I7k6NpaUIQ2UTQXZyveAmQ1kup6sT9l0we12/PYGQL +xSsyMA9fLR5nWXRFfPxewfeNIJc78dxT7MaiRJ4vBgy8jMXlPtE1t+A1PpCBZ1FfbCBBXlKyaK58 +Wkp6Ff2Y0vbse6Lna9P8jfwQ1CbC+VJqU3BSDLZtSGmQo6bRGqU4Qoj89NXCCB/+c8V5qzaSInsH +gg/b4pVsWk1N1ulD/kphPXMk0YwPbbYHz//5xHypg5ShCVIMo3FSli/1/XENxK7K3MwdDigqLuir +pY4xLOZBg1naProZ0bw7DXEByAFyl6vj31M1jGFVCEjhHigDBpQd0LBUqMH6d7M/i9FRyAQcvNaj +1ocpuLW9XdMvU678ac3/qN0iwT0AmQ9y59Dyi3uK1hU7zTe9BFYp9hsWDKqYtrf3rKJMoyQOPD/A +sLBMx7ekE5xB1Wvtfgsc7HdkLcOUuRREyS8klbcbAaNm3iPWET1He5XZLvY0bXgWLH3p6xY7WeHe +plztI1p/WhHnC+AOYiPr+IAtU2P+Q6j2Po1ZT1W0X2dOzWXFk0Bx2E5JnATAcOFzIIuQgH55CDR9 +lrWABiAoM6Zw1SbV2WMgDA16Tj0QNUPUG8b9r8IxhJhV6y1iM3PLB4YoMJbPP20alATvguG2+LDq +UOVAXDLHbANiHBSOf4jBFzmVC1eYAVNYjaNptDcw9vvqzPK/+0KKO/o/payMNYBedllldHo2XZDo +2xKzKN33wfNvTBMenGQgOpc9sadgd8j5mWkDLTtyVZMLQLiy68zVSVL6wBowDAwVaAFbp9hOoSV/ +ogcQYiQhZyXAu6XCT2gw2xpqJFyAKM3IVgxYzhFVEFRnRjXXNRmRHhFprrD+FJ7vaH254BCMRtpA +tiejR2kSejavJRp9U7Pef+OzCQd+zaUI/Q/RAkRrU+qXJa0PcyFEPu8SqikrY3UgR7vRW0rThdbW +IEsJyiL3ugtoaiC1f8ByVm+nnVETA1AO9p1aAD8kXO6Ik14ake372r6DWjCEAMzfNjidtw1nrO2k +Pi0WAr+ic8fc9sTHfkTHP9c1z5FMFbALWFMImC0zJAklSOi4YZ9miPkU/KEgso9Yul98HRiAmjdq +yXHHf3tR2zcirULh6CJ7r4z8PCW3KapY0TJFYtdQ8CUN3RNKmfuwMZMJmt7RIuVCAfZYugtztdys +6cLSCcVlwiHdH4pH7XkQBNMW9fDfy8brfXg5V/Vypl0ZUtCmLgpcLr5/sVqLBmd7oMkDnY7XJuUA +f4oFjHgavlE+3Ry+eJDczV9wUiJg+5aIIBiplPonkl2svLAR5FZsLZpzBExh4cdQV1cBFsztwfo/ +6r5r43noifS3Fn0qSWKUco+V4ImTYRwJIYhy/ZFgTaqrZn8Kn1naUOF3qnMF89WgJEOhAWZFj3TY +h8edz+M2N45qLPHx8RiOuONX5VepGzjVFmzsbA3AJXYIOQU46V0TPnivuhqhh4gUGFMYC6OfAuD1 +Y/lfqrV8MrMfCD546zwHJqQdJ/3akVleYJBz+KyQxsSQKTSoHNmk1IFjlHE5NyJV9myXz7GH0nLq +MHemF41Zt+J+kvVsoLRdNnpFWjy2aStorUz+OPIWF66Ieo6jeR4u5XyXGAq+KnPfIIDZywuymhu2 +xyRqpDodXTxe4kzPSkSjPbt/0mR27yan5MhCwZ0mkP7GPUETmZdA2FUvFI0QIibPrcUCgUgmPzl6 +mqnsSH74V4YJa8Ucpa4q7jzRaPKoK/KrM1bzI6jFlcZvpEhMV4OtZMSGGMxdX5FwJaDURcrBKFcG +L+Rn1CPAW8ev6oqSxwLH2hDfaF2ET/l1i2CoIz/vCDp8Vkx39+sdKV+IFKLnGZEBMl4YzpTSi3Y6 +82NFrMOQ0brV7cubi5S4koPS0tRQd67vOqCCFKAzqKt5KihSAQI9HGWwgEkEMZaA30JFaMJ9D3Wb +BjyrBkjsM9dJNCN/Z60SeGOTNhj969QG70UT76dbuxokoWhznulfsezMpRY6eQlM/qIuPCOwFRyo +c2u52LtuFnL+XvghFbqL91447ExfnjNGUtdTEVx/IgnJ+evqhwcAb4vnLRK+1DQ0vqAFpSYchDqM +xSnctif1iHi02eim/HwVCDXi4sLFch4kzQWPt7V6qc6IuefsdZpZHJv9oEDhyFN9EkKedwgFX248 +NqPpSGfr7fbUqyqSRqldyZTfSh/ntOy3pMlB4fBRNqd6/FeL0DXlLJVjmD1oj3vL418yWHqUJhSR +qpeIYbkBtmtb3wRgYpC02qfwG0vnpOTbSTVF0k4bK37dDRrRVI/PrO4ek+2hNLK6AxrOxwSRbYAG +l7PPoUIP0DPE73hbsxi+B2VGUCeqk94uxnT1oKFe1rUP+MU7ndf+tKy5G6C0PHCbOby3dYWXAp8b +2sn/8nlcgfRTza4Db++RFR2YHHB1kRBcm7Bv60pxm+sJ7cR3zhg8nll9z9LqxwlnBmDYv7O59yqS +HVNYgMbJJ//nGPsHpRt2IxycMyNqFYYa2Bgb1vteei24KV4O6HHNOuP3uolu/NAteBXENZuJfdYp +MHWkOHck+pIQ2p5P7cf2eMCZDn3gQfciI3MlUIJw3yNQ4IddzKtOnNKUxPFYcQNmZ3k54tEz2DEf +qa+ffs8Q4N2qX2dCn+SuZJDbmx7CSYldWP4DGtRpeKVXExCq6elFV1kCPPyp5xXd2Cp58mZfA+uD +JCkdpRv/LlpQ9REnE7oQVeGEuIBSDLfawEmkTe9djXt/N8R7X8cXMAlRQqeeWlY1sMXQgvrAx5g/ +7A2OHMiHbSJFaz/GJnj+HxRU3opQsc4hsboxlk2/r85m3ckZz8vcqHROAYO/J6jLKzeYOBzRQK4W +xnTs/MHR64s5OwRKmrCYjPjyfPYEove260gFYirRRAIDpR93pydvRwTlW4kKy0sGf/xM2NJaL8RH +XYt9FDH074nQ5T7CAoaXnFO2OfGBMwJ6gw/28t0H1WQWGOWUKxeeY+P0zNt/me+3mwj1pOWFhEVy +RxKwWoqBU76r24ovKehBhukfaH7+Ztg1J6MjhaYxjNw20Hw6po52h0JEstCYire7VyT1Y56f2719 +nrpzqmkCNwpJKF61HtG0bforWTKhdGUqWPPqBV3MAVt7nQHVehH8YrUuPtFCwBE7IVWf07t1xGrM +mGdjkPAnkR8LAe60b6SkOc+W+wv7vWx+U3LZLsfqAfuQJd7RMO/Ftu56OYEp4o2gvrgUKIkflSsl +UxIGET0P6C9zPYv+BTizAGemBwXiIDlpvZgsuXgVqi+Gkc7YWphpbe3naNbY2DyBEzr+rESkiOYW +cstmSXA79UOVBB5dXVY3DlfftzgO1rT473cNO5c2Vbpi+mIh19sM7TVDXRbVnIyYG6jhEuOb/257 +tFkvWcHOljhI0xEbxjbjkv+dC6jsBKHcdKJ/QSY4JOiwCeNuwGx6365UpoHgu5E7SBGABDvPjCQX +pnBde6PcNziZfZ4CIyIFZCnDycig0vEAQjQShGBBnnV11Li70mKMEyZfjTDaWQ/fXY9Rxou4hXeF ++zuu6MeQPqNLjaO2msVprfvv0s2iV6EfyJmpLLI+qBDDTh5w7yjJtcklICnxW4gTRbm0ch5X/D28 +rubm8ngIaEuMyU/ml0dwdvEneYgCN0IH+Zpnkn6bJzwIXpADMlgDPpKVW3Q6/A8kl5UXjGMEc92m +HVCDvwsBLGtGNH5aoLBvEE9QnygQcdAxkJoL+eqK2WSCW2FGtmiAZK4a08Kt07ZKiy0pcDqqfRc1 +3ITsSZlQFi2RKTjMnyWxaE+SAYmO7CrD4vV1bYwFyPNfWjvxdRmhAyqQa611oWGOsIagyxeNO+jr +rWAopzom34B1Cy9D5j+a86miqqzXmaBSoxbfgDvLqIUBqUaEJVxRXFErizGXAFecnh+VzHs1ozIB ++uAO/QF6RtUuPvTkHzbnocGacdCjSdHGDJbHyh5ULKywGc7Cb77etf2wUbXVw/+n6xQXIBUDcyFO +6/Hymaj28u71UyogOrOj8WN+cy4jrZiX7FjWUb9vsUXHY8mXvltQ2AnlBG693hdjTURIVutRgbEl +3NistkdbZbWLd6U8tXSZbDwmrN7ikFU9qY0bGsZBmBQuhBOlR3bZh7Mv97CgdDQkuIi3ZUjDooYw +TFK9lkiFm4kjvlwHtebIQYsUM3+a3ApANXo5tER70SKkM4U3yzdSoZwMj9lm+xUitR9SjPwqTYCp +GnUD/m5Snk2ofo4SjbIUF4dh8p/3lfDD7netuMFBqFy3yYyQqVJZCoqwrzqcR0WLPE7JK5XdkidT +Kkf0A3DBj5o9aumEbrnvUwN7+rbLjKSG098KvasjrYVolCii9fhkZ7yp4+QhaLhbeRXarRh3LSJ1 +QbDmx8+V0IyHxfVPeRXAAyXsVN6QEIDuU6Lv1gPoSB56lhfhaddMyJkB208pjMTvbOURKPWPCCtQ +kmXTmrBOZtb7fqBxiYH0FEnVRwJ0B38Uunh9eD1CLagT0RNJnL0ZOUjF0ugMZCh7J0Oux0rl5t0+ +uQAkpBfMC0quJsq6nwvnXNr/VXbbMYXB5Mpqh1uIqHyNb/naONyMPtbydMuFasgm1WesK4H7xyNf +HRyxcHwRpuW5VnMZrhti+8Ih516dMwB8zT1Q9gTMG3hWLSsjLjbZGoCoW/EHDUg/4urJQNYQrtGX +q5a+ri44HtCpGZRB7H8EVIqUdedRj53UBjv/vpMzSePTHnzLYd2CM8MRAk4ERFzClrQUm29UHZtX +9wP09qYMq3lrRypXQRLoGCy+lDlJeeMqsaiCPcRfzYntrC9m3HRVrP5Y7hUFn7gSrHDNQuiDZmju +u2HPfmzDo8k6WTMOijZLl9M24mHn54VHlAJthGJHme2utU9fXxBq9kGV7mCffVZu+7kJtjmAMolv +6zG/3nkiSWncWcobNnbaexFz24zCsh6J3OJMFHN3GY+P9xj4uK/F9hbMSD0qScFIMLp2I08PAvMb +If73yX2yjZIr3TTsI10x7qdJq1Ed3fy4mHgasFfFt3DbB4z5PJxL4e3OobKIj0P8ygupKbpD2Ioi +6VRU/XLs5j88MK6x7ZzJI8WlXgX7drMmR6qV1d00ZNv4jLTAsUMozU5qbGaVOLwRTvW2vSqFJyDZ +0dhm8FYGCd4AuikWJvQ39zx1h+fbNJklrSId8Uyj2RNfcazUeKRi5EeubtB4j6Q6zi/nZHpHLz0u +P1gO/gNQpu9mr1uBcsdU7J0xBIS8fGtCDAcYidEZc0niQ+48K+pBrna4ZyJjcUwT70bf4WR1WlcP +3GfoAqfTNp51T0RmxDyM7iIJGIyrQkLJMrwRUYL+SmWJGyio1jW2K0BGOyOX1I/DlA1j87hb6ZTd +HS0q6yak+5bgtk23sLPilIFHBx1udAVLq/hIutgryIMZZVMEY5JOlDQT4LTi7hOn45ocWt2SMEk8 +XP8A0DVbD1iI78hcJoWXYe2YRNdKt48jUIoWmouG1otS1WC7uz3+p2DuRJ+U4VwR0NrPfvKCudXH +fb92uNs+pcSgI1Vm4dj7d/H5uZH6Gx7sQBUSqu2gimG04VUBQsf7864tuvOEz0//uQ327vmM7fl1 +lAK7VvNXNLPBSf/mRCntlF8OurbAOXtPKXglNwpLyfFxPEEN9rJoQcBwjGGdggo5Ii95hXmoBqtJ +2v9I4imrMlpYSMKARv4sXqleEmTeJq9n/ihAeqHXnyxQIWIK7P7auVSTAUAYW9OIqZiPx4fuUv8a +MdtUju2d4SAihxOjfp932NdhhSybenxEHSvKWFMObBE7xo0jIO7bzlndsex1V1rTopim1vshTVF9 +OgAJWUMYLN0dmzp+KYQb3F+CDitJ2qbkCwAi/hgFnb3S22kQmdnIGLlAPtdlDmKeasM3zO7uwODn +ermyZ3JPSMw3Gv+S7NShdA+3zOA4Oy/cFRs+9p0UFOD6IJJ6RhVx7hWkG+Et7Ya15JqYwo84NHOR +LwfVfSLzlhYfGHHGLHuXWaGSY56VdaXsMARhL164nY/MNK/twy8yitQBTSJx+33EtCLEEpmRHw5k +wYI+aUsmSg8VetOEoV275hePWnu/Zj6hslTbk4jA+IAYrrOCQQjJoF0Qob5jFL0IfgC2oE3NR3ia +/Jw1LU1e6A56RS1ig/IvrIVSBQXLQgsTlwx3j8gfcxmhtF3uRuilzcKpbbZOpJ1lxsmAHsJoPqFp +dkxGOTR0vcFsCUh6v4V184q2Vk1/1xxa2LrvFkhLfsJpAmDhOudGIy+1hj2FVNWgPMAc/C6iuL2q +7PU0/gICIkClM9Hxj5O1KcZ49AEZE1p0L7UHAnpxfxsAYKZmEvF8p58lifGdSIIhdTmi1JW3traj +Ic1VeNltroN4Y3VKR/kuKDWdzjuUTxK1LvrA0+MXn+YDGn3Inau6WPm/wUOZnnyDY0QOp2dyBq9g +21ZKPFCHkL4EBuRlkY4eGBKKIpg9YwIDP0kYxk7mHLf5aTdHir3MhMx6H8iAa8iwl6/XFCgIYa13 +xdI00nwdead3pAYF1o65G5fbwr37T1Vn8hOn5yn+4SHqhw04l8nA1PoRO5y8l/+JIH22pga+nf6W +Oe4sndCrXR4KnuHWnAnfUca2MzpQo1sAgj/1FCbfDtfpNy2zLQdYgblRKJD14n6N9l3OXmMF71Rw +2NH5stkjZP5SseHrJOcLQKc3HQlFGgmoJ2EbOaqmMYZPGVqtP9FAKeObL9qKo474dAnbtgEqj0fu +X0XgfvJMLybImMx5YfYd0JxW26sPAEskgrkC55bY+r3ugbEEon/3vUyiGzEimOL9voplAo4KN/iO +UH+TQyLkuw92H+S+HUD/MqenVdf6h+e+U63dBfBUg0IPRv2gu1P5j+On42rh8qIEq/hTUUJsfy/D +hVT6A4mUAO3gLKRKhsso3+171p6SBPYRNi0dYD9DbXq8BDxgl2Gx15gULHTEY9ZRWXaFYnmpYPGW +OhnusZvB6iCXo6gDtmjfJqv3uvmarVb6niwWkTt5p+S+rKYvB2+UhqNuXa/LytVkiwwkSintAVia +wFu7sSbheUVvTSzPmfa0PofCTI45rxJdiq9jg5bVBgM+mf5p22j7eIzVwVEXOdyKv2ZawevSHrzl +xbYwR27BBgh5cs1BZ0cX9wZUkvD5uXDGQL34PH5DuWXUZFI/GLuABrZmXRIQYJdwY9h+DakEilAs ++UqAuF+VhkExmB33+qjES7l25LShMhpCkOyRAolsjvjDZFWKc2IATVUPA0Piv/FzOuODMETv5JtA +e92dG3EXRTrIt7YM1xTN5y8T5pQxaZ4/DA0E+tI74MBCjO77LLUir7b9jWlbL8/BfDKDvAXulrQF +SWSVsOf2P7OoyFtNBexe5nAOtq7YG94VYKZpDfVphPEHlCUOkaJaa7iD9290i3MrtJLXhu4z51Gu +7pDl9nb1zOqtdvCboGutfLz1YahSh4kXe1DzF+RY7HBkDjqPa69S1f2Bkb7pd5/P1pZqHjSTdyz6 +WgaBpDkRQAmoWaa2sn05/SInMftAy1zeHck0XA211aBMTt0CqVECuktfuhmBwHInZenLmjgNb2rl +L43HK7ruR1DfcG9jvcg+3Wh/qBL4/cDpuNz44b9649TIDAwh+xNii+1FxzLyi/aDlNsVqiPcgCPi +kzp3VcpE6SjO7DDLCCk/l6Mg0+nOtKnmKafGhguPZs5OpPx44J7q13xX06OwCWHeCls8IK85p8PE +ir+Lzf3seOVz4qZRkgEYK9XKaiHoeq32tNFxbyEEFOu4v1n12xto+7CK7XIMeqHiy15ud1SZigOs +dr+RmkPIUMlcLqKQ03JXTW4sKw+Ww5FC2fZ1OOiui5F5o6L9wVW4Qxcod2RJX+irjdrrnA4enYTe +BHLGP3SHp3a9aJvs0uwB+H/mW/bXZFsQkK6JShl2B5sR/rq5P04s2QP9fgPWmoHMZG60atKPh0O8 +AKhNRBI5BCJY9K4XwN4D6+GPoW1XcB5aDTNGlX+RQ44SFYi+y9H2TNz4gVXCpuIpN04Q+pH98AUR +XWsdaAcUJC7jhfQgpZjTGiNrbXsIIDjxrtfMSua2K/Wc+wHHfyADPTTp29+E5Xg7btR7N8GfiCaW +lAAXLqawTXSGAykwxtMB5W603XtqSz1R1eNTUbB8F22vKop/Os/Y3fpNeCjfOFVPtnxI9GEwqZoC +vCXbgegsw9DeyxVidfzN9Tp9InNSQPLFjFPHKS50mVLQImn8dt/4XHK227h/UocBdCljEV5QFjkz +gsA6t9OquXQzDgwiFZfzhUq3tS0j38Ly3jcdcM+yMBGC7L3Hr4P6+rUfDY9dK9xenShBK/+hsbka +vRfrtqb6y6Xywp6uHKRromgfIraQDayG3BUGN4HC8Zl6U9Jw59qirTlb93c16d+mQerfk7pSVSli +MzvDpWEMqyt25JiEFH2gPmk5XtXnSIeAPkm9kauMavm+mOqiEOKpxjGc3KC5AqVQNrWQcos+Bfpg +xPw/9DkhT/1c6P2CN4NKGVlO7WJUcbPHyUR9SYYGRGzrFf8dxBtW+Z/UdSNP6grucG3pU1Q+q2cE +OeJkSlDbxPxDLTyMc1RjCL/FqghdgPn7tnWRzEYCGyjKe/MQtBP3rfhXE9L1rRP/bgTbwkgSSxEF +se+MglKl7vR4ZHAVjVijbSByVcQaBr/FuI04WJ0DHDgD4fOq1FWMF7WZ1wor7Gwkf93jPSVKIscs +mqE8DKgBqSrqXBL0F0tB9yq0c4K47pIIgLdNA9rNgS1EV0Vfn6MCH1eTlPCiDx/cW0n76tHMMwA0 +genWlqojzdabglabwneCWNrtKG72TKwT/F8Ud765Y4/AOJtzS9eA4qGbhnvqJAyWOZNkupFXp5H2 +CntvDg1w9WW9+u/yO+nCUg8WyV69PoxzX+cRKBPo2fqgHFQpEMxFPl9hBv92Qd9XFKkdeFrTzW7W +8798agzVKe2P3LjndSmXoDdcj6UAGzfEUzZrdd6Z4COMNVAhKHYVb8DMlJ+J/HSh2jzsGlzq2hbV +y+0PZvQoRjGgP5bfLNn2N5KW8Xy6Aa3Jh3PrKoA6tDLu2xonecdKOTm5gR9s189i6HJgNLoE5H9h +KEP1IX5Y79dhm1Ba5IXHBwoxLuxuojLXXGS8CCSfJoLZHqK4wRXZf/qMcDUXbapuIRzia68qJUdA +/LrntnDEGwNj0nXSUxW0pIWb/ggeHlYwg/XSNj4gmjgJSYSPNC/vIsyT5n0uKt3Kxf1qgz9ohZjU +InIizcPJpayyTyZg1PPkEk1gglBrn1xLI+PilWn/HhHWcSEhCBZTDR+xFG3lvhWJAUDsyq+ylL1h +TPlbf7OXtp4VOA92WVBDD75D0FapAN6ykyzGK+OmDeymqJPxt1j7qtvcmXAZbU142mNIdsQI6g1y +3aTORhgpsA1LHMTRK/khzqSiYAm4aq393gnzvc9bWOJL8kwrlf0PjdZ/vpuufqGAfPjtfuKiGv6r +JlvG5oKIG8YcDQ91VYwJt3Fd4r96VRtvs8DWgZJXkMpzIFyhP5JvGISsAqXQyS+FwERgNO0pOSjS +YLyJnlNG/zyrf5Lijv6/9RQG+brZ2PtNf8+ljJR2Nwenw6BZF8IiNppbZwtFP2kUEVsaVMgTajAm +MHFE8GxmIsBOCGkNOG1aLioa+YiwdM25X7BfjvwZnKm8UknuCbXpjJayEBRQvLRRXbgUQv4quJw+ +n24OFWobcHcAcRh3eQPshvSp5Dii4TG1N0Nsc64StffuJhUDNzovYcOmFjyjVbn3ljkSS1vLZyqm +DjHJy1TzKYujqs7botfvUPawDmccTVbqpKLC+RhNoy27xNyuKCi8RTEv5LkQ+kq63zL3Zp1/vrev +QLIiqeTSjqZQyaamY4/ynReZ9QZJd9at3/27AGQsN8QDDSU3wVdwmRz1Wr9QT4oJDWdlMYP4zYxw +crHbQnJwDhojLdVuBeNCGsgNK3OXpAykBUx+MHVXjCTWJs9Zu3ErJFq84bb7SBFkbf/kSurbVAnU +f1iQp9ICqX9Sd7klG//f/ytJz68dhAJI6+7ScR4yG+CDwPyOM8W5JNh+ThIjvagcQiUjMHd9jqG3 +gtvvmJyJJu/2nfPFedW+nUnm4MPZ4K9bS22UqbpUz24ZT4eOS+j5mcAVZvWQHP8tglGj+ag5Wfvo +lrN7pPHDg3QqKwmXP/qTv6uWE4/HUD02XTY6XVzhHy6PDgz7eMT67sklHnwg6nUGZE7RuCceTT2H +Ck7RRfrgSbJheywv97iIpO38Fn9zA+lUEEB1tjbNoq9wTFdro7ruVioeU3uDUcVSabUFyyYu9mMm +NFLdqVSxSX1ztLmPSZb8VzR0AQOdNGd5yHbvagf9uhvzQjnieSHvkWhnTtq514Q3Th1NYtt2n4L6 +LAgFpSTp+7G47ccvEVOSqZvG2HQw62J8Kxo91nrp0L8AEnxakiLHCh6HelN7td2utfK55nKUsd35 +dvpKUbTiFBBKeLlKW1uskjA4qfEvnQAaQAXyMC6EMmHVa1ZlNPS4BaWCXvIxesvtmLZrhRRHoKBe +5aIAzFVh15XZWQDy1deriYWrFY6FAOr5zVZg/R8zB5nQgAk7TKEO0KDLVbvSj8bN5qyuSWt0JQSl +uBgPx032cuS31pct8hKCJCP3pf6tNcXk9jDFjkFtV9qKcvqvKRZHnB0UQl3dDRkgJsFoXITffWPL +GQEmE3FQgqbm/ClQw1Jd7ULkY+kRfCl0wp2FSyrtvn2ZysPwg1RwSrB3O7PzG3/I92yXPBGMe2JA +zqNAC/3JSVylGWNixi+ZjvSKow15lKx/9bovEJmRlSJmugeCxSYAY1aBpCMMtxLFfhsQic16PtRA +F3eJtyb/adpbLaTU5Ctywnn1Y4qybVhQaNnUd88zLJcdxM4FbNNcMSJD+W/2fDLkIWiZTVkpatgH +UhuSWaJrAIXeoNf79LcHMGjPnSGdmVMvKp4dMQxAUfUsR0PJn0QSI1TRhHsfYzVBm/Mc2gRIYiDG +NmuB/eGRqodLiGfvfbA+L/OQvujXVb9KhUC0PiADnNRD4/7gbWqZMbRHoEx/K5DCuBK4jSa2q/CG +zGJWz89LgDuQI4ilRzA8OACWpDbiPhxOFxraMaM71lwYhlNsBD7FrrpFniPKIC1/HpI6gXPyOcEr +8P9mkxoWoj9KeFA9bnfjDWC2okspxKQLdccUaYevw3dkT5h0NfOEbMxvYnHb2uULW6vJxEjpQUWn +je/9XDQu4aSctltJ2GQ5P4L6gs3GmOELrrCmr7pTaXS3apPN7KfY9b5SOGSHb0GH9Mzus1RQ9VOd +/fCb9J3s0iVmlcok/g1FR1Le+AfBG1c1rf0x064ea33gty3M9g40zyqcsmZDYei+Vw6S1MWDfXDF +oR8N/+4WSt+bbH9xR+XRol1pI17ko1skVvOblwB4OwF6nUtyflf9KDa0Sf4RG11ZRMbU22/C/z8/ +cEwE0K5K617boSUR+tZ0A1Cb+7CpndD9Sk3iyLHOEsvjUwF9j/n+ae7UZf312GjrEDaPJo3vHltw +09xe2/ug10wemJ5qD/Y77TFdxmY921tDjysSu9aiH8W0x8vILYjgrl1iCopkgOgzlQ+Y73Rronh2 +gmIS/nGsg86UeIm3Fr0l+QJcG/eRNYxBDlKIzgHOAaoXQcaEYYbRwkISHyLxuN7xacRH5m+5wFNp +87s/zsw7msDVr1WDGs7k6Jk6lz1Fu9+3MBwVDX2b3vTXkoHFVhTwfvCEQEb2SxB66YgXxhvvS2St +5zhLEqztpybv2MbgtjKXJxoo1CoVrYih0V5WgFFs0Uobw2JRHtxqD0Yds5VWZ5tkhoYUvUGvIwj9 +05RgwY0gpfIOKWiP6OXnJ//28WamK0T7jnq5PSqR4YU0lNsyNVC/Cj3XEVaA7LYGRPQ4AROuPzrc +vtkkr51PdgJ8Zf8KgxRPfWcDgC58Vxb1O9vrHvPZ4Z2I1SjoZo+vayFD65qjijjwYPiGDqszdXPV +MR1eqLCxM7J94wPDrqwBTVQ9a9cYV0Lv9OGr9JEKxUTDqLCEPn3QfVSrYQEYbJfx3cLry7SszO0g +XnE0qoV2QovdNIYFFoZMb69XGOLhjHxeQl7VXxDXiyFxQ7gMy4TXER3mggHfmM846s6IRsBaQ/yF ++bOXI1BAhKJRUccORERdDbkrSmQ+DtLGQimJfZLina8rDNFSWKwZYSk7uzZzOrb6EDAuQqZ17zvi +vpmJ5q5zPMYkNjyc+aHvsb0MLHSYdUorb7cX6iVCWNzAKXm3v+Du+ayQ6N+JTXKYX1QqTJWyIcnn +dqktlEtkkXPCDHEXot2uSgGlcDBwJ6YGFq6uH7USFwVTGHDLvcfm1wZCOj4AitKv7cag0W5/UL6Q +nkjXsqYb2lk/T5E4vZq88RQJcFUDYth/rQsPbXze/T738ZPyhn7qQKaEI4VQXewESsRm5KJ1cYAA +IsV4ZY/8Jf4y9R2U3E+tFYgwL7tKXIb1/9OGZoTM2Ieo/21s/FlLvt0CWWTODlYrF+N/ZLLU1HL+ +C5s+PCXp4mIZGMyco3oCdyNMszHijcwx+uXZc2hpIXbE+PJ5YN7eavyRCrdMucpECWh1DUf+A99D +7nzfEpbjmwbvBsTY6zTM+baBxrfruXapypi8uLt5M+yazjdhb82B6aHCyoKdXkOGE+EOxemolEQ1 +Q59FWB2JOq1xfzQTO4Tk9rcevl4uJXlbvOCop0FgTXgH9cXjppGzlbPD9+syKNa2OSK8H5WZpUFK +a2ATSp+piHTpHNxDZ2sf26FU+m2w2ecCoXmV7ep8JaFPxzyNtaaGao1Kzdjk/A2Av2IoudzE/kb1 +rBiBJArEpSBFcMbJ/0w3X2DoGFGQl2aJvp5fT/6aZdtMs3IKg7nqnykSF1Oo+uK6kLIVKbV0TMG1 +pdN1CbM6CsDRJBDfmycBwKpnlLDaGpuheGzj2117vOhEFo8I7qbjBzQ/Umkk4H1SBOcXyJewFvmk +s0UfwugSFpL+6/SjGuasbcw9TBL6nTYOErbhoEMd7loAzYZZtd17xsoi2879Ld4gePSchUrxAD8j +JgoNpU2i2IpSlmQncoer54D1wmimkkY2otxFcqX7uoK62kb/2g1eGrPoA3IjyKjH6l0vuVsmAe2V +ft+EHesJ5eDgpOfgXjUAQhB66JzY8PUG70Azcw5Fs40+7FSsfDblT8QC8Ta/6rTT45g+FDhvYt4b +rHju8Y/TNjibmj89yPhBhZOAaMoC2DS0rCvx/pEZHgHqK2hO2KNsfEnsg5CcdbVtQndVUA4oVNoQ +RqgBTPIdnuOPzJ9Y1cmNFslynDCjkpt9qaJxfowsJap6AKokc4oH14ryHAm5PKxinWzmb2WwJp92 +4uR7dVTaKp8mKvq7z71lBiHrZySEoStMZ05XQcR9zN60U/i+O8m6vOT3/ZWC25Lr7Pe4ON58XmNN +ff67VJKyr51iSCpgCMecaui1qA4dYO6knQvqsTY0AE835PXZ+mP2slPFOw0m2nnvd/MS+kVLpw3a +V13HAkzjXXDQB+Gja47IDTY6+5v3NxLn7vJAHFhQsvx6yAqO3gn5k26hiZ6Lyh4vI9+eB8LOM5DT +z9VpdxNBET0HtgKGTIr3On/DZ3nfRzyrix+Ep8uZfKtLtv2I+OqVKe9d+8XIoPzDMBlvjlME7PEp +6PAGazuoLDEOpJTHiQyGXzkLpOlxIWLXVod42K2nXylAzebLJ+YN0JDbqFFK7aXdNr69H0jwt5t+ +A5Uo722FCNiFasPKwHZqPM6Q31xJ7e7LXoJgJuGxKuSbbmMNX1mDEbrT/Af/FeU/7n+OYzo6xlbU +E6nG5N+dIbxnIWixEIOrYOA44zZskVGFP4cgsrTX3NVfZ394Wz9FrWCtgRknYoVLlTGmdLhD7Ng5 +2P7clJcwxd2RRbrnKh5BpEyTOh5vDkDoU29bTxCuFjqIrlJrT2O/dbaqUWOX7pFZIUorluN1lBGg +xkfX3s4njajbzq+9WiB3MmwwhQ7qSZus9739in7nC/oNoCutgg+P6V1kdJ0ePmBiawtEsXYDqp2I +XM6NA+VumYxRkVYujxOloCwO+TJqwoUkjHF4eEueIzRJ5V5oKp+UwtdeweIR1hajpXeR3cUrNzBZ +uofB2LNhWA/WOgLfdWvChIEktwqhAidy/wWJueV7rDGHDy+jOwccKPlSi6l0x9/xUWCWbRZzuDmK +Bt7RlZDFt2N0npYTIOMTgyavCVmWhRW0cRmmNhPlDlsmqk+7mCCtprZkFK5+OHTn/6olMYPMPKhu +TWjpux/srndHbnIp1BlUd30V+KNbgnvqFudjnjJJxepHPZg3FVG40pfP7hbGR8p5GywbLA6MVoZJ +I58i2aoLzi7B6e/sOilMYBxpqTYzp1PUif0k8GGuclfOGtllEqF5qyjXjpB2d3bkqdDtl6y7p0xi +SeRhN5CVEsjrQKhtIOOFyNtCttlGxP78kdvAoI7vVwW552pxfwNIDovIT2Ph9zNVPDlizp0BIMfg +p1F3mi9xLphB3mMkwda4ZIOWUagLu31CvHPmfUw6iLLWo67e2thtbAFeiPiPfSxXmaKaQr/kRnYy +l+wwdo3IOHq13bWd4CZyLTPVLvteqC2hlF5jCNzz8ON/HJBw1FQdINRwf3+3LQ5PNthBWSWbkBfs +co6K41NgpGDLcjOTflssZkOJYRIkb+v1eAbVUL3npV96ZaGNCAOMQbh6vztd7i7NdC9o4pt5qnTQ +EsQaThM2nRxK08VdpqOvUFFE7uXfwoF5zABn8m9cBJ4Bl5atGYJCNTIYzGD151/8SgTddVZUy9NR +W1nDNQxRunJB1rP+TZibKOQZJyu/z9kJT5hYOo2I9lCmo1o6CrKGh8z/59bFA03lzVjN1tfcKLHQ +hchT5f6rwi+Rw9exOcLMQV4B0ULZ2+8ZP89f7FEPq22jCjoQBWnwG4oYPtESdR3QjtackpNTRlkM +yIGhSVGooNqxV8XuLBNpBwxCeEBTvELmqAeQX//d2ddYvueBT7pWnPRP1J+z6GDBOtIuz3X0yPH3 +B7tAUEZOS/YzGpM8Kap3XPEUQWC3gEpaUy0F/no4t4nhFGnHYDC8mv+pid9jF1iO4WUkekAdN5zk +Qlwyjf3DwpOxta4+Od3HUL3GaVJgqWcFAeIol6Vatfj+kdHLV0VWasl2MsPk03Cwl3DTH3DXZS/+ +/CjUAMSzFehYYwdy9Zr7toqrPwKFGxnMoQgZl7p4svIO2I0bbIoj8mXXpMlnioYfgN+xpUZ0l55q +8vvJRhpchyAgfUHgc3WP5p4ZJ9AQlm1sjXOOUWVcwBNT+rRRqE+6rsESQn0nIwQqFcOf2FOhnjZv +3ynupDuE+pRJVcmm+cummHK9NUvxEWTeR4PIrEMbwv0YKSkFv60zXbikSihcKG392YhRag128ojH +/ls6ANE96I3mL6ULyqUSDzYGAA0kdHH5wry67gwrgcT6+jc1nRjDvZJU2mSvYmQwpDwL61sHsjMU +WQLThpt+oLlEluAoSauoSOlpsQq/unK2mUgHc8cuvyEyi/7rwtTc9IJqKgvcX04UoGEqRS4W8bri +ee6Rc5Asldx8OVIWwgDIzMHwgulj2F8BpPnX+ZK7+yrcdjVA5mvCEmDSpQUIym04yegnUI+JjjgQ +hx+kxQA96hcrGPVKGwg8QpUz4VHVambUd3cupD5Z9SZHbjJzFihjZCfZlkPon/lwi01yx3ym9nCG +4jHvTPlO5xD7SZfabQrJ361jewfJ6DpC0t7eWjmusyRoALka2+OAJwGIWlapQzZReAeEHUCyq3oN +QjFt9MBs1MK6/a8EchCfhJJW9RfMrUjQWOPyLuRojx7Kqbt58NT6YGh/soKy27WZWKhSXhssQeVW +mW2t1dtRhRzg+PJFoo5gOsclT0JGFj5Tzg7Q+/fdoaxYZGfQSPBZxvQa4d4uRsOE5bvjx+bMdtYP +OQlSQR8rPjv8lFzm061RGHf20UCrM+9XziZV1Y4KocuuhL7UPwBFAYRq89IYDT+O2/09UZSydrdC +4bjZbfdhkdW2/hh0XY5hp4yEb4pW2vOPqn5AqI652x4tMUYbtUcDrxjSd3X8OiHNRd41wTbDIxmS +2nc8YgLl+NGJW3ob89muVjYifAjPe8TtPVht1Io9J4vKDxL49oNOGJ7a9dERKN78smYrYJJJRCDr +C8+CWxUA9BoSqtuFn02gql3YmpYMSZQs/agAOWUz/axgZZqNYTFqmtl8YY6DO3t8vPmGAA3LaOAO +YDT1jPSaEK3Oik22RDx8ZEjK9uBgjqxRjx53Xf0F/T7O3g0lJHrmK3oaBam+DS1R0mseIjX6um5w +lvxcq/fCzxBnNOFVD3LW/cQroFAey0HszNkQI6i7VOYNl8DDdDnOi6IhXvTGqaCZ186FiuXeqVJW +ml8bUgCF4COunBiMTX7PGNnfl/8GRv/0HSCFANglIIsR9vBMjt3Ozj49MfKHsOwNCAVoy5hHhViP +ycCd2Lzz7NAtWMd7hikUDyIoTJt77oYVdiAK5Q95SAQBbQSIh5sw82jPxCV4cZJ/Prts4X/YvtVU +OTX2L/qNGDTZVCXcMmwQiHqaCixQCQnzZ2PMVRMstxxblYxmUh37OpTkN8ok5AZpSzirFh3l1D63 +FLm15ZYL0ejq5DWYU0b4f5kc4vM2Ct3o+dvLGzwvdS0Ecoo2fJfrlm8jzp7NDceChW+DukW4sG2w +ZcymVVrvg/LF0BAHr383iJ94RhhIT95WWb4dWYJV7AR6rlJVoB+XA00bNnk89yVNwLKgpBh08hIY +XA3qictvjERWD1VwcyaE37XcA5Mcz7MLTdRURuelNrnVjVXZMOJ8JZFOu+rsurDzCQtIJ0hBJvk3 +kdhn+OhspDIMhq6kAC8j0RN8Ngi4kWbxO9s7vLildxoE7dfWAw0BabMikfldcqocYdC8j/57KOR0 +hESuu/syACTSroA4s/i5h52caKmAXtPbP1Dlj7CNU95U9DPgFRmvse7tmuVjZlqZWc+Km9EyAfSV +F4W5tqeH1A0Imb6rk2cElREHjDH77J9fWJ0jcltxEV7bJb5prHWdEqNCqaXlt9nPaJ6ySyw8vvfX +ZeYrQTwnkqxFq/DjzCcq34J2lVJazW2CGwbDKv/3ONlr66JU412Vmf+3WZEWL2x5L+jPQyqXHw6l +5Pl7aUPlGUMpu3mYgTqJePspt5YDWqcouLVwfsf/LE72YgRuOkVjQPZGirdiQ8fDW4hzR+KCldoI +7KRyMYKilkT2nbvEXQN5/o6EMN9N5/g6n2EYhVnINhik7O4xM+rUStZFJmGxdSFQJ6BHFSoUgUj5 +GTgbijhVDyyxERrt5FQ3zSOdER4krElJBRN4LLG0qW3KXutlz7I9GxVPYgQVpb0qbcBFmbpuZV2L +JyDc29p7Pqvz7zG3qPERn0gweMpUe4WJmbyI9D9Bd+gzzBw580ZNyP2lXRcrv4isqq/7xp0dVS+9 +MYuhm34eiHnrVsZha4JwU8YqbrH7RJG95wgbtaHIaAFev9zPhAQ86Jf8msqbchQrIZgOPtlquYAx +tXmRqzOeL9PwahSaiBm8GPeuSJv+C4VimI/TX/OwaLFixrNcdVCpaaH0GGnM2LSWwMMaZkU8rRse +yVEKkjCZTlIWpbHyY38nC5sm5/tOpMfbQQd/ENac1gRJPSz3hO8cVdnvhi5pcgw0UfUCkyzonVZs +N3Ad7eJVKTzTP0YD18eBXgMWyGGwD3DcYgR7/wkYX+XUZdTlLqFHtRuviu92OuetNoygc1jzS7S8 +TAxR+nHYYxhehO8Ov+vhBXGzJUZpxXiaxfkHryf+cL9Zm+A5PGGgdnPb40TkCo8B8F5IwxTZKFS/ +04JIFQOvGBSXmtdDTW5HcQl+lba6rLm9T6FBibpUfUpCP+/ELavavzPglgOKB6zxwQsfuDbtKLgN +I9/N2aF4wcKjlbLzDlhsty8HJOKEn4P4znjcu9idgEH6yqWOto4H/n1KmE7Be/DNuzMED7TWorzd +DCFkbzfS2L/pdiq4eGb2X41Z3uIw+sEELvAgss75s6XcUBs0CkoRJmG5ih0AHbe1RgLMjduyTRfR +UcRkh440p8KT2SA8MwQVRtOmFtftBUeAFhSc0wtzhpIswEckNaRGwR6uUkIjZe6/iZG09HBewF8g +sdW7z8kmCv7mLL38r5YfhlT8bp9e8ype5EoJGBHV/J1qO3GKOgz6ubbwTqgfXSHdz6ZpkNzOsOLN +5jvs5rgfAd3Yz4xq2H4uqouO1HhY5cWW9efUg+hnOn/Od1b59RK5k4ie6S2tlEjQevyFRdfz+3S+ +kQkMvRd/53zagxIwxw7UVa/Hs4jo52rAx/F0PNCBuTp2htmuTCu/6jRlQ6pal1WiTyYo+1f+lHHz +3PrYIAWOsmirrOgKmqE3yS3ADs/HkDEXWWxxHV6AhzXRoDg7CRvSWJsDMZLG8hYxqK+XpjrKOI3z +SQiTIoOxIHgxc+2Lvxlu0kqBdbT7QpKCCV5uc3MbUnt4ID2x4YK/oxCfjLtgO43fe6Jx9zU+mEU7 +0chlVwO5GCm5QiIaj8MOF45dacX0eWQjnd17/5gYpPXdSQ1mrRObzKR1RWFiID9uZmJITc1GU6UY +2nHIFzDu6Q/KuxtQ4cVmJwCLj1w7bmV9HVFlaLygxAkbXfyk2VVx0cuMarZAan7DykVpe5kwqRO0 +B/puxMjKO/79JsNRDMG4CwzWxeVp9gciar0ha87ZlmSUhjnpMRGEB2S6QpI5NTji5L25Ce74y2Ob +wDbUUizMgsg4oLq5vP84VdRAhRTE63waDfcBm8tz388zZwyY2JFzW/NsDo2CJAf5uZszVO3YNEcF +/khue4yGDSZKCrbya//vg6B7iMnpgGPw7nzOBlJ/7t7SwvDC203xauulFum0gdKwRW5My1F1uxzU +lkLY6GqYBesUK8W6vjOqikAqo+UAUznTJmj9LegaNGPrt2AeUrtju5RkQ2tjkvpWZF2A/fUejJ6H +xr3Gv4ZfquEK3y9E54OR84ivj27rqMtKzl1EfvfYqRXmNSxin+/0S9omZT3ng7sEReEwbBY5Q2oR +PKiIHf2urPJTMqHChO7QEo8TW++A2PNkO+krrJ6mYJkTGj1VpVLz0pvL5gM+eYEV4sOcN1NVPATV +pXf7CiN7E2NfhXK36AQXG+AAW9GdI9gUQiUcNI6xkFf1PrEJx84dcphLVhxGKKFiKKr0EELIlPVl +K9mVN8rM6mjq3Y7kuTFL/Wno+yD52fXJ79+sQpCZS4NyuX6l3s0H6dzKtGugTKJr/CZQn4iarfDh +Olgz0/yNGvPsRrRbxJVK5BDm9WsU8sMj90hjSJ2as9dVZTFojuow7pgDli41ulcVRZ8wTxm9+Icf +rqmsI+DXbEi0qQz6xMuNbk1zAa78f5MLh0evN2oJgL1pbR5O0LZQydua5+Frr/w+75Bs3RGLM8wH +hWMdnNPiKZGfhm6NC5AcIA6ERwmcURaLVKFnYIIkAwijhqpWAuz0YD51vkbiH/O30HJ2dHNhnYDM +inkUBmkHdqQzSZLNwl8gTQQH8ZTxvlulCMA7gfDF3+w1LSU92beEGP5VNNvguMlggGKFY/G69Iug +KVwN2MQPhb0abCIjJruf6nYrczoE3D7ZzYOpH2aI3i86VHkFG/lQC+0JUnADKRTHuWiXyXqdXRRZ +v9233VBlmXBVXT1+ZspEE8r+xnbXv5Wx7O3B3OELiH8PSmJxIcjPHzNmeJ+vIq91bz9tnR6ZcNAP +p7OkNIlDP6tuaFuPvNzgnLUhq4VAlTuMXqXBssizVZokOyZN7eS+hxMvKcvWRmGlWmkd2XA9S5IA +SsL6X9ey+vqbsVV4OKMA6GQ7l0NxsM6SXIkpuUZpSK2OWqDw/wkfxAhUJZoCvoktUIFFNjEoDRMa +O4g+OmeJVm00MpnstuZlDax/pb4Yung9VoRZf+15KiUSeQ9Er+lV1DBI2DXR7KtU4MtL75mVH1hc +zVwFUoTjguCa5Ho3tTl+H/MiAakgAf7E1ll/3blFBHmaXjitDSNOS+0iJZonTQtBxzsC2Ai9xZyJ +UmJ8209olMEZ7XnTYE9K+nTUxga0zzujltlVeD0p73oaaOVSN7EPHh1p2AV6+hTp89gppMXbAR1W +XXxQeDQKCtQEn4uyJlmdcUDJQhvk/BqXgL4WOiERGZS6TCRecrjNHM1bTAIg+5za/zV+1yTp3Kwl +pkjIllYIq+J/sLRL+dOw2lktpGAdp8qJ/zMj+DeuNQ+u6jZu5y4vwrpVfNYNGUcwwmKUpeQXiPl1 +sgnD2voXrJFPijU0Y6ouwCjh10dY8reI0CX+IuNt43btDpRAdZsaQwHyDZreDqbj7eucvP0K2A9s +sXdpf6HsFjQcrNS5kOZwa4d6uggB24nqq4a0vB3v03bSnwUYMjLe+5T5ZZljX5dYWQMIgp2reoro +jLN4y1seaO0xi8US6VkBRZaHjn7o3uJKXF/515cWMjoAsp2OkswkIeFVN8A9w5FvuFZ1r+OOoyeV +qYHPnGi6CY2Ad0XxWS61j2oNk9UG9gz4J077FVOqxQtGEB0JA/rSAKvbAeE8pqID4C7y+bYfolyu +wjT0P/eua3zaNaJ+6QTSoAr2A4PQEDyDrMsWG9mPsHcGeIStXhXREugO6G/9JjLnrtGG24PNj6MA +BNqTHPwjuC51PCTxvXHsWmN0exJzG6XLBPgvNYtJZ1cQDUxCKPzOmhFplBX31nt1H1aRSweITdWK +fArGw4DtmZbSibtvoFVDZRnXrvALFjZLjDYoXiDsx9JFGqDrBnI8zlxRv8nqzDmxVJeat2ARo2U/ +6NUNHYQu0RiMryV02J39NTlYrMx36SApdW6UryuxRWsnMEc/AcamuKByT/IUzmt8i8nOKntbcJ7H +nLEptxWPYGEpxZJgkZSogextPa7R0BpMBqdlYfGJTXpKHBk+2kOn7CRcVMU8vZjGAT/K22DfqwiO +DUtWsnb8ymhBAl6Mylz/fyC3vXqwU7eWJYeqhDqBDxrVg0/gIYdMqjbIVfUY0CRMn+bYGG9CSf2g +3mHWPp2HDzlhGs4R4FF2RAGV+lEnHTXtmtlKb5RwYozDb7ZBiPuPzml+xWe2Enz78QgNqM1IeZ8x +bIq/gNthLkEgFu8qeh4UgtKkUVltoWAUcMov6i3dQofNk+G3N8rtpk3OjjUoYvgAb3xwrB/Jnwfi +8/FEAH7O2Ly+0l0JLEEtldvGjqokLCEpLYBHXBpVC12glj/pZNUweJdQ7suyj/FLexS74BIS4WIU +i6Q5M00p/GehykP1n+vjwz1sRDrc/dXMf35jJ4bLNo8yxpG8xr3OFsHHaude0WYZ2OchAomH1fBM +8TIjOxNJk+uMWKeyxaGj9MnVGLce1bxbH1u4qHzK2kFMBdCx765HbdUA5dNzVXbzyY0txhLf937O +/ppt/v515qWNe6ZHbxv3cW/4YuMVujtf9Pw6EgPpKzMs7lptc7Rr3UQj4P+/aJXoC5KdUHTkESka +r7qeCIkGYM/QADykFrDW3MvxiuAfQWf0VgcODiOoY6+ugP35kZREsxgcGZY0ZzMKpkDevXtoj8+J +Girb5w3wmWOxAfgacraE5jjx087xKshblQ9i1DDsNBls9900reSZUNwWcR7aNJP3scoEbO9rnJbn +n4eZHMnuWe2gdu/HU9wKo7k+hlEgUGNSEpbvScHdL8nE9yMFqTUy/H9OUFIOSyOIl3v7iMoXmjEO +9fcVwDtAJgvLDaz07v3Q4w7ToL32M3HycPmOgUZ2sKHRMA5l+ZNlT/H8UfsnAiOiueuxNY5bQQfF +gNmH5rLghWBUOLx8WeI/jdW/AWZL/RVfcjJrGnXjzQgRJ1cUPO07lQWUoOGODM+Ts6kfuMXvBrut +x7RhVaAaWmWDypEgJYWP4MVcU04jb+vGhNeMxVtIxrFekLomG8143juUycG1TXHzSshexbo/IGHN +0DDG2wynmvFgZMJwqtGrubllyBLAVV6ZFdvKxwpiNN8Yf2GXWhe7oMVCtxQyZI5+SukQDD16lIro +YkGi0MKjRxKd5uNfbhZoS9gi3Zu3VEJ+EpYRs/vKQOMQv1oMa2/HyfdUcHdhYcCZ8NS9oQohzSRR +0hyBLNj2dfRERvlELEf1dKo58tlILmgn74buy87uEOZy7IbkX8UVXweC1UL6EVUwyI7upSiZhONY +sWhXASZgBytM7Qsn2OIV2mCqxbkr90Ju3uedLNlXdV2ZbJnAp6ZwnJEmv/c0EVcZl1x3kg1h6G+p +PaO9zdOaPneQeOwH0aeJ42iR3jtS87g3lraRM48Z68Pamvcygj0/cQnBh+7CL5DCW2IvC/d3Eha8 +yQYGvQtSU+V4rX+Ul7N8TMkIR7Qoybm2Es60oUs0SZyGcoYmRKmAX/zOotO4XetiQFRz/hLSXWTh +xvtY8AMD1WI6zr+JTnwpa1a78cgEdPHoi7sHr9wBQJUkUNX9jNLvweg8FVyeOE5YDLiZ0y4Vl5oh +GOiDLjkIu3H9s09GjuqN2Qb4UBG/GdNLgwex43xcd+dd9pgiw5UXtfNQojo6zahf3+pviO7LLgw3 +3QNVQsv/v1ng4h55Lxp/drFRGtxrrrvbSwFMSu5fGYUxaPI9lTxEihWXgq0H/7mDNPKN9JmQwFsk +MmQ/9WW6A35rw7gsYemsvmrt7nF3iZNGdthe4APy/hBO9uLW/h2Ewxy4YVZcd5UcFseRde0W10oW +8aQQnPE2sCwqdiROqTF1G0Lmlpr1XPpKJjD2UKylouFCUW/ggfWKNhOTRMfeOZDB1YYA/ZQul72c +L67vMbNQjSAD84ME0ZZdxUUT7/aUGC2r9TvUfcO+Xe7eHCltO4HebMw1W1UWEDY0phIsemCtHgc4 +BUcqaK6HX9KQOw5PgcK4GpY0k+u68roDF6M3aaG4KAq7lfKp+pAfVyB9vKardpGv7gserPzeA9ND +j+jpkSXJZOvuBGVRzrIz3HdJG3FoN55Xu56SMjZ0nHiFbE/db2RuM/SjRtL2yeIIEXw29khlhh/I +SKMQXGDg5GgTDTvOs5Rv4fuBE9/vFOzh1xmlzCWgPleGe3Z8qw7/xa2F10YtcViiwBeVpisCA5z6 +k9fHzCPs8UuASp9vs7lW3PnYJQNTAmzCu8jIk1f/mDEC5NTcJRCOYZ94sp1iveSb+h2umpe57f+o +A9mIQHTDR9Mu3ZfP7F22RpElarEl6HyuLS2+/HaQjI0HV/78IBMj5g1xRaSnU87dYinBG1D2rJdI +g+nbTkpUH/k1fY7aGqS3UOhE1WUL3CJHtXv6SweFXPcETuEfhTeoe1WQkb+xS+jQlAO6UVKEuwpL +vuragIt7i7wx0pD/jLyE8K1m3VgI5NZUAe1Wm1QB+GG+H7/brZc0/NRdcj8zHY8i3of3dq7QiDP9 +EHlpK0BOhNtSms2wMFgDfM59SIXMNfMP7VATxYIy8YddVqM4Y5Qq5GujCqoJRXG9t8+PL4SNovac +mzDM9QlXgrp4OAAPOqplE6gL1NHkZKsMPTRJbA+3VoJ+DvitCx8+bnjXQQRlrj7SgJlJNXmkQpy4 +op0l1BP4sHDouHCOnzDP4cHpCHA13Ggp0eNSLWS7Hu8gwIiTAZUxMk5NCLfzyM9YMJuVBBn+K4o0 +SCAQFocL7QDe2zJOLkBT/3JymVgEeGjArZb6ra2HIcsthRRC7k58BkFk1co37QQWooyCT7/NW+Gq +5zSOIyKcnz8g3HojAzbQMj65v/oLZV6zgRwPgvp7gMKzworb4TkDptkhriDzwIDUnZBfQeAZWcpy +7bqlEZlcbpYpAcuX4w7gKbYCnZ1EC3j1sMJFhQctCJ8lZVTatUxKCDDO/Pijh3TDdfkVJaf0nCHd +B7AlBXavBNkoLqZk7THhFV2VicfnCFWz0dHJaFzA8p3d6O4h7wwb/ffTP/72od5TLy9p4GchZmBP +LUV+fwIh12na1xwfe0Ir8HhkAG/fUztCyKlNLgKJ4OUKD+CocpPf/iy94oJYDEMHpOeEs9juyX6j +vhq1NNCMhsU4T+9CzkCeU4H5S12tnAPKVyJZD4nUgrkRaOicLp+NYwLK+vgg5qrx00cc7oFe0b6G +vZno++jTGxrEa/rP8H09pQXuXGAWFXVG64R4AYl37Y07QgkFGcaCsryW4JWN6gxsgmD47w1ilAjv +1VT3v/NHVkAxkboxX8P8nJQu/BL9iwZ0S8ez8w9OsWxA5FW++nXyAyFd+9pUjJhmlR9TZ6NhBoNR +4oxlhWRJ43Mj498OhwNQTrLwy73B0uHE7icrPlr/Vxz0SDGIgTj/I13mmhSTItig15+ZjwP+7NA2 +/PpUNH7n2dGuftNDnK+WtnXt3QA44PGAdSkqg+Nuuk+ivWAu1ICMjUY0UxyFfJlwyjr9z0nIC9Xr +RbAoJPUhc4nLx/23slHqdiTnfJgWIwLBh21c2mYNgCIxSJ0rdpAfxUE9IGvzbOy0tUwpzlVKKG07 +4i36b5gydMVloJ5JQyj+GPCUw5xYJsX2RS5/SKebyarHIWX1tsOSGLvp3XR7p4/9HkbUo1TX+6Sr +yzW0sSnpqUUIiE0TeBTPFUeAli8z2lkf7py2Ud6pZVGudALoOllCnzvTDWJ+Iu/dfHC3MuHoEZEu +x3FA6EI3Y/aBKRVwSenMQeTw8b46zpvJkBrCZ1xTZNodUDHaufcNXG4Q8SJu0c8QDIRAWjgkP/M8 +RyALKnabSiMa/j4aS4pORCYoJvJl+0YdYd9usoQ7bX6yiYB5jXmeTWi80fKFe++S5ze+BUQ+hpaN +OEnRNxiRmVSTyytv2IPiPwgGdTcMUkg7g/Gwl3s0+Z9qTC/phgOLur/3EluucXTJIfzYviPcZRe5 +1MQaUnD76a1lVzfxzot5Hby/WyJTJ0kiQ/OM3OYuOGTt2UP0sfPiaBvDLb5HLoXoB5l+Wm23iK+K +G1AF1xoJ4FB402SUXVp2P1b6Q+aVwpbtwCYcM3X+tLHbaNb/bQHoRlmJRezzCjQZFTEnJdUXqHtm +vjzPLKO5ritKrqgg/QTXZUEqijjZV17bgfU1iCW3wu2rMIGOiTbTnzAhm0zGC2/5d3q8J3IelCu3 +9gfTegg1QGRX3UVwbdvptQsSkYlPCDpGQgv7irI5AoAnmoKTzbBF47TJSuCaO51KN67fYv+UWFcQ +ebNGpVBnxgaUNKHUIkUK3f0ftZ6FUfY4DX9uVJU1aJMGDK60w1jB9ZE/C0WMV3ANHKKbHfgiPuWS +lboSgHxFvDujXixz56dB6ad9ASN6FkEF6xl3HAHbW75ayO47AooL/zzyhDbVcsuFl8uYGMZw/u73 +ekWF8Y7J7pARLh1ygOa8gfan7qinnW0UDGvwsam6+JW0fukPT6S8zPd6ltVzN37KhX0KsMP1AWUw +LDnBKh+TM7I8J8OpcWskbcc+sX+9MqKzgv0CRqMqhajW6kmAV+NExHOOmQP5zJjKwAWW6OLY+8Ii +zrIzqaezvUDqBoFh0zQzr1wNPNaQS3zLHipKfeOkWKsGM9eh67s9zHsBLV9ZyjZv5GCC9Ruf1xWy +gjFmw+ynADoi2A0UzKAZMla7MFsaAQB8AIyWH2AT0EyQ2y/ZKqltBLbHTehMIajiC8RmqwnRE1jB +/vUDc3v2yZ3ZyB0MtF0THLj2xlEPOuB4VJzWOuNP7EwwxJX0HoMNcZxl10nGqEOJKIffzDCS86yc +0z9ExV5k4jNAxVOlwTV5a8WeEGOzpv58KecOJDepr/NpGdFnWJwv+MWZVljm6FlNhfkaeciAFqT8 +G/h+MUeHDBIdk1aFyqVSI44NDAro2biaS0UzeV/XtNdE7goZx7RPPHW4ghc1dg/HK++4TfGqjx6t +vATvvVOi4Yjo16rFBvipdf+6NrJ8yREgNak6umkW9RfvUyL7E/tzrE6dli2Kf/J3izxeESUeXQ0P +wxPu9VElHam6/Iw+fIYRxd/HwRsVWk9sSKRjmW5IYMDCRA7kctci2qYvwmyCGvdvteAiFplOcPNg +EzAW2P3e/600h3SYlkBefYN+Kwfu8vEYIxpmWKEoyAKctfaCxHEnzM/TFvaqWKiG2LXfJOo2rQ8M +KvIWwhxfPvq9BTLJSq9qA8XbRPe3eMmK2pSMPwyzmqNO0/Ws1pHVDb9paJEnvSNfMQfjlbdx9Cwu +0grTYufKLFXxiLPb5ckrUHirDZfOcR2OnufXL5vtKevD8+6Eq/ZN88BdIdKqE6kVk4cozpIt3odI +AlsFpMc5E2+sp+EwPIVY8Bekk4z490NeKg3iPK8JnemEiQpRUUfaWnvaqaJQQ2puwvvjuUp10Ab5 +GQafa21psp+mgPWk8UmM1RP0F+3eBPL2BZSAaV0PNmFOeHTmcxKJ8oiSS+ZLkQ38IyI/G5tkw3MQ +6b4k9Bs1XvCPs06+5xGl6A41J3y5YmVd9fh7ehu2WrUDEhXYi+vp7NXYnZc9NnSZMkn8Y0EoTo7p +8KSZhilzdFqqPu0Eb3/RrW1vobevvmB5/ZoiXCv5r/PVf43UP2WhnjD1qwyjb/iFKetOI25KAASU +atjCmoE+5RzKzHbxUILDT0LRSXJLrnCgir2pAksMpPT7nIQEflnDvcNB0kmMAG9XVDZsK6PWbb2s +RUKrVXhRmTB1xk9u/yQMp0wdjDt9m26E9YYjcR+7xNoih8mCEPTIICUjdiSCTPy1DIqVZw/teOFv +dPFh/lqUp2k9fnMvETXYQ00MSMPjD20V2pF5eHVystSS919vosrKhc3wvlExQmh1t75zjn0QcC5W +2gfcB1nZ/jEimXs+Y8cHHHUclaypifo8BZYgxEG4TI8+AJ1WFmYFF4v4Fu1WeDjXTA34Oj4ZaB9/ +yPBcxuqioIUe6DTHhpPJrkO+xSqP3V3t3Ltk6d4wSI2Nt8rnlTRQUtYX8ymAKAWJkeu8I2fT6E39 +imiY0bv8ayXmVbKU1bUaPPdvKN2rJLLcto3SacwJkXnbN88NOI/2x37zk7g2YR9auicpaPT/cxqL +ypxgGB/WULHwEYAx04WPwkv2rwGeibDyXhhUpzgj7CRzDXV2sdpkEpE4Ugx+Gc0Fgc9QQEOhJUBJ +AsPSMRolzL4JQS4xtKG7xJmrAW2haAi/oV/p4A6LTcKEMzuThR4Mh+XYKwnXAoA5Capnp2SIH3HZ ++wx+Nf2WMsqP0JTb31N9T6GQlK84KfJnKAHLYZAn9I9T2SL8ULxnY38hM+3fswGngUUG15UNwC6R +OyfIhtiBT4da3ZEoUrAdRWVhP7c37v4odck3kWAECwZYaY3Sg/TnEl3c/hqIeP7zeZ0qul4hHdO4 +XoyyFNY1TqkZNCp39r7OMzkW3IfUwUIz5+wUHY2TQSeQIXZ7tacwPpK7+adW2iVTjObgCIr2IccQ +8hFJ/wMdWiDomf0rxGYUFA1JgT6sLr5vDOejWN/vyKRMxYxUTtoeLMQT6QFG/NVfj0UJHLn9Rc1L +zV+F6P+kxHoI0ViP82d5Lp1tXCA7+ahld2RJV1WXTPBUfy9cssQAQ58DWJSwpGYQgGPAGGqXagpq +KsS2KjI1KwQ0RllsXhAz0XOCQaDpHnggx8aS8puZnrHq+TQZ/HvYaEsW2FvlE9BEXMCHYfKO4oHv +7FshsejhWfbrK3xE+f8ngj528gSgNrC9pSL/ztoIohHbOzp5Q/bPJcUfZ1aHmY+Xdiv7S3jKjaBo +JeuZyQueVB05N6WvWQsaqv3Mxisu6rTOh8CP3Aw7NCQ2rnvx7GKIAimDpqaqhZzoiy+mx3aGPht7 ++t9xRddi3L7n2j3+21h8zyf18RdzRCinnOmPKLj6pebSTMbkbY3QHgwaGlOsraUM0+DY4R3jylaz +JKIHoL//IrlGNPCdJ6f8OuVNw8x8Rzfl1aFgZ9ONj1CyJdROQcK39DMMlktfKSmloHt+6i8hilP3 +UGfEdlm60kERqmOb101A2SQUvF0xjj99S2X/+LJauQX/YCZ6Kzz7XdVF1qfwKSa7h8fg8WfJpHSt +3GZr7cmnTPQSWcEhP0DN7XCtT1DlPk9R6bUZb1rQ/ngF3VGQAFOlEik5oGjfeCouWQg2wokwy+9m +Dgrt/U72JOzYtlQg+qJd3b1M/Lh3vtrR5dwKLcZRwyOAZ86m7YFxbHXGd/PcjmXgVWvEJT/PvtqW +ickieLo7lGouAXj/T1hPdKfMXPpkKNzmddUd5cU2EFyxqHLJSDJ5N7eSzeKbNSsEc6aKACsMC4hK +ZMYCNTp6OO3rSC2lKlkdT++QXfaSlGcghi+dPB4tu07bhmu9vrhQFgbhvhdc/Tb71giVS39sY8bT +QY5Bpy/OiAtRi/Yo/8qE52F3uPE6zcQiCCC87TSwixffltuZulTWVSvIT6pqf8tvt3ZQM/GPUQYv +utF5Fkf/zpBYmrcWaDCFS5q/DaUmg1f3C86RdIPdWrhynzIKSFGijP9K9pajwZfNRxGGAIASLAET +MzJEXCaYJOBtXMSr1GaZRApX9XUbbGA9WyV7K2RuJnrlzL+M9yk1D4VUF/vbmpRr5pXUpSC/9eX5 +CCJDGqjCuG6ibl9gdsrokhu9IlqNk0ZynycM+7bufgW6O2BdzydOcHkXY2l9gu1L3uGEXO95Sllw +L9sup3LZK1+SL/4dbt6Bz5uT4EThadWnHKKrJrdtRy/7oaEKGKbqX9BfRi4ci7bDzOehEcy2fBMF +AxEIzrK7yycXfzmQjhgWsBiherjljn5DsdIPkbFgx4WEPeYsHPFqY09XG+2NgawKjgna6Z1XurRT +l/L2BByn8DQhDzVJGxnermwgb2FLIHu9kT4U2OakDOOFPqm0QOjuFcz0dXKAWFuIBxCR0TO9dmho +Kz52reXtDJT2CHtzXgbJFMf/m2vP+QKfwJV2j3qorC68Z7yQCyHrMlEdRu746VmEAADqYZXX+qUs +mgvAcRHi3GTNu0CMe8cCYcMiCWhxiysXXjkXkGAZcTiPmPSIBqyz7STK6nMFUHb9UQ2EDA8XBBlL +NnQMjdeaQxaTBNCp+Rgy2s18E1sCPlvdQW1smzKnWyol81/kRgpMKPBwovVirMCHZMYW8zDXSkGm +D90qi72by43Hw/FyH8B6qmjPX9m54UMVu0hAbnDgEQx6q09b5bd27SRV90Afpf0QuEy+NpVmAQBf +RcQIA+/xy4CBKNNCMfjKy5ZQU+wheYBtv66SPMWya/1GsZXyaSmS8eAXx97rZiIwWUMpSEhLtoAf ++t1hWVWnpIKKjOtFLL0sZo9QgRFCBLgIadH0ooLc//stZudfKiHS+Y9/60ysCMLCxXy6TyjoMGYm +2cf07wRX99Y2DKPiefKrg1Vst2bQfYeRnZNlKygFixv5AXmC325+zLoNQxGJUsuNWm6vodlf6d9w +KeMX+HocEgcN03J+im6QfJJEy2YfTQSqRjGAoBC1/EBAP+BlcvTVhVAaWApmP6b417hnf/RXWkGJ +EYFRs7pHjtIF1KW2TKDJBA0aH1Lglh/DnK1iYlhYbHvsBUmoxFJwhlo6pRav0RQSTnox8xqFWO37 +bdoQLRQ3zSS/lQbJ7fAGVfx/LnNQJLfBa9fMkSi/tvysHaDmVPcDjpEavSJk7HfAw65fi8ucpQK5 +agN9nfstIm7ekLyEopOZjbmXu99aAqIkX6xW16e6vbCxPy6Q6sb2FXItEenZNaTAyA9RsORkn4ko +CM0qYvmXASILaNDOKJAcg8NMfJ/eqqBMYy5g1mpsc+KZzjOpn9a6PbYOzHMqrref6QaQKudiW6ty +VORgTtbXIIOIdPHh0VhR9ppRrlUxEbAE+rvecVQ1Shk5/IY9AHn7R8Bu5HPYFpft5KJ8oHtHjqLi +9gNLsms69IGoh+GzQNiR4+rAm4ZsuTBK8hRKK1ipMsP5X6dpqWI+mg2boMxa7zp2aChSQEMjiSTs +7/u6X0gQf5nByUCOd/yFgCEl1PxMBHGoF7JT+qKQA/grYEn4ZlZgNrmxgs74hgU8G1Co5CLW7bgi +A43BMnJLrhv5afAz444ipLVd93drgbfQr+vFWzrtEjcR8zfPxAPWvqrHlAOGnD9droL483wIPxTS +p9oq0O5umT7dZhke+9AQaq9BkcHWj3xIj2FgL6F9BU5EL6mIiUEvf46qP1xeLwazc+GKi6j/JIcc +opqSVz3NnbaCiPG6J0PO5ha1x3PHXh0VkolPArK3CJITayqCjhOc8XOvvtNHtAUJ5tNO85/1EryA +bHr3/950CZRmBW1lrsa+YOJPKH5//8TzTi6AW/K30t+psC9TqN6FuEz6b3OgvpuJIR8s+RiPoN3a +Y9gMgAEMARH7JjgCa2CwplJY/vs6082gXcYDCA7sUAvC0sno3AXXIEdEFfcI14tqh4iPoC+4qjiX +ranm5jRxtqbQD04ljcw8Dv61g3gIn595nwiFCNKpQZOmDHk02V5taj9SFvcOrJx0N7Sqiv1SlNL9 +TsULDF390wP+khDPWJHTNA4R3tRLTHZ8namVkrSXSNfyPjQG74dNOKTS5irSAg/ESGHr58juYRpU +Z2Y87sERtBermcoVpHhkSDzZ7eCX6eJHN7jpwHO5RQwqFqg9xwF1pMb0HZfDllusD9JGmHF+Zul3 +bGxXM7++Ucxh+S0xkyK2O5SxqVWUPUc9DBTFUiUZh5n25jyPpu8n9h8Nv9DgBOVBqa9fvFysqdfw +/NHF+NfWKdG5nTRFLR9YOljHXZnevDIdbGSX1jdlhVQkEan3FOlvaUNyQU+ERleHxzNN4iOfMKVD +CAr6UrkIG3Z+yKQn6XXbXeEaVFOVbG4rMw7x0TpU0DFC7z4B/PlYoXCSAE/2fEJrqyzAfyQ23A9r +1Oc2+2YAgUbAw7NoV7fv/PdeETL9ik6xlSjlhhuWCcWjfqD+iX2tJ3RJ0hZa+0usgrNdsLKM7uq0 +H0qnjkhRV60SSPOFtu1//WFxqZXBNuPxRvD66vTAfy+4y85DCCjZ2gDIYGeiSmBrERuqleHeOYfz +TSToOvmcmHboDWzT9gHMzl2reWPjeHzB6qTATvCEV0sqTP3sECirDu97hYVa2/au2vbXIiWescpA +8EZp8JH4v+ZskUpIb14GBNm8sBpKdRMmcSRIcKP5MAj9OKqUlcK1I89jrwO6IZGKxENtWoBTWgTp +llAjdjtKl11df1I6Ie1pZ9GevZBdOQtFD7oUT5pNmLzaDPLN8S9kPl+vqIS1GwPh5cTK1f+M3bgW +f3ioAl2K1fRvpR9KsQIh8Z+tngvPH44IKxxH6pjD0p3XEao8AnIyk6AZN/FuFEyJyffR0VoJn1FC +efJPTfqjvPMEbYNbgtgxbsXUqes6CxEsiL/5XxrEG06zNMYkIlh5m36pJGSxkyYZ1h+cx0LseZGO +ErZSz5mTh7JpIWXt1q94xqhkHImifjuXrq2sXfnP9g6k4NA4WM5ehkZYwAQWRNtVrz+CUss3rUlc +cBNYHudC+RZAHFXh66dBSxWXCH+2lePIYwjKMibMA2ttWLjNs73+4MN9619sWenOLc0Lmn08clup +XjXxKdCojXyjlFqcgik0HLxtNr8jptAS4Y2uOqUVzrWTZENZsRs7KHpJrme/4XGZdxLen+YWxu/m +3JW170v0aZswE60+JGxxwoT7ZK//Z0JsObyq8hh3rgrl7cnM7nwH9Th5TsP/Cvj2Lq0zpFPy+TpK +WzQSeBRaH1NPSbtB4IQP0BVCDMdBfPCnQf/3yiXIWyFS+aQowoE67V+DTkUFiR0zW/fxAyPwyw3V +HrdFw6Ft4H+9Ck5fLckCt5i2oFrHOstRgTRqRxwiO30SlKM08/Lvg32ihRbITzPtkN1nD1HNnyyQ +YMRy4KXEFcwF52rGKyxDSK6izBFyN/IFEpG2CBwFqK8vU9d0bUvImj6MXvGmJF55jedNfkbKi9bw +3PwRbL0FamHxIriureizkjbKBiNFkdQ5YBLeAqgZCjyyKjCgYzWwNb2fRvLH0mh8AWqlnGurUunw +hIiCXszZt1+CC6zVeowvlejTX0wqimBe5MFZqt9hTadgHvhQsvRfK5ODSdTi8WKrHthItMHxSLL2 +9tZoORzkKhUVR2AdsazYNZEgV0QZ+4Ev9z8AUvBjmJJSxMlyP16e0624SVfa6f16b2tJpfPaXEES +LwY69iXFQr2OWQ/ZRwZK8Nu29YG1xa8VYD35pSO/AYv5tpI3wUw+StNgg6JftBUzoF5L+wGR+jED +oduZaFPugQFWukw+9poNE9D085QCRPo2BMbaFMb2P8w+6e3N7iTZ8OQ4/GSnT4H/FQdR3sgJhTb7 +FS/5hoLlVCKmoVcaAk9KikNkmK+ggsdaHnhWtgxiqJtnh7vRtDrsemZsaiokMMYLrGJcWqmOun99 +eO2+ce50a53o2cRfS3JZv06bGomlU7UDbMu1aEP7SoQLb2uBxEwDEaobBJzm8ZCMxQEYmvDCqwhB +8q8ZTcAgv7tOqG7qGkevJCkpTvxSRKO2P+fI0UcBnTn6bpOELz7p+gtEGbVyxZhJ6QWloAzZVbUJ +yzwgUKmPYxNKU+hpftJXIc4ZhcZiLs7COr1zOfwWpARD9pHpJlFdGybQaviSE8SrmYpW48/E1yTA +6NjgYirkdi5tNCpinbWoBJYFEhixzSh6jFfgCdzGB3TXpa0SCWn/dqBT1lS6wWo1jx4IQcTZoTOQ +COWiVmOl9WVCl4SXO9ZYJmtOzaZQwGUIvzlEPCp6YF5Z7HwCBDCcrs913AzqfHK1M4XbnqhLUufS +/JPGDKGS3qALPkaZ9NOfLYro/0EmxCebVY/6o95zE2W31AmziIAzxBYDsttfZ7Fk7tvufgRnjTxW +dHkrzLjzmWvrON4jwYXbi69WC0vBPgvnvLZycLhzVas0uXPmCaF8AH4S8FmzWAHIUqz1WPcCsrGl +FixGtZymttnUriE2kpoFatxGsyjaN47ruTuSyXtIliKxAozS8NDGlWZgeCL83Qhi78xhxQTyp08o +eYPcDSEiyMG7XaS/hDJhDCvxxDk3WVOBWmVZ729rtwsoFjstHlE1toLrU098laMJhX17kBixKkPf +bgHM9tO3fiGHzybOndXWGseQHAHD0d2H6btO1bsHbkj0jfBAxu0uGmx73MhLgVwnnqt5T1VzN1Jy ++NPJAkpG8ypMMB3lrNYHdGcwcKSR1rAnauCleT3wPI83t/0YtGfaWTTDa0VUUB22kuQ0wEyK1mkT +mFMtHWrR0bypeY6h2NRNLIkRrQhtofJphpvIN5fpyXvudjNdEfT0W2qyfE5KarOKLIouiBfdbeMq +//H0lrM8UKn5E4bo6TAqOPPpQNF7WDyEci14U/WTuGb//8MzE9Y81puIHHQlyqI//3UBuDvZC8rI +1oV7CPP5AmMGuILztzLTP4fa3SESwqXfHYixIMB4X7IXJ2Lu/Pm9guJA+OSY1/c510KbeUfbKRB7 +8YEOgD5t5kxmNJ4T9HDo4g1xGuLYj+qi1xMIy6h7DffYMsGosmNBpGdiWwuBkHLBQ2Ii1pGlbcBT +z1zxiUsbYmy1nMViTW7XYaqaFF7FbjDMwN7hGbcH6tK9cvzILaHrkdrE0g+rus99lFijxyNneK/z +yT1MtSf+yQQ7qLL7HAjvAHZTvxETfMzhLIbjGN+rJP9NK/DgZ1yibetpJnXg0xy9rsXlEj+CNk5e +rOqn5sO7qBf5EPK9FT7EG9eTTLSIwl5GQcrkWdXZC3zJFRJDz8hI5MpfLswmXcuBwvYpRjQmFX+T +Ag+uLKVxFxZQAYAUAhkidxMvm7nYzLK89A5Lrn/Nt23vxjwHgABcNnrAHRKwS6KWqsTuWs97hjS0 +/zFH1oxkRd8//rnl0Pm/KtUpZHWa/sWalSkQZ0+0KQQsIoOrPcXjuolR+0eT2BJyOaHEZWTtmDcJ ++wsQFEhvH+yRO17pE0xolh/kue7MZhXpmRQYqZlGKhCDKEykAoh14LTOI8fs3MwPRTldVC07lLMc +OTFU/sB4ySEupT1lap/wWPvbtj+dLzgzCTO2HXMavyk0KmGpsQOrfaOlbsVKNxWJ1oYhGnqudrGJ +thkvUXuunfQ/VEDH1AGWW/iOzMCNYrAK8jYsXZj2U+jUfFbjOTMnGYvHvhWESpB/doC3exAXCUCe +KIcDbECgGELxkzXv62ZbLjuvT23pNUIfon+2M7dtyOIfBrjD/VsBaxGUPsD+0DEXD1WJ2wsFkqIl +qzdanUTN5zA6kdJtgTkg97yZoLW5UF+3rQVHEKQ9Ek0UiFHZkOoZQxoUmf2ya8zI3fZm4Xjt/tBm +wAY+xFbCql3Q6NGZ0Y9E3mMLbeRQKK+/El4KSRNvXLNm3BDpBn3WTSywy9qQSOeLzA0wXeaXtdOM +/q9VbONegqYSrmm2HzM/7p6PJUT+fD0VOPzVQZKT+9RwDiM8t34laev8VVEVQkFNGgrrHVEo+Pvs +h1tgKI/BgrxwfAFjJ9JNamAnKvg5umQRkZuXnhhvb/Ly5iXLNbKSy4YxQ5oXqhRNyqcOH/E5eFti +VjgMPuiPN21bvY7nLZKh0Mrn8PaTVr8yEeswfDhFScl6KWKE9msM0BZLHOZqd9ldXQdg1TTfwZ0Y +G6j8O6dEBmSDzpxO1wbkJk4knADLSEcJ7UssmMpQQn1iMa8Lv0CEGCvM7yYlV/I6QJOywp70BOQU +zucN6af4N6ezLSNc5fMUX7MqqAGBKK0iz8LwP5Sc8kWw5dJ6ugzissxOqKA9qIeq00+YYNP0LNZP +Ss0YlNA75kVefIfCbjWSKSI5IcFIyFI/HnOuR0yn3gUYaF3+2K7VxT8lK1FHYmzLcnexaSKUGskl +oErBqCesUPVqptLYMKKFHs7ZPtzYY18IBOth5kc8R1H9Da/JGPsmd+7dX86o47MRNJRMcWnfzjtD +/u0YbL3JnqHVXkIeTyzm7JIINejI6ETLHVihq9mfq4CBhRVlrY8b9uSJqskm7TM/DUuejhLYnbCK +qgcFiqI6LlxQC/eVIbg+gbI+VVP3FF7VtLPzkiGWH820dU+XsK0r2t1ZgpSIiaXijAg4MyGwDyT3 +fRZBUHrYgpqb/3eCyXWQYjFD1tniMIRJrS0ee2mFNegbCfpASIw3jxh4u5N4MgX6BnxUD0P1gkYU +fEM/gibDsLWQPI4UU+iezovoq1jlQaThisZdXoQDdoS9Q2dwyAKoAZc0c1TME1MFlGLNim1CdsaD +re+63woKH0umfAyqAKb/GIjTNns1PoiHvLqZn2wf4pyJYY0eEEv6CoeDEwkTc/7VCWXmsu5gGSDP +WfFQ5IA0CNe6aKXOG2zRRZU9RYUQqgRHDrrLpM8gJEuxOHTlnMGfMSdIrppHVysyFogJ3Tdbalfh +olHzdnUoNlv03M8kqFmSsDRKPSZCKyNleMkQqqyqs/QF3hZ6voBu04YzONKf2UtgW5roLAPMBcNu +4mAYUlxlLkn9O55NpzUIS1xXsAD0nNrwF932h4SasLlbHKj0k3/IOMl2rRa7/mLKMV3TE6JG4cLV +MFvHxlpf6DeCovcNvqZ4BajtlqIyLfrFuvb23tfRFLbCSHb7vg/vE53waUlnL8ZOAmvNbagooEtG +evqoG7YljtyVLw0nvTogSKzB+DQUuU9XmpZ6zduu6XCA8uson3+ZK3/d8uaXeC8uFgKNXetCWwR5 +3gLheRc1IWTsWJ1bRFq3ZxBq/Rh6fm/Ur2UqZj7K04nSiExYDXbkkXNgNAoa2dCqbjPO+yuzM5HY +5nCnnQ/JHC6oY7Y2wcPbBh5CV50j122JxlCKXqVMT/XYxTKGlwYoHbML+dgrnd66NDFthFTKavGc +Dfqooc7rBb74jvoo8P/MCpP7f35lgB1vndcU3I04ESMU2JLORG+orvtRp8hHYH00RiaoWPNE3KhB +DqkZ5HqPC/K1vOCSE3KCMLlMNQnGYOcytMgEKFH7yUxIgKyN7FwVavi8Nq+TO39voF20dku4leDZ +Uy7nj4MRMIc37ne4TJldmSDGKLdhbMlAosqWYID6TPzCtd86YUNz9rKzvb0WTg1/BHIFglwr3L/L +kcNZfet3+T9fIUu6YZ2KRjLkY1rZgofci5KFS64hTPI0vh/8z5pEXR2creTsmES2s8lPx9rL9vzT +j1bLLlxR20dbDRwLfbufMSjuGbpB9qOU22JUbKMrOxODsbAlRIsv5UtM83klCrmT2ZCPqxIFw3cy +LVL1wQCXkioM6i6O+rZMVO7zZZvtu8FdJWxRqI3Sun7R8ymagZuVXcs85AblSiTdfAhkkq6RdlJ+ +pjUt5T1mxD/XqrILdv0LaBMF8GAW3a3TNDGx4dcHEYClDNVAxNNHRHGIdoS3j4hgaAiL/StIq+sG +v6y4lvwYCYw5S9mnDx6DJ6aItL4LNSfaYG9bTIPVBw1mXcSkBSfm1j8iuGMEkSFHaoNZamD1Q6l/ +SqeXbOWcle7pkT5EG2hNGf65ib0aW+PkJtf5D83f/5sunNYQ+C2Wh979DrkzDH2Oj7URxb0heaqw +6449Al0oJcwhQQqn1FtF5c5drZq2LQJLJ9t83xREfc6IrqoKeBFN00fosbBWoVN54SBfVY2iTj5Y +9xIVtXsWcq6/6KvIiGQ+r8MbIOhX0I0urSt4p7/MA8CVWh0d0BLBRdavG6jIDJuyxh8dvB02BVC3 +AybEYufHRsBEIQm6kXfPg2a+e0OwW4W8vQAprPcNcg2oBWqnrs9QO6ASqHlyQGZ2nghhVFUkZ4qU +VPoQlmaLQOT4a0c0NP7t6bk1fUS3Y1t2RSE4DdDm/aWomyzXt9O6bbb8oLyJiTkUGoHOoGDCQMEe +tx7HwVebccvTceW9qLSiIr4erPJSO8ga/cu/hmaLjWCxo+QuQYSk5Yu9cQLJEqkBMhN33zj31eEm +RhjQULOksn721eylqdGbGorrDNNAw49YGqJehrg/BdnL12o564oobwRax4K4PWLWSu5rarxjzzeS +EdNRIOkcwWLRO/mE6e/vtrdY9uLhN1kXYBhMHYv+aIleDPKy8Ido7IgWAySF3DLBSMvIY7/wuJhl +5PgrEalomxl1C3tgqbRYjHvNNviXbkgEfNJPN1jleFn+wSZVJFn+Es5jL1Dl/di7+40tzNeUBZYv +TcuYBcr9ioDWCcYqGdU2NvEj49brW98Ea1FRlqtgL7051dtkjjw/vYlc31ej/jojD7vhNGBx62Mh +2i5nL2tcaoxkjyBfv9IepxOfVC8cxMfygwisvmdzOtqj68yCzWSxEMzHMbT9CW1LrzWzDk9XKscd +TEJnSWiFDeQTvCis5TRRkhxrh+e+kQF7pw/B28h3nJpFefnwO4uM/ONIA+L42k7Qao948d6ea3tG ++sLaTNJ3TZtBitYS5qtMOPYE3Xu6JDJWErdiwb+wU/CtDmEyUlRKh8/Dz+lcAgomzWzJV1LjqdDj +uvcDq+SzdXq3kKQhYAFyBIv7t+csAhEiOYH4AfEtI+Nkn8rbVNW8WZ1LHu/0XANiqtkM7uEfqqJI +ik6bOi7AvoxQog3YGzTqxFIKwStzor0Gk/hT8ss7Eb4zyB8O/CKH792soGCmgsREk0Npqkmb/C4W +isjgYA4saoXLiCWtgaJAy8SE9huC3qa1hPu0lqDuRC+MoKfABc5Ot18/r7ZvTzGfoSbwpGl1qEvk +hxrkNRP6ItsK+OtYrftNCF5w8lvj2p0MxCzML8Xe8s3+pU5WyfWScWIiIVAHFhg69Tjjed/cqU+D +Z8yMuwChZl9bD73UjXlSl6qeJaVxOYNx0sBofEbH4avrfmhloHq+HP4EaMAtn/+G/Mn6OP0qv+MR +innMhXBaRXwe6rxh20RSV/QX9nP+TOMXJAyV20Rfx96BYvKTsMY/h2FrKXzWX2IuaYPPNsxDmVpE +WWKcyxScVqda8Z1M/YIU2CQz8H+zH2csdqglix0UzVScAhuWvBV+Zzw5Dk9wc+ZTjjRlj4wcZPy3 +kAwiHQOrLf68SZLXlOUuRb8lOisXyIE5oVrF1Pkil3I26HGP1xaCLR/xdRdLLvJRX1mcsuYIVtbX +SILRiFcL4KVxOGUWUFP38xj8LFRahemykwbjqIjPPxutHEq2yAOwPqiBQkscF4eTBzEM+87ux0L8 +S4qd+h/bHZi0Tk+n9QSiXUlW5QfsDaBVkZYkPtInNbm80EqtJTNMlOJLN1Fnw32IU3Ge1Xrx0hyB +JLaDG0QW40v3HDlDtk5c6ZybqwZl2wCV3pJmrETBcyrG3ssgtH79/mSykfHwvFtEBFZc+5U6Bsug +ioq0kQd93BzzIgpltw41G4sC91gnm8Z4LGtNNI/weupSD7iv17rNUITUnQit13HVVuW0otNNJcai +G4i4J5PkfstBDrzzL7lkswczBE2b7u6uItXL/t70BqjtFOkaLDiOyjlycofLqf5HqO0MAO9IbdRS +0fCohVI7k0vVml63/Vg3n51k1n5N/8RjscKL3t592Rsecdi04M4dLEK/+PShoMdT4m8ttaVgnK+B +0wxv+qqWmUEVZALD8ljMcnxcZWgLkGYWwdp4lg+rArd8uQGE8XWRPKboToPNio0HIfQCgVg1yFiE +o5xfKJ5ovXGNJzvPaGSMOxocqLT/+vNoreEcURZQYIjUTKV1F8KN9MkM/8Il8QPNYjSHAHxMGC1P +OA9xHq6QPYH0b3ZVWqkdPJ5w9JJfb71IM5sermAhCSQgHN/vaM9lmXGMPcNkGp1DEJLwwayMYHNP +O64EzRgxsly2RoTBkKYfWkJgM4ITKVPN8H5Q8LMuSlqb1Jt2afYofPVkMN01daHtpiRH0M9KYYYy +J7ellKHKb03x7UAUeMgo/ccY1fXVGck2rx+Gsjno9tkl5VkhTleyn1tgGo3Qh0cb/HL++gPYeE8I +JlG1aVm34cq2bk9/58fdsVfKV65Lv/tfjliqNcX7DR8ryLy2P7fmzFBdrqy1KOqWo25pkRcLPn6X +84bJlIXtM7MM8NiuisBd0eK9pDJSc3yl5hQbXFgaiO3AjdEABIeWwvpCeWUzuuLQfdznkyjyHI64 +vgQ8eBUDIZXdvKBqLbwqTixRdjhwVz8YzMSbJK/y87iTpKPdmf1BdRfE2lGSxYYRUolmLaO2nfKM +BI2SQokjjLSNaJar5bjlZZK2nMYMqufWlDp5cx6eYwpR03tYoYBZqJDXC1wQl65yjSaJzITgdbm7 +UyFW8VNc1NgG6ne9NXN6E7KDDo3/Tl7ULMnzcmUSmH2lefh9dsLOqwe/E5+IgB7lt96FT+STQiMZ +O01SjV9NKirHokH7luJO6a5qW8v4tI7PZENWOMxhNhRABgA8CeXHIDye502XQAw83q2AdAf28H24 +ZaEXDHhPXbWLV5CgODMwDvv+3ck0ZltTyIaJMTf6Jsqj3alb6kxVUlUT14JUJ0q+4X0Q7nh20/y4 +7XqqjQaabeFcLL2whDSWPJY6UHj0K8FVLqlrwwZVNy2XJIiRbxXuO+8dJS8K1dMVgJjvbigxFcvb +9DfRHocBPXQhhjC1qjOW7Sr4uqAfowpQ8h/jkXmtPly7oFUEpV06nHgh8Zpbzi5AhbEgwG2kmgqa +OotvbFgLZP4PPKOGgRebRpSCVFuMAV4CEbxYK28D/Smhq/3LfxoUGf8iQzm3KxK+2ypmpGK8JOsc +5+8YR05yfbKI8/m8gGw08BzTGyRhm1xWKFESFsbv9CQD7SnsaG2MSunLneHgxfFXm3i0qFTOqtti +1P4xf5GxS5bxbtzZqvMGGsqHiV/mVpaDIclwIvng+nnmBWt/H/JFHU3vQl4qp8P3xVVqUdBUFjI/ +GoOQeRRwSbSX2RyDrKDbremoGm4MkpiPQMj77M/fFrZK2mDlMZu9eHNoEm8TT6UB41Z/Mn65Dw58 +sMQf40xwPa1UvfyFG0KM9+kwq4SRmYGcdnA5UiaXG0lMJieWhIyAVJXQpvHxYFTxrsUxrfI9vOqW +zSnpNjCclthTBI5yihDQs+RUmVJfXEC5ozwPi/8K1yEKldK3Hkl326Ph40yNoMWg4FjIS5QfXzJc +YXtQ1tA+4G+kjsC2YVTuorYLmHgJdd+q50P9vuWodgzhJT7jocsGufpqoJz1yaWzd7rdIaWS1GiX +60TXHQjR7cKolch8KOoc34RdRryt7Y6fIq2fLNu/9qZFAOcG0WTdg9UOHbGIX1pT/jQlEb2P/pLD +ykVccetlDSxS3nWBABvH/iPWi/cHYl4BzSHMH62nvTc9aZa9xM3Et0GNN7ARJ1rJ2yKLW8Vh6rqW +zXGL++kAWlUhz0toEpYQfPaH/lhNcWfcseMPWqk9k0cyqeawt3yHRTA2DAbumlYM4VQ379iJggSI +RBUYXrlLLoucadaxm7xiG6j0LE8Jfe16SUxMEDy343nnTFDyyKvsMBLSxyi0t26+QOV4Q2ICokZr +q+bkaofjmWJYeCVDgqqe0F+ivj4zTcSmydsujXWwkMOtZI1ufo6JbtoKOy0AsgMNZC96RtIks8xx +F57iws1lylCVKeilyyd52GnnWCyTfNGj9BCB9cEr6f8A8/VhZTYDIk9cb4W9updmY06XwVhc0c5k +Tkc3F6nFiXSrTVVVxJ3drU8cm2aKfuredFb1+JchHSKgFoiZGWb2MJT5c4aEjuSHeWbVDsR1iuvV +ZG++09GfoUB3a4IUN0Z22gnyBrwcChZDZlmWpTMmKodL6f6zVNhdPMJ7kD0LcMWRG5orD6meTchM +689LtHYIqVVWh881VLnNhOtcxxTY7X4x+O7ay6fVhevlYh/8MvPl5D5+30v8SU6/xvxpqV7wZ2fI +FfZrkrMnolKLNAT/6sKwU0z+YvfN4Kw/7OGKDWUkniGd/3eYnC4UkI3CzUbjx3ep/RPGPvs0f5Xu +jCcnd8DGrQjwPSucUjdoA2ZyZ3PIKLkyqS2dGS2zL1i95OcTG9hlWgB15KA/fNvGalZ4RSdiGgRs +C6oLlayO8XJKG3rZ/SvAVb0fopHU0osP3sUh7pnKmaABl9/x9KZXg/X5gBHJ2hHDS8UvHqYZu+i8 +LXji8Aa23XDsVZWWSYjMpbMIR9yjFxekNSByesQsnqkpEUAZ3LEhK3RkDcYvoaN1/BoH2LuaktL4 +wo2uYeRCSVGCxCKwhTiVL0WQ9LEj7kBYNs2mw/zQQU4DDmnlEnYlVBnrnxf7Mhykhh/K4f1ku+wU +vNDb3nxUz55bqjp+QcOkKWInHtPDXHbBmfsGIOyzicieftvkZRE5QIBn4yKycgQstUrPYU8TMJkP +rIxtsByTrY+HNULavzRUm4CsOj2NHLklzxpcFEH6+Vcno+7Dr91ghkc2f6YPXyxxZ4pH4jsi4sk8 +4fh9e7oTEu5KNYvKXIDyrjxpP11RQqBVgIOcmsl3kSf95JZ4Iw/dSPDSk7vCffqEMrZQ3+gVXyqR +QQ1paFtrlGlv7toI97nJKnL35FBQO6eD3CjFgwvS+Z2otGnNGHbpIbEgT+pnO/EkWFBW+Kk0TtQT +1Az6ib9XS1tw8On28bmdELxyaqjYnLvSMwf8nRKGCkiI40eKc9tSFkx7y09yyl2jHqztF+vorC3f +b1S4A+n15tjHvXnhPx7KJGOApTjVcYTexfCdw2b+AgOqqNM3O3zdpzKj1JHZVFvig6ZrbIxfzLci +8UVCKWhmqAn0o42cZZWqo+v07uGJRRQTJHSN969rlYqiprwrCfKvpgP/uBbt7J+3fSEbzgaqxhAL +Hd4A0B8CbMFnGAfStDSiatpNvz/XerTMGgZyXaqvY0Qee8vyiDzE5Kk17fFdHGUBQfG2m8m6RALe +lj1bxNWRYbB0GLvj6Ju4M9UOXUixugF2ziCaLRTUBJVtErvfFgOFHEaOUysTgotPc2V5K4z1vchD +EnH+57utnLQxY1fjHUjUGmULrIfM16k1Y56i319lbiBr1TcEfUupLgEGV2D15/jj/ZSwukdSXZHh +L2zIp1DieIm2IbMRO+UvcRD/zZIlAprYy6Pn1j7cWJJLo9BRtRsbxuRv/ahQ4DBcT/ZVCfuRxDQj +DyzLRD5Ztwf19l36GDC1LQzOBwutpOPUV4LYDAIEVoZUCE/HjyUeuP6J1tGeUtlXchHGfdtqbzOM +xd18QLYZJ9PM74AmMsSNZv7CBGj7Vom0T3QeyMdEBAbkxALTgadnJwxbaJNFxkf3xN9npmJ1w9/y +60P5GbEz9xW8kWhAz3zWzP/k4fJZa6LiAS8qbA+Lyr5ZuD8Zl+eYskCpMhumRrcD9rJ/V9ISuzD1 +nefuNawk7Urs8iQVmU8uGC+X0c7QTWd6acts3K1uIgRgIgbDBcApBD/I83PYU7vZ9TjiYyISyhPX +sFKtOtGuw3ig6RWBhz2vQAWa44Jde8CMkMRMZpCb/exifjSxaiAW1rJvBaLOKPp4m2LLhIYyCL9c +YJytaBX5TAarXyf1ACBP+r/Z1Ve7wdQ1wcdcxfAlEWDI80KqR94Pi0WcXYHlGNIQUdVbaug66Qme +GNSOnifqMMB6yQOzQwxf89JIAxVaBCZBCQTVoHhV+Zyd/kM8ksi8U/jylCIP0qfCmkkUbZPIzkTX +/1uXNpm/ygGFy8PoipstpnfcEJH0SOY0/Bit8jPInINKlR22YJGhIHCOaIvJo1crWsbJ6v+C/aM1 +LDw5hY3uljqe9IoR4ZibE/Ca4YzqNJg8IeoWlcSesjUpUG844uYL4J4jKjWGKCzk/GgaNinW9C7j +XxCid07QvvqBZtS8RgbcT7pnjZJ6Vi2jdnj5hoHXbaUBW7Sm26Ua0B1Xoihm8n3HvNt8v1+/hGy7 +5MNcewFM8U2izhEdELRkHZBtRFNfbkii73Wg78dC6zrI57vVLB1Bd4LQLYSunz3jd4HhQOuhtK8I +bSmpTEmz5JT3PduHxzTTei7gHQ2Qbwbw+Pir4cT5I6NnMEhIybtPiXnNRcJ/ZjlTzGLAGoFIETs9 +iREGKGFfMkyopPveeas3pgKWgbuYv3Aj3Bh0OVA4HAKnnQZq/B7p42WThc4VgODJ1qtXoW4NMzNK +sG9eOVVoOgB3qrCfK3WLQa82sawdKVJnnWoan4Fy85NL9XzdUh6MfPyhoEaEWHhLwcRbyZlGnQQr +kukqgCMzQ8qJmzMNYTrvoKl5+FOGfQ1acH1IjrlEEXuSd0Pi3WouPkkJjewrUBJWgiwJqPp5uoIT +WXvw5pctiQpkIj6fPMjAnNrusPUhiJbMO3dDqe+6t4lOVlZBgGN93IxFTysiM2MOcjleoK7QAGKj +aGYyM3Fthpyf32DzpRswyeqYOzl8olHD2c1w3VxsirOw/h5R6DHOtCcp1m+aPOkfYBXGyXzvZ1nb +5WzcjH0qPVqQiChxAaw4yatQ4D20CaeLLXoOVjpoEzamAVTvxVc38OopEoYka/SWtiqSl2wGuJQt +J8+tE1QnahD3yqGTYNlUe7WYwSt3DoFjdcXbNB6VhgBSRf7vkKxfEy3mwW62x+eLgT6WD9CeKkbB +reelt17i8QM3TibtwbYbClaPuuARoQoQYccdyZ8ds7JuJDidlI5SyL+zuxgRuVRhzQoYvzxJ0T/9 +ZcQQuKbFE1atS+BauZ/MWevRda5xmtRDptyZmOXZlZrmkWgjLjvLpEdXp8KHea6jqO/VyOuWnp55 +T1D3I7T6q2MFYV8mQkfLo093vX4xs62YvHh7LNGIFzdggLuPnztL1YfC0nKBvgVzXNsrDogA+MOK +YMoJD3oD9WaA1hwFD3s0WhFIkGKqwUe64lDyU4TN/3eUS/LFrcFduQolYkUvUieAEVuD2RMGbkzA +pO/jnQT19LhaloSJA2cqF4xfCyFzuKpi4W/tAYoN7HoM1jImRBVb17o6hWXMpZKi9f7xX2KaC9zm +2ZpFEpXFeyA91QaApFvalRqJTXkHDDnpng97H2FNpTvuCYXxbU8YP5EZ5Sxy6ISwoDqoQpkBgxqO +ntNuH08RS09pHIA4xZ9lwRoWST++13TlXIkfnht8ebmUgSW672r4mQX54uVdA7Yu6PE2w1i4ty8/ +Cq4fA+7kZ5APMlYR81foWeDNhEVXzVtgIQQphoSKmME+FfiZeWBRC4Ndoq+Pt2urriLEr3eElhED +Z+7l5t92IHxKAkBWCw1wdnwUz6mrSc/GbdqJibcrKt2d/1bpXV6pCHO7xqEa9VB7H8S6zG47TwQX ++oR6GyeVUePHX8U2xRRoTXniKQBNPXHOCpILZyOdl/77qdkcLuSTSIoGRLie/K5v7r60wknRnlsv +Agu4fwbgFYHmTF7AY5WwqopbUnVei/3F1sZd2SRouelx7mjWEjFOfNNNRHU6W8/HdOnsDwyR0TV6 +008iM3ifxc2GWZDAi1v9ungy3Lk6KECMNctlOwQNYwsApd73gskZwG9cIlJ7CdnxEQ35Du6IyPMM +nU31UjlasY08Fxg2lOdA/iUbjCfuY9QccZ0PmMzf7ERWKgfkJkr7b/28RoK7tiMQl1Miuh1rwBKT +ggaUGjKn4UQLGZ+DwJaaG2ObL5ZThjuuxGSjcxGLbxolqSCvlrdE/sIFznJCMCOF9XedB9GzuSk/ +ABwZlK820jxc7tN+Nzhqib5ogeQ7f7neJh0tbnte09CNY+oyolHh1Ly0diVbF4hEc1zI2h1ZCHTk +mOCvwugIb4k//jonSjmwTSTZ/x+YRiy0ojssXBtJPUP+QCrSNoTgG6jPLcFaYOc1VKwEx+pxnkCo +s5fEUW3hTtnR6ERK8Rs9TAmUZW82wNGAioSgdaUERQMveptu9FbWrdX7x3AuLa9/7qoRcwE3GDyx +gpiZ+FvmgqhdtX880litn9b+yBpMmoMb8QJ9a3FGYW9xO7aSRKE5wz0Ky718gqHwF7BoxRfUXWIM +jS+Ccsuf/xALZ62gKzInFVYqK1rCmpJxA3Rm3cI/xsBg5S8O0yjRd1d4CDzdNdpwNghlB4Zb/4Ih +WjYCAsQ8xJALykM8WrKclPZ6d+Ux9T0fz8I3ndl4A9F1251Xu509qcqd4jzZ4TovumFDpnY3mjPM +X6S4f83Y3c47mxZ98jtqeRcmNxivLGeT3sQEt2h1D8qygGb1Wd1NBSZvVuYsv9ltxpisNVN/rC3D +9Qru4XlwCYRGKtxGhgEqME/9pGLVoZ2+Uz9yhwJgXS1cP6YDB87rQnvySjb1SOhnRXsflW/l8/0p +OSNLvl3y7fLtOs1/l7R1V5G6GxbSn7plfWsCygioibPx/V4q3VH5eRCAztKfAUUEsX65FZOTX+gG +xMWZFqoblVli7eu+UoN1cP7j+CJepftbuQpEiQQf/GUK0l8pXKJmjTYV0mPJSUj/JBbSUMF3cm43 +BUF4ZFbDjMYoB28WYdJyYoVoCBUrnG5xVKrH0Ku1aNfGiTk23JUWjXT7fpGdj7KSNuknPPt4xqbM +ERrB0jRBl6WB11nMa243nFdKT6J1FWtCD/bNm8IBKd/0miLfgcWA4uOmto848YBpG4a3PlYaxbGi +0tFS0ZC7Fgfu6nATzbbkY9FJcsW1qc2O8/o0D6vu/0U+DPSG/vjxU+TCOE2RV/D8DzlKQJNQ58N9 +0RWkap9UNHax2tAnwFZlg3CAt8JPQOOhe5ulxxUd3I307eRmDUidBGQtQTi20qEnUJyVErpexgGy +fc2afiOX69+5ADSrI9+tbflL+4irVMngQz+E+uYiXfx2EJRngcL4CKmUWAuQn83bKJxPHoxQIuKt +A03UoY0o1VjDG4lTsWrMDLyMAm5VAQnBfDdV04G3iu0+vi6WM0G+eEwVKeFvjNAHu9nli3+q53FH +fTd3Z7K8cXrCEaZHcC+bHkcNs60skIfgBbuSVU2Rf+r6BBoMG44W+urGT0Ii6H53MUPzxhOAHs9S +bsmhLHMKTcMyLAwutXA6KDsN85S0gFNKD1+jOfkwU4wL49tNaXfWBsIjuCmf1eKsUfiE3GLk2MoJ +FqkUqTh6U6fYj8pmB8z93zF6/u5nPVHhwqbomc4DMSPj5XZD1AD2VO8L/zBDpWXqZTxr+jgVyil3 +JnoK/k8NCGeoZexumaKgumUTt1kwKJZXCwj1UY0WI0Vdl9S/lyyyxo+QcwaoI2uDDyAvZD4xF3Jt +IGjM6xFMPo3m/qxe1TKF5ChqOGojwU1EZdlpIyhv4CDvB36ZrJCEZNqhpnXFkPQURpEQI767NZL7 +P2n7sEmglA21NnQrHG4XBc2thyayV6+2A8D04oFNM5zUEz8ZJ+w15p0flxaxhR0zucUbKQRw+fpa +pJtoAvS6fKpNi88ucUjeHTmFoluJqiO+PnY/88F+3xZRJWSEENtCf4FhL8/18ZBjRmX+uVcYLVfB +aJsDfF+060i8YpSt70BVkinh3+JJvxNwT3mdLzXYl98DMIS2UxYYsWO3HjV6LQqNmQVQiShTp0Z5 +JbxDXlaWLBObTnhLbIbb086NeQw3FZbrgj9ysmwlpe3oEP4LznY81jAqVyyGZrqBLklbYgLc6kVu +R7CBOoPP4SEZ4YbHNlWoCyRGBoEp1CxGfS7qm1jpHNUqnFFD+Hcy/lCphsGhS6P4K1KfLQbkjbAr +pasBwy6lunwjbEz2u/w7vfDuoQw0Xpmwa+EKWNcdfCddYSXtw2Uh6DjTPWHZsq4b/0J73SqApzMt +m1duT9vqqLpYpisAI75jO68qIj/8j7FEJE/k+bb1Q0kw/1vlq3unoAU0hRd6bYXSgtYHYnOgXGUb +EZk4LYGBDmTtbmaYEtox//Yvrl5ksqzHOLx36QnT7DvyoIJe91Pi/M9PkV4UsaUiIH8uPraXUzUV +ehXHGl6ZdRhlXvgpcRRBnEBlbqFMtfpLyqFUOWbUD+NrrJCJQTlxBi0AgwK92b9L5AhZ0ZzPC9Oz +WnBDNqowLj/Edq9cADFX6E+3e670RVV33ENwawZzwLaYr6E7kmM3VXl0kGybTq2WX+0XdnkFkmXC +2RE8HNpZGy+Z3LjE/0fXl7aok9dFCHjavG14FtS/0sp3/5iEpV3lXb4hzFuqjUnwUW9TYzwWRtFs +9kgq48aWCZt+r3W9nqsIyD05lDgDlVGSHOqxi1OjNvD+9Y7YIdlOdOcVlhrEz+33UnzKAmJTgm5v +77ARWdI6zn2NKMUNktFPGKp8CT8eJAJrf2Uja1iI41PCqmfOcxky3G4eRNH4yCQmtrWwfVsPvChZ ++XfnEmXYoOZ1PfJGxppK8x1OlVd7R+mKvsxB4hmELrJaCV74gJpS9EQbkONyw55F3gqthp5Rw9pU +VnHLB6doLDxE6yUVTu0P46LC/K+vYhiLCvqFOyXlq7INapEJnOLq0VjLReI0usEsBljlA8BnpqNp +pgI3y1621CJEjrVS4d7hqTXlnpm1IXRScp39Rk0Af67UHi7GLfCq0iYPui6PJg74gm/RxK7RJhec +t+UU9v/2AKSNDJe0y7Uf76j9oCTCH2eRMN8bJDV7KONHN9Rf7aMHCo2qqYrlSxZRN8Awjx6o0YZC +VLnH2Ju59eJWSPpqKEioew/hbhPEmBaDgYvo5IrOcmFAm0j583Pil0izDQ8746n8yLduAQXH8hVC +JKsU2K61SZOB6vj1K4VFYN//c/VX4qLtV8RRAgaXQfr7g4P/9sMGbOBEQcM47ZPJO4qdW8OqXoGw +VjzfRRaSkJyV4vVWHwqoNDUylcdsGmsBNn8WU3MM4IXjUcQpAtptdw3mTgPjv4xye9Dj3GNHpok4 +6+aYI3bGfVVx9K1lJwUMFj2/Ikg64n55Y6omGbylW0jv26/+eCHptRgPrCGZ7UzaDLCDQzJu8Z8B +x8R1knSC5CPgPi+BVdGTKpGDhVodDKsH4xq73DLJR0AWVQx4Zr05L39TWydy1IpnSXwx0mwbW05A +5eQZKEX5SxcPe9OGjMIQqyzAgfyvY0hUzw8lEAv8QcPQ+niNjasBVThbVAM3PPrh3H5qvVLoaY7S +y0B8OnlvHeiiCzRNX4ENbi2BbkLOVS2OxOiHnSSPH4gcpJUfRI2nKkAfnd0MNhpRhgGlas6t+lxs +QgDiwESstHGbCVsAbyollnYjkr+iVn8IU5QKJEHJYGxxGcaamYtkdM9C2b3SsPpYXro7kYqGOaZa +3ghusPlWVZuPY5q99VlStatZptGSg+tCGOTie9yJ5iNmNkODe8dtmuBcDprRBsm0fSb1PoNNmJHh +QpnStXdoCDqJp4gdknYbOPFtX5x56ZH+rbIzPwNF9vNoOtYdf/jK8afGAIO2cEZHGjAg8c8V8fcK +PyhemUTxuVdGuSaOGTbNoYfLQgRGkSjse6abWq0KJE76pzhB6HSDEzcgl0ZqdikvE7lJ/dm/Zy00 +WiQlVwAX102zVynWUYFwivkXBazBPBdEyw4oMjat27U7Ee6cZnRrxC294cLWZu/N/7bEnsqonO+c +XReRbyqsHzzaY27fKVyDioD+/MhpeEMgVFNkq5ksHBClK4nf1SFaO6iGdVypDoQu2B+3HlSxpoNF +y2Q9cXV1orOxWCTrSh7Ol2CUVNSk7Z0a8hGe5VscnmakbG84uKi1SNwYv8vEjh67dFi2vaXiGdpD +RMJN2qvX6Vy+KwGk0as65BGhnyXR8Fku2TSFTkRJFNmdSrSD4pwgjDJnOp/9lnvX10RxcGulbQ0c +vIjDF2opwZayQVus2PcyvMP1fdGZSrOZYc2y4sr+3RYhaK9jYlTReUawNIpqdqe+ZWiPwpRuZIyo +C2yapCmcb5cgQBHX0pKgfT+b8fUb+qoPA4OtX7BOPcWTVuzzWq8CzBc/BJKsb5nB6zV0l1X7LT5q +qJCd54/jIQReFPRF/xTkNa0YrRehA4LlcezG80/9xbWOgKkYEr4aTJ7/kHj6jIZn0NLuo7TBQb7q +VtG+LImTgT/BiMQk2GbtNdLdXon0I3G7woG3KbYjvFfOSk2AjVCBoHpgOlsB1lDFAkxgFVAzyLfx +ZxhMVlBmULKN+lN11m1mrjuccMVucH1hY5dq1Bat1MR7A9mVu/8Ws7q0EMmB3v38XtllwxOIv4GE +wJZ8rk/+kRqUDsDiIDntyKDj1m2L6t/XIQJAUKl5T92kAXYfShqH3M+ljcX2FIozRknAJmXi8p3G +5uKd/+3dxSfyV+HC7g/dI89OJ1sEZNxatnqOc45WijSJV2tuvoJMkyjn2q17n3bsZytEP4N/F9qA +yc2GwcCHLk+LRm7fSFIIh8wZ1vZjTZlyyrAQQ54uDvHyVWSZs6tgzsCRMzBdjt4KyK7YS41L1k06 +5WuJGlrxPmfwGCSJaFE3KCvTVfHlydpfSBkpbDLdYlvKxztAotCJIwpnAsbb9aU2jkMAI1FhC3Kd +7K0TDqG1P/ZWbdxTkuumqRj9xlJHU7FnNEl0Dk0MFcUalxtBBI2OCeLw0OIaloUnWcxnEjdp0Ask +DBP63852acufP0yY6/rQU+W2YU67tVBq51ZQwWnkEBK26VX6HVj2P8qXSSBDU8WTfWA4rioT0K/2 +0Ajvlgsua6PNnH4ZokCaLXENKcM6UAnNARpw6FEIN4L78mRbb51IPq1Xw49bTEPP2q3p4ovYyfhX +kIx76r/q4Y2vJWau8tKdXJj6C3KLbPrxDQMTy5YSydKqIF77tfSTUdQdtWyrJpr8Jss+A2RKJrrp +7B87Jty53glpW+XtrSKC8zGPKsT+EvGtqEhNh5jeSbz+gSjf/jo6xWR/PCCCAXoytDgkyJne+pQe +9kmPVQob5OTzpxntR0beQOBKNDdk+eJZVG3j/Pglpdl0r2mlUSTXxEu11lG7GS1OqaMP/+CN8R4+ +GwJT6J66nCV6hkpzWA2WlDUNcntpH0O0jvEajGQQMycRRyazk70r/LLuDxNi2Zbs793tfLogI/FN +vJAwjiqWTrvFNKkgTQwDrJGlGwN4F9aJpW7HbD1ZGvwBdKz0RBJRtjtU9WUDaCXHQJbgRqkLl8Bl ++4k42TVslQ2Vi/DIwx+nDkzeA+jE2MwWPV8zqP1jP+2pVsElFXiuimoPPf3ufyPCRbMDrqzLeCaM +LmKRgx/vLPBK9+/PI+FLbt+bT0UqOWkubMTfLduZrB6g/OxfuRSPhFL7c1tFbxGCdJbDDXHzrCMD +uaBLdxVa/HoWRH0aNt5hAGoSTvtPoZwpFBH6CvjOfAnFyIsQe7iThEzCzxQOpITCr/SN8f8O5A8i +nS1xC7ptChJSjR/PcBgXP4EgEre4/KXQ5Uy3UCYkDAWogroLRuBbq/+iDceGsSkexuplDOOzfpo8 +vcSkzoFFgvr/Yw0XhsDw5QGCLpl3x+FBnZYZ14Kx9dFEGI/9rq/4piuT7usLtqxPoyJKTp7Gu+HW +Yl2EnTfexC0FGEWmpj74gj8zITfTpY/Yyu8AenTV5YMXJCZ4qB6EX9v4fyvFDUZ9MOPIJ0KTq8mi +RsTpjZQ/kssgwNRWw4nDzMJ7o7QPxIYpDQOP0cjEZkGrZyYPXmk1Mkd5Md/pViEv2+fo+UuJc8fS +qIesyIWALrYaPRU96BCy/1aF3eZdaK6Oy/NBHLw7p64o0YUYrzYQUw2ghJC59azsajNr856lpSRK +XrQuba65nad+LiT/uAUGdKKWn3DZutrXYtW4SKSNYYeBPQRN074iFI48WiQcz8TBgy8y2WrVlxfi +8xId5GIMxoXYVaItNUCJsTLrIQir5FcR4+9LB0zPO46bSQ7al/nc+bvgqRxJ62YzGjDftXda3CCf +p5tGBuNaHsfGeoi/jr2edBa+0eLSFdGmnwRiRn+xmpi99DmDqSkqNKYGdl8TnzGFshwc+m8uqXGs +rgn9BHl0nJ7/d6BSxhQsN3wmHhXcfqJrc3HB1zQLcEpM3O7qtyYf/t80D5/RulKeleR/EuuZtzxc +tz9sa6pqGRgce2UcN/0lQxnxSOdfJeoTltY1ooVjThX5/iQgwMxkkJz2CXpDra0bqxurdjHdlleM +yedfvg4Y3uxdMf+Ts9LasKk5hbA65JhUxNrIVcr7WjMgoySA5IeCM6fW9BRMZS70c3zr8o2t/LkV +LtrWCHJ6WBN3r99o501VEe1JD3hgSKdEgqs/8hS6r/vnMALuK/FxXHT+TJfdUtf+t0U3CqUSLqsI +LYGPi45+Pkmy5JJa5Wo4JMz3eKTdT+N1ehGQin8PqG4QBwZw8VbFdqr6DRfKv5Slwvh8VDV6WYVV +fEGVnBwK8K1eF4Z+c1QVA/pWokTCam5nHPGLS3Wo+EWoIwnvB7RjKv6JB4QWZE6/ZTeBoEjvAGCL +m01aZqabE6KKdAnSaWAbts690wvOsb23bz3YZVUM6ZlokWCH8WAHfT4gjYl6ipiTeq2He5UherTQ +ON5nAbZSljddwyAW5Dph0x+C56VimnZamgrNU48qEue1i+CDNRTWdCK1sRX02LLoUJtt+N7U8iV6 +wNkfHbFMNxzrJ3tEQAfa00U+gP9ro9Dqwo0Jt3MzD3N7TbGgWlrcr+MNze6G8Izfpz9BS8FIp8At +vUybbzE8T61QMYD5XG5D8P+UoTQ121qFLDGuSgOK+RoDpa2V93/S2YFsAdaOj/+vO6hlpTUqWbxO ++BuJ/J+s9UnFuC37D2BK8i+oLVRT+UEDtdu1+SKUl7/t+XucfAe87XxPrdRsEFxRR2Y47skmqBDx +PJnAAMpnZQPBAhly5smMDIa5a9piJAnl8+ibMHSA9KUPzjv1p6t/jZZY1d9esuedabm62fbv2a4P +97uISCOxY0jLZna5n8dIAGV2aPWXmmsDLuh8mDYEJSHqBIRG/hDa7Ttlk34lSLNFljgdLxfZrmMD +K26xYNkFWlGMenmoBUOyw2o0WE5pvt/0PbCmDAnYay3k7kYUOwUXDJ3iEygfYyCCy/Niomm9Ca+F +V2AkVjuxWP/KNuc1ZCkFDo106a2yhS97Tp7Mc3YanKRz/SYPeWQF0DEJ4nqHleGTuoh2BVx0QOBm +L/TXe+Y+5C5GnbrdDWeyRq7IwBHGQtzrckrBcb1Xvmcv52jwNeARk/k8nrDF3j2PL2i3pspMVSNW +V9+RK+rZK07PhzvA+4XCJiLGLlA1lV0puKWCEtYQf6AY8N9DIfTT44dbKVPD6JXjci2nsG7IW/sR +h4hc8uUlC7wbrP6rfpttIQN/dqb/+siffC1VbH6QwygY2B8HDE7PCVfy770UlKn41mRyrtr7nudC +ymdFzNt0sq8fjoWvjvq2d9Sn5inHvuXP3s0tv7iMMc66osZOg3465nwNmujO1d93tAOdevrJv8lM +zfrO4Kqw30J1opsYn1r+MMm1TgXRegVJ7jGSwIbTVrSggFS0S9b+0hScrorJCEXFbfj17OV8d4zG +fFW1/h9tDq9LDoTd015XrlDWwleqzEGoFDsTWXQ+ZytL/UDq8nAoltNV4dPld2/b6wp3OrGw4Yjz +TClctb+r4/I0uEk3ml+5eJcp3pXhKG6zdQXI/DLExReX99C/ietErahlvgXegjjjryQtuVGwA3We +0Byf1I+rK9R8yXTEGyvdmUpal4tJM/mphDJ/yYq1zbU1ZcWDHOcRLaZWSMwrUwZFdv0jIJGTIyho +l6QMPzU6swIbQuym3SmzV04Pa3ashDY3TFMIyvjd6rjRTxoIAYrVa/aeQzDSgleBFOLaDMOzgrch +dID3vYVvfM5MwDEgrYzVfCpfM04VwVPIpWSwDSl8zTKPWHzt7gXFEisUd81HuSEu0CnA4dAk5Mhd +RSA3xAAf4aTWrtDXYf7xWSIaYs7ltOocegAooavB/YlqPfnt6GhA3HAKBffa0bfOyMbN51esDjk4 +FfncBuP5QGFjB7vSo+x33KzP/WOCmV4WPXi74lp3NzbgNW8oz48QaADQm/nyostrzi7HjC/6Hmed +N1JtHkXEK+X/ts1gxutf9TtI8OwtqM3XCmll6Rvf1tgZ9qFqWE4jB6l0Ql0GL78TZIJmCNQUqAkR +beAjQnZ3DeeEQrOfOW6K+0Cq7Se4Nci06PpM8Ry9+V6A/SQV49dsxPT8FNP3UA+HwAhUdpSeVNjh +kqn1EIG7ZFvLz+Q1QtHDFbs2MBxABpbwAsEc0umecGx1WY7D4svP23+seZt6qe5GVxmWpwz99GrR +E8aflFZet0xnHqyI3qLP6DLZqbJLQFckFD5ABsk7eEb21rXPIlcNLEh2iJIBW1sxMN7VqmeRTEnO +dELJ/fi0nAUp+WLI5v5roaBbd4Rgzg8qRP7oBaolDSY9Co0BmEufmUuOwP+t+2EJgGJew5Ev1+pb +iDInBdQVhS5Td9a/vGbhKga7fwf7GJ5zWAAECsXz1OJEJbf9zGsR0MO619LiZAdGnfRgZ2Zi3wRw +oOqFgA4yWwRJ8wUr2gL6+UK/2YrETJVKL9nRqvbxVCGUWQEugiQwcAjMLmUGg1075nwUV6eXfWKy +xFxMH7+D2lMFI/olUEX+AUkvoF64BjWiYKMrdx3qcMeIYVhoiqeoquBnb/HdWBODtQIDRPPrI6d7 +7ggcYeS1UF3LusZdqDKX6n2nozkc6yDzA57Ja2MFOWgJB74MsMSVbjf4xH30BrKJ29L8zN7f/YpG +jDDqERWEO4Kk3IJCZurM8pkSk95AWjVnDjU+xZc28cJ9+nUJRtDgq5VgfOWyAVV+Yher1/sukjNT +2WhbGM1D47e9ct+kjfB1Evv36Fk7bfuCcfQ1crSbitKe40kemjeKExcly8j922qFoyxP2SIRx0Hp +60E1aWRrq/Wo7JBxSPAzYDYYUzBXQ97jWvJ+llbLPkhS+6m9DCO5wOjh8UaRHCTnP5ghDVrHIpaB +QH6pnKm+DilNVbdGJF4FafglvjI5i35aAb4ontktJ9wxxzvVelYpF9hqxMTFtaPYe4lh5ZULZosd +I9moV1O1pLBHMUo+mHr4/1uiUTLyxEAsXf8JwBVk4pA07xDJ7BDbqhpWFgTrIF2U/WKOjHs71KZy +75+JZmGz2MYbMyP3w3FiR7+SmxUafhrYisjyR0K+8nGvIZ32g7CNyJY9ZazOlTeKs4XWdSZqKVSc +Dx14ilZXxtPqgR8mnXyfbziQRpW9+GOfYhETJMuBWD1naDgPTZ7SCva8mRiJAvcICXiVOuZHY0nU +W8F+vtjvvIR+EllyT5mXtU8aRaX4PCUoq2RdVnJDt1SD8C2cxyjALwUAhpsJhMNor/RIUW5mcpcT +blSTpHRGFe0kZtdVEAW0+RR6wipAP1uEExaZEQUlHk11hYYlhz/F0vWUHEGx+fAV/d0De5gJT2iJ +esL68u/7xSQITJxGvImCqhzIL7AL13IVZbbDsMyul88AxmdUyvqzHWColH8VLBVL7VMlYWPCmETn +BOoogRXxRDbcCUX0Bqxwp9qJBtAEytuIMTLuOcxk68huj+hu/3P6kPJk1XKlPH+eccxINLKWOb43 +q2jRXPOF7VvPCYYNtDDWH84fhvZzBIqhbYeJUK6Dy3psoowOEOGneVXH+Ud0nH4Ka9GnURKNzmd1 +7NU1C729WNHFcPfn0W2uzeowWSccc/eErXCABMC+dQgWLnQKz+RN7I+HeWwmVSyJBPf3op9rwSHZ +GYEgobIfpECUupeWQG6M2u85l6cN8goENTdSj/iWxnkPgAOH8dbdE5kdMzWSeS/y0Hr3L1Zutk2R +Fp7lIwAmltGlvNKfrj1wYrbk2Mf8IoTZVH8c4xUY9EiGXdRLP5ICvm399KGmNaTS2sZyOH7r7Jhe +UnzkepskasxF+96z2NLUL/Sp8Dvv8kXVizyWlSXYz4iqejaDk/zst63b4ThGp/e/j6ZTkNxRTSHT +l2t2dn6FxZE4YAaHsec8jFrDalU1cCBvz1ABcw68sag2kBMJ45IaBke7Y2fOZ31sMx5wr3Z7sgRM +IwbdWWGGSAiIHPGIwjSdAwcSzgGRyRQH+DU7ioL1Y4nzjz9htbbm3QCYClrRiE6m7C1dzUmWh5qC +i2imNYneWgExepCxIwmueQecbjjI6OhY1qLN3+IvDRMc1r0/yUJ9vCt1wLvaxcPUuUEY15i2JDTR +mt2KzlnQQQIU9Tdq5ofIJgBEsFmTySSVC5Bs4VXsQbDNUsBB2yVy3lGn7Xo2P8SSkgGE/PWxnfT8 +AUgu15932YenCVqdYNXwy3zvOBv/atY2pQPGp3fN64IHB3xaouEmTzRz4RRPSjqWE9UEh3rw5vPU +U1J+DjC8xwQ0SzVRu/ozYDNLBmO4UdG6i0w82WqMN84juN4g0dpPaJFjtVoGpJIfyDs6ds1YU8Pa +41tD1TVD+QBAeHYzTVe+nFvnf4zZkP7aTOeuDkaIjSpm/hog1+++XM+12AAV6hRen2veaVhUKBza +AVlPqHaLQg+GUvK0w2HQolfZHZVQ5XhPJ2ezGMn+NbNC8giLBYvd6u613FkE3HKXRK1W0iY7WE3b +13fDzVtOcun0pJZUuzhPGFqFMsgORGJRJyaDtfl6x6KVD/StIe1kKaB7KL8BUmtLI2kwjeJla6YD +PcrnGlGAZAldTyf75Px+g0HKb02SpCiAi4gnsClFmSpUwc6WBFR63P1mJxa34y5k0irIkJMhmj0O +ciE0nK7B5HPlCNkv0KZ4Qc1yFKj9wT2JBccn/eb2A8kEnzuz/W8YnP1DUNpC2B0woKa2W8Xa2e5J +QSjz0R7tjzRwzSyUVPTOnima92mn21X3QwQtJ/mJBV8+eOF7YB1s7sqirCv3mRYTasQoy0Ss0lPn +tX98J9i5p5G8Fcejdh175XB4CJRR6kONWcqYLdR5I2+2n9qgA899oGwcOpEeIjhX6agU9ZT7Piih +Cg3djfwBNBpDvQQSsqb33cYF+TYVvRehK1l/ghiEqmrNHGPLyAC9+A2/UIDk1BaQ9aVS6d05FwsX +fnga5Yg0JAO+68XM73RW6PflOzIlOC45w7skExxKPnZm3QnXWbAOyXvneQAsEOFTeNgFVb6pIxaa +xkTFpJdRi3HgmFYqe0+njqCAEsznFkEAuX684EBbZdsZeko7vKVMQA+CuegnFMQ0UH/etesrQ0Vu +DNkw6bsxAIP5kCEnsL0oNEIZDVCYhhmcQsfltviahF2NS8v/W0Qk9zINPIfMq0b9zRT+m5iXx9q5 +n3sitC9ALPYaH5AI8nCNHryOVCvQl30zL+k3/vEgpp7A4z/1pg528m2T/gGF1oAwHVnMWj/yycYF +1DVD99PxtHFQgeRM0lQN4tjxWgsMcg5lTO/VabmuJG9Kv9uUAUNs8uwxoaNaHmGf5iibqT/FUSlX +PlJN/TBA2P5JhEwslr4I/YnRNSbN2sU9AoqqhOodDc4rBv8dFiNGMYAfMQpDOO2P/bb0Q83i3NbI +wEptJaXPvyrJfOupL/426wh75fvTVvbqVDPlIeD1+jftT+CmgHsqfiLWdqMVF7ftv0LUJKIUU/Zg +phlHlosSDfnADAA/PuJ8/Ad+vXE5VT85VxYt1ca1ZV8onQPBAOzrtQaoBWXgkMkPAt2wdjpyW7KL +UZat2H5XX89kb+EEoleqQmJdsflXWYw/aUuXD11Zq0iy0lA9EuFIwFfFHuQEJGPQ+E2P/S6c4Tkr +IbNJHBnb38H+MB/5ESKowJIzNeHECOWGLFBT4zSAhvMEoGpA4kD6rTLU3r9TOsj+W12o72od3+QU +CGGmx5LEP+bJuZTu55H59v1M/b0VNebCkDjFlR/JVZNvjkiEK5J57qvhccEQKiC35PobqG9loMvC +jMc2OSnExapMSg2Lh0+3/pU7btBVNzLkhyY3iCrc8SMMaUWBmHsRY76YSxkwf0qOXitKeuhAGx+O ++fk+38feecGe1FD3Yl+3PmVAG/Z2u+Cr77dKvBtY/6ffAZWEaX+DmNO9utydkb1ScEcU7rBr0Xlr +LQtLltzp1aEqPhWFef90e5MBDhrjOR50M/J4QVXfuPjCIDgfCWC31+uRY6quyJQ/ZjYEiHrfDU01 +JJFN0SnTS3Dtb88Az01jE3nbpz8NMc3v+RGG3ROluILhM3/SHotTZ7TZZ2gbMu0TWWiuz4clM/VG +HzEw7vzSrmyXvblMKfWQa1LQKKQ2TymYrC2gNEGyszZGKLmulanf6m9z8jo1U962g8GS38QFmZ/3 +6SGdR2IF05+ay1x4CTjNIkCa/MzQVHzV9+Q6/RnkRBvOVEe/CmiMmEv15M0mXLx6yoy0f4YENcvE +oQ1lbUePCltcourCdKPqEdNOAvw0aKMQLtx9K2eDera1Z/fZvQB0iTfTzNa459ax/joa14AuTh4O +Z4yj/V78ceEKMbzhlBLpWRJLpDliO87HYZmyhS9CHVEkAJFjBlL80oJ8opIHgCr3+J3RhsB6vEdR +A5nflU7RZ5UZq6YcKAyryNL9LLGE9qbA1YnjSuoQ/+YBhUbkEmvATL+s82tox7Z+HPmn/7FRrLrp +jAETmqVLf8Q/A7tlTFnKthoO8tmjIX023lOskLU/7Z1VdkXIBk0+nyib4sbbCkXRXPq+jmwlhDrZ +9Fcq5FlzBYzR94XkXBMAKJwEGCNbRh1qLXagGQ8PC9KOXh36ZaV/ZvHgoshBQFI13uAd37qzP4pR +xZ1xACW7mbbpZ+bK9l9WdYGH5qqewTrUCUReTqgxa4cPX+6Es6heCcsmzoLgsAmY80LbqER+vxMa +87sDTdLXV2edqrAQ6gamX7tsEI33GSIb38ABPcwPQHEx81fKcZkUGuYoSJTIjO7drjdA2AlrKeqO +iWHayss1evktVqJ9ovMdk/XGejvBMjDUZKRTNSp9R85MPpqjHBIJhoxFyeaonr+9DAAxfHlbwYt7 +0/5stfmsG615WzfuFptE2p1xXCJXL/Rnzx3HVBPxcxGN+DYJzxmsSJxnvJNiu3K/4Yyoz28X6axd +CJfxdtB2vzCb1ufDZThJwXSgm6XV/SPZ1QtdpS5kgIaXkDMJfEYzNEJOeFi3LMvuUQDbWP1jaIm1 +SfI2NWooIgj3f0pVfVO1U4qoZdOKKNBF7OAiA9lWbhYGT7HzTRmsqFdLP7WI1Fk956N2sLaP1uGx +3VZ1n2dDRih97xWPI7TNO2ZgD/M0qEcgGObE7zykvSdqCOALIzb3aR6hnh0mYkylQJLt5AXtlbJv +FvjNMKNJhtQs4TfvnlTPZN48FK6C4TSxRNGydBchqzkhOZd4YpisrAhs/EUlwJiII+VLJl8h7wo7 +QDPJZUiD/H82A+jMA3gsyomuwb0kBHIOopjGjjbzTvBHKS/nC27l+4L+Jq7ieR38J4Ul44Mn0XGK +Xai1hLZ0GXUaeu3zGs8+HSS/72Y40M8jtteNcsMBc6Akm1MDpPbwtGvDFhHjGGr2VC/W4/P5cnPg +9DZIHfyubNr2laLLsYmDtHVQC+VGNod9gCj22jKTB6Wuw0unJA8EHOD4XZlGUFRFBCOCqbWcFWJd +a79uz7XdASUt/ymUw34bo7SyBIOeZP20pky9es/BpBA1EV3znyNAlc5NRvjhT2jj2oxFD6UdWoCn +0LpeTtvkW79l/+cFLrdA92rsO+K1isSk6N2ozPA4ITQ/EGBZToOKBAznyWNq8DxRvNwrtjv3002/ +/nGBC7B/zP+GQ/8++JIAP9WzHcbMNt+d6OXNBiK1Y+NCoRyswg7VxpEIgt0bICRG4RHyHqc/fMqC +tz6ASGVOh/f8mfydZjZ6OzYVtJ6ul+hB4PTdW+lJBRPrr0A8Ew9WYzjuL8BV/FOtXEMveIhXtT0C +JG/ivHUQQpCxrYW14aNLKbMQBKlqvmO1JUsj89VleArdAoxcNj1sHdTJeTTrGkG4KguEZ5I8iT7M +oLya1cA39Tk1mRA8J+sjL9wxk0J9X72nFlz0VStC+8sIXZ4vOYxDswAfBEuKPWmUXHofcJc+UMRU +1VXBNtS+wqyxUOUfpnmAkCK9da28w/NmKknINQrLt/zChSNABLXUyh0fVCvHY7G5wYyK7ecekeFt +evHRCl78OWz5SCUrdzQDAMX8HmAAr+KhzOcBOFRoe4Rv3nDJWURZ5rLfpVXrScT7tHObPOlVXTMx +LRW3dMv5dh5K/0NTiSyg56Vl1QeHHXFONNIrLDpYSStVS0pAEjjGKOwUE9sjrLBVoFPXYrgeFo1G +d9+AneTK4XeeDY6UMPgpAjrGylV3KOlmsxyTvrpZ546N7tanA5rzAg0vwSqitD9Uk5ZSwZUPxoqE +YiUH8eaNXmlL2Iby//peLIwsTGonP6+inXM9XIa9e2q5JQ2jY99DWnQBB1+lx/msxoMzO5PdqW/6 +EmrqcFgDR+PcC8c5ZG7TbJLZMBCv1AV2ibdsvPQvPkDPp2jvj40dKS9e5yY8rkPLzTALSCBosdqx +zaTf9Qovpt8nVWBo9YdCwB1uboKVGdFx9fVeVlp786+w5F1genKwcd9YGEAcdDpjGWSCe/k8QOAg +hLm7lN9XG6dBH0hOaisWMDRudIfVLjDx+kYuegbKMcJfujDFWVy6jXUmd+pKp5H8MtAoXfEsvvTG +eAc1anV+9ZqycIOQCoPP+GhFD8g1C8IXmJQqL1tbPT2wGtlY+DeEk2++EIqMmyGdcHXTYKQljBpo +sA2RPglyl8Z/pgWXSurr2HVyxfKEuSjWJ3dViaJpA+fBhyyTxJcKkeOpyZBe+NFEPOSCQCpnPH/A +jUMipxeNNKt4WAtpN/i6dQRmBbiRwd2wPh5bBTYuRLaqwqNk6Ceo8uj3EocwWeFkzVjEvCcpfzLG +tD0iiJ5aS4aIxvgFIuxatqQ3BzOWGA1TW7hXIW2VnJDchOrJ54DhWFdv3rR+qObZqchnlRq2Fw3+ +YYMjs6MbB78zChKvvcwWU2I9ZpeKE39cdDacWQEh5OgTzEfWZNc8QuYqAa6+6MjgR/EVgM9LgaP4 +6Dl7bt8OwhBHf0B5E3ty63OdwBLF3Zmtpv/6aooMgqATbLc0KzsdXDDYOSO4HzafCS1sRns+TtGs +xettWio28HfmUeFl57DCa0Hu/iKDHq89twn7I26TROiyoi/LrN2jEsDF6Wj0Cv++x/p7PLS7TO6Y +0yNtDKQVVn0r7xWk/hV+/0zZOD3u8nwbuGPa5BUZdaQH+FF5anr+ciefQhVb8qcRhguhIHRR7RDV +Bxut99b8/4Ot3hdqI4cSQ3FQYNXIZUb10UUN0AFRobGPPYn8P6DQFKnE4dl/hcxAyAnvPOfgtPYU +QH9c7KwO/xFoe29yqTg3aEFFbWf9slWxy+wMfHw6H0xstQ3tG/NNUtxQAYk7mDvtIzIa7BpG/2sP +cONFQnniDy9xgM0tTtZ/KjfTaFUkw5h8POAkwXBqzNJIkqcuTWR9WgHbwwIQnv8iEVIhLaYoN/yZ +FzrdIdaCdsjMvpz5C3ZT0jIpO4jA37NvufpTfNhvPTl7wp06UzV4DqXF8d6BFQxp1lnBUjMUozaW +a9OMBrzUhzbAWBmckAZyZTZ50NpmeM2tX+FFZ2+tG/gATFOVx8HSP04eK7MQ2ngfMaQjPiWtXfHw +pWx0zUT+1iKdiuwQg7kvfqBNJ+6QYp7qhQGQCa2pHJ3oxC6xtv7s0UPQ0zDRI28ZGed9Fshr5P90 +q1HjKND3l/BXLXK0rWQ8S9ZJ+7lIOKp/p9ZMiRAB8U20R0PARwxa+lmX5WC03FGReysjcWimLnhV +vIAnnG6X48Wgty4U2KarsmGeOEoreJwohh52X12aRwhWorkBKOrtDHKE0gFZy1Y82ZTAEVKb7myU +kgVswuI4SjP9k/RJ0IKjApXxMZ6Dxd0j9tQ5uJAeT5VVGBSmm+uPH4SNtv5poH1jrqa673aazg+y +cf6rpxwVQFoLWQUVaK9EYxq3WL1kxihsFhtAsVKjsrcVm8NGLGLC6/yr11TJnBJBe5q5j21HNG1m +I45tqxnVRlKAY9yAicuo8ABQSFe5lz5uzAW53jed3OaXFi3OeXIS3aLlfuQhUZfdtWJGyA42kWGp +PY/Y4js+LhQy9pv77yKGqfZDqvWAYYkTZltkidCUaaG3PrUhaCGGKfNzzxhkWvL1SHubWA73Ezcq +hN/7RtU2XWut4DryHHkzr+9iywkdFfOgUT8espUFiYnsNM5Zck3E9uduXtqZs4cYmHc8zx1Q7XVm +s0TcAp3N+N4+0ld3r+4JS4dE50CKmpMvoxNr39ZMKw7PRvxFKoeoJMzZ25qXyNeaS88yMxH22Gnu +CAsy1WjsZsbIXb4cZBP2Ab/ia3AxYK/hTVLl5h1kvPg6HrXfCPZLMvrYHfbmNQkemGykAgUsPzoU +RO2hmWv1rDOpozojRTlnxasjSKKws1i3aZ/lNkvWt1qya17y869hUVsM8cIJT5AxicftkXA/2Y7l +pKvZtC26CSIC18PvIn1zRGy0X3iXKdPDU3eIUdkQb0ulRnqWajNSDpUIl+x1U6/Vsb5hFJCwPN4E +bv+TITNEjEiNqsOAUhALUdhe8bG9FhphjBM1rYARB0oK+ipur+mj2CDtVaBGhZzTSAgpn/VOXjiQ +W4INkFywUMdRrm7diESo2pZTOZN7IHMcSPrmc4Oa5/tpuzS4YEBMh6GyfF/+mQJ2kyLqzfG10CEW +My5KnyssmNOZR3/HdNaUUArrEvg9fZhBZH3XEI5KUcIKtlVTEnLU8r+MhSSoaKM2uF5+kB8bCYkW +9ujTW8WlH5/kn6Ff223u/w7KJl0IBXpNfUNOBFH8IzRGiW2my3fqzBhuJFzQRm46YZixKV0w1B/g +NOZZh9S4Mrqy/u7RvIgwkQ0H73tK2XJ1iLFHdd+WFlXbLEsjCISFdEHqphrfhMT1BtfakCNuDwLG +v0YSv22yzoIkfR/+C7AOnT6v+p2gW+57M8zJtbmHH2V6voKyCWGgWnX5UboLKvAimIK2iXn2Xej8 +GC14tnsuwEN4BTQG4hpj9Xzwe0XgyEM4Vquu6BOdkLHekA6WioR96XmP1lyWyp0QUehRU+4eMibj +rGcMngVBdq0v6jzKRvIsN0IdN9KpgigZlbR57DDRigFbaoUAxDOKxoa2DET7x20VgVhneoqWB5qY +U/bmyaIBIweuHqrNcCWktni0DaUlrLL+aYRY312KCpKaVdt12whonecWHBwzBC5yz3x2Q6I6exbK +84KXiijgTi0RKan39QWKDO9yfEIphWkP4JIWwLXLeGQcF5K1pqD8FqWxyDmN6qH6O21SejBBZcS3 +NXSr6B/myJlztA82moGqyS+QTu/zitbCo5JBvY3mzr9Nb2K0LxB0U0hiAgzq01OlOZGYRmYF6meQ +/BeT6190mlzFhpzTdAL7MWGmlobfvRScSQf6P2hmckaDBhKnih5XVDLq1EjOukU8crI4QNxyni/p +1IH8O13bg/T56+vbmzl7u2WIqYSYU/SxC+6kVxpKu9FeIza9lUE/UGWipZXSvPPt4RpWoC/h0pNb +UDGEQXcoD0JuxybEQaOd0gT1TQlXsLn4UpQ+iy6pAzbyNB0YQl2v6qlqyNzNSD5HQonPb8pRR+7S +1Pd1S8sedlkUbVk36cN7S38DqbP7tsPGRetcqy4WuDgSNK5G4RTsehl4mg+kNiAnWFeM2oN8un69 ++4o0iFyRLYFsfUicZy2giws+AKAZ+fVRmjyVM+Pj48NWe2GF2Yal4hYBexySb398PTQG026IpGAA +VFZ7Zqt6iwwLIcduQpajW/lgl+650QqPiJSVf/zG+fHBycnSI0AASy08NU15SV7FDD9UQXoSivqU +1X2TiW9gYAIdnMgmkL6R9Wk04sflGwJ9vFehhoecE/VfbZE0yfvB5O6dSjTkqU/OQHgPFikD2uT+ +DxlPtWPi/gY5q2UWZmywB8EsnBq0JAyLdmhcD6MnxmgZOJ90RvFjV5fInm3BSTdjIgvPm7LBxgNb +OZhLmAjwASNvcUmFLNd603was7kujL7BQGNkbI5GVAt7Uw/B0OyWSe51fSo4IwGWM7azZ+CvLgj1 +Kzebpd9LOtmPgYoOv2mh/+SJ/GfKnROR0p0zWk+howtM5SteL9JQkvxGz4ZuEZoRYMzlrxe0Ctvf +wKc7TGZq7fkS8DwSZcOMUhEyltvVvgV9um7oQ99Tg4QyU6bGpbrlhMSPIU/2KpMEG4Y2y1SUUckL +/aZZTkMgshLSiMUN1dnNn4nBMmBQPCirvlXQ6efQZYDNqNr3ct6UWh3QG9ML6IgLBPvVr18LCZoX +slmFes1ipfY+B0cIQ6Yt0VssI95ohrJg5ocHqWm0WJtyGVKVE1NJLq/VH/f1CvQ3Hkw2bmhScrsH +rW+S7V6ubM+Tm9XvS6i/2wc3NXKkMp333PeaOCHh+m9ZhJUvud7pp/Fiy+63oMqs2rZUuf+RSDxc +PEH92WNxbNCZ3NrP2tpEMqwb9hldcg7RusEJLlHvTEDVdDcyGZRVMseNxhJ8uIw6sfWW63z/BMXw +f6/eD4Kjm1mXbvu4ze3gTLS4mDXohiJT4ET+ZI/hDMwg8QKVQ1gnjjkSSox2vgQDti5ZrtY7XUAK +kBjF3EZgQ3xsaPFURBMMziogRVp3ljPtvezB4JVrN+TyODEBkcT18ukGCSDnIRGhBw5/P8izSs0z +daSXVJBr5//QA7xnzZIORYSmyf0m63NN3fbMuWudEb8PUB99yu9iDa/e76NtHJ6NV9X868gsf7uV +HLo4PgbP/AmTkdbZTo+yW+NkH83TpdcrezAdZtHGAa5KXQzn9fRfIKKuUQ1lB1YGKt22btb52zca +KEZVzeRFRiOwM/6UPVqtwJoM08zbw00DevHYnJMIfVsgEs5TDcpJ7zCgMZhEPUReex5Ksk4jQbFW +/oWjEHREYgQP7BhuXQYSsJHg7MWj0VJVjGi1Y5vY0z/SImyCZ3IRHiTNoeLeCVpQk0IS1jkiB448 +k/FTwzshJKDlUuguHFd2/PPZBTdb+fUNSj4WKH7zZj0Yyssr0JF+GY5YITpPR4SBCnSEUp3hy51I +MGqfe/zc1AypaIBS+WPJvLi/WI0zwWHBqay/7EsAvsfDJ0Vt2XDv56YFZIIwLbOrBdgB7S4qnTqX +bgufRk3FOkNFPRgSsX9K9Z4Yg82vMuCgHG03SCn+r3D1vEwhl0QTjKkw0dmdEbk2x/4V7mmFOuRp +Gx2ONpF8z+cLIdjSuVFThxr7ohp0vtDM1yOIoCnVkaiu7d8GAgxAe5HLupi1gmLJXp/qTrOoz/Sp +yinO81VE0jBcQsWUOUQ4G3OZuwxPT1XS958W2n/fi+530iO3WJoJtNzvMTsKFgbe6pV6KZ5NQs1i +pqNPgqtoMxUvTdgAAHMNwCh3bmDXJtI3ZV2HytWQFevspGleK/BOtCTkpGIO/oaXM8BclFS9+LHj +bfpgd6eJ4xXUc6nmkGcnsT/GTGeUE/K2xZ5lc/sbFwDuKUBzo/PVbM2Aa3VpN2oq1ePZNyQy3Jxu +FwXkMv1zDa7lqUkPgM3KI7rzCpJBtItmCpcE0WQvtuztq9P4unK7/2z8jMrAH2Rj9d8RDIvlQikK +x+iOllsByankGIXZiJEjaVTa63vshLuVOCQqnUdAKcB+njvC/MPRdq8R9kF4MHk0WUWiPnFONb9U +7F2KvH/l1xWCDfTKQ3/O/gopKTZSnvkOM+7ONw/C/m5ej1xYy0Xu8f4k0gShh0/Db7ewtELp5Pgy +x5EJW3wQhBiA+f7+4uej354i6FjztIZyU+vp6LlsSNgwizIHDtxC6lpk/TZbGxGqm66DT3GGdIIQ +fvHBRtPiAsQ5+cgFMqnWMULtl7C/hvgbRVQ3Hxi5cUP2piScxtvOZJ5lkCpPUA9ISbRTvdlu/4Ji +Pag2u25xVZ2eD006inbb1+ZUaE2DEhtZJfs9dNBbScHnVnQusYxhMO7Rh33wUtZBoaXTYb3+30Iy +Wp1Y26At/l8ppQlP+FxM/lhlmol5bAbde+4EfvdF9n3N8DancWz7mmsLenHUB1UN/G7zAKuozg9I +ewDF77Lb5GEZ+b57gqLav8C6Lzt43+QIasKTdzUwSPcWFXj9szg9WzLlVxdhdsCu+JDCVHq6Lk26 +cdENjEyvxvaIUivQlKAquEA30LZt+XpOFfuszxRrXtpcG8+0O63m49l8ud50MnLfdilKaToAkSZ7 +U3uOj4UfpmNNG5ytNiYeztGurMyBlAyqhDGF2BbzU40wd61Tge44h3UwmhhZGvp+xWWbop1glpPb +kAnsw/d3Q30lyFcd2lDjyBWEI7N7dXgzTzfP6pT/JfsnILb1NHPVNTB2RegH7M22WXt1B8pLkbD6 +IOI8md+k+JsfgCNXC/jssN5nF89j/6OP0PawcNlkRqwk84DCKGgtTGZ8TduTlN8NRILMYbzYMh42 +wEJrWTNNcGqKVsYA1l9ck67CfX3ErLFwhIlIn45rAYEIjEnP4taA0fefnF14SFz1D6n9oRY/IGGh +/eZeXOFBH0XpsKVDN/SA8sfRZy3e9NQS+WUuK1V4HSMZX7XSvL9WWJQ2RyuuYbCpUByzzZ7PQ7lU +E5vlxXPIidDCmR86WUeVfe9xfa+cfDqMV3mr68xPesXE2eIArNMEISLoUV1W5EoPDrH1rA8N99al +aG3Qwv2ZOusdv1Oe8knL1ReW/vKM4VT4zogbk5DlJEQkQiXWcCOVAjPDFxVFRgu6H4qHJVi01OuG +nFq0AaCfEUOP1JRYq2+yGXDIXxy1lHdrfPvSQ/SEX/poNNLKENTHeLO7M/iARm4VsFbnvoVd0+37 +QiuQDOX79wuk8MpDpatJNX0J2FJS3vFMAGQOcgfR5u0qKhwkp4QxcaHhuJO1ZWBgA9eRwM3WbWw2 +3RaEJtwO4xZyurqZxbbShj4XTcB0rcG13LD7zoQYPPLBRCxkmbcgEwXNexl/DuQDgK+bjb3vsvro +GYRLVI3JUNdb/CbbEQMtxj3/rnL/qk9bZl0wFdBfsngafCItQg/eaCzV+3eHIGwPqQqrS1Vvn/se +ZWaLhSFi+i4sZ+2nBUPJKftxdOHwF/TqCyWMeFkYhnfknll/vxfw948TH3OHqQRQIw35fo5HYuGO +2+QyMOUdpbc4BWv/CaDMigN8mJQB8G5ZM4OnGf/LFKfrtV3xZlV1BOLH8TKF2KqRP5M0NWrL+Z9Y +JcHoWzEHJxYaXah+OEZJ6rHotvcpt97kWW5nRBvoAROVriHo2OnWlQ784+tZISQUF70JzHhfSRpX +7hE3kMYXyqUu92sDpO06B43HjHtF9skplhDxZSVYKDQtrbho/vmb6ndpsJxe2SMZXqlnZj6EijO0 +zBlIskBQg16eh3gNUZnG9FmjN1fvnY5QPKB9sBnkPS4nrm+yD2RK5cCiYOw9MSIRaH8rx2JHJgy5 +MEzjUyVon5MOR7EDdC4gleqpY+KzHNP5zSfJglgY6E8jXFt/vAAkXKeTzdSyRaHt7hkqSgjwSFMp +JoRNJPX6V+VLR2JNInpdZX/cwkm2zCRZRQXTp9h01Bjml24X8XENZDtLnDEBC15Nv/MmcB/Mcas3 +MoTBxbC7CADcYkCSMnbiI30kvdCWI4pFtXGBhBD6WIZOvF/otBfmTNspWQQOyDFnlICNiemsxp0i +ovvu9DTblW4/xwI1J/uyLR2wO29EOG3lMGKnF7v4dKuax6yndM2fZedYa3F2ai4cH39coezmOKy1 +cvYZGrW1ww5Jk4qdEUU92xzMEL54R4D8NAX7PfLzjPOsERqYZbKQuKhSdinQXbZbB43hEhslWP3l +yRoWL8aO6Qtpr5BUPRXwJnsopJnAijTB7kYYDU0SCSDfrZYUzZty7epSkJKfnDM0LJxylQZSH8N9 +7EBFkcvGv7Z5F9gyzN+sl63mPkmIL1HPbsE5cffLEXskL5ZuLGJMTq7jPiX+A1SlZJN2yRXIKOF6 +4tsBkL5DgSLzrsaqAJGAttQlcLzTGig/NeBCY/08yHMRJvtIrZ0gxHjsiBxA6EqCmrgSg3E6VCsf +Jn5cnDDc8PH4gdOQZrohAwPI3a7+9VAFMDdECSffOhAEyV5WJ+816O06cBOYIk6lIVoKCVBBGu6a +oZPlPL3jj2xi2Bz5vs0G7W3zkgf3Y+P96Y7SANBxHf8dK6fD8fPsoIEkINPg9zEbLGMR5/yKWkm8 +/afSKILCRUhf2I9lmXzbqWucSjLccUpA3WDaFIbEgMv2Ts/xCuTjYN9Ct+PvHp5EoBGkk1ZJ2VjW +E6jK7AwrCOnc2Daxxh8tzZJGIyPscaSA2qDyQ0xrzgvd6mwlyJe61kQRrorda/H4WpOLJBuCqCh7 +PAqPsF4HUrjmLtTz7wvWfEW+NZs/UesEQuDUSs/XvDlNpKHS8fjrN6OECEp1FU41Ppaa0newxC0H +JWpaEi7h+RxG5spnGfGJccpktfeBPMLoUnG6C2xKNmXP5BDlowbfwD/WKpW3gY827ayMJd5DyCjk +8+dz9d4hv9OpzlhZkLXS0crOfeVEeGLfQ43/vUw7hIPi9hWrAm5vicDjNqKmQLU/NMum3HILMkdJ +lq0zbtLTluPEz/HhK4CWyASqrQXhYpYhxPPV7T0OqnZIEl36s5i2KkhyUTiVNfDH2YNiqJC/Th/n +2m+Ko4E04sY+n1sh+QmS+kA/AfR82+T5Hw8omtTiIwDHHTfsdD99wq2TR3mMY9pV+gfP4eYxwJzA +YIVRMleuLQobsNjGED8x0hD8wBWmYDveqOCURlGyA6td2Z3yDCo7kZzTL1TZBRvVi4Dx3pG19DEf +bUwZ6nKX/S/b0BJq1jY9fDdbEf1Az9LmMICuK6NybX7u5YGYWT7xe+EEnW9zUc++7K/4ewvcRRC5 +IBppnESJb+WJ/mPeHftuXxScP32qkSxbXIScjfZl98+lp7qxFCeT0/NAY0FTNpe8jaDYh1BA79Xk +Wq3GdlmkLzdat0jhWfpLovFHTPHsj8kReHlnAjmK9xm/YWoFca7xunn00oIOilb4EFX4bGH5j3fb +9VODO3cWORwuab4Urt1ktWGuigVG4Xk4V7zLfGV4RunWXK3ajudg0ym0Cs20eKOcJVZR7iSzeuB8 +h8UwltihnhbZmQcBl+dk5U9ZcAccCrCyAGkOB+eNp8hv5sPZPBf/mOoQiES0rHz8YQBtGw4udnms +qfXp6XTLRuAnN42OLGp9sKPt0ASyZAzjajzU38agnmOtclsysIUoxtCkYjVM1+6suzxvoZ2bD4AI +L6zWHUbMNKBsgAZk31YbnY2SiYn1n1tnp1OTpLWXZvobKaltVpokZLlCvHeErstIKZIBPT3DPlc7 +aduDoB2ptsMvKDlUCduQadhfWpmTSpTkPiGI7vtIULJ0EGPnUUXM6cwgU4HiKzjpwjSQvNX8cCuK +PgTf10oFfG4To0qBR1WrnpfD6ehJlchso4EAzzuTRSiXRKvcHKp+ZTs+G++ASP0CK4s4TNlyPKKf +MXON1p214R69y4Sxg4RcftH8ZZAycD7xKg8vREKA5BPgLY8mlP4//GM/7F29b4P563oG+9rtve4t +XzsmzbXV8RiqzNBO7O1+FfyJeYXyEucEY3wRkwHWuEoy57b4sjleaIQv4gls23LWS8uvAS6WsO6T +iCYNSZKs2sC8jlzA4/w2ltNqO3W7oW7JGXebO+Z+zyEOouHsx3CSNwXgddEMU6WYPbDv9S79P0F6 +eYciIYMpfshpxPbDxXx8rL1V58U2tjH4P2KO3/tveCNfscrV5SVQ0+t1VXgcCrYY5TNNWkno8g2c +9HIN/VTX8Zfhzc6mx9nRqNgRatSKP7FEk5QpW6Rqzwyp/NW9/Fs5pAfgMiUQdOEsF3+NuH+aqikU +Hp2Yh270XT/wGO5SwnzwYslzBSwI3tGhDP9XJ+NTj7U1wToArMqqEgRS7rbb4GvB2bJZ3SA6oib0 +9vzwtBG/BIPUCIDhAKk5Frxa4mkdLxiW3OZ2flQFg4t6IsyitBJBcPq0PuWy26zlTsLgqooixT2x +gskaVjzDdymih6kaZTngQWQxn2/VN3+I9zlNytt0aOhzjODYIThfNJXDRC2DpN63Tt4ksaUKEUxz +h7mODp8HtTvqhc1GKnngnWIH09tm2IDH49ETo18gpPuUn4MMARCpRnIhxNT/1cAtYUNtmK9WDzxB +oIPCyfKpBdVEKFRbv+Uf014yhCdDmRfU63Zu4CIB6TmNVUbkrPhcrb89oNBtEugBxdC4Yn+Zizhm +UTZQzusU9peRhD3OrUwGKoV9UxLliU5Clbh2YZlwco4Y/bZhoCG7PbB1qE5QooWY2oywqtwcISj2 +Jx/KCNLKYDbWtLR2V8VH29QwNWKOkQ1SJhoDWyQTPMsNXbsujaNX9iUuCBlWeJzgyj+h8Orvk9fN +nppio5GqbpBSoLsGWmajKTqiZn/bHsB4igwC3WZrmgY2Iy7I6g9y0vJ4i4SI7+cgOTYqLzm4X0Mv +lMaODRJkfzJzPEHfNERhCOTdAPlhWi+VsfGx94qe/jdQlxwjtOICkOMJDTy5FVg60FFN8QyKbEwK +heTnphnZ/Vu+vGkFMTUIRqA1dkmurcj/bkoTdacENj92nbtQ5Dd5NBrQ5ljlj1vbykG60NANvzTf +kMmHSNTlLQ3CDzi1YkLTejWKuMiYUp4OWlcOB+C0VS1Q+xa7bVS+kWK3A8p7bG7Q8uioGJHUlsLG +Z8hGf1on8gUstHzLCobAa4VdmXTxmpG58xDEkbab1EXWGb2SDxcm/hTLlGxR6ox+4efH/S/y6kEg +WJEfZ8BwGYMnEfOdIOfi+Vjj+rlJ33V5EqnBsL+wZkvFqWoUjxE39qGm47kVCRqlZvmn0WTrji69 +KZ63+3V9kFIVev+UGzfMNbqcr+NQZTV2l5qFRceOpetrGXcPVlfgYfBRuBtMlcpws3Yhl9m5vKhH +FcW0ap2e36Q3/Vvrftenowrwjjc7r4N/PAuLHGnlD5wJuJyGHCaqbtWmbR2LQHDZP2zRp8+0nsdj +ghqT71w7LkIu0w1vHDxOIDv7ornLWbpkNuWkb83J6mfJ8YHoL8h8dCZKsBjDruM+DxbRGg97K7Gj +2qPRbnL++Y5lIauqwz/5d7UpZkPMwkwpc/cWB1PoalRtGwin2YWsFW1rBFVbbF+AroWNRK/klU7r +9R4J7TyxtohT0qthGmYJAOYpCrnwYyp10agHUzcL3/Bg818PluPnEdem97qoEmlyJ2tXnv0lXdLv +aFpe28Vgc1Uio2rGluTKems1Qb7Xpwxb6gkQfzvc4WCtRkVg4VGtPuj3tbAJVoZYTmRmTP73rQNs +mbx2WwlJqlWH39yG8TdgMrcVw2Xsus/dxlbuTUa53oI+v5MTLuoo99e90z6ozO8zO0Bs2dw2+3fL +VqrXBIh7X+yoBjPJWtW3dQKPiTHRDpDL1NHpMVtG/nuArFEctcVE+zeooTerLCgZPHIB7dMrbqZR +qDleB+vbO8JArH+v70y83uj8MeAo2jcZTq8qrP321hmChFrlVHzlKwcExdUEYjlTkK5e4DBG7RH1 +jVDdTbWeT/hP9hgFZiAXEUxN752UvMZ6BTejlgaLNdf1B0KrLHxiAwHHoNLMWvRs8de1S4BmBJVc +fWuHRd0ge3QJL9LRtdFpy2VvSkn0HeZl7cyVlZfj34keir5XoC9PfFiMV+gcWoZqMOwsUK+qHKqk +vWmLWpU8jJWZe8XEF8jkuYDmkOTynFCzo6WmEli4b5AzyfJgHodN1ckVJqAv0ZF9l51liUjDsMjT +O6LtAVwvVtBEf6vLyXytnjzzM40U9ZJwi0wvosr09S4hpXnjJ4mQkmS1rq8IySvImQB/ZmmPouSz +Z+nHJepcYfLvWoYH346R8QgHPvAUJlu9dCyqqPbUL4YD//NpjX7YSx4PL2Q/J9obd/AtGVMiSc/J +edpD70BxBh4aYsELRsfr2axzIoHjGjw+I5q+6Yfq1IYzyOLFhyqfQqECTTA1WIssbfwRPJvHP+vo +Y1a7pKqrGA+p6jCVZ7jRhOqaIXgYXzFJJmcLxKtmDPLzkOGTz54krnGxbG6SPL0bEns/0ZbprIxU +M0KIaRmk3D4chsgLdVL+3Iz/FANU93W+TwNmcsZd8WPXhhJfXBYgqZwzMOQI9m6FUg14Hp4kZfk3 +C7cmXeIU3AisIKlWtDa7OOMAwz5NS4/jXjFyUVjp/p9v6omgqjLXwFyyyhToYjeq//WplFvZV+P7 +G1Y9/CIHm3GnJGqYgDNdnjEyZuhgZUm9uYGscgiSvcw3FGM+qFkO1KSKEMw/odnbA/0d0XYPVNjD +vkHJQvsHVzv6uWmSeQGWsyk0nl8Xd+dlbuFV804sCLhp83kHy8cg8SYNqDViXaEOJVOG3S5iUulL +2p7dQ07b8526pmHeKptU5khpXy5llIifc+PtSzY3psxmViSwDSX7MrHxZ3ZZk8v0XMXDCUz7Xpdm +Pe12XV2maozCViw8QAxw++Rm25n9HuzprpB3YQddicu3lHkFSh6MN6Tz/S6VBBkzFbCUrul0U/bT +PwkDhQDRfK9NeWgAHXS+vmMgF/f+yLNJixHV9KKsHVyGOdty0nLCI7FZ8ja+H7/a0rP9sJYhMotB +hairwCekOOksllBLsbr1F0T98nIdIZCnvDy9v3d9iHwpiJrv+Co6POH27StMUKBuHpHqpVdjMExs +TvEgg9JoAI0M0pniktmb7dbsbmbhQH8k4DG08966Ue2ub9524rsNdvqvp6/qm464ny7vOsBb1PD7 +14ECjCbuTfB3lWBKclMN6rNY/Zg0gRmM+JRUlocf8gjiREhgTqiJfoOEd+u0Aa8DRSa6lwmJSUK3 +tEU10cuEou+iBm5haKSIfpg3x9VCu+weBRUiMknv3eACBXnbpV/D3wferM0fd/4UDgLvj5LUxxgs +fEjz2vooO23JzMX3408YHDI18ML3ncElylcf+4lIZ0Xc+xKB2LyUuGUY/lMPcE0yj0Yw9XTHIXOg +pSkOT2vh9ymYeDBvW4YaXr4cXrtH6iec+0s9yGlJyet1m2l7SXMQeU19RM2hQTJ9Psqy+fCOEGQ4 +EsyQHGfDMNL1Zbl9YbAIUiWGFxftZ86pw9x+9+bzptp0BGhuaKt1Phe9gSMOhp/exlHoIMlBq67E +4yzhbpDF7VQ2AZLNTdkzQzUjmaCeN00zhlt45mHh5JaefAEFmw8w78yrTM4YTslm9jxWYppGu3ek +FshXQJm3r0molhPjFs8jYzWLUeXwYgq4hVQ7hj8hagWIjC0VfZKYh6a80eQOESyLjfViEZspFD+P +i6Ke+4bAhjVpnJOInxmjXjrZmUAW/PK+nVeisH0W/z+chk78y7rFzEzmeKtFLtUeOjhAWHacYI1L +/j8K63v+o75DYa+LPM3Q53pCMS4ZTT3nNDGUokvuA2iTuJlKL4klMXf2J3oRNhQM6SY+Fx5SUXZN +Vv0ESDq2MLnlaEnUX9jqfh7p66Cwuq8e3y3gldakv2kyWbc+fGGoxPq4BIqo32Jx3EtnwOiUnLi2 +tIbJywsLO0jdeMnzHVp186VPfwQ1gAonHLtfid1uMjMR5wl+nQ5g7DNm5QWZpDT4VZV3nOLkkAnJ +hryezRCxuXjHHBDWhcF1V1QgnP9Vs7gB+QioGUitfFYgYMZjErmae/x5+GfSieUUFRxFI67+1h+p +wGGnXRDMNHs6Nyn29hKY3cTZteEVL3TtWzHTTEFsWs46GeHPOV6ZnLJ7JkRFocGhLdUAbQPLyWso +MIgMsLI9nEInXwdVM+oPIRJFxfpqHrwpsnv2SyMe1qiCFrC4NqyeYsoRD12T2X+Otd99ekEGPLi5 +2bru59avM7I6eheO+XA2SOjnZfdXCaXf/M5o1nZRGNr14dKb8M5nH1QeEU9RGtIqPeTcBPMm0aaD +MNOFqVBCXypeIAICG/fg4fAtcZiPnoZzy7tPrX1deUPIQ8KJRpq6/qptGKD/de+qe2XP2xFrOGQ+ +NpACf8X/H/K9sI68RU22LCAWlCkEaAeAl6QOwAGv560QbOz+UNUHjCddV6+cBfhHzIb0Ml+PWJao +p9dhJmph+tMszPWVHbVQWCFSQpFzmsrA/LXB5XAGxb5BTPp+R7a3SnlEQuII2gzp2mtW3nFtoP5x +lnEXGekA3jMGQxxXFt3QHhjq/NUeoCF6O4da1gDL3OFr5dSYPh1Lllf0mLLSyWcrOO7AlZsFluRD +R/ustl51PHM8AGhzQ2Y1sHioYin6Rlj9+AFaxh40HBOwrq2iUaiPfvRz3ZKN9ssc4WEgHZDDt5Tn +XNaPqlgNCZL+L2Mjhh9QKYXkJeyOBTLNJcyvNr/9C1PdSD6sdYo2K150oIkJADcYcuBJ4ONgngJp +TcXUL8BRiDGKLEv0O4FchKO1t+ZsuMVkIT67ycMxMCgFPPnQktATtQWgf7d7ta+oNzGjD7aeZBiA ++JbvZXzi5oRDbM7iczHnbKSOXDa4sjGAhrieAENd3fEScgwX5MWRix2uFhtkpufRY00lq3geq0pV +OiutokazivxO3MrouTq/5T8+p4yziYMgImlsRc6BIBSNjTGnfy1SGy04MiVsxryeiCVWJSPh3DFF +PJcB2SahkN8pyZ0164Ugvw6cKH72O6wkw8p8rlc3mWhTF4GlwnZI3ybljEYZD+vIJH0zgqN3Ajmp +HFfQ3ASzNCsnaCOu3mB7fk36fOZ96AaYXIORzAhGY9/RlB6u7MWTIvsD845MFntpd2lX5IQ20Ee1 +4YYumiWPuG/dx7QWbCKopfDQi/Zpn5ohh5RzhoFU9dg9onkuAlXHLlAY+pmRoqM062JGKnA5182h +4cYj0iQmzt7NYIb5lOQJUQ/8ePGhYFVFzSrzGcgNCAPKxG7DN4kUAjV3a8AyUKj3DjfjoHPnnbsw +jETyAulp9uzfSKuQaIGbTHoB2M+SmoDGqLgIXr83+78VuDt6/EqPgtlu5AJUPJ/ZLZ9JU/eLk7Gj +mS2sm8WThoER+iGAfP5IM+3Mo1YmICnVvirN0C6h68E6Z4xyVv3P3z4bIKHi6FeWUYHTkdfqqSIn +taLa7a1BnghPJol5kWLgHMnJwH7HImW8PXdxFQ+7tBMgY6o0IkylmVDhUPa4AXPF72cnbZs708tk +VjwSxmvi/6/5b43zpJHfKSXsiajfBqkTs2fPRF3lxx7SNtV6162Iwcqc/ddULc3SP2dqIAxpruuc +L5oUwIzBHCJ8kLONpaPe8kA/timg+5+zq3IlYORDBSD0EZ8WDIF5wAKrSlaCRE4JYrGSLK6wpOCI +4Y1H+O7Q95T9Mh626oBpTVItRxPm0Pa9Gz6j0O0H846J6A2unX8n0uqJABfGMhsFJ7krkBp8SDGJ +GZE4SgVx0GaCxvfuyocNp6jPpQNcviQ7UQYL28bUfbuSlezv1oCS/oqYruvGftLfS2vAqOYu8jpm +FAo6oh0bvaOnkIrol2LT/4y6wBqbQ9YUEpUR5yRhpC9L2Wk7put0hlODZt4MrAWFBwKgHkEDmGcT +IWBnx8I629+8V17umDMZ2wpyy2Dj3Z79JJ7ABxhleKaNCIgR6XHjxRoY8gBXSRDdeqK+Q/9vWg7b +C8FL/Y/YhwuRn5Q+UK4R/+Zr4wgBXiH7/Ediuavb8MzYBxFq7sQNeBVZgy5Mjzh+mvxkd3A1rmjJ +9bOKNebUnmCmxKKyz1ACwzpAnl0ouJRQmo061xHbKtjSNwVru7YC/R7QJPuzknzOYPSeiHZ4LfYL +gskQ/F95QHNhmuM7Uxy2on3OdQeZSqR0cl5hpwafEpXG//aJQ5FBL21kgdqf1+O6kKPNEapN+VnX +GPqddaPQJcJfBztLEnlK1G1Gyj30mjgBJ8ssw6vcgPF2xf4E+gFcKumW0XeUeoZzluGA5XmDux4v +wvdZico0vKrTqboPxCitpWaHejwb8N7wZrfwz9vNe6NKBXb+J5FhTZt9SJW3gCP8G6chfgH+itJ7 +C9kwJZN5J0YvsaBQOJ7Jpqo/gUT/SSoLneGuDWdH72KvTdZPt7Z3xO+lvaQoIXxP32lGOqsY+2Xo +TB0+lyUFx2ub+22f2V3wZqwwktN5wShC6qkX2G2qoRGwrerjuBNHB0PPEt/HtPGtVuA/pxPa6A3C +OSSJDpVs4MrYkeHYDQY2kA1tqy7ieoBauJhKPuCtME5i5N41w9io/LXNGJMa8doIP1PHXb7PBLXk +xgtEymaOrE7fCYiFaS1ykPsQ6iM91TSySVZlfdWL5M+nIU2FNF+861tvTVmfe/EMV6E7XgawhIMW +q5Z9Py/NAqM7qrHzYsA56yhmdZu0JNdm8kLkhrytWE0pRzDtxmAKIsD9QhYALDj9oDpdvOX0ceDz +xLCdjaN3swXnD1VDr0QPlb+KPurxXNl20kYOLYgpT6OMZ9dFw6r8Fa/EL8/RqEootX8Yqeh5Dg47 +zD+FMBDtU7WxhVR9Oxl8DC9hx2nf3Au0/FzpgoAqOpmerPKUkjbSPi7mOMLfclZXSe9dEEoVN9C2 +ZlgHIpX/z8CNNAczkxaK8r6abW9uHz2jqHb6XbZRZriVXjJalSc6dKLMgOmKDSy3n6lBUbjWPs0e +3SwlRXtFRHvAsFN7VTixR+W47iniphqZTohnzOXE/brF44wajTi3xDPuBN9lRXkkx9TeB0CrxGJp +DzRZknekMnzpveiQsTHA6ryfmMi6AbtUNgldxYxYy+x//2EtuA6G9BLx87BsEhtlGLiEoP8QaRPl +ttDUm9Fwk5s450kI9lokq1KCyqTROD4jDmNYdUMdgn5MLe4vrnPdgAqii1Cb77N10Fhqlp9sucPN +FBsHfCFzwQmLgrzp7g2I8HGelZOjbuIRi0OFhaEab8mkR3DzT+dFzMMelCtwKqnSP/wi6y3K74N5 +i9R1RDYKqt8TCjVsIoHbUYfFx/EOR2ARm+lFw83+h8SA2oGS2XGhPueunPEVuqEvT2id8PJT29Wu +37mqYPeWHQ/uMe6jgkVp+zdVhroASiqa0EniktjMKNUw0Jm2eYeyHHS12TBoMSg8/BdrIylKIJyN +WSGyp+IFQ7+RxaH3ospeDgxuMekcdZ3b1fNC9AoYzDZGm/dnFa1Kv6PfJHoPLlnTRGl8zFGIA+1I +BSpufLuTHQK0QvgcehTNGNH412FI7JduuvJ6dr996TeueBQkCb4d4zbYi+fT8YA4KomUpihzTdb1 +0jCarpV5KWCW+2zAlK/at55Lzm8LOM6kyZRM+/DJDzSpSlmtbGjoXNn7pO72o/h1SCiVtMLMC6Yq +WGGm3LxXixK5PfhyfsoKfnsZV7HoO0SWWEmEWkiiz52OE26PpncaX801glQb3viPVJWjM+ObZTAY +iHhYB9LsFjBxHiLddbW3X+clS8+0Isz2kv1LvcP7r/XTHxCT4JUQwLNslASD7nLurknWeOw+nfYF +r5TqdiKUvqUdusYtQ+Xv3TL0d0kLAb7Zd53X9fFMYCNsaHybVB8D/5zLUcGZwU7yuXNk3vinP5WJ +STGbSxzbxlb63uvT6VwgUnpgQv4Ek3ZjPj0lVMgIOy+chzqjpIvb8KDOz+9Q8y9OpbePreeqlkX+ +w7zw/yVMmgI2X9AREO2qyKindRpfQ9AyeCoE/9bhypDUKljfsImRGxX7zkC7NzzrdB6Wh24qCoh8 +0KQVMicyROIdxaTIZSulwZYjV7Vf6aFhLYqi5jMkh8Bc3tzRVQAxGbY7dPqz/yU0pxq4pkRbj5q+ +p2oYztGzWVwEVvITniUwHnLbk5s78HB0F2EWF9fX23FFk4C83hNPt0GGACslsBr/hBNkzIeWGNuU +nAeT759Vc5P685T6NpxQlU67bSHLoVgpv1r3nqjaC6g1AwvF0iij/LZRQ8Pw+LDzNRuWXwYTUZLv +G1UNTFsQwHwPaNjyanuQF+G8qci0/3Wq999y9fvc7auLAGlc9OHE8I/cHXn+HDPnim4NallUCbBR +Vs7SabAIi2ScF4uJsjm3Fc6gn9suQmT6kIjbsyrIH3Y5obZP32SBPUmbOf0K8o/2SIzK/pEdchYU +2tzeHGdm9hqidCNyGLp+nj4VwOZJTO9pyPyns/HcRs9TtIAGmPIGWQCq78fWygeOJxbnbqqXdg5B +RPbgPQAFalklS6JdFi9vvfYSJ1PqvyA7rjM9qt/mq/T1E9Vm4XbhSeFMfPbOV01Nayt4yDXfmRb2 +bj3wX3yKgHi0aTdlpp+DXhFIQim2nyhUrPJyCRS7JsS8yFF2BQIch7QLHY9m+C1GuxNVhyhwh+K3 +hrtho1qGMeSz/eO+TmzaV/F2fOYmFy041BfIdSBPV1F0V71oIt2spRtnVfl7bDT71GSVOJVgaT2G +MAIq59bvT9DwO0C06rd1V00V/s2H1uFvQGlkyjoNB1j3LAFWP+NJTyMHJ3qB3uMB6RjswEywobCC +iot9NyNiEgRnvtSsG2vdUNgLkGUT2lQ8kMUjrLKjOhUqc2DtJxZjwxcnJMbMgbbXfK5iSDzVdzDQ +GvLbPtqW+tCQHkh/OJnPcl2/cFkG0FvlIySH8A5PJ7b0hTf+BVYhLe8ML5BRS35/OuLLUkXCF8ti +/lc1T4rX1c5eum3jF7c3AgPqYCfVpWIb0DQdTqlld7Ob2o0EoLbRbdtIU0cfS8N46eOLTNmhbpFD +Woi0CBXVHM3Mo2RziDFK+QYUM/g/ob04lY7I33bGKib+0Jr2X2uKFCLFz9871WvhtM07Y6BBeS3O +AzP/xGvrFKZOtx+VYTiqS0WxUc+osTwve5fYO+fjezsOBKCmnwmMHUVT3CJ2l4RBz4mzQyYBDGd3 +8PZN98fvps4YBWnJG0SICRs6syI+ygajnrFwsA1x9R69tW+ku9Bq3lDAu8rqj/yIl50Alrjz5h6R +5sQQm3SFEt071Fd3KBgZ/Z0kQNT9lF3/YT9iRF9df6P9WR1Ec9ywJer1mBp3UgJNwdPN30+sJAzH +HOAqDOJ2Q7HlvBtKmDPj4rEBzupGH6lrgHfgZP52G3uKM1kEWt+kYnPG0yqz7i8xdDDclYKKszpv +Kn7Q1zwpdbtaoMY6obm1ZxGkkAMd/gdvm61lHHJnUVu2Er9uyktFzp5BtaqTp1wQJ1lYECxFJTCM +GEYnysDa6lTqPlHDblEDX/nWxuAS1gVOCZdwcGg63a99q6JBw9YBP251cB/3FUBRIz/lUutQPIC9 +5red/DshvyRrWeHOY8OAr0HGTHzbcnXXbzQ+VdRhVp5YaAczuxlZwrKnwqCm8enLXtCxRpMFI3dJ +/tNp16b5p82iJllrv3FBY8nLwU8FbDygLelYDCb39G0kX6Q7B0zU8CRNKn0+mMTtQLBytO6JLPb+ +yYSdprvQ33HJvKook0qJV0yQ7RsfbF7nZVAWlCYwd8A6zjE+FsFobfR5VLv/xdKQ0LrCnk4q7/Tr +uLI96BOKxRK+LtgOcWnbztp6GvyQagBdR4HW4FUuX1KxHaoJYml3LLGeGL/PqB0IQ04cMhNZSYgU +iQJr/YBrR3hNGdogqbqbyB17fw7yy4sOub6HLP8r7Q665ut/R+lYHyuDIBNIqsyDBI8T9n9SuRVP +BTKm6fL5t0NtarlZMSraSN0wvUH6tnzgfJAL34c2VZqzNTVyw7DlEARKME/aXcb2RZDqdfvjCgDo +ObZ7YB8EHjhTagbAR8DMTQa2AdI6zIN8j57eHB/TF8sQEyJ0H4ebqeeGTxF6JNaWkg7Q72Mt5Dfg +QO2rbT1Qa9wKVnu/+1GPkJBonZJiKetTXSLZ5jU+xaTt3Zul4YaBY0Ab988sI1MDUO2YZg133UUI +y5lJYhdduxBMOT9F9X7B4RFZUFy+Dvw78AY72zvvA1H3OT+cV4s6OULunpNZV4+UMfUYF2AjExmp +yDEC1zLQqPpGLURJv73eIdH14EL0rx954sa4DtoQSyKcY6DcoNnQCBbvFeOWKORVubUhQlv7t+UW +80/FgUJzexy4zf/2Sz2ReMSfrJUUCzJn1tV9I5GvnJd42a8w9vgp2dc+sIR9C31i9H3c4S4DqjA+ +psRg63PJMUfEtwdQIa1eybvFuxx/pb2R34GhzVoS//t6fgsuxg46dJSJbAZ0lyOcT+BohxEerdDi +qrqLi6p+cf30nKZJwznr1X6W/z0Xhx20dDVMI1lqsJIbOmyrx82vnV/lhJ6ZsAwdKVMKC1FSH/wd +DtdP80CDXr+T6v8hz6oti0IGCWP05Xi8402+v4nPmm76Zb7wsKLI7vMHcmoIKKxe+bFEliAYCklY +/R3bYBy+j9ISnVyiujGoiYWpCS5VZc68uSLfOyjiutyWvodJsJPVJBSB5IKchurkqCoj9DiRF6ga +8w/dHx0YkTBfgl1aSmZAmk4sVNazFpGJMZycMhwtUFkqi9HXq+rThuDZRXkn0YTbTthqvYu+7s4z +b2pOELCyDFIxYff5kWKC3gYlFBIjJ+wy7KHmfhQlyLnxttqWSlB5L7xNRwHSsC3srDiz3azshZ5K +3GajKZYQ1W/ymKZgnIBU3uyKxQcacrVSOusUYYKw1mGWcl4g4I3nEOjaQAtHwajauZDfmNsLqIV6 +vJkj/kiu+pUscEGf9U2tkUkp/8zcUAd+AKsvkZ1GGHpmTGe3FYsrW+4l4bj62npmeItS0X07hgY2 +OuONxxOFzf76M0BcQ5kWqhtdxCzDrjXkaJdx7yiIz3dc1gaZpzrh3naKZWRJbU9ATyubRPmjDxoc +SK0nTkKCLfWzaxs+VDHZ7DEk5KXDd5FeOeI/2AK75g4+/cUAYfQiQqaQquXwckOwjBUFG9ua73Uq +7LhpjWIDRgvZ1izGOMqNWmQ608Lic8rHB+1bIYmX01QsVIA1y0a+DYJ3pcqihXA1fvZNT0Ww4KE7 +iaySGQAgi+M/1LnH3HTzodqXB5JG2RiyfDwpcghmlc+CxGI04dzCs4nTMNfAdNxGkoKf8AMXTrZW +TY9JFIcIB9cLeMNYVf1p0wNvFzZNfMfCIP1zctjIPHxLFTvFPdq+0RQvNAq2FCiZ4BvvICPXk2p8 +i77SFuz/IC1YRFIJkYoCSSW0gbenQNDtQFiqQMEfObvR0tIkQ8cFWPBd01B7q3Danvf2Fdy09SxQ +TqS1YBskJWOHEp+lXRgyhEh4qlqgKRuW+Ks2NWBFkKX7GJQL4jf3G0vsfrfVuhK9qObrnleGI9oW +iEl8jQsmJZ6K5CM50Wjfo+DTMESTNOTOVQzFE4nmJz7ZV0qIu63IlBqYe7Es2msVb6qLuzNT60Kh +n28wqxMvGkp7+7LVSJwXfJ09ziT1Ya78sBvKsJ2b04evsQPyaKrXKSGZpzQHoOzHOA1ASXzXMBSI +5iY0Rf1r0pB/3sDrM8VWlhaj5+B2qFlaKdO2wfKmpuwLkkwhSiYYvlgSYmPIj8/XRlUeteV4WQgD +vm2f0paJv3FtXsy2yTl9P3PjspvNQc+9Tgsi74neXu6IUPit/GByGfr9otNoyK6ikDiHkyJCl93U +rfEQwGeyNKNe8FHo69nCdm+nqRK0QYKlXg/OfBtCZbdo660cRpuhfh4RM4vizZCj0jd7jb4httbI +JTPkECIXkOOJNaWRLbtZ6lIuu4XeVpCUnqcaO8bJYcc0Aio8aIwZhjz+CtL0P/dcVq2ruPXg8zNP +0UcK9XxuWgIFUipBA01q9AQzp6iXUsu0e0CstVlmABn9sc1uzGatJT1vjD1oBI7u5XicPjzABS+u +R54RKMuUumqdswsrGyVnTaO8vH9imvmbIg+XB6fdJDp1hLtJXRIysYxh4s5XlsxBXTPS0+ednLtp +0NFrXItkgbheSkQyZrBdnAG9+J8RBIKQ0EzwnPfqhENLhSZsIyDR9ojex63KLFTP/TGfq1jeXCKL +N1i441FSGRx6RhvZqZZg/GQ+D1Pqr2nHG0poIg/f7bOkMxbUTV9C1w4fQpCzR1KjX/ds0zPc9uID +O6nYFvet6Pn8yoL+8EEpzcwW4F7s5LmfQLBng4SCm65yXuBIVEzjgWH3uEHZr4kOjPWET2jzlQSK +NRCFnU1YkSqTBYhnO9jY7Odu6n6orPwST5RdqIN3U+I+sgiVD0Lj4rwDX7/IFj/sTmyMBi7c1OX4 +KWqYYFXD1Zt8Z5xSFrEzOksU6HuJbbAF3uWw7KNDqoLikej6lfEJFrm7tQKCC8e/Mg8CF38ihJjz +LsWaOtyPYIwmUwSSGYbEhlmPQkrSOxh8cduUe3WG584K+DyKdqtI7kBq4MBj1Y4Z/7A80EJQt1X0 +hue4ojmbOzKrLuSrS3f1ErHMrK0Vc8nAx/RQhFVLN1rVXQ8m98Pqb7WcAeXRjVBnO7FRbAB6rC30 +42nwUeN0hM6eZZYw15GoYAs3fecccOLFJB0+getfDnCqUQtUiFlGiii1yYMYqMdQQcL4tZaY5KKi +xj5ILTP1Da+CeCHFaDKPf9wkS+1FPg+Wc9Q+reNWsnt19EvoMztYJHqppCEq8avwxMYVRnYQjGo+ +IWxDcbTdWOP0KtFsSUstNMxNA6ZWgeiPN6zjw7OofCKJmGf5Pb1MMDBzW2DckVFwxBJMkcflZp1j +cqnAEQfRei+nqBcmlsZ8/kQW4KTICZsdpbfGk9oweJE46FiZljfmcqqPdKUMCFVI2jxqi7CI4teN +o4uvTuszHpr3iWhigX7n7kEDkBZ23CRzS7gxoMrnNpkiR4Isu1g9lhxg/S1J35ocDkmdgw539zJq +dHmm66nEGyUyTfGTBqHfyA2a9R/UPNh/slWf6897IC4ExXMbbJxamglNQ5jHsXb3bFzHRGG7VmJQ +KkSENGKk8980QzqXWuolx3K9nnJ1p+uQcBmdQu2BrQnNMPlfVHZb50pGy64JHhMt8QkmMxnsogL2 +OA7734Yjp7AjKp5mpkPaw/K2s+/yQiUeQ/OAj83dmNI47okh+UGrjsgAGS0VcS1vwvWDn7iNX77b +ewZOf7NQ2gjwgrLTX700lYaq/5SXutrfWlsASrHx981B2sQo/88h2+QFgZqYypyj4m+mJZ5F6CeB +t2TKtfYo+gWS1FU7EeVmxrntO2C5us48MUhuXusZbOZV3l+SL/eUs3YMX3UAUIFhbkcEvJskAB8z +MOP2vu2ZtdiSI0jt8RdUN0+cHCHHUD8USMVbFeyw6e23O864yz8SERUxpnwD9FZRKc8rmI7ZU1D5 +aW7aIj/e0tuj62EME13wXdLzUYuuuuET/ISNhexBbNWUXf7PA3W0BxPbO2Z6NP+AXxqsYm2GPkyw +N5nR5hvHwlCOn53NElsfAQKAjnwLEQfJKXORg6Sdd+0MUyTRUvIHYzLdArnoO3fXlgKNyyhrqLi8 +ae1TyBhUnCQughVxP3tTW7VquwWjaEg9SPgc7RBug6rlm2xOOpV5GIdeCuKPnulLYI8KANxgrN6V +SZifHnDID0NoHHBfZA9Vtnd+6Iixmgsr91xpidgdEGug/jzpNpClwINamtflpiiZIg26XjtFP8tz +4QtD4ovi90HWxRMVB5WqreOORg5Je8zaUDh9ruRaDnVPJucoj/+tZReFbYW6O7X9dbcbptLC+SHm +3mF7h366jUZTUmcNXQCmZ7tdbtcl7gvrOEXirQuIFuRZq7KhucFan5OHxhuSk48z5SywFu5hMLia +wH+dkE7LXMEsHCkInldptRFtiYgIYW3FXqZbyEQrf2H24B4NLNwd7DLWQ4wHVO9j6BmnciXCRq8w +WohWGopBH5nF5xvkBJgi6cHdaRohohg+Xs0WWM38VnFe4wsErmbigiXneX5z4FVhNSB8fsCmuuin +WpTPZxKkoLok+Ksa5tJd1dR6sd6OSNPpG2Fq/UEqN7WqIFt4UeAEWJLH4DCMeC/aqLp46od4erVI +beJx2OCFJD3Zbx1LdSfxnFXgX2dao/CKCnNKyHTxdf8c88dxYbyEWSrQTSifB/ud0e5CondG7gsq +3/Hfmrr7InAvWJTvfal2EsMK4O2Qy/fvpKa1FNKzUjMf2iWJ2M0oZaxDeo112n7CWahyF4Jof+xZ +r1jGhO+H+wAxB8kYONPuDAonNycLZlOqDyNPAxLFtaQfKnH9AV1bG5Hl0fY2/6PDx2fE0bEouctH +eq2fRuuEZmgfRO/uqqw0ua2v4UJD1ZWbj3dgpG2725+Q+2S4kIIT+yQ6j/JgIB59BEgwn+Y3wsRY +ABSXBjFsbaJIBBR/+7u/vJzFp4Oq1LvMZVknOjYNeC/XFXdGoKv99VSPkMdkFLSiB+BVZFQUT0y6 +7nGadbGWtXFqtjpln2+0KoNK/G+xFNh4ZfkAVAqg8LL6zolH3kI1T4R5MtEA/c5c9TNiI/Sd2zt7 +0puH5heHFXOVdgAyEKXSoRSTZd/xqeXpu+Mgr5CLmkVCXU24fIEtKcl36rT9xAS6T0QtySoNQVG9 +R2etml4+n0MJtNcKgFrSAtWAI/ohmRhHKIuqhYYA3gv544lb+wcmdtjDOgOGNxBfauozubjUbBML +8vtsPwS/VtEOoNUxhMFoZICNAT/JV10FdvUhrsyMrhCRuDK8As0zmp1hvn/bPpnPp+OWRVP1qTtB +cRUI1rhmKUSG94qAzFIZ1ij/06XBuL/WzofDz0z62Sjgr0hdN+/UlU6mvD3d4C7O7oSLPuiA556S +0Uo0gd5K9OrOK8/bXd0m5oD81pvk7Ciy0SFMQ2gYqU2Qz+vKwVN8r5X3qy/O4n/6CvZ/tZvd3Nbk +P482ZvMuthAF0FMO2+an5yjhpB1t+0RSHqYswIx+r5z2kn9oTPnd+l1SpzuX8mEzXpUpGCEErLDR +TMl7X8EsBNY91ex9XYyJY1qHPbFuIcrwtQWsZNXxCKI7CsDOGNZwnPS9tX1CRW7UE/BueLWkr/pT +26GCF/lLfnBsbEbFwhcyKoptLNqi+vFG+v2Jz/yqWGgpcwK2C0YckLup39CG8Ck6U8xydd5s2TXa +XLyDcKGxnsj0EUO42mAFi5xs3n3WIadDbc5AHOBJIFPCgnYZQGhNJVHkRe0/UJQnhEX1I3ZZomYp +xey2wr6R7ZdkN3zULGhN/A+ISat0sCUdj2qPYmOTmT60TVEgzLQTFBZr/hZ0CbZnDixucQRhavrg +/z7CigwFcRGdlcIDhcoGAYoFYMvlRVlU3jrIu15jTQPTR51IM1xADcj5p+FjODf9UjgNSh5qgQBi +SyZjyg10aVltO56dI5YKNE/+NaqAVejBMXDSCuY7xPdeEpt0PRtJR8c8epAlYkE59SCBm4721YVP +L8DoPSjD/oonxHpRAPA5uuaYibxD4pbgclGBx5GBzx+gImt/+qlFlT7Mw1jDuz+SQPWyEvXYH5dT +O9iU4o/gJPKgIQbI7KYS2AdlPB0cf5HREsRUShZ8r6S0+hgSZ3hoLbxdYKJl5YAT4ak9JqhsrI+l +ZahHoGITRbqSJr2u4SqQVQcTedKfP1+i64Dz57HbvtociUXHNJO1X2l40oPMo/F8o2YFaS0jOnDt +KhHPYwBPueeQAozC+bsyI276TeCNi76cW9pn7nNAK0SiQEgChy+qU7tCFygZomMAgoz57aJDY4Di +n5nGkH8vmZpTHMhWp7i2cbI466QUKw5mTQ+BPBYyoYYZuMjOeQrJ/9Zzs6Pn7VRoqZ97lWUYeQSt +pOzU6BRu6Dv0Ztewij54VmZSLFm6Zag4l0HWzCF6+G7aEMj7f/gnQe8pHGWQPxGDIqVcPsF67VOM +I7dj10Ek8Ig0UnSLSVsmbex9wvujzRL3bNr9i4YaBlPn0vmJtThEivC8L9CwCMmW/HXHpsotMpAD +6L52ULbn8rYtqs5Mj165ORKgjvjT3ezqfeCZP9264zqZtWslFLlMdqlzfWQ7nKrP515CXY490Dx9 +xTGRWYz3xfHuglk7e/NU+sA6IiDrfjQ4EulZDL3IzLC+OuUyGy0tRS15TiBo7Lr9dFPSVD9i8GW3 +9Kgvxu+tAIKbwqIS+5oixbbixXSpwYu3YjZ1HEV4YxoOJAgtSiid4l4KLGap28gKbtfjp1qj9AOU +ER7eKlgPoW7Ew4/tHynS9Mfo8NnKog8Fu435c0/xE4k4/7dDcr8H1OkDsr9T8jvjo1klmVia/ntr +CWpraUsTvgWpcC/EqBZrlii4UhuHBJbzHCvUlPtR0BN/GamYHfpRxpnNxcpuUR4bE4wkgRe+mUoA +sxVVNVX+v/iqO6xBvK9lg8WFqPSEk3EBliFl4zMhb5RxKSQJXiVWCij4FjE22civFXBLSLRCJpjM +y71UdygQs2QSPYZS6nOShcHM+cPnZlsvwzAvpLmSJWtf39DRmw6HVEJa56Pwfl8dLfIIbiXPUbUW +NSA2EStt2f/ux3JA1WQtZFnNn6jfbUVzjyorTpmpN+7Geaf4ibDiFaOpifmL6jmQ00FU7F7P5wAR +aXTWPVNGwjLDDqDu17++Wnefv/tJMwK89krT2lf7HFA4otNeSLei8xC1vqn7qofxP+0/VbMOTHp6 +HKp/Z1QkR8vaDKNDuZL2mga8yotDkS8b0bDRCn+CIRyk5NrECmLoqh4OJG0hHkN+ZHxzk46vfozy +Qi/+uAjt80xqjchIKyeHQM1ZmBjgEhcUOlPwouU0I6FsijSDwZv634zE6T78HZejTDpaoXRHfr+s +S4dkbEk81eiroFB3idD7/fbuh3EuDtTaO3YJ1Qkp6HohEEbukq8V5KXs6XZ06+uHsq6FGCRhh1A5 +7D4dE8HAxN/p5Mo8XAnsTgqG+AbLoTXH9WzfvS9iyTWCwVv4EYJ2vzOjUwqoYyVDw+zwgT1uD1Iy +rLZxUBoSfcGQEDij6YC7/Q6NzDWPyZMGnlLR50N2MAYzVZhvtFy5sqhLfgryFvIAOFVIVYgcOk4O +XeQDjfOc9xhVWf9vUuZu+3LVoFZdqn8OX8pzaxyrFiTYWW6FOeUkteaS1gtH/qlWLORVV6dj27vV +HMgp3JJIJEdsCVGBDczw2SupV+kqRO40A8TkaXSU11AAqNJY7VaMJeT4XbvM1TAdrUNuGWfXxzR8 +0XlDPbIVqh80KiYvTRyl5+kwJkaZFa4XeWNFLHbupVd8wn9liv/1N1x+Nbwf02E0whzZvC2S9YBO +r6ymZhrPRLb4XuGwWk0/ey4kjURyhzrb0YJj35sY2dm6hlyVym6mbgel3esH1CjRo3cGFaohDN55 +7SOmYZ9Lg1sIE56njCq9HkSY1F6ofH34IIM/q2esBMjTCK5Wsb/W1MQJEF4cfg/x6sm9B5a51sV2 +p/iHDL7njsJX5Aezjoh+IS4hpRmAThc8kYZpbg9S2iEcIsC8I/sTDQFiNOEuTaqQxdo83JZu1Z/1 +KyYAlvMviO2a2IqE5XvZRrmAueAL46qE5TZgn/6gmMEZTjeM0ljmpfDkHVQ/Oailwjnjud0eSa6o +yB/3FZs8InNx/7nCoU+wYKwgTXDZ2S7+UOaJk0Bj5xDTFTJLHLswyBvKCw9WTmA5YgNkeL2uf7j4 +xg1ZAuOdTNpOwKTXQS4otvPAnULwPYomuJyRDzWzgRWD6Pqctyd/ecGYL+YUA9rDJyx3AtLdi55p +fvk9gm6rZHAin2O2FFKKA7nxRm80h0VgdTMDgMgH8KRF7JO9rZE/ClqHpX06dhXyQE1INmvmmcQH +fsDRKMYTpAdYzouJNP1tY8LtNJru56t6asi06RrzkrqjQFgX7x48x1OBOlAcVji7ExtBCanpZpxi ++thAi4Xo+bQAgTTIHuYK17gJGbm+qzpPYUublMKiddEHSqb3CTHD1lEJjGV+w9/VhLCFsOc0m6n3 +PQ+BnF4I9eWzj2RSrVjaY0Y3AIuASHkYpndya4dlsrlkfluFe0cRRLp+NDP55VYlfTgXlApfE70g +0a1Vdw3lxqNua7sASNmdE15INiVq/0N0n+ZJ/FVc127XaYvI0Y/GbCpjsEmGsNscuqJxAR8V117m +ns7wA99TiMXvg8Xh7C0I1jvWtxHIkgzVpFT6OSRcfhyo3Xa8lAZhfmY1JE9lWRG5j0rXNrsD6Ycc +Ea73N3PlYOT3QgUWn5L4zFNqBInM8tDvJOTIfzi6+7nCPJ81jfQWm9zpy8SYVl9SrIVS9CYDu8mx +UGAQmNquv2SGA+Ngg5Vg2GXYkq4qrTLcvI/5jvtFjumM+4UBMq77TXqrw3TCoXGUM6RzQHHCv4RZ +quBXtjqSp132XPCeSgFLFuBuajWegzUYbdvouZPlOZZjxsrh1d71/+iDNqERTBgYP6Mgs8s981q1 +uXMhp8euWc13LeslCjZquvybHVzYrStxB4TSdYhCsaVTOY7OGpj5IvqbjZPtFlN3/Wriox+Z5ftA +y0SsBE3HPHKA+syT1Lexn7P8p4BcG4dO/o4bDZI0MFYPhr0uXgqRqzcTnG9fEyFHfj8u/VvNhDco +MQ2pmL22Ld5OWaaKPVbM+RGs6SiGdmZHtAv6z0LQua30aRtNX7ENC7G00GEfunpp00xQ+0C4uXAR +0/qE8v2FkixlcrHOI6mYIz8zAFaAko6Iq31ABEA0Bw5zHGCTXyweFJeLKVC3l6c8MHlaY8J+mUrg +W+Zh6z0E0qgbptCNuOisMmRObw0r+SL4vj2B0sV4kk9zeCjPZcdBrQiK72qj0tBAy2vvpn8GkNba +XcDKCVjvUzrfg+whAKYDYB+/zc9nYcs0ePZ2BEPx7nRxNNzG7WOcTIc92K2p9ymn5aB2M7+IgImj +XWpSd4vbiAsBEuTsf36LPPIj+NfeqIe/dka1fb7XEyDHjm5CZriWxAtk0ZAMbPonrYdVISKu4bif +PqtjNnVcTDY3DxmFGa42w+wMZs1bpRfom4sF37DUCU+BanlOwOMlO447Sf2ylRtF/P6/emSk6XqE +QZv6K5IrRgMW7RHGl0kz6bVmHHfIdo1jbWPvdtVjKxa5jO5MxanD02YWzthz2QqWb+0DuXiZXOG4 +PzfeeLFidCChpklbXBsTeQ809odK0hKJsy0hr/g0jH9MDtDHmqcUZEsTPn17E7Dpi9w2XX6Ffxma +uH6/VvSuUO4WJvt2keVQGHzNSZtePLx6K/xgNjudM3MF6H+HtnCnvTRfrVsouEzOZZq5cxj/VzOZ ++mmMyreYA2LTHl7c1DApXXaFZwICQh6IsPd/I7yuRw7RebGFXvf/FjiayHZExlSc/jBWqJ1hXoxf +37OoghTZJI62SH3WFEM4JamS+/KP/q6raGDFUkoQXmYiHX8h1tELlGDuyFJTGgdjPD8EQPQ3KghT +6XzvRaBOY1Z5vS1+0P3OF6+FFJN2kCGjG1FxV0ZHCMoE09pThAYoZgQEvDGanYDWCb7C8nLirYLH +vgm38SdTdn+TQ/Sy2Ww/mao4bWogK2pDZTd1DFlXLZkRLVuUugXRYkn2DsTuBnUbfuHlAUKISGh4 +d8BS3kUDnBBhY15uXC9R//1yGqFR/crOMv4Y/vJ2ZCyp9WkC4P8QJgJlyZI2n/WYVc4vEDBDsimg +UwJxU1JpuWkUzqIEE2fn/vT5RtEn4qdQFZ69/NyBPzQrcj6ISZezMU88Oa3+4pJj1smLzb/0KJil +ZezXonvIVbK8wnLKdva94ziQG33Q+z5cvZ5/V9l0jpIX7ppKstZJPGemRTeuZqISrilbbb33E+CT +b6CdW4uQGWcem/fYQKLbJZf83CW5w4UKC2XIhy3bTAFy5V9gXXjqU3sEqP+cs6XQRZmn9E4cJ+uk +9tCByd57L7c7G+M8HCQYcmwIOdZRXPm5/jJO+C7rody/AggO5b+JzhBcSfMJT4hUqqRQvoSxVaLG +8jVZFqWEfmzV0pGCEIYt/9FAXWnJOorxwKMuoBCw9C9OKwOw5F3IL0m38sNwcmu6UoW9M6K6xqTS +Sr3WP1+XzvNX7UuEHf3ZQH+0qyZ39+5vcyrCg8D6cQJdcMByPFDSfbP1eFy1ZlkAnB43pRqWfqOh +G9GFRCUa4uPudyLxRQxVDM+pb04bSDlRUV3H+bSIlTX91CmT1Si1DN5RTH9abJUKNEXYXzkRIZ7j +YFGu6VY0b/3r86m5AOioV5NY6nrcrMwI2pSxIAF9dXnSeI7rNyJpzBBssC3habIlZ6iVhZ7ulmcz +HpvvWj/XyltGl6mFW9Ea/dPElw6LtdTWLdWDmM5whFOztR3xAnswjBjDrWIIBc7p71G/BJi0+cR+ +a8X4+W4H5lG85KFvvkFDVJlrKafwIm7r3r43S3Fkd8a/X2YVRBGBHVcbePMGQAW9tLomXRR+oFVG +BYTIL/iOxcGCfFP+3kKgwrmnAqCmi1scG3kMHO9N9DKYgSOVog/Fp8ze+NqgAZe4TcBSAriCdMN0 +GkjBzjjfQOQSzu/h/no1UHq/9F9udQT/vBzUmqzMG0lbzE7RiWjhtbbtQaOPUAt2K4Rud8OzsbbS +jKKfBYYObhuTq95/1JaF+/ftPGn7JWSE8W4oHVFgQDRF+WwUAHEnqAuL+Wli9LWxb+h5kDziDnxv +h2a0Y5KiJmBjP5fBAHTrIg3bmP8JLoymY9doblvwWODq/S5cSNkzYCq1DyVSt7rgIEc1oYzm5rjv +yUtQ9KRGlruDJb8NeaQvCJJ2rbGOV32JHjDWe2O1w3rz3kfQRoKuorIFd/Cs6pVfaFDze+qMjL+W +R++NvpLS6xRJcVitGb9tJu/vvvYx1rGdSaa5m4A1NEYitOuXr+JLwWvWEjoTU/y5VddxfTbCOkzD +EvAqvorcETSnIRdHtXtfR45LXnWpXZHN3jEuzy8jyXhnZTxznr76tP4+Xg9CXu+m5MJMz2QQXTTz +VAwA2EvNZAvYsuRlZ7EJj02f6MHivNLBQhlr9ScLeZFq5s0GblHv/h/MlBCl3V3o/EpK41dFpE4v +L2r4vZXh9NAQPz8dvOZE5X4tfgfglJGsvx+idCLnD8hZ0bsiNjotWjLrYPQ5neD+aqLF7ZUFFDDm +fQPSaCgE0nvR/AOh4VDqqSjWs5N93i8DghsGPoujJFL7bIsL+iEMeRWXxxjCmBrgSew0Vgz855v4 +vl9rPyPJtWOg2cJEtPmyx7Zeil/eiFPbaSxPsSHLoLHrCmIXnZ5A0r60IzFw68S/xe20Xl8DxKW7 +wbqbjAqgSElpUBDxAn6k2MW4+uSGIBa0YRklGYWZTO2wRuFm2vzTn2Gw9sloootrwSl8sT2VmA+F +D2xc6lDfiwUD+8WjNz+IxXYeeAztE3HCNyJ/T3JfjXD+Zyhd4IsVcw0SFc+uG0mX4Wi8trb7AOBt +YSYzIH++ol/cR3GMKskGoK81DifB5ywFnr4Dq0T3kOMJy64Yk7oOIKKX9WMrxqUH7YtikOYtXMsL +0EesvOCNeTsBlX6a0XbWQoSEvkSnVp4h+yxQ2n4O4gwAK9DkPfcztMvvtfIIz01ii+ItmCYSTrZt +xiTC43+UC6+KN3+stW3QFZVkv1d/Vz6HPvqR9GeWK5Wn9enNMAI1ynofJcXNDjaTC+Mf6OpBIs+d +c6lH5gFdxyOR/qKYPG+PophO0v1u7zH75/af67jNcKP6XXv6zyu2cdT0BsR7ZOaYRaESx9CAGqs0 +iqQfTsUCeoBfXcCGBeQjkvWxs3m0+0AQSaMc5yuf9bVapbdDMds2JJpnj9fLL9fMQ0QWphm+jWXI +HGJF1XTHNxRM5GLe7vb2A3An0Z8FGRA15Cf9aSkJmJHT6LNhAheqWk6y1R2ulUz8REkT5u0t6625 +GNpeH6yX/t7I0wubUovjHL+sufQTuRC4cxJRRvWwBPYGGZAJJYxNgcT0WiClLGnf6eAaDFlkF/Pb +XHPLfRC2oTFZdgHnBGKf5A2Cq5ez3bBELp3sC7ZEC7oJRC4Z2TfFg3l9IY1VXrvvyzOOrXgpjjVe +Y2OAx0Me8OU5L8fvZn+tZBIVg5iJduck9AfD4RBv+do6c7dNWhUhAV2ICfvBQRPbHxjNOmoiUIaF +16A09X4i/3qRA/RB3wdIbV36Hf17/rAfsfuhCLqGshreCZf71KybkYM9KOTUmEln/S+aQHXgsrQe +GoqvMH9kThvJWhHqTlPuk/R8BdmY37FESQq1nMAOr9RfDrsUKo5tLzxnVbM7qlw6yvhXAizDpfY9 +IXByjNpsJvs3bhkfjLMVn1GuGRUKuLTWZbxL6cNyCc/Ml1FzCKvwIp/Q4C0RuAybS59yI/5aIRpL +jQgmxYVErxZ8cyaR/0k0q/+yIuiFuRav5X7PEK0fd/c/DU4HsL2P+fMQR6NHC70WhUcWK4wGeknd +Eo+yyQi/A2F1OUg/jW+L9nvCmYdJegiGOJUPqGBgstqC4f7FfLTAF9MT6eJXj1SEOkAlEKaqN4TX +bCUs5iAtknKkMMqwMJccrwTWFHmvTnMA7W+z/7mcsjSfEn8z/nSxRx4ms6z0++De2hjFY2Ki+vlr +bY9zvw9G3yRmzCWIIyeX8Fn+X6mxiuaalmnfjowWoZLkgIAeqKPiGi2TD67woOkmWr4+cE554wo1 +qqcbxGfTAu6j6P8gec5TASFN4VjL77w4C3WtKO2TkKUp5U6uNkcnoSTNemYdLYmIZ5OaQVI9bJKw +CEpitSI/AK/hUoGSOU81p6VM+bqj58HZR8oKbMXY5RUz1Ee9c1VMZxyjLeMYunqwg3FksUAhlYYm +AnubxABvgJJNV+mhgSmkD4xiym+xT7wibkeG3316StvP/Dl+BXHMtPeEZRXxPK2PzB/Tji7/qqua +Bm/HGCANb+Gva6dWE0N4E5sU5iG7mGhcPTwA9rLZiQVoU2BQBmxcGNPlrzHeavPM9MdwuvGvBRb2 +hd/KR9p/9SzOsfSVX25SxZL3QQU5R1S/f0fCwlaXuDmhUjifN1dg2EZtoyyciV7zEjLSnBbS7FtD +QnCf8v7dFsfwElycYIXa+S5fIKTJjkx4Z6UCJKjJ+JrR6HAbqjsSNDt6M91DJLTqTwwBoD8pCMYr +vDNRZMP89LZU6c75xnALr0GjNYCbi3c/Kg6v7JfwiWG/zjUktO3w/8e1JQbQqwORj3SMFmRhe7RS +mO3F19iQKVaDA3OK/+cJ34K+nUqlHKRIvCpqmj0dCIhGvW191wRcm1Tai4ntj/iwcfijovp+qQ6W +Tli/RD31MWy21UdCHtkf7NwcXP+cO2k7oR745nIP0lG6KYrb+fLTlcWjfZhHH4rEWaUim68MF/8c +MT5OdA2fhHg4W7aiJ5hKa+VLPAGzLnCIAxbyy3ycOyb84fZ2mbN+m50u1rMNJXXeAZWBk26xixdh +O5Vu/r3WCmPx3UJr5Fj+s4aW7Uyq/cHqoyle8E+8aHTrclKtgyHsAkoVOkuIGSfnoRitlHp9vWV8 +1/LWC+3loKMkINsWaU+E9nh/kNcFVd+DVVzU/mT1GbKKNB9K+xrfcStS2BR/aPuts72oPfzy89rL +jSHSt14Cvw4xv6bHPRLqYFLBERw5jKGr7Zcxd6gDSsDuYLroN/CfA1jompjaBeFuaHYfC0s/fVfG +BAIZYL1o3ftVmfw8FlujaXjNES+IbvlWRL801h+TJyvtl8TStxHSCNoPjg7gxeFZ4kO0gWYa6VkT +H15Hq6oxvSuGN/hkZAKQq0wXFcxoUL7NP73fEkSShCJC4J5aD/Dv7g61YN4/F+WKCMQ+wkG5hrPe +I5cXcgFVpSbeYFNFh456jDt8ThmvvvyaxCPDz0VI9jnCForGlqiSuDGCGfJbKrC0iO2C1KdpNoga +gqEPVZI4rgVw8LZZb/7Q8qERjUqgAUcSmtVaBBk0/7OqfM1lVGH7jhzWwT1LV6Zy9y+xTSoUWuUh +FO0m2CF1oLSd752S0ZgHK7MoaNQvzRDfdTWeFtHa9zcvn5ZhOoH/uPtY6Wy8stLRa3/vp1xF5ch8 +NJbZDjflJRTYvL9bcq4wpeBZ6NSgoF4XwJaPiocCb56/JQMWM2gUXEI8YCX/ybQ00yn251sAYeYI +TH/7PzvcgU/Pb4Yns3tgoABDlBoxJeYk1x7+0IHP/xtO270K9iDjw1TAj+oMJv4C7d8RV2ARRjAm +aGZ28+X4pndOP4HyxwROFuW/bNTL23K7s7YLs/2wYqBDOj4azYpZttt8tw0OAN70pNjYPkSlsA9g +DE3B3WvZXuDmp7N392oH3MFWar/uO9owER3tnOTW6R6zQgJ5ydCteYs79ZrYotOvtfJchf82iPtL +OERwyMrhG6RivnnlkCiPXHhEy/+pygdg1xhYFDAG9Nde/uq7KtNJFztT1UrEUHMoIgodkDDgOYiq +0il96u+gVrjQsALRXElP+xrnIiSBxERn3cIb2/yr+uxTLM2RgbzlEcNULtPZXTm3gEkmgIEcjZJB +HfkYchdzYEiB2sf6ekhphTAX8FiAPMRryZ+IwUdcwPC65gbcssuXhfaM4OV2Tbl/SpJX/Z4xRo5I +kU9nFqs2OXbL18ep8zINBoHvlFwf19LTvLtU8wJ6u7dHkvXuylhlKWsiDVqAeUNgoH8BRTWboyq4 +MxMo59P1r/nZ427KasQga4kQxQ1W5+zsq7vlkx98nEFChCgfw306QpQzV442oRbgiwY4m1hw+2Qa +z9SgJ6s9ikoetPGZe/xxdzYtTgQTD8mdHRBO4UbVW0ELJRYtSQvIoFWznCJ0QkqsbVK1XSgyH2YV +Bmns2JUSgTX/1VXjS7chEFUL47aJzL2whZnXek+67wJrfHeXUwTLsp/IAKiu93B7mJx/AX8Id3jE +cw8fQZJBYX5bblEV7AarGzT58dvkE8EsbCtLOR0euYX+mwQcv2qlhuh1OrSWTK8E89jpCJHSxiue +x7+5De+31t7wt0cQGDgr4Y5d12M/+QwLf1TKGyy9+m/ZBO6QIzzvKiDgD9JjLo1DNvKFEIx/wWl/ +DAihbz7npv/C6bU9oqzDJb5RrdYxM6NULEhCo1NZn6FQp+HjJzQX8w2sSZamOUaC5ddTyRYOy8BV +xbrLJZAzKnL9E8wT/dNAxRT2P8KZmRuO4nfiHXuCUoXU7+zpo8DimM1AsnsEX6VhOgBFqp4UKLGW +z5TWDYkSEWOPG8qv1R2+iwXOb4FFfryYv5EAKfp1TmcedyuaZ9/CKTHFxXUm+XzXEhN6r/TgOuGh +2oGfR6zyerBnWvxSHAXUi/HWPH2US+1UaSDxUFQ+JKY6JuFAxrriqPiu/ZGSqD+UMnYQSC9VGtNq +Bnl9DmJbBilkFH1Az4/FZJquklpbMrNXQHlPaK+TMj8eyOG3lxWA/1d4Ok7e30Wp16snU3Ij3DfA +fFoHxOBwEzS+Z2pdo508fbJYjyumnID4rwPCcGZ4xKqfJtnVO45u19s0/BHucblVpjBcN9wHeXzs +23dK3H6F4+SuTv9FWpFlf8dqpgqlODcTs+s6+NG/bbJkKysm853JWxXmj/qvbkBqD8TtcFRyzira +CQSGkVoNyQJcsoK9B3mjgSw2b9tpfrqekXIRiWM4wUS9ZKdagmtDJNksY1BJ2jCjcY9tUxDWe/xa +ttzf90t09bOlpaxKXPKA2nQ7CxTm/RfAXhtwtB3elnhGrBPzBEa9pKM584t5+v1o/GCgrZ7Fo8CU +BeHTsaFFU40UxLfb2bwAxUPHya/f0GKUlIFNZOMN+mGG3N8Fu7Q2BoMPi2RDlhohVxJ8MkpnwQe+ +NzsCG/flaeK5FRRfqAq9039WsGPRzGMy4LT04vVMiGxgkb3HtnZLknotOssogKpX9O085aAInz7s +IO4UVjNG3tQg2RELLSpYH4mbxh3Zys26P23u+ibBUOFUXy1q40gaUcNaJq56qpW8bI8dqhCZdjp4 +Rp1YL/dxCmtko6fj//X9tWktqAeLvr4JwDacX8X99bdWvUxBtPa42z/Wx4sWCqh6cR1kzvRSAmC2 +ytoC5g6JZo82zCt7pzR+VHuhy1Dm714ncqJIJUKltdx7J+jZ07zqTYFpK84+N9VAvOIY95ElahZe +q2qe3Gu0rQrboMUFLZ1LiNFkX5xcnVXVUk/Y+o9fpgfAl2NKoXv3J9Kidsad4E77g5gJE+8Xji/X +sCHoymF1yAC3fFl8UedpMUYwvyFB3eN5+KoTJ06NNoyky7uHS8keXhAkv0VuibEqznpGrbPt1nyH +xIbtIBD9Ip0HbLEIg78kNnk6tGZl+c7Ht+Z9mQmrzB597+fmqFQmA1TYMO6Msh8D9+Mag76mOuiv +bulY4uk8mZ3xZcDKiYZ8BFFCQH8dhQN64CZbXS4UvH3n34g3MTwVyRE94uuDtftNx1qBjERtVEbb +TYD82DcJumetZV2MzLqu6raPh4RSWciD6ldZ49hTy2qdpqK6gk+v6NQMnKqdrKbGV4ewiVZJ8KeA +oHMgWuiT2Gx2RjoVFZNR1ZkZIg1SMK5m8zgi362brcT+2htTtyWz/N4V1T0yCCEW6bD9ya2CV+Y1 +dkvLJtxNYC08X5sddS9ocyi9nCDYUvVzh2LnnEzqJV0WvhxDwwyGokQj+//TZTyazbeDvbIpGLLZ +6U8KJI1kh6gSrc0SyNuDBymYiIJlSe+AW0sqDvxJkl1OTGNbhzzsWjxLjIiJJpK+ZZET5AAxS8Kv +ymacG0AJssVXzh7fKhn0zThVrT+qx0zh4HrqIi2CZrJK1xFYRxwzIX9tz/1jl2zC+Oy+kZ+DFNi5 +HJzzcUtlmrLQ1DgnxDw8u4gCTOaXj82P5sKYSUTAk1rVqoDFv06rFhJ7+U7mhZmYw+1B0DgoVAse +LPV7SrtTP0/lNdw9HjCj3LrJAKwUXnfeIUnrAVdD1yFVVIcRIPiY9ue3/t7LtZUTCGObiR175LHa +u13fhaK9j6uCR0kvVNeUNuAll5lTmURYeeeZCzy7CF2++wZIuVK2mlPSfBFv/NQvRXymoqjqQ3qg +8jEd4HuIU1yr88jCFYuCN488JsGAju2qsR3+jHL+2x+PsdzMFXrWraN9d0b63B8lHUHtDD5N2qka +AFZcEaifDqffCPVeL4Ds58cAc6Xpmv1eBCCahXkVXyW+2knXfzjB+UdxFKZZ/QFbb/DfZ62fq13f +bT0UiyRewnGH0WI3A0EUoEmVkoONEUyGJygL+PJk2IPCqKafHXnD6MUdX45gSHm1+8lc4F6Dkij+ +dB0KLix1SRZ2+3tOYVAQTG5FHuais8XskwhCwZm2AmMc0G+Qtnl3sb61EfIE0rwRVO6H85ikYRRn +dgZru7YzTNq4aqfL/kLHBhzjfsRzrZHqQdryZN3A1QfirmAcbh2miwC1EyiYn5maIE84z86VKbiK +hDqTkY9lLJ/OBxY7kOY8jjvDlNWi+lkyXo5U/YLr+yEXi5yJ2lnEkEjV9e9OQt9FKcmoOfs1k4nb +wjx5QQzWIl4CcQ06e172hVVD5mLhZ7vEWbykxh9e+N3Uo9YhXMwz3z3habDVUKRHLRmRJ800NDSs +qR3wxDQ9PLhQ9mHRWqqOUSqxZJxJXFz/eXUyEXU9JsDlvAPzWqGmMqqKz8aGMQEqAfxqvdkgEJAx +l0gCfNQab/gBW9FMikcgsJxyhFiwCtRGCU1sR96TtWhPMPbbKyZe58rTpcTSaPPeJJkl06FA9U+u +0tu1YXlVrmX2A2UC2Ri3Kloo29oXP5N+MajZ0+zsAfH/BOn5ySd7ga9+8V7V2bUiopUPyTJGxyQs +pSnf7syWI/lB7FTpaWdOpD++G9L8Ul3nt+S1i2a1AcVXXoQYKf4IPxCTrbdffcUXVAyjjgmfr6Bn +HLVSmskaxLVzcHTbHMoZptopqLd78wdAd9NVE+5gu8y3sX2r0aoELDCjywltG5Z83a68VEWrxz4G +vm2xZu3Wm8Z8qLAWj2UrAIgWTFw5tUayXZgnty4aGleJ9PDqXX03Bk4EWnBpabxwp8gLJ2jWuHvP +nZUXDpEhJ5F6E6PUZWDMo95+79MUGKyVuJrlA/ExJpPKQPwSXzyOHqTGtu/P2HBXNMOiuYxizDKT +W6xte24W23l08UvWZeBhKD8f4EtcVK3LXJL5FTJOQDorwwb/Z7BtpShFJQjnDAcGdb/XYO2eZJUB +USX8TexPJ1iQqk/oic9FYKGCUT5S8mhwg5qklRL8sfWLbp70L1v3SXN4+Aulm4UiDeENzSkEMhUp +SfOC1KRPktO23Un4RhDwpL1puTuc9vhd4t/JlxeuLbRcMPCL9oBEUonYbNXxx35jtadkPUxnjfXG +OKY9D4SWH8sJRK/weeulbz5tuliy6AwItHqf5EM0KArtUFQt4utLVvXQHe84ZFei0kIOsEo5IC+5 +f7yFm/RxlsiD6XTQKd9uWeRGGIh7MasCjDIxUPwwQFcYVftuJkkk7I1ua7LzLg7gJX2USxQ0Z0Z5 +OJ0wgEK+si8Iopg3HehhOP2m21YD6Pem1nRX25Rsedk71FB/74DdGQr8gj/SlvKhS43Eu1MYGeTv ++4ohy0wtKn8uNgYMDt0kZxj+jzX3jsCPRncFn8RBNx1oKLRE3bkNQER1GMsVfOGEJBtAcByY4t9O +fF0hG5LJ/nZKWE//0WCs31tcvC00CJdeSb5bnqWkrebpwhl2zhHMFwFtVZCfzWFN9MNr/WETBa6/ +zM10im14++sdRrX3fvAb/exqtlgDp7S0MVqTaOS3gCIFwUXUaEx1hqWDAIIhN1FfWwwiOds9Scho +wfMZPrauOaWPqzq9xfgwskWw7vySSDErs76aKyU92INjHJldB4/ABdfPPT29oDO6pX9DW6xvyJCU +Ad1zqUGf71v54tzAaqFtV9PI9zWky+d2lpLpVMHt9yt0Au5YPMqb8YAS3mmsuQr/Ipb0v7f/ZvL1 +CRAInDrfBXTZ2vcdcml56a9z6Wun2eVO2GHm9x//C5v9X2kiUrpRVO4xN8VPs8vPfhvCFuKTHtfn +Fk//4/s2akLV4ueDV0Ww2b4Cogq48vyO5KJyS31lLhmjYEkkgyOJPm+60TD5inKUod3iqwutyvf6 +WUXF2kOZpqApo5Cm2m8X+YDUBqfEXUgXPWsLrg+fEdLmPrcJsmFWYZcB1bei3qey5T/R7Q4GMYcX +XeqduDWSHZBIRHyxopIWQ7CoukUSbwSsFFaUgV+FNLPbayJqLInOqX4sZubO50yDSkDOgCT+OyqQ +iQswKCmxyrcTtmsc56OqZvWDFSsu39XzZANsfATiGEZQkdgKLZpiE5KoXcUUMWx/bq0RPiirCP+G +rid01VWih+5wgRuTwbOtWDXH5/v6XtIIU28oOpFmi8WNNKcw2erjSHmweXxxV5zPGkRyyflbiBO/ +/xDHoQVXDoi+u69hETRiaKDIq0mvfWfU1Dbjqn/fQOGQJzfmnhUFk749EQ6lYcEu1J6stI3md4bv +GiHzxwA6rxQBKoGbKgK7NCaWLJ8TsQ8HW2GYVXhzPDSeEowMWpBSOFAi+aDeLkjZiM7wdUSavli4 +nGUnbpzjI7oMFgDvtoQvwQVWY088RFAbpIBNyySqXh9vozvvBLcMWKXXqFyHDzabFbg447PjtK8Y +utvFM72p776N5vqhT88R2Ch6SS1X42os73ZDezChRQPuy65I+orFuxgrb5+j8jIpaCldlkiwxAFD +fKQ9FpUc/GifQ1KXnlcX6T1C3qrKOZyFdgi7TUqajOnOYoxdOQ1N++e11HltVyudKfmyDtWoSUqN +nR3fdUdxJK3EO1xFG65fB1t0oL6aeMdUHNmJ8bxkc51mYn+7w16pM38bnhkVO5xRSP8skzjEWwxX +IKQ0cbZuZzjcOTm+UV+ExtEcTvTycm6lw0DXd1DuzoAbZu0qzbrl1mDbGxES3/PZLegPjU95EMUR +C+DFNQbedXw1QHBcq0v+ALFCJfcKN4Ofp0PG53M56nbEbFwkjM7JkNw9/tpbQtiiH3hQ4vLewndJ +nA05AaJql5GCAM44cJo5goAW9YnHlxXSiIalxjZ5hnGIMVuqx8XU++qPIEauCkstXzjjEsRsFyCb +h413TZuhdDc1ExFcphfXXzxAbMvpwJdBvtJIa4q2YYs+AajB0DVlG6Zfi1N658bw9exPgSwiUZQk +QB9EOujm6Ku2hHnK/1bhHZKNvHh5eTDstqnE7fEVNrqMHnpO/yxnS52fpXx/0KUFoasgiBeSFOZJ +oDeLBki4injys6SH8Z3d+cUhI11lrU99EvnyGUmlUIomy9NLnxTTSZLAExDaSdrOgcxVxdthYVwF +3rFJTd4xY4/Z4c7JLgD3ZYxUCOe70dEwMO1EFpDAHNjnacRswK/KaofLOGxdfoC8h2SDOB1DUp3E +YJ3I4wHHvT0PcSC88rLKsAYI9QzqNiYps3NLd11zeuiGErFKY8wR5SophF33CGCgPj+JfeCMr5XQ +ANeF4BQtTe/d5m6lNe8TBCtWP45Tgsqkt66hjRkUz61N8VgD5P8P1rk6L1rniN4mwpymumnY4PPe +rTtcymqRZnIo6I8IKAPUrJ66mtTCFirIWGlDPWwk6F7QKjsMdfxqc5RLIvfw1TVxR4hWY3qQhple +wGxR5LY9/3zDiHStrY9q682qIA6EkgzwBu9skaBDdgOIH7YoXxjrTf2KbElfb6m/QkYIy+nJVhTc +h2wTs1syx9HkBineSE7H04Yuk6H3A3DcLIU32IRKv91TDoLCZ3KNEyC0XTMPpSUucL9+GNPD5dq8 +cAno2lG+KqKJSkd1BGrPuZQ+5LNT+6bioO2YLUR6AZ6WCP40pVKAB8geZ0MxR3kWU7DSq9clRDCW +emLZpUYLpz0D3XPO2nxy7nIO5AnjdTywyLc1hS/ROE4YBmsf2IL9Qj476TtA0xoGLzxnDptPsVS9 +Y+CYON791aXN2OtfHty55OcAsnWq8ul0TmGxDU+nfPOtJAucjbn8cPEp847vSml65S1BoqQ4R8xK +iwaX3E6tpNz4Fj88rUFLeNs3qaUdUyFwe1tiFy6jrAGeW0H5tQ7HQ93scv7j8XwdOqGeQpFAQRHy +UOR8JuMROJPhRT+dL4n6FIjQ+4c3wY0n1tn5IzxDkDkqJVc6oMUkBhg9L7sPLzUaT08Ux+MRPBI0 +RZc39ZcbYyXli3zm3xCrlmkiLqIgwTXeyTokgz9Fm3LgO+E4whwTCLzZEegGv+2Z6JgAn2DyGqow +CA0sCMQXMXufLhLMFJ8i9UWNBotVSfdSRz/ob0Z9c0vDv8ZxVrnebqk46pij8r3A5vx+sYUqqRUI +PjHvdhMvtW9FYR/PjR+mCho0zAoHGxGf5wifGcUuPSMUUkNH2B1Dh7E2lXohfWs4Fy0oAfw/f+c+ +VgZQTkTYgIbkIcc3Gw4ZVX2sV9mgS2wTkhk8zsr4+LaBIlywfb/PKNrLwHf3V+rW2x3AuevTSSsi +MeldAHTKiPqvcgSWa218AA8QmgkodyiUyBNp2gT1A7dUqMumJMcRch9+t8lX+RM8N9fCqzXa4Ldg +PCpI4WL3bhEpj7m/ZoNsbhfC6rX1+l9QDNBG0qxsoiaSt8mn33k3sG2JW4iVd2ICOYcqEuuagRdX +1PCe+qM8ZDgXjqNJTPJ3d4MEiCV2lAGrDE9/th4w329y7mTyM3U25UjfDnbH68XFBTn0gpFlFQ5X +mtQDwJkm/Z/uX5SrYqwb9Ci+Cf5jGxFcJo+BfQpJdRBcIV5JgnQsXB84v5+2sQQLv1P7mHxQiVqJ +AzQIwJbrVNzEaGhMiMMO/LD4UBbLpEeQDqZ3Fen/UAHeQ8gpnYN3gyARjAKkrhHQt+vb2ynUWMiC +lx/RWo4FllDuj9+fg0EWOq1bgH7sugLFOVRWAh+bcFt6+ISmOx8J6Pk8NjcGZ1TP6Pes6C/zkiUe +ufs4PxdOCXVjTGALD2QMdBe0dhKoiSE9ACqHhZyW9lJJXHATBq2IFz/IUBSUjw0RvlmbCxR1LG9E +xa1AymKREJMFEvVQV1UdLArnMO7csw/Ap0nE+FzN1gyBZiZU3X/47zTpL5UspvcQW41lPvgg84dp +YhBhUY7m5xDix/1CyyaXZnVvy2F4jitIGr59r4pL7CwMdXb8acCpjnyaSb6Q0hdCY77ApWYDfB5f +4UuPvFVaOn1D2PgdWl4R1xzJdVppo9VtL7sO3dTM8YLoUgaJzdnwGjO7eUJXta/rkz1NlRZoY+Tz +ufwuHDcjhISgmjuyj09wwtNWw+sz40tMHpS4e7NRJz9cl6A71PPWQ9K7nx/a24PMVgdd3xOhD7lG +PbE5WZRQC93u3gKGLzKohpBzXhZ8cYDu10pemThdv+20OxSeDQUAtipRQtNE6vKbUmc7sSY1gqYm +MJS0gYasCuJj6NiQR59Lc7FCUG3TbdNWJNkvvvJkZtJg6bsgBtpXIdvv0Hg8DhbttrB74RQ7sCWN +Kk3PqXBILerQrpUd5nPATlBIySpONB3eRyQwQ+wiQSTw6h1tc9n3rv/VPAM8bMrypGeuO5o2Ls/z +VxMZ5/XYTIDlWac5pHhvbnME5bprJ29MnrAs4CmOv4iamNA4d3ugGy8bwEtZYMhGdfOCmjt1pjuN +p80LkQX+abQZlRW+bvo7OvW3EzfoCVCiPbbPkjeODhEVpqe0I4BzsdeWeczR7kPw+mY/52AUAXu5 +rLtihG7pF/HbGGEX/MWq6jYY2YiHuOQ9IV0MDTTjSn34kLkyfwdHKKdoCAa5McUDHHAhc5HYAmtV +yyap/6o3FNa4mXss3u/mtKPCnxz5UUE7q2Q/aMuYAD/Mh+KFDk4PkhkNCVciwEFGyw85eAQkT3Yd +LG52WNQSAi6JHZQxytjkuFZ1nNrMDs1jEeFde79e9qYj1YyLlt3ZZzZZEmNCxIDB3CAdSX0zBhNt +OzzKWVxLAbdBbT9q7a9FDTiaxy0XpAj9s2KISImFKw9rkiNMODCF+Yn2p6kpr8I1eai7ZeouqR1E +UjTDITnxRAFFn8MWpVmNPZCl7ajzSgLSsq44/22bCCnocul44GREqtYqbP0IJuRnW1rHMTXAGBtu +Mn98WZgbaTphdBNTzFkoxKb6hgPUBZ8eqNGtNbiikTGDQ0pdKmRpP9kRy/WCkzXAVHZrw/i2nR2o +v4oTOmieDgiuQDBafR4xDzHr2CpFQaZjF3FIvtVRct3ifSshf9X/5Ut6yINIpmM4kVg/KE9lpcsM +nmuXGR6VGFFLpAg+tflyNBJoMgOUMmbhZWoeN/L1BUCMTi4ggfpPIw4ouPSVWJkEzgzd8+c9cZ6N +jsHtGgiAYFLpNJu+4GukDFIULhFCJxQ1oj1lOQ8znpZtQAuNt5Cqwmdi/dUZ0xBWgyO3+GZelMRh +HDaVZ83cWZzteOSEIcrjwKQDxD5iNj4l/cE+suMVLX9PoyDJy0qquWuyAdb3FcEBsneD+mXp/PYD +BEM1gaBsd2zcNLtR1bwuYuFWmcWH5Q86sVMLMvwkll03y5VIw5Sa3w74qtZtikf5xUzqXumUbqUl +iOdVc5KQGup7sNyol5l2upiICH+LgAHzDi4s+kBFhaL3PewFvrZ4tWxbbNqZLrDAnE/iNzmXYrE1 +hmuCUadjvwHXQIIfpkwiNZQ4gVFPoaADSK/fjJjaftFFE067ozmZKR5Zjyob+/Mo6aubqElS4hxf +PcCk6O2MwdoOzqxJLCyp1QsNFHpHYOAHwVDycrYXuJWsZlWNB7otBp9+NQtm8TNw+DusMhtoHdJ+ +/uaC3MY1ZaQfl8JdAmWJKNAdChS3XQwMSTZhqbRYUOf4cSgjzvbRGT78j4fZOxsEKMe/8InwBiti +si5zF4lIgjj4pHkxGe/NLe+kVzP47U2j1UrgI/IdAqBzVyqsw4kNOaJbqllpRm2IqEAdpGmZAiDA +uOB7A8AOIZscWVr37xm4nPgnhvQ2Jfv8JFEbJXp9J+a4m8YZr2WEbssrVKQPESAUyBXgELa4rpf9 +Rvv5Zf6V6x3nfM+oVvbGbv+zHAf1lS7D5oYstQrrAB3/5djkBgC73WC2jakRvbOXrphz+Abl0A5i +ONXF+QKpzDGNVuAnf5RWSTfxPI+vINc7cjFdMKY8hX4MCh/NKnbOFOKexG6jUjUQvzui9HxevqXb +oGwiFt1ovtCG4UlaHSIKhDSHRaqb4vafLPwEbTeGOsa1sJ1dGKldzN/Tf0ud4GWCoprL0qLzLq9h +X5GRMl+UnycOZvdQyj2O5cu7yGHze+YMQ3DNjSA/0F07ymXBTEwnogWXcRdRuoAsSSIaUOEsFMKF +CxPRCVACm6489onZTbmLvPx+4zDt/Qb7fpyFXFPYCXYDnBDwYITR9o/iYK5aqVqSFnvSO4NIbCCX +bCnp8if9VXF0VCiE3U0hRuTfz9/2SzzDwfY3C51A524RVNPYSq2IZ2G1+R7isbXPXD5NW7pmPwN0 +YzaoogkQzRgN2k4JighjIS8qpUnKxXnNhp6edC0ivpTKEq/dgJ7CtmQmJQSw6rDPm8VlIzDwAdAn +Re1yLu2n0TlgQjyd47DgPTAa7E0iGg9w6UezPZSI/KVz74iusJ9Es8sZsYR1D206wIZnlK3KmksX +aPs+/PCjK/WDwq3t4NE3IRwKjO+Z86h+CPZfyAg/C29Awb5dgvttwKB1HiIHRo65jX8+b76ftEGS +oR8BeVH01yrQPBjd8/7QYUq7261MQfDADl4MmI6RRMbGabJnLTi50pGUITJsXH8s2RKyZdT4gaM0 +nc11aI29nKG9NUPI4c5qeoJUjtfBAzt7GcycJYDETqqIVjzai7IFXGIkqqWCFfS4e6gCLWKbYtLt +dXF97IVlSOHvNHCo34Wfh/lbHkbmrg+0kRMLmIz5koUuOg/D7eKe3jyez/VGwCnB/XjK3S/HvMAG +J6aejgiPrJUjXqUJ4w7FV9+zyGwSHYDOelIdaq0TGjCSXgsjgDqCBp5KDT4/fruzlbK4oBAzbr3e +D1BwcjBKjxJRN3F0Y/zhvtyYjLf6QMLcEpR1DYHVoDstZz4asYJm667xDF6oqg6kUmCg8Maa5RM7 ++09bQgUynsIMi3svrcCkgtonTvx5DozQYqe0vjW0fWv+FXDHTUmFiLZ0cHc0KqMhaEArxMgZvUy1 +6p0DMpCMX2DBGcSFIC0JAT5r3qJKYPtP/ulr0O8m0TNGWoZ2BwJWZprTx1qnKnNk8w0+Uy/06zEt +odQjt+V9hJmWjx0zZEryCNCnBYiJNDEIG32UjQh6TAAqf+OhYH5DtwpZW7GVfWWduHv1L7MFc7cm +b37vHFm2QrjlG80xB4Y5t15ttmJgzkkn5mCk76R/XYk6DIkQgnTXgBhLlg/OKuDI7fMyTIt9Z5P4 +aKgkwHYYm0K9yDDo618f7K5ZtKTSYzt7fDjPWrxx4uWrD/9mvygjbyMDwoxvjJJSAhGwNDnFHcvx +YlE7WGZazpdyN/kHgJDGtb77gNiPYsgaQkL8e14kWmiypfMyP+tYxPHNfQVMyg5mSm4fRgupLDCI +ddwHk03Bx0QHnY37NH2e5VISHWNOsR089XU46xUl/hgk7YKfDNPk4X6jkM4PsMlaavEKfz0f4Ctz +KOJnqep+HIZU1vRxxPsnq0Z6/OfyzBC7o90tQqsUPtxYLGR3e7MyV0mzfaS810Qc3xcxbYzYGH2H +4/hFbAL4WzxC8g6JYgzK0zQiskfLG38dSrbGVOfcxhvM82gUPyd4RK5+peWaI8MOF+VWaF1iv+21 +mw0UG2eG3CVEPkjOZCPD/vCMTKY2KMoyyd6VMOrbfiShRhhMKZqX3ogtZV2hzNgGAc61qUHR9kM2 +goQHqUy6MMgHG03p7mLaWlWqWTITzBqMav7EYFTfKrSOxiXDyoUmZzWfZS970lEGTHz1rAN0pocX +2UBQwzkm5oz9fDPfwnXP4uquzsCskj3IPoZO/EN7gc2ajrCwRCw9HDCctEuHHWVVJcQ5F6rg9Gnu +H2kcavnvN/1sXDCG6oSGurL54rAqKeW7iLNH2JENzW3aAdZc1FTgu2n7a9wd50lbGnrwMPf+IwIB +L3Aen3lbCed8Inme4fgfU1JoWmzQK1d7KIXgwVH+Jr4AizRSPbaD/1V9wOM/IbjJSDMX4VZAneU6 +8CoVY0yhro+paSRg/kivqGDmtBWVPn+VhtKZ8haUulUTNXa0Lsc79JZALsoeRZU9gANScf//EkbZ +RK8v4FYsDQTCRx+AR1+7zUfOuMzA/8V9XRZ5zkgd2iywN68IDF8wsrVtiMwD2BHkI7SF6djs/u7o +RqViK99OK55QpLREQFEJ39P0LYedaiKkQIEewVcu6jlBoxYUaGNhRRrhpVSGn/T1vZxCNvIbXUvi +HRAeapORgC5HBL9wKpIGwDlDgI6ZNPpWOL6skFCARVVZB+8nTRAabEyY31B21hh00bLVrlxKiBjQ +pRM95thmUSaQZaEZdqPzG2X/R5kF71TXhdVS7ysCkw9BkDMMoFC1/Ys2UPcimfF0ErqB84mFUukp +7vPhhxKnBFCbOgJEfauC7EQ/xEeMxw33IVT5c8ZyH9eyj1vv4A1zem0Saqx85iTvSUde1MOFDCiM +XRGvJNtXtrrV3ArbmLbeafRH8yycNzmDZ/HqUsHkO0dBlDJ47/9wJC5p54lRiKYrXY/k+tPO2nZd +FmPEg4vwbDd/UFBJLSPTu2xmGx+isDg9cAa1d5OZfVSropbfVAzLbrR/cd8Y4FTTywdmWazA5yKc +hx/913kqETiYpAbZeX/ODEdQXWr89eI0JsdcKmCod1oxGM/r+qPlsPoDwVOCag3B/29VSHrLZcUm +lAgO2/8xe/EUWxv47DhjFMjTdBr9dAdyD6Nb/VsVHXrkym1ChLVWrvWLB27lVFYRfu2IczSMp2Nu +TGPuZc0ym55mIgl53EwIAel+jG71fWWpVS5xrjKHpsoBYX5XTt8DlhAx4Jc/5aXbyfn0TlwCxOaA +9OnUljJTzZY6xymeRU4nJdyNO7vw8C+ViqvZHnqa30ZeGBtPlS6F0Hecj+o+Ws1re7GupG97KrZS +fW5knUd97/PAjEwq0zKnbxwYQb4WYi0DfqHH3Sc4NiqdfyfBDoJ3q32AG/pz3bbw3gn0XbHSDHhd +oiehjk8wChKPc0pDEngK55T0uD1ibJ9esKGQTbshvvcvvrJAP3D/NLJ9JgWImTRISK9Sd3bDVYuj +vKnYWG8wX0y3stJpmUVvzRKyillHiKHu8F3KPQIEouoktG/44XeJlFXDIp2B8Of0BoRR++3wTqaK +Vd4QzQ7hEChELlfPTjRBDFHgQVVjmHUygC49yZEqrtAiqc1Ytc1N4fcZtG5SVAKoMzsftQyuJLxq +fT/RElJZ9s4IVeEKjD8i/Q+oxrdZPJx3TzVpyrCFXau+Zq8Sb494IL6IXzksH8a74Ir7biV1fIY9 +XB+nRjb/G5ACTP+NUju/IjEjzAF5esZNuqf7yYQiGJMRCS0XjyX/ej7Lno4Ct9Vb9bMA+no+zS1r +mq+zX7sqcXaX71R+u3fpojnm8vtRnxVViC7a+yh5lBzI80HWFkW7OMpe+Pqn4kzAOfa++Giw12uu +c0ATXBKn3NJEGlQmuI7iKP0MDefKmgkZeuAATjnFAtVBxsJu958eFouTCNZmYYodUzJiMnX7kLNl +OoOezSqYtjRGF3yeuD/2vkBPu8JxaC9CJ527TYOlauyPs+REaj2dNvekOcxIGdv5p810esAEaomg +F9DZETTSBhSUByFOXpMXNowQakAtZRiau7ovqnKUJgGmoMj32Pnn0euV0KCMT79MfQJWLs9rQduE +1Ad+X1YECnipfL/Rkk+jeKkBd+6IKn52Dc/rRJUlqAJe23gZ7YGAzEylQL9jQVf/e3P8P366FEFa +KJZNaDujuLYTm4hvyu766O5f1jAtchXv4NU5nU19HqskX7Tvx/pVxxGzETNRTJ1SuTIoW2PQixCc +OG/mdIVmcmdM/TNrkRVQEjxCz1t/j+z62Q1Vhv/SR/GiP5qDzMQXUKBfdmSG3aX8A8x0Dc+xLyjJ +PUYRyY/jTrLLVHn+/VH9O0TceWU+8sJk8+zOMdEUACpdmjzr5lkNDbdOJ8K2aNIF90ppngZ4zZ+G +tzqOAxVMlCWRWln1tAT2eJgp0BTsh6EuJvHY8dqh8rvDfIY+/pmgdetXmc5q17gOtsRDLRasZEfS +E5SLFxh7SaHVUS4vr2fTLG5J5JVvzH9QIB0itItB5io8vX7oZrKoZjP3b0seGmR8IV7y2Aq9ePE7 +Ih+dQQWRYAB4VpaY0It3uo9XnpNQ9DtY1HGokM77vNwiflbjL06oP4l8BO5SqVV6m+8nozX79osx +V71iV6fqw8sRfBDaM1+Csn1bWgxWBxj09fgngk7falwLrkU2BMw8VaoJ8UOtdnhsFFNWSpgZKMUA +2uDhY7aeyhnkQUfgeOVkmwDEupBCUTonCvaI7l4h3w12HV54kNRLZpeLB/plNmqOgPPYOPkzz2sZ +9QBUEoxPMl/mGEmUCQ+48CRjYFRdiRV8mckN4jlqycImvbzYFp9TcQxQpeXPuPRMcNPU4Za6wtwJ +aTvMQPWc+EI5R38SRB9ozutgiH8Xt43ToakE2Au5JC5a3DTDs/apobQza29fxbNbydG0q9ypfHHF +/7WAVe+ePe7Dejm4zoGbM0YgasKIwazo8YKEj796+HHXi4hrCMu5vefgrzLSoAZG8otGyPFjTgye +7mSUN/egqr0OqOABNSL3jjTvJQdY2RDKbJxGcWWenHRVMDX8Wrf3bhzXo9ekhy6ZHhcFv6HiuAoQ +kUc38Y4+bidl0NC5rXrr0LP7AsnRWcRrChOlP2NH/pSbO31AFwJnkoGjaubF8pR1EOA+E1a3Rqr1 +4t3IgeM/uzaGttHSmLjKn/nnIii04Gj8bJwtUYst/u3wXN19L+51LHZIXbkBH/zzluX2H5FgOii1 +DeKu15H0nMqx8q9cymJyrNhdGZ5V7kDDHLu//e7I7Ww1LjqkpxihQfFh2vfDMkvHLtxUzqpZeuN5 +cYRKdEQM3SIU8INxRZnLQm/bduCzBCcaKy1/cMogDrVul3SDVYAhesfFoCol3/UlAtYZksc95BOc +OzuXbp5oAYZUH8qsZ6rJoYk478Xq3+YnkW84wq3F5F1yTOWIqf/6Icq5fSoHvAOHlJ0CXtRu9Dn0 +kYvxKxKkJHWf5Fp/DIQmNkcJWQWXIUfoZA1uQTj7oSxd9bvIAw4Nwwk8crKECtlCegPXUsHnItVG +d6biHOUI4w6EB2yO+uMGr/NEarFDFamKAewYRSzoc4irpUSmIp/VPB0VIkU+U3vfOO5BYukcuMXL +g3nkEumaCTamaJ7h+mvTJSzSwHmfLrIPLGI41cguyfWSePh+1tLREPsXqb5KFiq7plwl7CeUJK6P +8ciYVYzrmMAzzbP4c+p63fEvkj1qp1VKKfufdmJpupUnnumJTCfp88My3yxAJXGBlc3mCbxtME0S +WwpqsmxnwFC7LKSMlQW9epnQJgWd5YTWqIvhh2z/u6yGGgreSxLJmyLzI72db6f0Fgn6E+kljV+i +iXRu5UCVRNGdjDJ8aCrXKcVzWmcXrCMGkzKSd1GrI6ZA0VJlTvlVvqXO4LkQHbXbDBfu2ksTxfYa +ReMcx0iJwIngyyWa0YrY1RYwL/fKx75Cb4IgGeBEQOzhFznEHKH1f2VjHgdbefZObJ8avYqohPa1 +YQRrpxz5gddolkkTFyfy2xanoVNVRUtQPR4LmxUvupJaWQy8vDZiH3Zb6CMec6o+Z8DrKBu68Agm +q/fzTcLsUKEWzKmWcxRoVSswi+Z1cqi3SsiogdOlmGLxtGTQaxEhRmInEcEkGo3e+cjQGUnvVckV +vndPmL6MvGPQBbE+qaVWnjvSS8+twzM64ntAdmydSseje35gkmriLkdMbz88b3FImFtTOQT9GILv +mRNgwgQ3bVrJEV+6XFuEIC/jYcPWBgXuOgNnfcsZkJ7eoej1bMtX7TcSFBb4IMErtp776dQnHCt8 +JvqtlLkcxRBaCS/DNo/UQ2IuNr/+384Qrqg3p6wJTAcwX0TIA4FNaJRwxsZ6qqU21YAMzhtJcLBQ +l/t2MsVP67+v/nTlLLSTvezF0cVdJeJTbCXcOf0ec9sMYFZaf7CQgZSgS+Jp/LLbDmtPKQUWhT4n +zRxcBc7pH+7l4cQWiqr3LlOfz6IHEnmQk9zI23PVjCrG8GyHL6ijftKdnIdOx8k71e9x9x+FSmu/ +phH2DqVTGrl7Fqt/yIifUXFgvCQApTZWLqbxQiNDpyruI63reBihPZ6wyRkXdgLMZFj8JmmT9WUo +d8TDS0r+NCpdhr9MhqafiBxDQYZ45UDXBlXz1IZeAOwHtZ4ssm58crIenhx0+wjBtmVtAtSLYBX5 +SmXs7FY33IzFXvxMoEU19JEICqWCM7VJqfIqv7ZZUyYc8si8U33ySdZJcmj5knrACEt/YUe66nL4 +g/RVOzxiQLEQoRFgQjrj1SvT+J6WSh11JAGIMseMFAWQ3sUnuVIzU7RQN3OvTe32mvDnskNNCR2L ++eaaJ1Mew+8IG/ivG36nyGqbow6gxD1uoJkzwrbOxs96iMujuR0028sJvdZ1f9pJzScuCNR41i/D +fShqUxMrfX4oZV/g61Chm5KQZVWXObPycUChGmF4iOTY7qjxIdBVCThOBLrxuR7UmI+CppEck4/w +P7hNeNusEceNZBvGkqVL/f3quxYbZsu9MC24LPW+0AZuFzsAJ3Xu8E1QZ6wClpcYf8e8llsi7a6w +FZ7OQIIpobWVCFX27n71zQ6Mjf3cCLkGHMb3YhKvucP8rAspxIadcH0fwTCR0XW64FrxAaDx117/ +8PA40Y0tQASdxrGCwqixsgbBxfCkAcdUh+StuyDXGNVcjDhsG6Vw+KnOV8MCOAITlfcUTNbLtMrH +X/4ojpDt0dMfRTiVMZuEqYT9iJ2m/Ues2pvViDLXcmAFiqnp6B72WYkrUlA8OoPoyhM6x38A/z3W +6PRgTkz/Cg9rCqdt8DOkD8RylSvlBSBBqOEuMPRSffa0usfI5Rhc/laN0Q2WGTAP7IeDJFWQlvrX +IynVrIgWLAiVBOxUEZvsDbqV0+Cy+KYrg/lAQ7NbyEmPgBNssN82xIADwaFYYosqwVLHUjt2Kx9U +mf7h/0Am2CJNOOxPccsjR+6422ew2QQ616h0KN7KZKyEO2UQydAt23x9OTiUaTh4rh0WfqR5NtLi +Y0N7rvFKjW0TPW8886uc8W9F1ccFEoq8ezDhrOt0GzA7AvbYZJp0OyJ6NOwmOE/C18Y7ft8V33dI +qM+qLZJ6/NWuqmCwQeQ8S9TJpi+1Vx1UPz87N53Xnbk0W+0fi17xH/iXqpeCq7ih/5L57pPB8euh +Wc/hOOti/LPw4fmg6rAnKq0Qs0XCRuotkYb37xMnreUpiVioEWNJT6THi4VF/BbHidGd8uo2btVU +2LARGthSbr73mQ+nLhWZcjvMmYMTYcYQzyBivoJFSJor7RqY5gOPKAXC3SEMNm///w2mIoTZISwP +eZWbpL5vKWUtLbIHsEOg8n7QR/4/8p8bxp4ygm+/2ecAXPiYD5gWz/PwB49orPN+4xACVvNAinqM +yG4bC024+ww7Nxszny8XlBP4Jr5rSLIbgcpi3w0g6DBHC7eREdlmugCvar5qj738NkS3sEE1UWUp +3WRnXFd58sQ5HDxJD59MEVDMasgvojHMmWIBLCaPdO+khr+HVy1i/Diqz7rizYKWxUZNf/VeUtpW +9FasVwtHXoq0Kdx8UoFHKZO3kZtmsjPAMqPvRuM2Q0UeFMpzDn+nTv704c08qDvbTm8qCE445iEP +nFUfhGyceK8qMEyzgyHmFMLShUTZisD1uf1mr0L+ebuXg3VuodgDfkeFkvqZmaNMn1LtUN1MveXo +4tDqPBrQDkBI0FQBqvcXa+iRFEVG5ZIa6U+JqC8sYhbnSvX0fi4EEZfLtBDHRdFlzt6SINfInxpv +YNNFRcWVlKQfbDj6SVT3BCqOQ3FbFN/Ibh3t+yJQpK8RQMJlyomrEtY+eHKh9Hg3/1F8Tfk594dS +4vZv+wdMit30bZ5wC9wk0FkJ5SYKonRZoLQxTb8VLcOJMS+rgWBxcRMqq5v6I9gAd2LL4lwPc14c +k02dKdYhmWJouEneBelk9j7cOdsIsJ2041EHGIErFBAs+FfGwiBPL5Xl60hkFzQJux9tvtKHNn6c +RCY9evOPTTI2QZYCyaXv8fw+iE03rxFp83kUed4TXBbb44NZuqwq6eL4HQzGN2TLXYG4f4FombiB +fZqY1f0RoN44vns4ApVOsmXOL8XygVOHDEFqna5WHeyAxsKz44od/lA0V3kBdZDoF4mBO4ij/4Z9 +bliCYiWVZ87tYqV0oZW6V0P2U7PSrvKBLjYRRgH4N+8mk2T+7d37gWpcnl/JEROA4xYGJ+DfeWO4 +kWqc20KohxPMyZJXUZIJVr4O9fChHJvdHaVzoNuyrGfz41dfoSFDLrtzwa+fyx6APaWrNI4Z9ISd +9ClDq45eIuQJoA98Yyc4ZjWKmEiB2LF4L21B9EHVrcLH9skK74m6wZGhWZrh1yMx1iOQXcy3RmHC +RXoCF3q9C4BanMNhnkEY9HkQs42G0IzjWnyRGwB0d+enCmX5XdNl5CNj+7aaIsHxjdxhe/grklYH +cuB8twtchKR7JITxTw3sJmSxO/ni45+juTubzbFIVsyYWE4RP4tdsjy+J6YFSu7UrwuXNdKv+nG5 +9hCpZhN1s1lK31Ecd8vvEUuHHTCih8TDVMSjIgau+fp63PC7HsVsngar1PNr0JnhWlKBwpv2CwEh +JgLbZUd/0HdnxjIyWwiAEy/jLm6r/PykvTUXMmpxQJR2KCs3JaQKM20XxSmyHERQ834PeN868fjW +Or7U8TQ8bZQ6R6T0Hwgl3hfaLclO9938SMAbo57esG2HPl9fL6rAajkQD7mITGblKpJgb/5ttxcl +VjQLVRXzy0HbwFK+lakgLAwHdioSu5Ka8XcozVZOwoRaaIsVj0j6by2vqzRXMulKvQs0KgI1Cxo8 +x+JlXVPHxkbc/qR3Zem/URlieCeJyRN0C9evw4PHq27wqPRqAzsaL0m7wUZ/iSU7ndHPkDkiWRT7 +Ce4ylqrzGWom3/RAnXtkFJXOynyd/k5m2z3RagAesJjnlnG7xfdj3FQ/Ip8gpPRyTrxDwRISoXWk +qrn72WDyHGX9m3kro72xIgrTK1ChnZFu7BCkfE/k75rJacnN5nOadKN97al1zvAM95SnoNOpVubO +shLjgx99WWKf2Yu7u/Uzv+Y6ifhaZz+LkX08nnxAjnZMZi2aacQ1hZhpwKEXdLm4WiyqZ8rEVb+U +L8njuCcCbcsOnoDc71fxKH/21QC1Gb6/lLlWyNelqkoHOmTj2QcFfM4rjYfqTtgU7frsdBjpUQ+d +a1r/f8L5MCz+EMFqml1+vgN5u5MTHdkK7TyYd3n+SsRZMcdAtrEEk/xr4jSRtzu1ebtGRw7x1Bjo +RfDDC/ADd3kWS+q0U4VDHBrGuwbE8BKv6hxiZVpfVtN6dKMdCZdO0fOFUWiCtpJ9jky/d7vDF0Tp +JY7P9zzQ0G7FVDEbrYgbwdpo+SoE8ocX4yCsjNHwWZFBtvwFOTYBKQxGlhtUKbyPT2gh1GGUeD9x +penBCRQdkCNruCOFu12UCj2291N3fdSzpPyBhCTvrWm/X1Pv7RDBsp4rLTAl0ZLt5IZEDYurfgW1 +QUHVyZ1x9us453JgT8Ph4fCyKDeiq1IgoMT7LOVo0uZVw81fW9crfX+mR/533JM6xD7xH1pQ1Agx +79A2in5XOXZw0LE0la15Wr3PZ/WAJIHg0i1LIKcncuZltEQLITmeNcbnQGjvnlwf7CPjfatMAvZv +0spjDsX4By7nO3dpOiLI457G+O8hIRndeZ1Y2sWFXAq/1JNLaoByfI74NIkIMO3YcEXy31Z5esBX +Zk47q9KYmOHJz6apa2kavh1GUw2+IIbjuSKEK7Ja74QR9I+5BxIWLAdFUST5U7COJ1QrbxRXtRyt +7wWvEKIs/Z1yabRXwTPG3juW1iCATSOxOZXbu7GK8GJ2DIjU9YKDoE+/4Y2IBDcPCu/l+jBJTbVn +KruwunAn2/kLNZ3X/6meRvq0QYR3d/ALC3Zl49CpMunc/WOO6y3nnsxMmD+9NbaDGQSoMfwIOwSR +HSF4KoBTDUf+nwfBpdwnz3TOsqjmQzqfi8uEQxO6rR38cU+DCQTnuLgImSLech3Ydt0MuEYc/lE2 +tSb3W0jgVuFYYDchKGk0Jf3urwnGKaLvHRb9HAubDZ489E3veofM85UO2DoMl0iFve5dhfiXsDjP +hgsVQ1xPvwj6dZXWY3hiAwM6jRtEW2E6tysUxRyiRoAdtX11crmv8MPQ34nZD7/PWI9hxvH7exIQ +wXBdJyb5F1ZZZFuKTfq/y6Ec1zmWuxqLOL2EgYTvasaSpPisQkO9Q0OUvqNuhb0k/7nhc46OGjd4 +jURi7waxaZAc0iB4vG0zpNSyBSOZRRWoB6PxGgodZR6FB2V3nzcHNAzGCto/HcRGiJwD89uL/7Dk +naonf4u+tkg+H/+K66WDcJ7qNhvZZIQqvyEXuXEAbq18ALpCRpdSImBtiUv4V2DgswXdkVNld8W0 +7tqIkBlLa9bPsSMWobZaSVuiICdlk4aB8vr8hu3caGvYj3JIZ5tyk5RCmr5mzP7E3XvzCwTcz+z7 +sUN62R3dI3b/vLpJ7lfPxCDW4vVyabMAPga3ZWfAcNE/wqqYpbSYG8oZYRhs6fCK8pVlBWP+vs8I +0fsy1efAvB/O5ophPbaCz8ahI0NvkKklbqL8gUcuKhCNfyxKS/uvuu8qk/Ea2kC5jcO2OPLGvSaz +sMtUZz6VjXr1MqRbzaGupZIFaDW3d5ulZSEECN9LNXDyXmWBEBjiBuVVXLeGhd1jvQFsDCwv+Szj +GagM+VrTrvkwztwM6RHjCdvXqbBSFpe8vFpAkBZrV8TWNuB4XEWjWuj9P4bxn7f4suoeXLrs1L6O +AdRRcHUU/8DhhNaj4FAuD3coyc9vWHMhStQab4WEsEIsV/IjIN+g3hMowH9IBo9fKQ8pSZh/Pra2 +kfl04SXU1YJFIQkRXAYC0Rd2OVlmbZE9P+JcRnTx4XEDMRce2rP3S6Ln/pZhs4X/B2iDoyIjYmbZ +gq0L0Eaf+DZW01AmH2WEbgpcFS2YJ6IhpGt2vxm1a2BDVVYhCL3/gY2xRvzdDmELdZCA6ZxzxUy9 +w6eU/hu3N3duKuWsZFfYqARW/msBOWbWRbjCP9DvGrE7+AkB5bTOQI/FPb2pKoNbJ1HDB6t7y4P5 +bsJ0+WpSg7qpZ3K+yVpi6TU7K2RWxkrkHbS6x6fG9yggMit5IfThl7aN/De3PmF3IxXBIcSB0P3M +o0aIcWRIxQJ2sndj/uq4Ap2rqY48HW1NGO/LVTeGDg5w/wMdE6x1ca5iz9xk/wFj0hpYyBgZdBGG +myqrAiKaOmMtv8Y7b72U2hanBwim2AdTGnxlFvnNZaC4C8ub+HXBE5+BnCf5mvoeHyI1IFYb2SFq +71dzUjEPRJ9+4ZiRlBuv4KewveNytnWuCZzYKttBGD4gMKxGtEJe9DvMGoGMUL6JrTaphUfXwTuZ +y10A4K7Tzx+6c12BJ3sXcZzjAzu/JgZtkN6nS12+/PqUqZ1FOZLKDj6PcWGwMIaSGfsHNnqIfoYt +2qMIkt/YqXGQikCDMsHS67LRGGIFSDPQdesQtN0gAows5mluT5Zxvv64cu3paWtil9+7cr9NBpfI +Kx1sAK6PVi/9+EJ8DT8cadm4oQhjJR4QrvptaJO7fA3xu3BM6eh7sxCOTmcUpKLvzBwn993LCHo4 +3X2TKdQ1zcr9sMArBrg6xs+NGtzV9YjwNfOR4F/CpTcEMM9FmWeLF7KfJKwZszkE5Vd6SqBYwJhA +OOhJ+fupqsxoKSBK0OUS8WcLDfF8beaq55AyxkSzqStmgw/kWzB58ikP8+yN4lqCDXF8h4EzW5Uh +m8pi2BZQea87PjRWaFhuZwXBiS4E8b8MvWa5STzzMNb23VxTqYnulqoGPmoHmcjYKw3ZZWVkgaRn +6a+EoubSkt1z80aGISemiFUQWMMjvKjLhIJ4ozW9VXcGcmPaecd+CfXhnfuRdcLl91OWQ2yF9Jum +UufEEi0NNBzMrBUhKwxTC3kR6qcMoWVqwd1AtDdhn4WiVcrgQjK8404DziS7LrkTyUoYSqo2sAKw +RM5XtQuSvUxmCkG1Und5RnESExBAHPtVhQ7AMn4lq77e9Uk4/WwG3+mji9L+6rmr3/jUo44fXTmK +oQUrw2/3xx6coaKcgeKG1mjdfuduAVtvfoqgaTOUq1udQiY5RpqlNHUl7844NN6Mb5AsWYOrjn1a +g26lEFiUncM61FHNEDIFdZB4IlIdhbPqIk+tztGUdYGG1AldAo+xHzI/zMmc/+eS9osNHPNSh603 +RZjeh0UJhr0BgCJHcswt6WEoX5GD4P5aPubztFxtlnwwW1HuJvmb1fHnQlNsyogk31hp440xHGjx +gweI4FlAJYYVpHcm0OzEUlp/jrNrqfXYYCSj0Z4V7gfV4YAa7x5K4QNMTfxJlctwxKfSJF9lh9tD +kDBNKEy1W/EFchJ7yEsODEP+GwMK4HCDS+hspE7j7oBtEBAA/BLKHlu+JR8hAy7LXT+1da5dt69y +fOOGT8cjrLFwuKI2DBN9jWmVRic6qXGUOchdd/aiU6qNtkXxY3pbb8eyWv6tZPGTlIii968+YkVU +LK28ELWqvyV1qQxakAmCFOodEbEOaBMkP3crA8XPq7CwVpYn3G3Yr2J6O4r+/D5d3JszPWJcyyof +IAvGvdh6vNd103LHjxWyHw4fjnC7oYJ6uwM6l8DJb13/TmXRhJNtZIAw6QBuFOq6LQs2raa0/K/c +Q2imikMOxysDpGoIN5kHEDG4JkIN8uFzmzN2x5SywBjaVYIT7KXZ1wrSuGr7CQMBlza9H+khe5eV +VKquJmuyku0l4iFdTUfuwpH8YuKl55haT0aEvgMvW4WGx0xf1yAxkHsVcFbSqFr027MiaaNRqNbx +flhkSGaAKr2YtYLg7+js/8MMMecPSqh8iJ9HDp49mbGuOIxUzOgw1mbUVpZkXCfFiQaQQ3ydL+mw +LhABAo2eO/NZBdyU3pXJXGfVRywu0mYP1jPiRZwBZ2+xZ9Sref6KNdehKFnmUlDXcudNxpehaD94 +lVQ6vmr/DTghCgH1fuDTl0TMf02jwe6H03lO0nObdhCRescn/kC7Wrb6OFsdFqkkaWzSuW9xNj/A +OqtbreuzUPrIyKY/gw/rcUh4yfyK0r5Ed+jKaSMLFOQ6viHy9WQBZ/8nwY+YwMDYIxIU/ZiWrowT +oR6tsH/Wo0qke23c+yKjnQr96EB5a/Cg4beREKdOihZmx9UX76PJ/dg3iHRjepkgQtxVvxq0HPS4 +DoOdJvwTSKPknPaCC4y159qgqC9C8OUvXXa6qhVP8yk3c5L7adsZzkPJ8g71oHEySSyOQ8+4BerY +HuVrdUK5DevfMwG2lIJWImX21Vy2cOk7NjUZypYzAmKVtIvzDhFvABY/W3G90X+SbCuYBGJkgyfB +qvFKPdIXP41wmTQgjszIkKzDEKNOs7IEgKvipMqy14YHiWw3D2I21ZjSXSFG4cPGyy0ip5K+l0Er +WCrNtXksy+ht1+zmv+SxDZBQMVQIMscFqY8TQzOKTNwcd+epZKJ0OZewOWzFtiilIpt2JoaDjfF5 +7UN8/7ZJRsZzsU9Jo5Px3eq4paMLsUI0y2B0ryomZE3ev6JgqweoDm3liaW1FlRG3q96IUhmC5b6 +pYnuth4X6fF3TkAd0AzqyECIV9WRQOhWSiKiDxJWOU5wkM7n0zxn6+O3JZon5/tNFU3lGD7LfTYM +h5ZXVDCB6BoNcwbM11IS32RUjdqSTlESRPQk+MEXMeKEVz6vXBu1H5N4cPLHeFa5clZoh6eWMEpa +ZMr/HO0bOjrS0q7vs/a7DKYCJOfYgOPltzibnTmd/uMb2WytH3sQ+HtugzzWvdcfqUmo3Ou9jSAF +MS641fPaGUIwfmp8Al/O9Nj8i+1bYg1g/nfYx73KFzVK/twtadPlkqwSWlSvV8/oJIrvXPmGQiPT +Que3bc219DnDw+miRMiFyypQUYIwMi3JvLkjVv8l0LcObszk9ZQO6PBw9YXjSu1PzBYGceCVET3A +10bPXWza/idFxs3aefEZZaAbX7BFn2oknds2VvtFfA+PyulKKKzTTPE7KLSn4RKDJq6PxtYLU6bb +vgVf8JH7M928YZhoezX5amQFEL7mt9tthNAFfttLerq3S6YRkrCK2HQwuWanO9aEJZIzrbTHAjEw ++Rgrgu9cJUl6vBjgeoY8u2fbbrB1Q33g5Hz7LMEP3qcN0RxUnGyuVqc61TqgOBELE4E5skhNE5// +sJ8v662B5L2IL1mxqhlSoZJUUNvFak96A2IZIpwlNdFafYGpzkjhkZy9m/eaGuZ639jwyA2Vy1po +srlzGFmebH7DdywK8u9cr/Lg4p0zOGIvnPPILRSjenuLPRuayeI1usOqThThD+JUkXeQePjc3R/K +hA81EUDnLD0QS3rjkhDoPDs3q9yo1AiAi7g6LpoxucaIpUhyjSkcuv80ntzQT7YKtNGZrU3koLH0 +ftEOR8F9em9O49JM2jwEm4LqFRaP4pfMVswnOlPUGgEQrEj/iP5XvYzeUJJKxl2fFrO0pJCd7/40 +EjxHAKFfASYhysxDW/Xk78cJAtpIuKSfktDpqy3/U/IGulguDWPfHisS9Y/aP2sgHjcKn9OlPAmL +7w0RUWV2Tkx/eMUSfe0+nmMmvQ1wUk5mLh0qTlTG2HlBvDfJDLZfZGU8WKRQJRn3qi3Xch6saMdw +/Lk9fr0W7bkrO0FuyuIJzAZqHzT5MgrqgfYqSJ92ZlouJs8/RsFDqh6yRq/y1Xvkq7xrLqHhQX7g +jKB/puzW8GABmPMM5xz/p1ms6PVyKHS3mHZQqeQ4Tf9dyncCX9WInybXzIigM1v6JL6IAYGZhHMs +m1FWyGbckd3bLCq1c2Rp1RflxYVFsi0YgApVjsdTvXoFoTT6xvzYPXr41bNVhGsvLUKpVnDKee3P +SIMoO8NocuJAWrFXEFq4ZjUl2vF19ttydsL5p43U9wZejIbHeIZLgx3pG4OyiNxhtVKZjD+ydrj2 +15SEDkecOldLfYsv8JBMd+283HVXMDQ0C1guZM3Uy4m8W1VY0c/H+fWKKwsD/1Pqrqs6roJm1pDs +ueeW8wTOIVG6TGKdmg0iws+oQTUM66H6h0HcLc4rsGjz3m9x92qLHX0A/W/QTCJoB4v+WNpSHR9z +G8f2NRdyBks3WH4QwT+2wG3aGA5dON9Sx1Q/BsyLPDaJcg8vBFG9GDqCO0ThB0eA6w48dmwiMuq2 +Q2Z4MFcGK/NXW9Cei+kW1RUdacpr+ZcgGDVitBa8OG9wYOVxmQI93Gj3QZVzzi3uWwSP5taLk/hR +7At1gUtM8QA2mAF5MoXo2UECiAQznkkVfBCZznh1oU933aeTfnRD8+iauN69rB3NHh7xQNRLpMMQ +in9EliZdV4DS74iLC9DhIC8Vv7ZdPdt9cj31zAflqh2xCeJXuRbtLx0KRvR9wR9M287J7stv+/u7 +ZEeJxncZRHJTsvA4H8rt9KUUb9+5i+RZ8mLKh4pQuC4pvWSKslh1IVvWuyJdGNL41+IoX7S5Bgiv +8vtK9TTv0zEOCPOGAnSDH/bpFHQiLEtkemwnjcJxrQ4Ihbv2c6P1SppsR8a9gyn78JNuU3KQRj29 +IPpucy5sZYs0ByxspT+1FMh2zKiE8OqZJl9HXAOHIbLYGYr4JKon82Pj69ExQQnZJrVMyY/6kU1l +LjFEY0q5oAtiVYkea0pX0qi2HpOlCjzj0YqimDfrI9igpubukGcRSbLec4vBRR8kMzD0ihJYyzkU +erZN/kgexI+N2qEsqa5MLydc2XhmR3CyHxt+kwpVEk1+8Usv7nml0UOGqMRY1bTtV8dtEzry/S2K +Kx3X7AacAFXzSQoQewvsmg6Deckgu+3s6Oj5xp92n0DfXVvk3q+Jpw3mRLn2dVlh6z0kEtVfMu8D +qGQw1i8JFqJoH4CK26XaP3TZxVvu3sqzJusNVmZJZ56vMUz6Z5ekracgUpjfnTFiZejTop/BuX6e +gpHCdzT5mpCE99zGGtgDbpAdiuQIjvpm/XoqOM9xhJhuqczomzXJ0MYaLY+wuG3yFOYIvPeCsq+s +1ftIu68YJagcdKKfCj3cf9VSKvnDuNlwnCzvCCKCzAimlKm4niIBQn4EMJFF0/6Ds6NYvoJ8l2Us +CDLs1ab5IdixbugVgnII5RFdzurSTGTtdetzszH/Q/GXxv7hLV/OlAvknvavbKAevxxCWMiAzH4D +V6UO0GWSYZ2YVj40RgEtG5vhRscpr1dkHl9Js7hZNMUr1qOKLJrW1LrU4F8ocUrwDyh7fZ/bQmka +r50T776tOVt9D3VrGnmSRDMpabGjFt4nWddx+OXNbZckU3hG87yO8p10De1n7Tv0U5JvnnhNktqU +CotYXfhnW/GS0IxGZsKdPR909TLZrjPped3PMYVEUmZrX0OjOj86QvlNfxFv+Ss+1VXoKJPUedmD +3Qjb00L0KnhI6GrHr31fNZo9FbeLNMssljCdP219jFzkPfOja0OmoWJ9tMnEc7sIfJ/D+pjEbvE8 +r0dN/2v/OWuTAG4SY2SCaSDMWfjtf/J71VtFskkfPjvm2mdBcewVdzPUaqVtO7rNLeEotA3YBo54 +JYurBD/BR2nr49kO+eNxLFxp/FBlp6U0f2Us3882gdB0taGK2El7/uE4QhpJj1eXiJOryReYvGee +vfvvQHzGbk8Edp8NmVZvKji5DAzy2N9TlmDICIgUZkVNR72eef9pY5BJBGGvC/0TIWgp6Gh6Gow+ +8CgXa/SCSau2YwnqESWjV1DIsT5so2iTn5M+mpLxp+j2A9WWx9Wuo2sQ4r1dUBCoexJRhmTlvgYR +w8phZmkqbub00lDdtIIwKVieyyBUu9NQUwOqLw6IfrjbyKhuqkDxMpJeHUCfCGkBGlSujmJT2+gG +IUKkce+mhcICgRtopXZvAcDIluHKYrcQM1jnkAGQpNoNZNZwu20ARktazoQ4+gaKEGAg8Gqpokfq +NZFGMNziAhOWF6DPLmlf3jiVBhd1ImVA3osMiE933kB619FnKMhZTF4P4E1AtCIwekHp9uSfJdxT +6RyE8tBeWUpn0oCN8gQazqDN4lYlN/guGqZUEG7Ah/X40SxgY8auAGmi/nqB0Vz6jhBKy1O6m8od +fcHlrl5AosDzF8OYPzHUqOgSSQOg75F5nFruQh4IRm7mxSpUHqLPCZTCcsmLJGlawCIFvi+GOrQc +9/SMdWd7TjcRVcSFJ2ka4rU4JuwRek0xBr7wAoGK/NyOZiG9BgLtp6pScMhbiSJYxYz85AAMh4W0 +29XO1bUxqjNjR/IiLDAxAUu0BKvTW/pauny7jjeXp1HPRuvz52q0kk+349GruShlQsBNJ4ahZbZB +Erayj1bWIpPrCjEaaJxPPX59Z+NJMQ17FaA+vtGVv/JKSr4bGBuc7O6sguVKKhpI6xoPMasQQ00G +2DiNtnCv/QtcA4wjG2hEugzuAwup307w7euWedifmsw0nJDisulozGw32AjJh+kDhz/QWn8hoOkt +MGzboQSiq9u3rr0FFYVeEyTLQHXmnkgHgKKDmBG9zFXdsUoFpsMjWNZELCRjZTdGbiYrK4LLME6F +QtjPCCZoH7DtF9glOClUQheNbT8nnX3gcaJNSDuIurLRmAFGO6+7/0a8GIrsZvFtBptjmQ+vVif/ +85ZOS6S4OwQy1bplMy0CYZOUZI1E6qj+KRPI2Yj3qpHiyjT6A4wPQ1jebTQdy2Q4aopgBPSscB22 +IfnKlGwDQIpIj2+IUUA70XO/VsYEBfZ83dZszbO1LxC7ZhHbuJDGR9ogV64MPhu8qlWDtyzvgkSi +d6ZkkQ5mamm/CpzGan6VHmVLxUhGutfwcE3/CZRNLVrfZmt/kJ13cMbEL33AdUqwFiLf1ITesBV8 +fTLmgfKC+cSDqGXDikFWSLQnkWDOw69Ume9YgJ/JXmrb/YeYSNUY5PP8XCAZl7XgYltKTapFkbr3 +GC0VcJi1pWhGp03GhF9bgtKnFrRhqXpUcVvRxBUevHZPAa9HEPpSe1czC6OpdFMnPbOVhD+mmBvD +DaX53B+0sGYknDl91xnIhf4TjGnrFqYRURGT0TfoOuvuPxhyBVFN+FVihV60jbfz+nmxmXZlsP0D +Yk1kqZ5XQuED+vzo5tPbbxgXolFQLAnZ2+b9sHSrzNGwaAUHDg25r5wc3sMkFs+O1L/w+vgrHZi6 +Q+rqG6TMTpwrf0jfsOAvexJmotRy6U3zQhdkZv2ajgJL+04ruzYFEBQj9aWZlIDSiWMRSHuEVoYx +BRoelUYeOfMjnosV/oeup6gMiK1vyBhgKJ7FazxgdTDu4SVbINkuiP5IWisXTS6dpZlQw43FWvbY +4h3Sug5GywRxDs1rAM/VrAX6F95qSM3Xy2hYqutJH6Vv8PHfB0O3XbxqdnYhIbhianaKRhEqyTti +1WkMdoSb1eUc791EYl4CnTqxlIfJIRy+M90dWPfZwFL0eC9C2B3//KmRdJGULSXXtAZXyplQBBXJ +lhc9UqNCOGMWHdxdf9TRL61vHSi9pTVswAbgCobaVS6yV+0NS+PE9Uo8rkas8f97W74xdmuL64bV +KeTe12qfEcIXzhuBl8fz3Qi/Tr7sPlpVKuCTzKBodL+itO+lm1NJCRdcl1sodY9souuGzfEvy/3A +NhCV0weltAIWiRT3pnMSWQgROUNnwYxzoV7jI2qSgE1MJgeIOb/xC7X1/wWSdgHrHNSGyKU3wq2W +2FhuQAJ83fw6F4Qaf8Kr5OwnXmAj5gBLSDGvW+oRaVh2EEq0nD1Nj9qyElXDWvfMLIAod3ae5Y9I +s75QAlYjkLSNs4WAUZ+Cg3G5iGeWZ73tgwfiQKPa19fnAA8HdKpu/BLTFHyRULiOotqjY7AnEVqg +fDpMPJUSEzTCOILy5W2NoiPGj7OSmjcYVthxCrRLMmjp2hECFRSy2yE9DBDlqkd6+/7AJrdeGRyS +/MfPpkU8l+UOnCTQ9hikK3IvKBEmZk0VsghEmn3sPDa10lf4/w9WM7gxjet/cHmSW3NoR4XAvLa1 +NcAA7zd011oStdUhLiBZWZwfb1or+CnKcoTXl1crZGz7kpOJ2EKD8nq3dS0t84nB0zTPIuLJV0lZ +JgC1xKJFneKVui9KjeDq8uhpc5tG/ozYHsVc8/oSM304jc7tHi16hk7tmG7NzUYE5/AOhXgrFUrg +aWPWV16F1fPJKn7ZRMSdpx7/BklZn9qNSPlzRGmnGJ4ya+MNSnZjxHmRVBqZE797ODTKoXcjsoVq +UzvhdBjq12Wjcfqc95GWfihAEHrR4X90k0VMhMTKKvbp5A0fMCqa3uINEwIKeudghN0smNtZGc8I +D8I1CVEd1P8BE+Z/V5JpHX40oGveoVzdSXGY2GZxmkaONMB70kLi8jIWUS23GOg4WteCDxEK7iiH +zcc0oY6QHZY1l8x9MYaFk7cki1A14y17q/SD2HvmPmQx/59+7PKPTUJPP8Box64/KLH07/iiOuz0 +C1NxSCYs+7nx/3nWDce51XxGpEdyRDe2nsPS64Qn07jRJ/dcaJaIWocjy358/loYL5lbn/JoCsMI +ilOvS41InRdqTFTIYUB8ZIdAmENA11TY1u7RE38lpUUxW65gotAYrvnGd9QbnCuB5kkOHfDPX8zD +56eELycFKzMPEXnc2YfgoTc7HPA2mHiCqP1a1lNt1WcpoV/MAQNWU79ySsTzegI0Bbd3N4SfzoRH +jLHbrW0dXkE1LRuGbRNjBA2y1DlmTC/MWRzQ0XLVj8Uke5bl1QvGRb3+5JkPvSu4moX9X1L59MiA +TxtguEbiJzzMvoAOQxiZtFKrEJnRvo+MQonio/97Ggy8bHwTg9l0z0VhCUBYcEWoRtLzoiqnOQXI +r8c8p53Vn7CSHza+Cz7+F4CPQ+Zr4SSIX0EjTxuIfuzZ0XrAPXY9ScpY0796wO5NdWZpQQDJD9fR +rcdP/aEaEDhau2mRYN9XaHT/Q5FEeT7nKSDtcj0u97yirlDY/9ENj1Bq7E09vSc8qZA9K9Kmj+J5 +6Yr3Of4Ald2fL/rc8XnVY/njO8oaF9zZPu3qB3c9yDmHLcnbiQsukNOqWrtdJp+/cetw+R4+JO+R +Wji+MmkwTni4iGeo8zrZJUCe7okbyOPheHz/FXOwTNA6VsIfOeRWerJqkF8/Di1o0IcgMBNSMnF1 +qtSlwa0x0PjI+OUJvT+7tL1FeGfjvbbRjQZdQ1SMmCUxi3lBc2Jie12frmDwRFIxtg16DXVGO7DR +kQ+r0VBNMLiMTO2eH0C22x6lYoOstgplRTsiN/UoebXx09bVeA5jKi2luL833kxg3u/kKCy6v2JY +UvNpXdyqYvBSGz7NNVNoBzbu98cIbbfxmNzVGqKQO2GEV2tPxFf9qOfE8S71IRBjrutBI8S7HkE1 +nwJCM4jFgrWje6Kr+SB6J5DOwUgpf3i0lTkWIaATfKKeU4biJzu14Q8PlejSLj68QCoSQBqEAbFt +I7rDOdcwFB71q7vKD0pPBFH2AjMZl9B/nnANWjrdLwAtC8GE4HA2coOas6dSwzstbHR4kch+qjr+ +TQbwHe5k9eiBVxoXlYKWL9VJ6okr4sQWn3KS+vt6FAM2rJqxCPonEQiHLm5RgmiaWkuOBTVFA9Hz +TVXnyiFlmDCtqonAqX3m3usJsQfvTqJE9HIURxp4zR+ApNbiW1LSCox39NwBe648oWJjKaIfodw8 +IjW323ET5X4466LIyQw8Iq1hkJzh+o1z/rJ1Do193f7LrZNw8YHwmSthIB/PFVUF7Ks1w8Bw3Sjo +8BENfC6WvgPyz28OnFXtx8WiHX53+b0qVHvVr+PNYAuydxCBWg4zEl3ZJdsXXka77Hs5ZcyOip2d +yWP4FyW8ZzChKbHLpMRpbKwOZZvafTiXo3ECrek2xng8R54b1CAAydE2JIwlBB0wMJ+cta1OW07/ +4ibV6fcsGsjwQysQt2A7vEKffMuQE+j/cfDv/L1OgpUZSdl9ePj9NiozCSav87IEDYP8Wl3iBHpn +F2Hixpb8QMhcpKxA2J1o1QVMX3GNOjKOZlg1y8DDyIs6iqiemCoBCkZr4ALi+oWkFlltz8hu9XtR +qhS8BrLkEKQIAo9hFtG89HI8+leuMiScCM7ibO7hif2v0mAo3LuXQeaflk+LyFoxI7tpqzgdHlRy +sZwNV6rboB5MiTi2UpjbfeYOKlhm7LxOJl/lBzmip798+/qaxv48z5WMJp2CTtA2ItfBV058szlO +1+v+8WG0X8WuO9Fhi0+nmIAPGyEEdplUnnkWyo3/wFRjh6Cbo83J4MU5F+YHw3uLYXWmOthj9i7f +s/7jN1wciqZYw3oJskwd/ilSKtXQXEkTjZupXiQ8g75QczzyU1LcHPGVmrHYLn1w6QSABrNR3fPt +nDCOyxBrpvnwnG1PNPP1ifP8sdhvZGL2SzhGIKP0k1ptAT0N2bdXxOBh5W7XhMnqKqPaOybMOznl +choibxbCzYp9C1aj7Xu8WTdBI/Xm+VbQ8HHrwT/ja16OaP0zk/KUVJ/0e4dfh8R3lwmw2i3vMo1K +ybv4tU3LWdGPqE48Sy7N1/rAnrsZSDExruHu6A8oulLBv8/dbMBUXe2gIfPo3MTIbhrfCK3E/ftH +Q/qotewr4cPnsX+5DVJ5VvU6mHAvcQb6PqVX2hPKzCpeK4sOEv41isombAhz/IHebVb3exOHGbQH +siyytLF8LnQtxufzmnev6Aj6UCCym2N31uuPxhKH5R211zFMRPDgUMXh6EOpanSSxDfH8B7RDA5T +KQ80knpS6cQky8gMZsL0e8Cxm8CdjtQuCYFIN3DOQD69X0iNntAEuKJ60ie+LrR1SXGa0vIRZ6os +UMAN94F1ovQQmlZG/vCO2tMTlCLCmVPWOMeskUSx3tF2hFqhmfdLsEDiSTqr2p7PGj3vP22rRIDF +SKXSfSTSPYRu508LofOrcNNIakcf/PfjSbwqp8PWenTBc6XNwapx0UnPLUWx6h5xcZSniS8Eoa6h +3zF8xibcyNMUEfCI+9utCNhOprsCr8OE5AxI0oP0TSSOC6zfuasy3cNyNcScW06roYs/I7L1Aj9t +ZN7Eqv1Rhasoo14Ytmz38eOHqiWVXSrotMQuXnMdJYaJ9fekL/ZU50HJazb8ZBd43P7JWgUpGwlU +Vz/CbX01jgr2UIyrwuo03mtokH+IEAzOAL+BizpDuAurPjwJ5XMgJY1oGniMO21UySTvYybn53Do +bblvsRLwm5Ljbr0Epg2qqVn6bUSLC2wevJpj0uKiPq/f4iwY9ZcnX7DD+dq7G+4ReKZJWOwA9l6k +a8rP5Dv78ZhL9OHPfvw0NMFQkzm8Fg1CP6lDwtTP7XqLZuyz+VRFJjkPBFQnDYX2ktyhe0MSKAti +6+MAWXgRXnjOJs1Qmyox2u2Np3++ICVuMCHjIK209L4s/9qLcYJygT2TQWl395Zrc0l6UWpAQ+XQ +9xOt7OtBqz1dnQ9EzT3VL53y1Va5eFIBwzdf25G9QFuamzI4ANdbH4zSGDCm4ltFTGIkZgil6aaY +NgKPrlCBPa+qZloZYsvNmYaH1hIWynG5SyQhxMAtmQSgJo/DpgLCpbqSJ2dqCpnlU871HhcC8/dv +39G6L00WvwG/Fexj6BcuBqlTZRPREW57ZCUpwbS2mAmfH/bmpsmavwluF0BSdwv6Nr31Xr8b3vbM +N9m26sONx30ubSOlXx3dtI7LINE6/40ZdqMh9Q2GEk4f5qLvaGBcHTJUFM77GMncwPlxSl+rJ5VU +wIL43y09oBZJTl4wE6M9trJCj/Td1/yhWsidQZV96BPVRabykiWUGrU3OGbYMJ1AYN0tVs2/jhlo +nX9rUkjJWZto6vPY45sWZwFemtoKXDwTUekmXU/Zh7vT6Q2ebzjLHhg8bMtOLbVl3dFe2zEDcZCX +F7ie+o43bKHsCBCCWhH29GuV0qT2npTCiUBN+vOGkonwxV9Xl1qslpVT1AvLkrtg4QVq9wWdyCeX ++c/cACx+nmELwMVucTpOeqSz4+MM+s1jo4qCvcV8VTissRtIM/4MELoDp9a9KplI50O2mkFCxA7p +84vTUEczaQpAp5MVkTl1DzHe95NpILrvcvIPdqB9RPrTVprRqyxE+1/hiEuNTNDAgkdrLqqa5J5s +/yNRBwXjrdtNylnuDwVoF1VpKDUeyHBKwRF6iR7aJ/6xK1M+1KaKvIeCBiTs4/qhNdjHri6SDxV2 +g77Wzfh5EWJx28a/wAjdgOzZMCeA/fj5bx20Pn2Hm2jWmeuqaHDP59Eo0OtxR68yNozrdP/n+hWg +bcrN19CEw1dwGqJc3z9NtLrRJ6i4Clbj1znKYpaXW75crlq/rCxPVhbhlu0ukQusODcg2aqSaRKB +K0iAPpBZ8YxTduymec3V/CBu/1h8Fyd1+vZ3+jR1JT3RV4BeOudIYbxfRtQ7JvLKOteoBN6w6d3H +cTXgs+IhYGtZOGmQ/OkUuJn30Ehiha2UWJVBYxoLCThab4slUQ4CJEancugrka3DUpGdSMgBkiqQ ++z1ZyrSIbMZakXfURyTdvgU4Hchp8iMCz7fLY97dOv6cqUVabCP8CmRz7f3md6ldFVZjtBOfn2zB +3BXby02hwYWCTgnj0ccAaykSOZmE609KjOgir/4dDwjkNg8qEkZFXktYRQs4SCPDBYcP7fgKTc8b +nosDSvdRLIaT8bs4COpW2cYr3RYgYHkaWt8SmPZA3DcQ4t5nKwN12lV2cROOwjtSOXVQ/Cccy0Pw +WtEvetl0LH0dqMGCs0p6pwfr2sEprZ37f21DvGyaD+Kgfyl4XiCZgfayy/TffL1fgYemiW0lgSoB +oVof+5oGh2rerwZWVzb3+W6i9jkqTGcldeyFrdEmbKnwN4bSbZVKGtZRrVU8b5RiXOAcAACTC34B +Txiwpx/AtJv98bghnm4QpW4M5y1hs9nmDO2FC1zelA9Ju7iTmEBmXLxmegoAOdGA+M0A2RwsF+e0 +OpWxSLkuGYh4riqQqGHyei3utN6rJWMAsVmNwrcRGICZQqOEDki2W4mYbTNZoIKMJpl5muV8uWXT +yHYkThCjeX2ccSqw+wYbWQptB2aRvAYSme/7iMymv4AEvqCAhTrAHnNcmmvA2bv33WjTt6pLIJKO +kctNBI/Ca8lvuL5B9m0RB6g+YEWR+Ign/8EKOiVlj43tXKBlSMZpCzi3UaMjszixeDFRhoCWmlEv +HGNZWh3N9Th9zIukZj4Da1j8nDAZG7/0hB6cLNJBo99ha+lA7ApXMBGjzAHmVwpE8RABvfHXQJ6T +JKgZEXbbPRWaoMcAlODsJ7dNLIls1X9RQgeJDkbShMwJaNLMlNtemHYsSLs9wp4h5mBbbZYx8VpG +9eDOQCoShxdZtFkwwJRVJq4Og1c1EuEDah3veKYkQcAhJLcSUkM81JiKPeZgXrcHIXO+iYtYDyHp +IP3SOzzFSMZ5MXZ3qa+Qn5++ubEqTgcSgi94ELtSEID6SKaABy6xY9cpM6wr7OpgVdoY6SrM5hus +912gHN9+VJVfaRyjPWZVTK7P/8QT5tQe5kHmqX8TRG1cgroG/nSXO6oTUXviLlp0LIkLjrAoF1YU +umX60igVKG4lojo+DI2PS7rk2DT9QgFdG13QnZ1b8X0aQyTBYmMQ6rMMXQGEMNyC0geD0ojeGIrB +NYsuHfFKJdrybOCKI7M02ZwM80abxzafpnXbjv5nhAT3ApKpa7bfRmp+AnpE+AwAZfzYJOMJ9c+a +WJagrJ+VsDs21knb3K1PXwHs17NUez0880XmzEfvNvriok6DLo7rqOrUR+ajORt0LktgjMDn7Ubj +TJx8nl+TUMr2QdReOKVgqzig4KJg2IPEjzloN3ivd7IEXnB7HO+0QRVDSKHxYTnp+Dr7yB7Dqayp +SOK/0uzdwHC19GctSjYHQOkEFW3t38qllYFlbB3z9Vy/MpWbmW3kQtcFFGAyEB0IsCRP6Hjy1yNu +a3hZIKdkoy+oBZIvxfI2W/AYp9cHTPROFN73pYrL3A54vV5pmuUFx3nEDtbSolcHeSXPY2kxa4qF +bWnTPRL90MaHC3xAEZEudPOE8Ycu303bY1jZvcRM1N6uCQbLzubSdU4wvcNEIfOI6TJDnARIkrM0 +TwbLV7tTAYfSRojFi256XtKj2ivOP+hpG9eNkccq53sMtoD32bZoqA8kYCYTHqswSQeVe/0D7S/f +LWLZ4EJff0jIzmAo+Yn+ZMoQJUegvnLUeQDbSVYRi5bICH4ztE1q3VjGGYrFo16V1/r6V9l+YIDx +z8A5AwZldavCZWb23SLQEg4TJgLLsqD44fmFzvxkZHgF8iW28fUJtJ12XRBnWjFxBQ/Rg9SSSM8A +rYBwWr1sKM1Nc69U6+kBIOsxtkeBucvs5mFLAIMHdhA6RXzrlpfkqKj5Oyq4qbOxU599Qhh4YV5M +ORsO0oNoUL8TWXXkoO5YmbXkknnBA53+Xc3bcNcog6sG8GHgIBoV2m4RVsYM7Yx9vBbLrOQPcsUA +6kLp91h5dbTf5prtMqVDyk5a9pGAjpC+y70KaFoBR18yHw01385DdHi7SuUC4cFtozumKDqAC+FK +g7Xd05Xf7ilq0cvsck6JtdAJaKrw3e6o5qKHClY+wc2WRHyXxm7krPrj4vz1MteFpQpSnQZ87QOF +eYQIBWQRToX5VtsGXNjrm6lgln2yZLwJL44fUT74Rt6TdoZ178QGWLRWRxxB2G9DpndHlh6guFRC +5Qi/sErSCvJq6HaRx0ISmWTFwYVwOuWI4R9g5mddrNPnSL72mSzf2HCIqJzTXgh4R7WTOc53OvlS +FSVkf7Elm+HhZZLId12fbunDKv/AaWr1zs6YkihhJxhh3VteP1rx2z1qeUnrIrKkBO7qgQYjTS2C +ASUdDUfRtDsdJ4U2JTbkfeKr11lPHP9SnR+GGlT0H/NzrQqe60ck8Grbuwcd5Y3wXB3du+Hw54wM +uIqzMUBAi+s4u+mFq4A496b/1hu/UtRGkaLKiLcXdz3HZkDMDP2iamDzKEW4pHePSN+P+CSSYkll +meT9jEbAgK8uRpqeBya9w1RLw5jXOBH0rrT3Y39IkmeYmrkatFSDpKjMlRtBCqCCGQavDS8YgoIA +X113njlMO9zJdQ8wuvBkklE7mD1AlymIHvsdKwbhvSqA8O2wbEwnHbE+vNDxrkeoQ0Dbucj62Qau +O+RnLdQ5wbu/IJS7R6UxKCS/7m0hlWoR5ajMj7ITsLERL6t+5pdryWRSadhP22+WR7CGFDVjsPWU +ejkUfg/Fuu2R/5qFlS/DMa4Au3aeaI/KJ0fg9p09BGhVgc0XhDP5RhNrFHcGJrCbQ7ZQr2u03Vrg +shhar1S528mk/cIQYyD2gCFpgeauARKVbRQ52AlEyvcjHl7KInWiFDaiG+SOnojoX2tFSD6e/hof +wKmYEz3CBcsiMLDRatY+rBAJbXAEJa//pfM3oMSjdic2+cKGowKrDugnyJCvyfyL8h3QVZ9JNHIO +zoZ5+i+PzIX5Ys3oNGkgqB3L9WziyJjQZ8MZJjBplvxmy7iQ4Y9rMLee6nwRXC4Pnj/WrpKmRb/4 +BxWMrkmfdK197XVMLzdsQsXaKJCKw0JTz1TZqlixuBQFa/bR7H9J2WDIcwsHSMykp6zzDX9LOxUI +nLsGuRVrJlIuw726vtbFlImPibIbxJHTob0dT4V3WW1JLD2RlTFBk38XkZkd/Y5FafJECIlN7cZu +wjU/bqFTtWkYvzib/O1QUMEt8s33eLl3M5hm8Isz448V549vfUYD8mNDM3ujYeHep+BKV6vUs2XS +4CLFDNGq2h9EV1jSOtH/MOjIDuBN3nFnJRe5dEO3z0FsCf71dySCKSNC1Kj/cQIuHN+FWgtkLMZN +WwM2TZ6wfqPeXq/17hAqPi9RYiUfTzkleM83aZ0Es2uoUGVV54pyo+P3XnznQMKpwAgwNNTajKEh +4CmU7NJB49i9AHgJeUjpiIGVWfGZ0MKFDkdGpn9XTVeRx+ch9vSOo0QxV6GcLDqRsZSrnLW47PQj +MIQP4AunJA7R+JQqLhgxtc4dLIfW2huC/dBVUVgtmElsM3WyELr/UrwIulBb5RCJ+piawCb+ymgo +0sW0X3lTQGFhNkuuIk8er+pAKNi96VQEqMUeXGlwcnm0Lklv3BsLZx27q1+KGe0rw7+G/GUOsK0z +GiyIempNzYF6DkitRhccRTyPhrqvLXvMKeIUoKrkr/7x8MdegxdBtQPn1jqFx3dn58TtZ2Q38zxu +DKe3cV6VzIa7+fE3brGAh+n3mOIN8ux1PskRCL2pfGyjImqWUOYPOHWhN1LrJT9iSE92sfoAtEz9 +F8QToFRhoVoLF99M85KRUfs1ENFd5wJwH4/MEDHaZ2PCwHNK5ISbR+CT3/1gG+WfXeq7Ybuck3DB +muq9Jumj0+ayQKFedC6TVKUCRb2KD+r0LSUKOVwUGOT17nY20qYdRgvTw4Hw8FUruKWB2XSzlAo8 +PTWi6s15GX38yRR3XnZhYBaKWimDnre3WzzN2kLoDTMjGzSBTcgSN7PwWtzHs6pQMW/DToNw/+Fc +7PzolLHvXBeIWpVzKl/0JQRv+dlgqZPGwLHpUe8FVscYsAcmrxeXw3bDbf/Qv2FT7loBAyVprAIE +9Kf9Wxbxsv8NIS3T4CH0qzg5ZaZK2DasVZ77dLrUxaQnzTr7up2yfgLqpazNl2A9pbIvNyCi7jqp +4ZN05iA8p1E44vDpxqkLFQG79lUfmNyjtH3fzwefLfbVi1WTBIBUJ8KdRXVzQt/6mQpsy2SEFS8t +OyDFHHWA6tyq4TLYyD34tmPTM/FZcoE4R4dsP1OYT8LWx/UEvOivieGjTySoeSuLNqoQvfMM6Las +79OZtd5Vb0vw1uf4t8VYJV34BgQPLokcTwkUMc3eHmAtHtUA5tX9IJRfP/z0t4qAVVpKNflbJPHS +C61JE7Dwa/493SFALXao6yua4rUkCi3z2h7fHOrC5Raekvtn4sJ7FrOs0KvuJkX8SBHV5jj5zWXB +FbQ8Cf5tPFROCI4vlj9BeqtZwYMqcfj3sMiqaTfJQE5rJhuSybWI31JOLzMdZcm6DJLZnFPeWqOz +mthwHbrJuH+msYCikNlPO37/Do17D7fmbHuXscQItYtYRNEDNsZNkeboJd+GZR/SHRmb3oeDGmds +Z9eTFMrXLR2orxyWdBL402Zutcjzg14QiZrtregCjOOb1G1SxCtKhoCyEaLogTh3wkQtIUWLQHeN +YUZTGhFu5qtSFFA2ltb1+xSRmhm9qQzQpO63A/+Jmdw0qi7/4wu45SPW0UXUbGA3PQ6X806+9Kws +vyP4pu2sjXcCirWNOGv1RAWsxhQ1nFlgyzKEJB0Y43sm54uG59Bvl36LyQThgzEu8Y7KPa7E/1Ul +vCnWTulsWSl/nF7yy2oYzusQvx06OeI+XamG37eDODzDOP8JLepVzH1BnfIuErsX0KXqH9oswivx +L3a+ntK7YIxO/uiOU0m7bZf/Q/XokXnCNK+txIXyE1XEudQp4An5aejwf0R7wASzwGArYMWmJIhL +6c57vnsJvwMysB9B0+cWTf8+N7DAyqbf6ttYF92dxAtWXAKkJBqX0jUuc6R8EaLP8abmB7BE1z6I +W63wwa7+t3XXThoIKEmEHqVQHiRbgm+tMMKYgiQeBS+lb419YM/fPH8dBzs9GYp9UsNz9KllY6Ad +J9zJbEoXes7P0+MNHeQV6UuA2akHgVLJ+iprk6ptoReN8s/FIVyIKVMpVV3oNfNBsWtl3XqwCyBD +uyDKR+nsD1O7YjE8ZkqqWXLZPgA8T9fUE2LN9notaRMxkCJX2/FI3AZ87VsCNPpFotRG1EbOoF8J +x9BfqHuFdL5SOAZM8E0XfyTAMCVrQkUjPEqvUjZ2Etdcft8uenoTR1eHtg8JLO2H2qcDhDw65cET +1u9rdA2EMNUmqNjHlInGGX+oLYLj/isQI5S0Tolb3CABk2/5vMe0cZ0GSex3b+Q/CD+QtipVkTNN +RNSXaxGVm/FlAWR6zk+v8lXod+D3hYte5XwkjEY3OR8Kpcoz6ThZi4TRW0zscaYrOwzvzzopXwut +aq7SBTE9L7/EvQxOFIJHltbW8bcwIcJjHQm0EEvXF95AltrDebSi4ow9iyui6voP94HyqmdxxUQo +wx2s8kQaMeYEOJGelxb/Ad29m0hOVggwMYZRhf1iLAZglgmnm8RxHNjNs5AHz13HNLlj8TMTyObc +2S7LIPz9FKyLo/r+4oGAYAq11jPZomX4FvJnXSg/eNkGtHXKKeAjI7PUXRIvcnfTR0qnUNY9jGI2 +mHue5p6VG3vlj8H+6YF+nXGqtuli/q9ETzDPwuakqV+STkm7o10WI0PkXtnLc/fnbSkh2i1qxCfJ +07UM5VN8tLZ/9wWcoZ0+W8tLdoNJwgGxdCdKLgflgQILYxUO+C1uZk9XCj4WtG4nSe2V+skoR9f1 +Qbl6WZeKuBl8aAudKFX/nVftxLT2SVISFti7KmC6pnMZIHTfr0omXCV9z+LVfzSPN5Q9V8S9d3Uj +zclDbiuRMVE+dbE+5gdyS/sA+JxFv7W9xQ5KgIZOB1MNoltUIBjpceoBBlpczavn3yoxE0RPbhG+ +IxE4pxTQKPPEqNAYI4vdcopx12k438/UvmQlD3kPsKEqc56EMYulHCX+IOUP3t4IVHhTmS90frYP +tp4QDMmeFUnZ0jlWgt57Em7olhO/yuRYkLB9HP0rp+MsfZmAkZohbLooaPc/PVLzZWOGcgzbdtKo +u8Me7zaPqkS4unK7gPiLiFuRlKosENp++QrnhiPUzU9eWhPlajg5OnwgAtegfh80xCipiGdFMsTM +RIdNszHRsEdZmurFM8Wl+Tw3CGToK8+Rop1mlZzYGCIAxRnu45aznS6xINN1Qqmk6iQPO6U1R7sZ +sspiiCWva+vJKMRsfEK0/Drocaho0WOvuhMFGm9jEGRcxF0lkwIF876BBCPCj2oAUhiHo0sC3512 +1rtfefDYfFW4g5Yr3yTWhzJESKK3uzNB4NgtHMUsPHxsc7ozyHBrVAEwKHTXIPvq4er6Qsk9dChV +XlLISIEuXfn6LIWQIPTn1m95JBiaC5sFTV/2NdVTPKdpHEoxNliUJ3n2utl92lqh7grxhR4/d8Qb +kQr0/vG6TDjP2Q+Ev5obtELbQvfsVxEMIIgHLCrM73Aopqny70h0hAkhKe/bNNDrRd5pX+IUr/se +DXiQ3iS2Ha5zwdpiyIGV9K46qQoxfEEAgBREtGmBT4ghQkk8MkWd6R1XqN3vfOfDW5W5+MNk65Ue +3x5yUZCpzvktBFSR4iezBBrZncYx0vBBP7JGGmzqAXOcLDvMBXRVdr6xd1NHO4DVfUW6fLVj1hRD +Slg7urbW2Ov0zv0bYuEnRih26xZvxReUfc1SkF2VL11V2VfD0Qh56M/vDad2gAL0ZP9y2weKURxD +Boo0LGWKBy4hGOdtqe9W3b0SnhdKPq3ZCFoUaZ2Kb2RwOP6xTy1M6WXAZUT+2Cfdt3T0ptvraL1w ++CyiNyGUEA/ycjwuvGPxGOz6q3OsTEcXq0VL/EBf1udMVvTnHar9alzZnF1xbLBXu71feMN4EhYB +sHyYCmKJiK2wgjKUraeBOByvA1hxvOprP2p0sh7aRPhBNgBm8sxILDOumoGjsU+M47o9uXBDsxLD +kVUJ2RHfdt1SnQYfB4jKVDZNnx5fXCHn1pz2/4jaVah6j59vhGMFFASDS1iquN+4PHHAVPsYc2vH +4rwujqTzEDOuKLD/58Bndf4EMAAzvHfYQSfKlvE2JwIeTDWwzAxNYCnA3LCYmD58/mAqoK2fTGHr +uRzSqaQQYpYmL7pkNTPqHGYnuwFo+L5DTy71l6ZpkEWinedtYFK/mLr9bN1SqL720e1/RUrFFI4I +bh8sE0oc/jBuAg3wg9EkHZGCG4YAHDd7FItUIszNnb406YLiFvLdcMZuG1/AUYna8UjetLUH3Bt3 +SyyRYQJTdQuY9PTLcRTGM5jX2tA3SmQ1vukhzfO6qmYndFW+55OJMshtog8NQ9A/3Sa5kbxxoTqS +7kEQEXTev8kurC/coZ/XmoDMhuzrSseNM0wIh44CTAEGo4xOXbpGRbsB9BSQcg5oPGsvexQDJEP/ +VCtgGw4FBkEt9I4XJIGFNscbDJDOvfXE5Hn3aH3RmPhJPbUlKEH+Rt6y224ak41OBfyrmYRk4jP7 +nrAOecno/skfpIGlwwLKNZPigeXNSAOYpPaqrRc+NM+RR7quEXiqcY2r2AghWAxoxwNLROrDrcBQ +gkUhmlHl8UQqDU9VtMR2NiuzznzmPuGubswmEaB/d/nCNrf37qHhSH80hZ3I0vlg91paXfBirVRy +BiTCJV3h0pIAzVujLyXUMU5d7+nwqxaC6Ahq6jsSqgUIXpiQNAul1lK8egPPHdtL6R1kQ5TjkAlq +fk+JMz3BhjLv1swb0izZ1VU+bg2srrcJ0W+SjUWVqcIS/maiI65ZfV/e8BMgLsNL/DGHn5RtMEXp +h2KkAE2RFScOeDq6bVeUtxuIm/9qt3kWYnDoX3/f7cEYPzFLRBzu1LIeOlEFoB0ehW1t6420hLgU +eCderIiyPliwteMNYzvg9klou5tVlE7Yi2GqEn2R/n/+K/dXIU6RioguLl8r4/mC++n2/ZhUB98f +DroOywJ4HK80FDRxemObrLeP8gSL5jaUnO63qaVjLoCzIKVs6BiM9bmNlXFqAcPAunyn6MEugCMs +7Lhzu9V9B4MhMp4UOTw3SKsurlqfXn0aR5gfNblh9Rpze0Dqzqc6MIoJS1mvBR2arr4ZtqKADc0A +IemvGXJdOd32/nhjfHevnzAe19jIHzq7S7rWVDcoC5ErylQ0lNdiTwyyGPZF3tKFLhr3VNQEDcTX +7WzN5LU4gKbvtJKhGwSowmBRg3r11m6kkDqEf0lBmPgS3VwGFJ6k51Xlp1D/KFku66ZeXw6GIxhY +uykNe9pvE0k2rJG7qG+zIyxUwHOpzYdMyveoAynsjVAnnCKfsqfro/fXMBN2MN+oWclD3CqOVhGs +68VmybDPFStqhn3UcmT5bfzHWaoDrXa07bi64SCC7RU1+nfpGtIrg6XDwbcoOcdF0RnXRRYUTO0M +4rilH4wavuKzbMC5AKwO4eISak+8QlkXJvhHYjEAUHvJS2nD87s0EUEXRLEpi0uYM1hWMyW4rzNi +KRELJFW9MayPUgGGWb5CYo8wWVQHfn99L9c2yvZOJLDwOkk+vlSHF5M+3MZLCG7f2cGOzcAAXkFb +gj0cR0XSbjzoDWaiSA68FheZc/H32VvrWABH8ztuDEv5WsHT8efyNbY1U5y3sXKIoPKz2m/o993H +zKSUR7WBV8gji6P0pqN7cpbmH2hBcQeuRQ9BDAyyrnN/aB4tMp5W3fOzqeld23PgKvYwruaUI/rM +tmk5rVBpZ0547eDAnjy58Q9PkVyMl9TQw9/Qyog9COn163dTS2F08jFlVs6ve9syER9CNxTWEJ6L +Y3OaPjExZ2hHfBn8cTP5b64/t06s6KZiJQrHUis1m3VJMcl1RAgy71Z9t3j/1XnaBwpPEHqXiL7C +9t76pvf/cHYnvTeV9lb7KKPArgirBgrQXbGghRc/AAkytdjoRQreLsE7/vH2R6Ncw1N+F5XpMwfx +bImifYpunbtBy0oN2x7JBlXNSFScycxds6PfDgiQzD8LQP0ZFUJkekU5kjWkUswqMyveEiFWD+2P +3kjXxskH/3y5ajMuH/NaevAe9naUP8qx/cImtwvJtFvlEqzpJHwUsoj2VvxRbwkLnEx7ZlIWK2R+ +u95dQm3NAWnstW5XXlS6aFBoo1bl/++lktWH/Q6TQiaqdVkFxaPS3tT/U8+RgeJUFFVoKV8Q4H6z +Ppu8gu0UiKHipojBLD/0h/hBpF/rUsChzsb1lAUg/IL4rv9rQOscLLtKLw/rbPgQgcq50PKSZjXL +dbgwSvXIZiMGM8oQgJfEmhvfmtQLcA9ddHkqUEj/V+3NGPdzPGtI9tBg9MtlIzG9PuOJO9e4HnaO +EWG06/5WQFR7iR6Q4D+XfTknlevRlgaevo+faoIsFmwudYPDtPHFLDikH+5BxNVO8O4hgQhlLyl2 +/eVimjpKeLmKsD1/xHVAgwxjtP17qyYJzDKS+f1Q/nYJPN9/CW7VNZs0mVrYI/Et0vKtuy0J900/ +vIGYdXBRufTNqWg6cPUY486y0gRvpJKtY7xFFs8a7coW6Jd86Os0RUV1eUmrmUm67642IT+/HJ2E +rVhLyvkedkqoM9A3JFn4z9d5GaMdvOg59SpUM9WvliYqh3FQ7r60ziACSKkg4R9RwIWhWCeg+ZEP +QziesWNERrM8XVg2hyyrwizcZoOgL5PP5P2aSPUWxEM29GnUrCix3NunOeojR2Y4jmBRmW7GN1jV +9k6AM4YhppeDfCkvcic/pkIFa9veK1rlYhknRK56ETEi0fC4T6oiNTrv//U8dqxCCorgqbC7CM1V ++HJA/4nQU1HIqwRpKGSyZMCpCmbhp6ZK6my92gqDUCPf16O7oXfHGxr9ypFwHRNjVvIwgFNagApi +FcVVZBloD5WwwYnhQZOflXQe2g6rgWsGIo/RVjMS79gxzwmvFmcr+moN3k5J7ldA6vYX4QKpDBDr +qciPWxooN9L/dOtzrRo5b4+XBokATL0om17u774n3TWu+tex+4yed7uP5Mcssenf3/87Ke0R/peI +6XrBQU2nvrnLSYQtpXM/zBfSdIltrYAEnLT5bOQ64o0KiSTOJmhyiL09snSNgIZYyXPoVncgTH7R +1HHTZkkKAYswt8x0nCw8xKxzZYZSwtRAgUpyZQYzwUyeinvVREUnTWBU+1EcOqx5qgw50sDUiuaO +xS7tOIk+BX3LxxF+hwZPK8vKd3kDhdDpVvYpvb9p9lBn9XM+pgsBK3wuCbnq7Cu9oH5WEp5gDl5u +Lt4NrnWdxV/iSMNifpWDIf4ZTfVu3KHIpNkC9UzVKd9Z0xugRGNdXe58SSy+f2RArcMabiYGoUwq +QeZ4eIyyMLW5EmIgkXXMxuKyXKh5GpZ3R6DiK6vYI6VwbVx7vCYz8bN4aSrHQ6UWq8KB1EyFd6tt +IUDSgmdQmGof/uYOyz27jnnO6rLYYKNSzxzl7eI2NumX6AE1b9p4ZzV2OQ8KdMrjEqVA9B74k6Gb +HS6OialeUkAFt/YN9Dkip25Rqa25wTykwmHRynh+gSqW3KavzCaC2L4J3uLpRlDiyui36Pz9kSTM +W8lUkA+w/dlIPEyHWhspNVyWvWFxp5bKoUnFVxSt4orTkq7sn7WxU3TAikHiji4vmBf/aLgKh2cw +676Cu0uwLARW8JwqrrF8jb2aC1PqffybZ5qkXc5sG/BsCcTFUXWYaBNTnHSi5bAPVLZ1AlHCuD+I +mZBPeiRsdMuh8YfJ+cmHBzt2ypTpJDOzX+hOE7rBV6tK2cKDjOJ/GuREzqInCX29k2k0SpjNKrg7 +IVgd2HCnbAT7++YR2JKgegJYA18LnWGszGGo74Kba3LhAoLXFIbqAS9GcLM5JwK8H+s2hpjpisEs +FxpuUxhf8D/zl4JclIqvodLjLabjJnrgO7MyKxbr82X7j/21fJjATyX6gJL2WeLHfr5o0d2q3KLR +3AA23hAiNtrD1y/JXLgdCG6PCe4MX85L2x5KIsbYt1igDMe8Jc3JxNMidjaBXBgY8EMmBJH/rg5z +KKxUeKWaLBXi3JEz8gy6re/0tu3ATOppGbMAhq1r4DXhuhAjo8sBUnCa52qZaWplk6tntXDhJkKH +yRm2s6L+7nLvX51VGBDsnnCeKpqaPp/TTzCOX91KurVIgiHOG7qxmFmcamcTKPMCvw7jlVBZOHpx +/zM0LmmmTGAMk1TAxSYUOcaFt512HfrDwHud8Osv2bNh/BxkWJ8UoeWP+Iejlys6ZDa1TnEzMRDo +x7wQ0GlaplL+MhQBG8oxh8IJAFQ5Q/yNp1Kc5qh4MAqTnPA5Q5lAm350kMQvLDv/RY7Ss3CaUAb8 +CpFaRvT/cgqzLMU5DT5Tb+SPda/eGYqYEDaCgo3ohRqXEsmsgACxTF+jjldmOh8827YPEeml69S/ +tU65ACp/X3EhndIyRdDn6iE+qmppLEwY0Y5oEem2vA6Z2STjnC9Ish9ag4lCLjgL9t+9rGbQ8LM8 +tyC6aUwPHcHXc+A26f3M3Zb2ClCowEizbbeFebzPbBAY8Ks/sMmXlqk49A/rxaE157mw3VI3oTXn +QR607+glSkduU4G6cKXdhiq1CDrbA83JjR0w62cddAuTn/VzQBVwCyHAIthZohj6D4NMHmxJa9Qc +oyTly7GK43qGwakc+jGfTLeClb0G0VV8YjntoC5ukox9GYeYJEzdpClq3icWEaFLFwJ7nZQEKy9h +IZMl6BUObq8HubhIeBHmoiFWJ0+MhwrxTg9Oi2U2/LkesnmKBK/mT4Sn8TlM7jATkygRD0WlW1Hm +Jx9fhPy2aPSuleU+MoUtDEpBokva9YbFKfZPrGnpfVxYQbDXjcmTw0tzynR9j5/tGsL/qAdtE6QY +Z50lnsEgQ7vFhncxA6lScZZ5gzMAs6RaVyInVLbW6ROMbqhI9GDa5WJGi1DGSwvSimukqx4Ukivi +c9Tr/oEDNtqIArIeUGJ2icGVHqcljTZUc+jR06/p/6S2IDGYZ0L2HWTSxVv4bbA4TRSYNX1C2F0S +gt/Y1xzgX0Wvp73OjtPfn8mkVwYDqaIpIB/amLdOVsMYivbvEfeyL71zmq1hhXBdgKAiiunhKJTi +Cb3SSJO141ZptKZreA9RihhzxAed5yuMYeV9LrxDTg1ljiz2C1vyL0wEgmgICpWXujoKvbX8SYzk +LpxiytqWS0NoYt7nspUENtMvUNiArYeqsecnTZyearZbmEPsVa+k0ae4BDZtBh1412CPL9JnBgkZ +3nsLOKvrfNk4K1xPfygZ8T0kKkAMb7U2jMfrq/GKHCLxMTVfnOLdAfjQQAPJ/AlMonNOToFqKvrx +qXNppTUwG6F8DsxpOdyJmyzF3nSRq/gbELgylbMBITEE6WqNHnuJ0oI/VGTSLr/YeRD2BTHNQcf2 +W1B5BHkAxoGo7huRCMtI17zyx48w0ZBoAxDfrJAxgCmke4gM8UBrXCfnDKPtrgSMzOWfu6HLALFZ +BV7bHjBFIH+jA04vqbXa6ELioLTKuWqinInhGGE85lx77hcldglaZv0NMH10BZKyDxtBiEeKPf1A +b2DEdWSEZIk21FHOIgJfeUKXrfp1ykapOU/f/rNTh3Zr0mz/nVeBkNATO8PvlQM7ywCxfDlUlaQh +ik2syW6KnJwoBWgOTVg94sCigpOk+2Ns5KE5afc6iftVTzp0LFQKWF/6mAwOHSmP6IQPNj95KRM/ +g62YekbLDdKLsRhUZTE4Q5R75JBSqM1iCiryvzE+m3K201pXQyIJB3xQvISUwUmEK5KhdHZKvba1 +lTS6iCP/HU/7YEM9JMHJSDuFBg4UMUC5QxJCkP08s5z5mL8yEm4i7W1KvVh4GpNx8s4UGc9XeDLF +TteXvlG4nrRmNJF54xOvjfM7UAC5f/1pwyhRavreJ0WQUhqIcpvPLC9ax4wMfAfBTzn8L6DKPzIB +1XS/kmR1lQMD3qHzxG9OC1DvsBUS7MSHRivT5QZJdk52ozuxCjwLdqHc3PmjNAzFFI0E9iw2BOC7 +LXC2sh7a7eCWhYt75pEo+XoULA0dEbaI7b7DnEnDfcZcvXzr0yOHpATlkqJQUoiCXZ8wx6OS1XWR +jJc70iGBhVvxZ2IUd9N4377YA6KJSMlb8/7nAu9604atHMQ8LwouTt9yc9pJ/s+Y042zKlq10Wai +ApmNYYtD2qG877hbFyX5hHFR3qWspIP5JtuqqiB/o6tHeYDzzbrSuobUYmEAOOevvMxYOffa72S7 +Uv8HwDr/fYXWtBE3nRaZ2Z7NS5HyOXuzo07VhuePP1egR/SUc3rc4ETYnD4rPL3WimQ1lR9EvaL0 +AH2CWn/e1tTEuMtiNpFDhPwRqg6fuLuKsYyBB7Tgiya4zyJ582RXtIjotPtymTd/ueZPOf5Bv3Y/ +AuAtcEil2wga9pV6M4VAQsVjEG8Mm20zHT9XMVoOp/AdeEyxtHkNdu7w8v68w195doqJdM6baCVf +iu1J+fEc33EDEoOzr/dHJ4uO0H1MeU/xUMegEKWUQIl+6Gd+0nxmDaq82gZd1RdlwoeOkmMUATou +HNcq89S+MZaoz1GGCj1TvNLVnzx9Cjw++I1KRBdsQgOGAUTQh1enCHoF1uNX+xnQ2tUSII3OmScX +b4RuklKlLr0LpjtjNVayUe0g+6se3WUpPVKDJsiwxSZ8oiSG3exIpzlkZ2zuVguM9HYqdEEuuG+N ++9MPaxRIzjQ3J0WO4+k9K1mEcdIxdSJlxaeE8oA94u5mU4GOMKqPhEFZ09EfgbCqhbHGf/0BpZWu +w8VRdJuIEF/xOCif6/wrXwaJW5+Vd9QNf0GqX/OGSpWkF+6505DibtbEmw656OyTzXUw1RLhFo1+ +iwB8ZqhPIFBt5gLpQgCsQMVWRRsRNTx8gr6MaQKxNCZcrLVR2NrNP8LLnzNO/XVhTGGA6jcd8T6R +PoFyX/t/51w+2fZXmG/0/k3eXwUz0rPUDK4dbMJFmBVScKQeHhvQ68uqNwr/g1DmMsOfRdi5fWLL +yrIEN+J4QlPbhfEksOmjGFGqK8RovOhC79S4oOnazTnfdi93RZmjV9/2O4zLkMscIxytri+D55jO +gMIYedp5OIYgyOR8j6lXclBFNPM56FffnnRS2UZoHJEmCH4EXJCeGf8b/LpJ7MWaOCz2cVqzThPM +LV6jnEMcOS+Dw3GJVRv3fd0mDLvgieWT2PKsub/hJneN/M/9hcsOe3PaUCDr88Ft8nI17V8GVEvc +nf7xlCeY1W1TxYooTlJfr0WBVNln78L7NEPMa+HV2TZUXFN8P4tAljtLIr9MTj23RXnH3TCRnJ5z +8U3/pvcINDcEIrvzxdenTbYtlAc8wIG6oav70PXyAeqwgfWZlm8/meF8tB1TUW+BC2swIAqSYTmN +RF+AIU8dCA+ncvSi4vEPLtT4wtxPefZ/jYHgFdYh+sJKmONQzATSADtV8VU36hSAW4nkeSKkrPCQ +qBKoXbok80Us8GEXHtl1nSE0VNNWFgvCbOpP1a2kQR0tZrjDzhR3u14N7dYG6lhyUC7+bPkjFApY +Lwsl/jIxiBxPZA6vVroI6Gbi8Bw730G9quF7n8REL87TD2QK3MugMfoAwGtDf3gQlEzAdK9Vt6AF +V/SsoZ6LJwo5stJgtPdL6G9337uKkAMRKBJafA/dVgTqacAVJbDbr/bZO0aB3gnW8I9qSGd1WCcE +iDJtH/c/JRteSoYo2A5ZPloPpxFDb0bXLeG7yyi0YLeeO/Q5soRHs+45kOXaSSHJtv63guC0vTiV +V8+kjTWi6EjvbhwiDU9dcgf4a2hknX4U29E8qcprYvpkcO/ugqzmKR5Csdj1DFhn7wOKrka4ZpD7 +mWDgeiRyMx+w5VG97X6rZ+nN87oRPb1sKP38PauaFWjJsKypuCZBSnl/+MxFJ5sfPllBfWA+KmQA +IVsZ6tImwRH17r5j8l8YHS2pkDL/2xQtpebnS+jbPmZmQnsvz08g1roWHd5KSP3BrHapKi39815/ +sRZtiIRHdSGdb6hNwn3A0Wy2FuyE9CAdEkNyinOlWHfxo/4OaGWrzernqft2718u455ktGTdQO1z +aM1Nos5o+uvWZ89lF8w2xPA6gepqsAHs8Nbu/IFwlLEfa0jiyb8lvxHGEKMMyVz9OnA+21Ab11Xh +20kO+jqS/XxmRhphAXSCoSLU94SEDc6h1EZQTwiZ7VzHaroCHp+Wx/m79L06/3AmKXhUAW5aR7xi +aHSbab42VTRkNRN/dCCJ8bWOtP7SqZJ+8JeZ3PBtO7eXtaz7dvXGm2MVKTlkEOFEpVZbwXBbg2jg +IGnP+AGqCh2ipr6+1WK7v93rTrEfD7YjHa4Y+hIKP8g2fljEx2MbN/ZScpOYaDW0OmYT/4leVhuv +xVvdMfV083vmVYxuti5BeWSszhoXjzGWgcPA/BQNcGplUC0OvWAuiIHhH8/e1epP0Z51zxQatyNd +hz6qquYEJ/tioz4swupLYz4FHZdgV9FWjFfabVjIknq91+SbtxlPBiOdEtUwKX9qB7+G57tjteZy ++JZsqc38CcZkZuPd4WJPqK7mQOgqvx45UBYPUAVWX+tXVmIonu9RnUiyKdH59WlVLwhYEBxN5NbE +th4/jxC/RDI3XRMNKWdzdlFIHisxcLJ9Ip9qKusYvWy9by+wPPgUgujA7n6JevHkpv01ZAD2EjnW +v6AAs8o5wsyNYuPfbqtr9dN9+oqSB7Kw7seL1sRGPdK11Fy1VguofnglYbgetWqvVnzEjkFi/g2+ +01ppivB526tQ+BudszoFZQexUvKnNOaL+YJIkBt1224YNoQ0vTcUvl/6SwlPyPpCpXolf9zLUuyz +osU91x+HYuOj2GW0sGSSxZLW3p1P7Ga8Pr/NPmV3BJ2HExHmvISplnnpzbK6l+DS47/J5Q4ozVuL +S9W2KovmmigDcpn7h7EfgmgGHZCOmniL8hcfY49gieCFWcEed/0jZq2SYkiefznkL9VdIYG94c5G +lcSuO++w3VEY2iM3v7PXQ5ef3PZx3UHrI8028qhTylupQDUAzfd4Y4LCXyrWxkUDHSS+PWJ8nWL7 +qTTJ2srMSRBFzhCGwsowGKG9okFQBUKrJMPSgtUsc0gXq3o5sNH89mlyhrMllG477Mq6BYcTLDbW +axhLSKZhVd8aVEj1RhUl4RMiEj9VL9neZ/XvTJxChc176lCEt5ZUPNVPjVofSGkksKjHjirc93I0 +av/YvV/9bmzVgnahCT/Xu0QOXk5qBPmhFtvROjF7jFv7nxS3OImsN30mSoYLsDMlcUP+AEaEXeWk +u6Yzc9wXPoAzLv34pA8jBF/ECSr9Di66WR6Rhh9EbC8MpEW1nnp1XufIXikLzlIkuFNsRCB8lqnx +p/P7R3WtXMltwwJQu9V8UrH5ytOGEZ6oBzQHm4kVkk2xBi1GchVmxq/sSZ3kFhqV0NE/FH3AqnP3 +aY+0n1NECShAjt543DPO3ONbroadVw4zHDMJT6+KGlVRkw9sZHXhsQzEDzXmbPjNlsgi1BQ2HUYi +uWgGlcMUfeoiYuPl+ZPDKLmcJb5B3nhPlTKxfVA7BH6QIt0zacQuFHPB80uXZNjC9Ep401MIVrGD +sC7N0HKqYLTdpCv2RWAeGZdADUcwh9+2oYrwerxjlZHMUo6UKeJaOHuLdMolcNWv1DBJxj8RVCoQ +B+Fsl6UjYZHfTSSxXrsLPP6TdNu8sNUaDyV5rqV9FQT6j7LaLOiRV1l/SJtF5UC4NuiDwbJZgXIt +otPREd0tioW9FSWgymB2sq8zPOBVqukrKCEuKTT/wFbzmtDt9u12nqvMAKrrCf7cPdOADTuDn/Pv +qokDSz85sWxthKmvwlKZ5wLOBDyuag9QbLC2RU7rJ8VrIE+hHFa5pe55SqGu4ED8ypH3wqlsfAT5 +N9ZhA628NK1XYh0mfR995erA/XmaAP67f3N9xvUw3UsV7lyJNqv9rKdnGGiSsbv9zQr9l4e+FpUY +nLUwtgLsVyTxP8SL3E9tzGJA8WrIgHXFfiz7ewfyQuMJxCF213N1Zv81oNm28MIUuz+Up+1WJysS +L+N/gAyRvhCcmFsgFWmUFHIit4ZbvLaFZIIObODI7jDlY+24JcA9++TzN3RARMLo4bz1rNKkQahR +ntVCF5n13c87Rx9B9a+vqJQjfgqSvIkz+ghOlP9zGeVE/Ml8ZE/oCBSZX2hko6c2s+CENvag/FeK +hX+4GsIvj3J//c7xNZc1stXUQSUlZrPVEbJnKJh+W1ptfO/GS6zSCo+snCGQ5PklFWkr4nh15SBt +rZoibj+6nfsBffDtTzVivUX9pxqS1sR8ncRbCaU3slWfFycu3/hoSLRgo9/WC3l7zlDAfmoxlpIb +mSy9qJGuQZgBjyEkSHQG7Wz0l/XVO0gyg+g1fxYoq+nXQB6Ot43V7bmWkxTE8kpFOzAiBhefxY4a +NajOKoS6rSN23hevOtLSATyqqBf8VMoCVG7Rl9aThoxDhQjDB8wELYEEL3d2t2N51X2WIz0W7rNK +1FjXSMmRvZxVNdC7rhVdclwnNpgJ/mG2ExZHajabGi7KhgqO3sXP1stULnXKD5OprdMaF6iSe/P1 +vQGLDZnAVlVATRXASI3H0zAmcPATGxW3ACl8Ly7zljZy5cfZ5clVTU/6fmrj5Zlcs5YpGXqGw1aC +xrOn/Vax+dXgPzgYsxE0WMBhqusgMcfJ/q+CR5W56KuyEp0lbldCMm/dyciUFaf0BHCS64MtX/mQ +KdMhRlHP9vQDgmFNami6+eMR31gHIDpKQ7J0rRX9I3AZ5Coo3B80EqixDZ7/8dY0ZDiImC0OEkOT +VuvdRStygbtRfyKlSN+YC2qtNHojavitV0U+QX60rd1wa68p9m1rHOMZMq2kXwJUJ0QkAusMEs5b +95NB8dRe8FlNuLA0X1Wz4Qo3ooZ9qPAaZC6rqClO6iQwl7USvnTRe9HXFAbf7GYKfuPA8EANpaGe +xSAhjMyn6LUKOke0F2PsPgWjjP0MTvDBqwLC8CXKWkXM4RF7YQzpQasO6r4/KDtosI6wOQG2MyD1 +JRYgRZNws3SgLXFrFkD/WK/LVM4s7xxXZsUKXSHwsP2qUMi5EW7d8G5u1SCT081c1tHROhfmmQ6l +Xt7aHpKY8EUfIg6GJmEBapoes2JFSVc3qXMvcx4q3oGmfw3OjrjfRcoDqbY6v2FcI+dP8/pXEKql ++H1OoJTVyROrWJrY1KyttxQqWtLFRHdT/d5LkMRL0nt94L3bXIsAkG+l0r51dCdJbzqtod5JFksm +qgNmqzursRq+QcbJdZuNNDJER+VkJfRNA0d90dZkp5AR8hAB17SLukj6FOM3jaqaS3QAVY2WRtF2 +g7EciO5BRqfBG0rSgtuBrU/VhFDH7cznozMqmqjg5hCkbxQatA98S/w5RHNasV2umLiSnCEv62rC +8HCK2HfYUidtvW7D1Z6WFmqmrlDUraRolB1rh6NY+W6NayJocDj/IlOKKGliwK+93YvsvoPSaarA +cmLEvaYc4mHvdXVkn1OjoIHa2qafMJkYR69HvSIKPtXfEgnPHDYYc6xKKXU0nXYKiGjq7mx4tHWm +tXirNXZSfCTtmTugIx+pFN7jVIUGOdE4fUG7hvUrtjGHASKX9j7kQFZWZDqyJ4TzDt56KxR1JUhV +LhZMq0mLhMJ3kMXGsSUKkDyXATwoDwuz3nEFd90hDeI2/08E7Z65/cumSSkvSG8q45giK3lAHP+r +MJIZTEUaiDtvTGuTnKQJ0TiKnrZHp4oCmVz+ePJtcQFTPPRvst6HfJ8iNxi34yJHySD1bOqpztgr +78OQ1a6Q0AuBZzPzWruaHd88ulnakGXt6VO6FpqrH0w+Ezl8JE8Wfol1IdBHOr89B8DxjUwu78w0 +GjwLZOrkblBItGEVGb1jwN4hbZXCLjxUuJVdgWrt2bcsMKL3ACLerNEuaTj2esEgWZOf+aPS3hXY +YvvLGXSkfnRihpxummjWk9D40Pww/Wu7b3SlwcBPaHDn/oD4naGpyhvIEkH8sP4x7w8W7Yy3X4rj +lINh9gGB4grWq4OQSyYIAtAWut1gkeDOYBAkNw7vJSx8Wv6X9YXEs16RgER0zxnXWoyS/T2knGWH +dQ3qm+wCgzk+hcmtw2xIpaiT7WOU5CJQlVRLBfC3h4uKlYaO6rNaQhe6tKOWxVOluw/ke4zqp82F +WZRJkaBXlTtuKzm6zWzVV4pXjbLlFf9xqnfmHb703jvOtw8uDg6vR+EZo/c50mX5U81Dkew/Cgqp +ml5gwk4HZo8CGuqXP1keffDJkK2oXJ+mTLQ9uAjKlBoB68bAX8+wW4ChtgbRrGOgCgSsgE/ejWvT +p23cgJV9E1fxTPE+rFf/l/3LIqZdRbdBMEJdWVN1IGzacdZq75FAFrPDtfQp/DNCkRvpqpOVZ0V8 +opKFMesNgNYNcn9XbKKJvG5aLkxKd1V5DX6EnjRN+iDcJ/WnBa8Hk9S8u6wEzeZAGFZnmDMVV2uK +uRSfy6Tsi2O1s5XvWCloF3iiXzyoT6knmpJAxD1qJ8n0lskItcx62mJJtz5Rngl/mrSwy5VKpHPd +yajXz0kk3g2IcewRrYaHe5x8s9qgs+pv9EritlMiJA2gcdHIw27O61uwuBzhSLw3g15xNuKFAY/l +fSbArsWnCbipl4EMGKJWVW6Yvf7G6Aw8aP8x85IScmR6+5u/RJ1rBA/bpZp4B0yuFs60Fe7VUrAO +jkAWcbTo202VLOdquOItVPn2uAYE6WaKtsfZB1NbPoH5cXeCOs1JzH9zz05kbsHuQfOE6AP+SQZi +/n0fYB+N+7mbXKDyu5FvzLnQ20REku6vgw8vNF3lXMDxLYEdwI2TJayr/GPJH5bFuW95MNGZHHA7 +0YlNqW9gUto2FEw1f6/ofN6oEYKohGQ8hQ9tslD+WlRuqPccMbvFCwpPZtyiULfLgziYeXgnH7uw +ENsCinB1sZ20TM6ct12HjWa+FSDYYbUmipI9UeqVp7Cy9kNOUvSi0qKZrwq/7vKBTWtyJSLT76Ld +BKEVojsmT+3aj23fy/Uoaq3grC4xfIzconMEF/9fqdXXEQ9e+AP2SBHIys+XJmZLsWX7RkZszFzV +EYPYOEb2kjD7C+hAfUpYChzO+DHrPlCUUcb5+rcHIOWCt1KCu43MHIkmgBFcc2GqUblKjC9Sg/QO +YBL/M6DHgAgR2ysT/VjZQLi8qKbi+atzOB067ccEbxlBA6qCXxTL6fj2/bt2iQIvwZWGjYVM8CVK +haAF95yK8/PQXnALtimlYFFJSz+51AZCNJ2W7B/GtCOES2Z3bGEJU7pKOAkdsokZiScJPwBGIJDH +8Hxlh8rnFv88OYotPSwgxBVvq9mknqiGx6qxbW882LbesXV9XxWuLtTDfB2fcLlvGJyy9cv2y97T +rCxaFu0nDyWPm7HgwFnYjjKxFSHvOOcttsztlhtwXvYCqeEBCExlWM0bOyPfQnrhWn75RiRhVCMI +tIah1ZjWNsokFMgBNG0zPuE63C8yZ7y/CxVuSJpcf0VExz9L8uIJaSonA8TE0Uk9/fLlSpx3AVdK +UpN28XnXX4unM0FrgHs54zDEo8FkWZ7cehVfzMuCEhHaGgESpPR/X2hi7uAPEnhX5x0MWCctypLX +FAN6a5Jj4gF3CMs7TSgfkQHPT8pc3OrTA44VVlQNBS5BF8FlCybHL7cEjuT/PwdVWEKaH6dms8mh +H3yasP5DUQWtQVn979VYkkan2tFscUCrl5Hjz7PZVF3fXcWSUel4/3AJ7jwVXwQv5ZD3T3XH89sC +ITUJr2lyVhQqw63uouKJ4PUKBx8382Oq5IfgkPi1LqmVvZbqoLMOvm7sykT25j60+YogDWQ/LxCi +f2pzfBMFr1B6+qEviWyrDCzddAfERdZTKAmZ87IQeHLbB63/wUd5BfiVelcSy1mfVBGaObtwpjLP +PP21iPqnmhpK+EVQmoxWtDOpzmCvfeFUobmEBqZoDXWRSjf4FdUQdAsAmxrVb3yd42Bn7+kPmloQ +UkBbY0FtjtfiQ6m7xMpQ0Uzlzw0sFlL1PxuMCYgZB0Bxhl1/NZWYm/5lBVBwrSpVFnpog1NSAdov +iWD7hUeAEZrw7a7iYDW1sI1mny2SayNsBVjv9SU8uUH8yHYiBtFiXWm2/88/9jAzM/8ZV6deBvc5 +GOQdiUjSLLAICcZbGstf37Xod3Ct/BTgOxeJL2gO8LTj2mruSggancdiA6PkruvfA/JTKDLmpfHV +L1cNGfmabRo3VJdaPXe30Sy+S8wpn7pRpiei8Y++xpaps+iIP3x8aTMdb6A4kaPHvgaqbSQQK1oO +gYR/Lf0zAquda2igr6Yooe29oALz7u52kImE+qfI7I8JDxqmEqUnXUXteaVvHq9WI2jTdJFH0mX+ +uTBpoGlTah26l9IDuOpn3adsMEVtgeJ9Fxl0DOW6plsidSJlwkeRWSBUQV1Fiw+xVYQ4BzjaDlxr +/rAULPsGG63WcyyX/3g/3ZZp/BihgTAwQmEiDs3Lyq7AZedEwAcXhe7Kwii99OBCpBkF6js4G7u5 +uOGJf0siXnSOFkJxv6isjxkPaYxTvElYc+hILY0C78MJTK2d5sE1XGfb43YJIP62KDceB9xuT7Ko +ny1ER0ebDkQT+iGi8yI1F6RDSFJgVf3EpheSm3sX/uIdib+8wXze+gvpWomi/nHjZfkvsaliO0KR +95mpVnNoD3XrueHvRyfQMXz4cM6k8WM3aTI1SJzbopFuTx8IBNZdTXKYx2lbqv67osFbBCmmJf/W +w5x0AWue/FoKj4vA3QmmHpbB7GgjhCaPcD1cj3wTpniX3+6nuqyo+nYrYlSbxw0E1uMEqpk7JvYO +NgDly7Vo5T5Y0zBQwlC4AFHMi4PtwIU3zixJiyL1pgVOEf43d47CQ71o1RmUrVs5GkAXM/Lo6aw2 +1a9L330qCyJqg1CHf8miIhAbbrpc2zqTJoKwHpuJLqVpU9CFNVIL7mtv3Y5vzhFuHMCo629jv7k4 +5dGkyK65lANU/9Euou/hMKYwqdDr+RkwVpKiK/qRbbFDXwUZOyrKzZABraKwOcj1+Ws7kODgW4T7 +IL1zmZJ1rVMI6p77kyOIAHExqjkbOJYWi2lv7VqYLA4AHkv1tx3S23hIK9ee+wZE4oJJvkfDdk0x +/GguLKwRbMVGWa21ZolfnpLynp0etSjyeJ+z7VbI7pgnUMfhTfawafNbH0CEMLCr2SJSKgywq4/x +N0D6BkG7PPjQlfMsfo4i3NPngfbMq2iHrsaJPB4Cflc6D0ZO2b9lp3/EuzRNfbcSBF3+gAlXL5eU +fXNAHrH7RC7tUF3HAajoHAv4a8kD7aC0J71jOIPcyfAZ8DzuA4ldk6HvsjLlqchJp+jEvDb/yEqD +0Q9KRR3MtaXY8WOpWkcUqnJDrIHl91/VN5U8HbVVRDay4OveUq0I7r/+x2GErvfpw8nbElOPauuu +rQsGQzEGjyxrcsJtMrLbUgiPHKI4u5Gi2w7UTdFv908uZShmA7ED4LVCVWaqXN79TKOO+fM1Ax00 +PyNzbVap+OuYxBJdoQ95lzckoUtHwQxaaQ/IzPl/yJCNA6XtaMsdLn499UtZt7lf80nwV6lbitMw +OAt4PQIFMiN49qxZ+5818psi004BpQk+kpBp6ZWEqw+YofIe70ih/BVD1dnwyZFBvQaS6cEmirUf +ECVoT6R5mau8+sclsn2NPV3puZ3V2N0NGjafHoB8f78xoJXikjCi0AIpBmkN1Sx5n2DfBzfn+lIC +QtuPYLzw/Opanp2M8zR/c/Kw9Na8DskHNKzEkigkxRoYYbUQL/d8oW3mEq0+U4gIJZgclfPlnFOj +KRtzqiwww6fCumrhfWabfuSJBHdVT13QZguteSs5WLoz2y+Pu5AQralWIIj1iRA65erwEYsBkLwd +rdp/LfrsIOw2r9qoBgcAMJewm1ObY9kGAX/4gI7gERHfgB6k/xrNbCHtcYlO/N5T3m4Vbv8H+c6S +dmr5tooYJcWESmu3c3QQksAh9qei0d9U2F56S8M2xra+TXNiJSuOZ7X+tDfLSSHY0AGwACL9IBA3 +koNI0/gDVTVrFCPj++dRLzA8vPZxAZXtPY9MuP2rmCbqdcIpwHfqptoMUolMnRNMPmBUgKduQZLD +vP/5bUQRPiMgiL/NZVCescHi5Wd0+EouAyyJOtYRZlzeaITr6K5gpUzstx1kd3vgVTJIxlf4jfZA +oBftiiVtoJ8D1Ry/7+1iQOaz8rnqurKBGSEuZrDu0MUZufe970Ow8/scrEFqLhCOI14THq4GdDsy +c76czsQr7R8lyKVzgxwtUjyrxjDmyeO47kkjMfiF0iInhHZ6tPGVt7bJYi3sn9RTgr68Ieh5nU0v ++CJ98ihpqFrTyFIARmBdvjv7ARuwcRI2eQ7VBhIbQb7f6JJnA432iGLNeGqJtm2L+j1YDBsEEioM +0s4ulY9HP6ZpFk0rbdnotChb0QBIC96zYVPmHyUOoeonxmHyTumg7iakxJ2y+nA7cy0k+AFz4uhd +TEXylTE5sIKNkJ+rD6lhELPXDWOMSW8wRSsqdA8U/NRZvAiVuiMoCR1Mrjvu5Ds461HgJw2q/oui +58HGlTrLoBcQYrQKKP1ACdHQL5KkxM5KfQtyRj8t+t6rvSZRHtj3bHp6iJOVaRt2+vft7/QhlNLe +YAJcqr62TLBi0T/g1FHK9R4iEZORJnTWT3wRtrwBuLZWlWmna4ZAlg3VGG4uDvmt6ynApeynDM4N +HgN3NEGcZ+HRIcrpAU910B0AcSE46JO9ZK4Ay9luICY+cAGlu1nYJKr8NZsOwTePISWXW+nBoiwt +gjlvcBnLbEPzMs3t5duS4yFh6/quldLfZrbDzRjwNeHLKx6ubq7S/K+UFRx3jiKA/UPZu+VCGHFa +NutJ06vn5tdjpHTFUgSjEZLRcPi4hXKqH0SPeZTaH3mGXmfmd4eaRgsNqcM3ndbaP6h47d67ti0F +Z+yBY9+2sIL4M1KHBnVTVookxafFVKuwg5LuTNk+iP5S4Nq7t8rKRZQSYLz1w3ec7HUohaR3oSWc +Zowh5mfcX57dDKtqnyczEdR+69DhcqAGTMz18DPdV7xJRpqYvsg7yah0eDhtKeTWz3eVkcyRl6Xq +00/I977m3bRMzXssXR1vFtKF66tL7Cn787BH3YDiz0hoynYIWDWlCYUKFOPCktuif9RgrxTYZqf7 +Pm6cneKEub6Fa4DrOJXYaYuOZQOpahVLd/jzxI2ey7sGlzdND0iOP/dfeyboS/IZz8e6ckNSgf6k +KadWfA1l9h5XjQn8fnm1iiBxvX8mV8UCyVnFMb0+nGSz+dosZgPMyUgHaqqvOITcCJZkvm2E7ovF +CoGQ3uOdw7QzxPUZv0oTO6l3oZtiDlpd1lL0xfjDiokBnlgX37hpKYUKijBGTj1tAHEYm8gxFoEl +yZCK6QYswUZX4TbSg13WMztrvsCGfhG+wKvjg1B5OmbzNsN15SmaEiqpMdsNl6aXvHfBq4+lwDV6 +a1FD1c4GEK/mpUQsRe18rOPgDud/GhoL0xhEb0lgYBIBwx6BIgwcaXVv5ssaTbR68ShHJI3gpsPw +yTwYLArT53OIliw5R5gkhJVCaYzQVq1NoCd+GT0UqT2qu92Qz3xZlGObC6R2Z3PjgfAG2h1aMKB9 +gILBfGiElQHjjY43zRYCPyPnKoHsjqaiHXkP5TX8sLNq8Zh3hrlFPdfBxEBb1R8u1kgjMuN5Xy64 +6q+01ImdcqPB7nCM1GtED9Z/t9d5y/GAl+wDvnLsk179OPRIDjn50KIkvlbEoNl78xhg8o10IK28 +3GImTqyUmNwygzT9hWlRDKGGZDPuluF+3nVHSqGz8wygYvrPDLeCUMh+z+qlUXMIbrMXmGI3tKTO +g9HRtDla0dWqvUXctDC/dQx3llm2x3eotHsXdk6EBezBJsvs557W1EyFU1GV+BRXIiS+gvUQWA2g +9h4I321eVEYLwTww8vzFsAIIhd1+Rz6mHb/4xdcqBpSRKcTwuCALmGn50xAR/rT9miVmoptxhCQG +o841TZx0KCYWwp05MZII+wnIuJtib5DRlVMK0jHyySkN35bEar4KF8+IdVwTgCaHKsyPvLV6ZTwa +spX9fBHyrHoEcdSzOEDKv+09ReoLFHhAx4abDQNVu/wmi4zhNqe3vI3+r8kyfuUjtzfQBVxxgfyL +zZq21IZhoHIYlRKBRWncALjfKs39sgJTxgVCWxfS5r9DIup3zFAJClSzL2qVoDcMIK1M0zpVVSfd +R8qKD+OwGkeGqSnM2O3jhMOpQTWi5I63xjcPEdiICYH3ONClYnnriBKGA/sZn43HkZYx0v3LGvMX +CTDDgIjzKQCnWaXEhIIb2h6D8z0Ehx8K0/YE7Xn3AeBMaUsNPMgJJwgFxvAuJVe36+t7z4XBdPwJ +87np0eACekrHr7jHZn8D1Lz+CX5ePX+CR2qWlDYr7yRQBde2xTg9bk2kDbdmjuuSYR4TwtTd0+Sb +pCfdysE4LyKi2jai8E4RuMV3FJ9E0/bsCxmQx+jZF7C6amhAUxgeVK+9s2ud3nw5NlE5o2CRL9nh +/FMdPX6ZYjHmc/GAQVKQozWsmicyoMqwt36BXlP44XA4SttYpVnZqR3YWKfSkA56YdUac8ioPbb8 +rMFYMrfmKSSsL382K4fGKXFpsROCYHh8dSYYCE+StNNEsBNWLU0BJHxNQvRTR0gWp03WS6x6U0xv +8Smq3uZxXmO83EMy/FVLpdsJkmQvJ1XSsYwlQUvQEUwl1WssHLVAzKgMKvPFMp3bjs9gnCUClC24 +P1J2UWuZdjfR8I6CoPtFK8rDxO44XfMY05PSOdpT5E/wW5mCiZxuDoJSW/jru/ZWiAbLeNUF7/3v +iMBokdtd3HMue9q0rfP45Olxeg2vv8nHW69IiFMBQEcary71BbYjhF9vtrA3Zq+TTpCs0DCsn5HD +8BRUD9SipKNzjYB0bFi2neHIoweVQkKDIuxuYkFBZMUZ3iII0YBp9KzwJ270rw2s0wooskL1QXlw +b/xsLqvnaJ2kTdIPlhfDM+zQ5Q97YtSqvMltDvyYUm97veDn2IlAz+AXug8ZF+oKbcpvEMHLN4no +v+msxeclGfD9WnaQB4eoe1GhAQNrmTw/rhTCNZ35aBdxgoEIFh+/hrGoBGHG7Se2qny5FqId/cse +k2DNuUzXyUvjaPG8s5bYPKEwVb5wJgTznZrE9dI+x5XjkRHVhA3hXnvVNWCJCKS6R+pnEQaPGLWM +2d3xzZFj3YaziEVWhfu/wda5ngMju9w7N+45yGO9YAmQOKeKdl9vWJytU7OhhlUUlplj73c6LF2Z +gL7NYx4DZrRYKu9d0p9vIBzhclnpxXy0qyu4+0x43SEzAIQXTP7+ke2zl/Dl+BVM8QlUVmbjW8Tk +COs8WOadgfEJdHUP/85KwVMQ1OcJr4BHcF1wYMgQjEInzEb+I4FRZUEYBNmWV29EjbOzEXz4VyeI +QkLp8DZ80T6nY+3QGRevZREtIyJftRARefHH4uMx4Dl5nHpisA+RnyH/CIb2sJO8CuSyciL8+2+y +AA4VDuexcsUttGCaWSMJaoBfknJva2zNcREzoU93m5T8CI8M7d43cbGF2tMSfenvwIwh/HIUY0Cd +sN7fmK0IfEjndb0IKXcsgQsXmVj3d5V3NsLDrzArJkQMxBhz6QHZHqAdZNZIx6lGb9ifxs1dVs7l +eFkUkN3yUw6E7C4br+1i21X6zM8yOzF6fNFvctSTBRPESD1VKYF5W51m4/mLLZp8KLQR1A8Kbunv +bbW0Q0R8HMivP2uBhdQdH1BDs65aNQbjCMs3wrHC7ZQPNP1NJPB0Xucxdd/OXqCkkMfBhLAVH+bl +o6zHXyqovUPAuw6QT5TMuhpUEX4CtmCiYPru3Ua8st4feIanirAKUEQ7nOmovFWKS9yhdDePzf0o +QlYIf6qZmoeh5khj8TovcM88lGq8wHfWvDNkYRcUmFxDLW6mapZWvhgbgAmQKKTRSS3c7OYLvlha +GrA6x9kifYbKvJgvGjwpHnVBerSDjnzjR3jxojnlKoL5MqbDIgBqO/nQ7ylD24zRleH/6J3tftoq +Gp5i4ciiajPXAc6coADIuJwOxtGM6hYvLfGCbn2b53zAtEC3LL2fAnRVY6tDakFX9DiXAFxkdMSh +Uo2k1Xyjf6zXmxsVnKNtvoGdXcIQ23Tv0/B1Yr457plaFdYZvWdUT9kywrYRDGgx1GkDAIaBY5/c +rTBYrf3wC1kTQbtYdW9CrKQfa6RTyLDa5heFjU9YOg0TeeSRflKu4uMntb+5Tt9V7OuptHtKpDSW +Fb5C5Pea2eoMYL/K1vM//G5eOxDysPE2mLBHB1fjHFGa2JzZDPTZple+MrSJaSuIda0U+wW8u+Hb +UDsbQDUlkernZXWqMhvKNei11UDn+O6FG9vJCpZq2NKA3p/cjz0K02wKys7k0zdB1cCeEHTLnS8m +DyKY8wdXO/odd7SUdjlNlAzcDq60SL4uVi94iSI8mLPYapQML16KWkodsEOOZdXZ3tedOwI2ID7L +kfLqlCIXY0rpmHV7L/EAY+57zoIqCudBXC6D32/0wgS32YosKzetSb37Swht7MlX0oeN5RBBnYiM +Sbnpv3sDXK00wFdpMMz1DcJURjtuhqQbqy6eg1Vkod+ilhiBh5K2zdBHY2PNbAiAYnyv9FHgALQE +gYBwqLF7r4ZTu/Be2gwzL5s1ejNx5O7GrYh2TxlbbQg9wdmgY8rXbXKM4I6D2ZctaSyxYh8rF+gO +289YfBCpBuItmK4YAKRycEe7A1W7n1ges7lJ3je8lpp8y1wBVhFGsl4Xncv55MlTWH/OzIEH1a35 +AZ1xpz64C+njMkD7izhjvJNUDGrDrW6WEYhKt7Bzy5OaIr1Gd3nNzFjytn8VJLoxn/jWKxz7o8R1 +703xPvd5JMetb/u9cpuVyK0NJPZ2xbkBjmbQYFytNSg6eu+jXpfYxEXMWe2xx1v9cNrs3s5V1yEt +ICRBfC3NEFd8qeDJzZ4YodU2u3lwgBLcV7Kn/qtxRQU3ngnhosfQktLnYdp0w5ZHMHjQfYXHMHNu +ytM7/XhMw5rqHENH8oXVsFcAOykul2u/fZI6Ge4iIX2P/XN7RTm59/B/299NUj2+1ZSKxK65j3yg +Sgyg5TpD8rfi1pehtTETgGbvBF1sFBbZPSTNYYPjUNhmEmcQJFFQ+aUb6G61uvn46zP9iYjg/qX2 +Ej4kI3PFrLZnRXfRLBqpmHW0f//YZGGedp0nC9xQmWQ4CPbzFTnJAKRNQGrIQxTn6eRGuZXJCb0X +/skWj9JO13aeltf4VgGPfbUrGWiVHlIY9MEXX9fs/IKMj0x++bxxRpbIxxlPR/BykjnNtCGQW6Kp +eUkvr8s9WajOJzZ8nmawoOmzzwKoBNQ7dDbFjvlvt/sIV8mBUZBcEvNpGctJncRKCKKypTorICh7 +1c1urAC7t5IN3CeiOb/Xx5izJ80H7CTSAftbHAQPsAhFOE9mc4OJUciN0b5OBgosedSkziF6KbB5 +ltp8OlLEv4ojgDlq9pJDSfdllSv0oQ/ET1M60kEXKINY0OjhyQCI0JUxwmAxTReTf3mF4vg1Mu+Y +MfMXNqy5orGNACfGJK5tfniJm/Pwyj8oMYxb1lMUrcO6e57xxJtNavfvNb98ejHdpI86m3TDwMOr +tqwuC2cgSSTvG9ccp7cSbG6sL8UaOdwtm7aLyl1fRkphoQDa9xdQHNZT14RG4CV0b1+WkP1WTIrG +j1OGK6RyGdkskmIaDSWoCUAw/NT3rpnndMu0SIFp3DPiLbuOSkPBk1BMSeDvWP8cESk0t6Y1mVh1 +sRdoxPndb+dlUUNoVREWIizLbEGGLij0JedZyyI1wbapDnMsCdZegrDeZa4bIPfQXi0Hc9PYT45W +7VN61JV/IZjCU0rTbE45CKx6p4VtQYUm8WDBmqn/dfC2mmEUjdO0C6tU/rcbk8bhqC0flUycI2qr +9MiuO7cf2OXd4VkNjRKWgHyPRAUZLYiGI6+12WKoXuUQv1eoUwKj0WWQ234iwCinNKsDpXLdWnNM +336dcuCcaf01cT49oxOHNFT+wZzMKZu5tzlap26sIQlSdVnp+3KlI8xABzdhl8itBlBO6/y2N+dI +mapbJlRc4G7ds/7J9Ym2jj8qXO32xtaaB5IzHrSrL0CLZkmMdQUQwc4pvVoXuQBL9NmEPXMPO+TU +5r2H2XPMVWR9Ixqynvi5ZrYv+o3a/cKud/6GiqAK7Hej4tonhVX7E6Aq6VBiPVi8VaqdOTK4cUuI +rafOCcxgC/6Wowq7RjquTwYbh1372JVUHpLR01ci4CGkj9tKVifh9w2x7GL8YXoais8UF5CrNUsz +hCNIbPBs6lYp/OtMeqUchRiJXVnARH1sXScfogvrrfeKFhXXtbLwonIQ6sQDUeRQz4rKs0KHFIrV +rWeCp8H6zjEY2kydqDV6y+CMSoRvaLNpjqoaXmdL6TxB2XeTDxZCBsixFxV1hAyD6FHaTY6+cLAF +mbXcibG9eiJzNUpoesESFv1g7BebTkDFUHXo3jl6Npad3y3ms8LAbmYec5Jt8UWSl99iS/XMWEyb +SVbBQ1rzZ6zpkZFGjGktI5uGVTCrn1WXKCC0Ek5DARg1k75T4NIuVbSx5MBRIFUfau38yHstgPBm +TmlyQipCNTRLjsoxi76C6tvDD0PkifCz4vXmTOmMo4s7uLv4t0AWpgjf9CRpeotm6czEuV/+gpyN +R5+x/l+pwLcqH7thtU1JyULXPkKxNTziY0b95NN5Fu78VNEQdue/smMROGsKuSjdcYtzC3mmsmaL +bNsRCGh6bN9HJo+ZLPL7wasDes3Z/hVPloxh834Zah4ILB4PvTb0ozvIOj2qxRqnic6O9ITm7ibf +DLGWnCNyURUlnmvjlVde/53Hj7PUp7EmXj+CaUjk+i7pDvDvV71eHogXUL7aurqK8mhrcV04ozAU +OzdjJt4Tjgr+fCW2jSJ1Oz0KuCudyy+/3rhF9rH4YAXBDRYfRB45hapjqOAPqImK918xZzggMsnv +GbzqKgC+Y3f20IJ6Xi6gDBOLR2s+5eBu7mEFLm0ucnr8RErY2PuueYDO3xTi9DFfutmaO9wMsKDK +QbGOycyTsBuHssjbuZ8T+K3zM+CykLybt8n+rE2m3w6S9NRI/y02AB4Bz34EGSIViaCarKb/y9n5 +N3R4YOift0RHqA5ygM/AOFSvs2yHkbc+RhTssWpzeU+BxId+cfZ/yjyvWhDu5yMJ9i5a01ZJ5dRy +PNyVYS7QbGbbeQwbYmpKN/jiE+xABH0fWUTwQzvOuAuPtMn2WuzQvrzhVOdXS4kVkBlFLioZsqWU +vA1XLwhX/h6HF40u4IfALW4zxtcqAR6M22G6xB0kVxR7SVE4ZQr0ch3PcOeaQY4zgzPCB6Ojl6s/ +gLhQ3sXO6m1Vld11kdex82pHG6irKzfvkNK32o5GmnHkxxdXia/lPPltwD+SHdvneCzm7JQzt3wR +K5LgETx0jriz/lgadL1s7Iui/D/5jDa2DylmCVGjoLM1693UBNAUgoEG1oGZ1SKpe970XFxSS7pw +MwdA4Dl4HJ4eAUK9vvF8JcePAhpe3A8aJ2FQCIgIkypxxApFtVIu+SHsu9kJOtg7fRNBnN3T9iGT +haIbhpslGCRHbX1GwJFNQ9bhQNvETaLtIu6lNerON76/LAYn8Pv4vqHkI+yASbdIuSM77/Ws/6Pd +L+0xdbDvZ2kLJZx/C6WPTSyvoVcRuwUxfPZ8BYWBd5IDmRT59fwOTTIm0gn7+pKFHGqPxboqn3OA +CtBZWlhxRlLNke4iTdnFXN5s9VDWuf9eY9aHGBzlr17qI71mMi6adhHXNNs6qvFRwipSafQgntjF +8ehrXGH+xebQTWL9I1CVfF1eYHbCpcN2xetNC8KmWt8OdNH+2jNzFTg/pvJpxonctoONpKpYIKI3 +e6AKJA2lf6/55Y0+GhEPj9txubmcqjFdZGn/czF5jZKHxl4Gqs8oeDtxNiCTB6DVqlDXiSygOHPP +6QcV/jdhOEwExN/U22ku007KtI/OO1mAJVEnY0NlbYGGcZejviye9r4pP/AK5OUNQIs/jUP4VaCN +I8fwM4Ts/15CgLRZDcu4gjyguukSM1gUJwrfYOl3FXV7iVa3P4QoRZ+2Bh9MaunOPT0w86LbLjCI +6SdqW+EvmdyGCIE8MsLaT26XKoH5R0VYo87Bmko7hpVb0vbIjVdMDMmq0aDhxfgZbbz7VqJBiQEq +QLO3JhARu0QUGvV340USgPeaQm3upwvlk3ElR1C+8qLxYnjDlrrBctt0Bc3FAIcdu4KZYss0shjr +WvnaedNdABlhjGS0351tBEjRrksVzQ9xlnoT+pvnCClpuv0uISwbFy5ahn0gpmPnVTzkZL59hvZ0 +/NPfn3SjvwZPRb5kL1fK0ho8llHvFks1y6X+vZ+2sv1zTInP0cV6pZDJYzgz42CNBwW6r38xJWsJ +MfAnE35/bJQryRJ6TPDfBdOBzEIOebeFzl2Sb6IAGtcl8/elNkmlRfyJ+z/W1+Lbh8Etg4y+iCwx +F77Agpeu4pNtLuufzDg02v+UiT+2u2yj1JiBwvtTFT/iEKFffZU9lHmsMbBuraYTTZH7a9bn6A8D +F/0P8XAccTrVhIbKByfu3jTxMfHSeTP0bC16FEAZTHLd+qhpqCLQNe0T3wuOLzBrec284l+X0Ncl +ZUdn9Vgt/iIUEa8TQjdpXpbeEhLR2eGcQEdEz9JC/WOsF43/Orv7q50uERnavY7Ch0LTf77gUsHc +qSv8Wgwx1dTKHpvnVsp/1KjOMbaFeiSIWgDA/vyQB3pfNgfNu30BMWCDlvWU4p+Iu9De0kDhhbDC +c6/4u+OkMj3yz8Hfx2D/DTv7Wk3N1GnFHe+sbiw6gUvnfHGNqciM1PCBJafBybe4cP3o+8JlhcOo +/NXlvFnzaqiMFG6VmuWPGElvO5E3nM6gDwM5lfSNdt95041quTKKiFeD/VUxnsxYbjWyCjE2P70t +CuvO+HbI/vP189mmmdsFksjKAgAG6lO6JeaoGIxYpd08GY3PjDQfcbpO+n83CqTEW+r+Xa1uRdA2 +zHnRTmdRB1iffbrWTRBxkjTVOi4o7WbAmivK1BU1E7WYmU7LYDmeiPxQ251+n+hm06RqcX1T+HtU +47p6KqTkjWTNia/9oxkmYWNeGEtlla+iIzC9L/wSyp8KN4e8V1Q7x+QVSbRGrwg7aCTBlRceumXm +hboGiv6gvdkYB62Y42Fqwi/wv8qfz8azHEhvBv4+qciKkuyzXEUpq6if654Wh87mAc+BGB2uxUun +pQMW4WX6yqnXg8jzDk73qLDAMAEItVc3bUrTFPW2Ro+AjMSFgyTHnjgNAkfxLFrOQvN1O2XmKnW/ +OSqEIrK8oASKVlgIvSVxBO/Gnq4rGHCBB/p9K1RDEoMSNNve3Vpj30/1TlfAlm77RD0PW95jBTr9 +vhGGXS4xWDngj5a8mx1h2suaRm1pW01YccyCzG9pmr4rAHvj3zPF0B4H4Ny1uBA3UzpizYroO4rP +yJST6VP7Z1taz61aBjRRs9GWPXwspVzsYn7tloFZSfxIQ+BPpR9FHbMG1Pj8KVGRGlHL2LomGFgY +iVizFUtmgGxP4gouRXFEwhiNBBkbD6I/mG7YLS7yPx+Ml8PIUK77lZz6syJiY50/zu+WFFb2HLVY +l/pXhW2/LYQWcRgU9B2K70LjR4uo7+1Hh0KWLAqNwivayoLvYY2h/tfTcRaCZxDpnnsISwMq+hCN +nZAogOKcf5jbjUXWDCMgD8JyZgKr2CDVdc4tWiqJ8MMPLOM62NI2ksnXNY1CPBm5zMG9D4Y1fIaW +SUGNLwFUpjZdfFw+5XQuCtKJWb3cQB0DeSmzVUnrtVg3hXD7yofJhn16Nps/gQMmIymAM3YpOMSa +V6pAjKEdtKagsb893mUOetXxWWkjE5gm84DQ5WjDqSfn35M4cgiQPhHCFTgaGUcIO8z/o//MUBlS +LuOznClQAFHbk+xUemmnZyFEskKET84OkQ0y2ZUtGoHstVxZdXZpmrvxh38viBdgZNkt4akvQ13l +4sDmBPSPuLr+Q/blRI07567sLy7Yjui90c2B7HTbWHns4CXNxF+5byRY5GwBrsUZS3sDMFZ1m+kS +X3HUpBZsuXRBdpt4G9KaigH6JkE4zxC6wgezEdTd+c+uEoEMkDmVnPAu9L+Ps7/f82DcphmQVwD2 +VbzD24bOYp7qP00SxZ7dmytWBRrrJMme/QJxjTc9OaDMZyBvdSeAGcEDXbbKAM05Ehxvwxl1GBWT +wqgaSmAuhqDMV6Uv8T0A2b7af6voUZtbBFOWbTzY2gOqZ9+F5+SzTqoR65Ku/8I8LgE2QXSdgSsp +vFH51dDNJisziVvLa9fw8O6LbTGteUlU67et9LU7IWQSmmBxbO71utpZHx8vHiYU/7GU5Gl2qHng +YeRxlVMd0MKwFdVw4w3jYXPg2LPsNho18V90i80bYXXFZXSvd8Ek7s1DUeRSnFpEBtAf8DVLkNV+ +lPZAdG0sFf8xv4W/rGVpkN/lYvFuQacd1DsndCSdJfaSb+gXt9kWkbzbiQM7BIhvksv6YUoV8f1l +OYYvPeiGjHE4D4xeWf1bqSqklJTMHtjZeYxYSkADUoaI8vNk6OUw02l9+F4eTVSLem6FxLFWsMSR +eqHSh0LOUCf5/1fL0PwMzwNOcgVbiHTWqpc7za1IojVj0KFnlpg5sX4etEPm68pJb3lqldVJWbj+ +mulKgskvc0Q+QLVix8LOhCG88BNenEY2Uy6CoUkre0fWfG9DDYCvmGIm2wBlE/swCWMHCJ2/fvA8 +qQSiVBsBFR2/6EdMFA2xs9xyxSYOdJvAX9/2rjbVRsBvHLZHMgeqAWw7PGgiNRyGeSR3mlX8wAxq +fmXs2a7VVa8jBKoBuN1fdSWToJV3b9UWtAT24E0z2YpRrZOjBhgHHoJ4DGspkUXhPaIA26w5II9t +j5494BwOJps6NAujz5FZhtEl6duwXEFLBmPJng5hC8pgQ64NWIPOrQyupTEdmGzzAiEvV0KnW6Zx +j6piuE/TfYA7NdGpf/Z0XSs3trMbUFHAMMt7KObuRnJGlBYKcbz1JNssJEXSH2ffZJGOcibk2i+w +3/Sgh/O2BmStwRE9kIYG44GBzpqpOLv4JwGCQOElgibYq5PiBkK8lZZ1KlUn7cBMBshEIkAZf8tL +ZkWLGsJE++uFqoHjJQUfnJoFFUhph+lq3xQ9JBKPXlUg8hhAZ75LCI6n6vMjCuCxeLmJM81J8FH7 +vT06bUH7KIIOn9Y0nMVYjqPySxfuTn8xQm444LK9JwI0YPY5uMXKVXSWCa6nCMk0jdgli9HzHSh7 +JawE/2hO8M0YjunqFAUmiOsWuv10pzosnL+fs7E6hs7sxhsRWexF/5Za48cqbzeMy9O5c7UN/wwV +whHJc13qhc03sE4KE9Y5povkHMO7J5e4mucncWGK4RWn33BF01Mw69YsmOR8OoLU4ivp6IoA7y52 +OGsmuqgCcKcqeWWmsSD9Uc1vwY3+jKd9nq0Y2ahgKVK2Tk0lor/ymfZvDINkO4mspzB2QIFmWihN +6IbFGmstmsJlGSY2ikGIatRhslvOn5NZsU2CAI8+xPIb343HbcLVolg5fnFscpXQZNMjpHEkS6yb +53Y6NtYr79wic+dAP9QGmYQWzdJM01XtI5q2mHitF2pNgk4e1BntXdGYMRDXmtLZpx/ODEjiu0gN +xFC65tXzyHq+ILwVpgXCluVVcsWJuoLXj1zDJqBATX/Jd97puInPhWY/B1qUHqmo01yQRO6oF9Ke +f7dr2/A4o3rWdxF0JaSBU0RtuaOxH1WoyB1iIZEEd+XsePNDPgHBlxK//Tv30RZof1I2m//nyndT +xUVBGwuwSgR+AF/gjQRJNqw/sbDoOVPve8DpBoou8XBcBxlauv+Caew7jWQz0rcEJm3wEJAAG671 +9kZrofliROUzij5PpZbY9HbGNgNezZBgfN2eaLadB4hk57YE4MHiT97jS0dpG8Pen5/xHLV7vDNG +z8wkj2lfFeWJW+Hewc4fgtIKcxIH6qxf9sA+6wzsg/Nj5cP5CWlXsFZZwrelN5YjweFWC2vRFuT6 +x+3YlvwyTnOKwjFOhql4CqZ1Pim1HH2Zsfsfli1ZVIKpDTzYG8nJkmtzm3qiY39zj6qp/c+8B3Ni +oLLU538YFkdQ08cFpwmtYo3Xjl6SKBGNBYk2/eseHNnbr3ghlpQPRD5nj9ZI94YUbJ8OaKtMWrKI +81PTcWTWP0hiWdPYcIK9DBxiPusJZAk7T8sQ6VUehAhrsobIhgklHbiuNnZ0ISlb+NEtqJtJqHgH +Sf6nUAhBxV5mZioS40IIYmbmmHJ2MS3aQJjoiek3s9jFAo5J+2YxPD8mBDMcJ2J2QP334q6+p3yG +v7tpX/JFB9K7/BJi56EWDLZ09NcwDODx7cMT10yIB+SWHttgZMyJJ4Y8o7CzBbPr1bV3SDl79TsG +P7E4oh0M71ILqi6RfbooLb+TiwgPpP3PsEn8sjZdWRP9a9MGq6ilfXqgXvJS5FjS6OPnaa+ncDUL +bI4ePDBsG9I3l3vn8JwXXIAAxIEzY2ZvbA9+W3LvXFWUDOETbLxlD6WGAadtUvpAWOBvd26Rj5j2 +UCLADgyPU703sFgjHHoTUlc2QuhCE4N9jG3UDoiqckKkkvhD7pxmvyzQc3v74r7KeF5k31dRCW2m +lbiILVAry5eEKlvjY59ZxoG3GWSJCEMtQO36xc+KcPQnk6lh5+VN7gVJQ9QEnpq4BTbPDWAb2gMK +VwpUWdlKbfgZ7hmrJevCutIF1K35LKxtsa58AEqFzl+dcbblUzKqjIdIAsAYcVaas/3P7mYR705O +b+ktw2mqayLXsu4fYAKsKvdy5VRAuIOm60UNya4KLnzdJRUoSqNLpUHu4gxi5NayMGsScKnOvkfo +cZ6yutKGn5GBd26of1XzX2vGsTbCH0Jodan6jKaEHmcfInm9qz3VdjK8HSBaMEgJuv/w39F7HjD3 +TaOY235v2zHRgo18nKwUqbqmpb9i5pdw/SSkSSe0GUZCkPEEn0O9ZsOkx4tuDdlnm18IqA2f3M0K +WvD6vvdIO712yGdbeTMN9NiVx5rh3x7aYSmDq3IgfBAZHFyklb8FhJeb+Wr2ZJokJ18eWnUjLtGC +oQIrc/qQugWmcQJacIWmUfRh3RWbx1BKNcVowNVeapxhznHUDJXNRhyk60DOaZgdGpee8/VbM0ox +R0L5FxfrEHdg5dGI7/3Ijbrc0oOV7EvtvL2cp4MBCrDjjCGHsrgBM0Y3ebcxZLTw54MCTErad6oo +yqBj5UJybQtwVChzvvQMmLRDg7YHTJAi+vetFbgiqkHbdbNe+iXPs59qkiZbS+hUoYZeKHNzLVgI +ksMt0mN5Yl2NAbsY0hoBqgOnpe+g/4F81DaoReqcwODbGCmQ9FkrWp4QGd3txWwJ1jNC+n8xnxxL +2uL39cliumTH8jpVvkM98xEAB1LMA5Qqx41Ky++Uzk+pE4SmLFQuLYaMaWKdJLLys1BNIM+osuQJ +DrCBU27+53Zat5RSArwoqeHqwnWUt7XrlJTNirI56YplSd1OW08ks/5yqZUBTpOuMzhyffQy/NYK +iqai0Scs+rRhxUhbU9nCoU3ZkX6s6muWJOQwhdvexQa15QSK9O9aHLf2WhCvg7muA7bUFzSMGa7T +NL1zyAY9pvzCAnkhqN4wPIK3P4T36q92zSCPnb4Hal0rqOJqE0S0NmECxWghZWRRvR2o3yrhEjRU +4Q/bAO4E3ZCrWNxUptDI8YTs6jtUaFvA72EYMQ0yTbqIgj3eifTf9WjE3gZFAr/P2UYomBh+3HWA +P8eQk+M/ZwTXS72ejJUzCL+ePCsIS1giTOhWdjs5vnLEABw9PDuuPaJu865xZVk+SY4F5IirMqIi +H0rhs09mXvHIaybq4UCwlYRbrLC8t/UUJbGfLgNbys5vPSIzyq3IQzxHmfyOsTtO7s+T2koot1up +C2el1zs6XTyoMKO1R2p8RKLZDDXalSMylU+Nxw+60OEoeeTDQx3ChHsUixneXH8j/UJJm9gftWt6 +Mi86ywQ+qdG17Xa/gdzLIc9z/KT6EN3+2/nwV0m6HSZkOb1SQ2nzyInHfZtbozEfU0z1BZYJ+V5/ +Ayuu47ViyH1795DVEh7Sm2XQJXj/BU+EOs0W92VTE3oLu0Pzp1UhyNXX7mEL4y8tJ381kfqDM7CM +3+osu3a8ejJOY5qD8rgE39W9QZe/dP9ZIK8nwzQ/1gBjyM2fq5BGN3w+YtqmNd7UBDMKJCmKlHhf +gELSRHHWq+im8WIak9QMUN97HKChORQhKlPhIb4+7r1u1oD/eHa4uxl6DCbes7W6s+hatmkIfZ2N +WuzVpF2cwN0tf2wHCOtIZ785xKdfBcfC4hgW2aDCdlRvkITtfEIdzCCESInNWAVZ/p3LyeHogMSM +VVFqRk5c1s28YOr/NQHgpQwweFIXtREF0FbQlKlmXkTGMZWvyAlh0UBS8o/QaUubjz8uK3pFjrjU +/RLw63X3vTR7t/6BooN4XeMF4wcJXtEpmKxvP55pavEaLRF3Fes7DoNtAnZwQ/6e6IHSTbVtCZc2 +qo6YgEqILv3OoVcVS0nTWZm5W8LxSSQjS13v5zQrgbrTPehbpaentruHPap3cK26IwMja3uc4kzW +8XMlPBrbsaFKWiC+0cvd4/JTXko7WCzCCGG1NtECnBx/K8Qa8BUN8Y7TC/AIVXtoicf59P9wsLFC +AW9/cBau5E61+p0Jj7CGeFQDq2thDPwKjss8FbsL0RcYdNmdbbJXqD5yOaTgQogG5SKt8QUocnaa +A9kWufzr9XLaMV1q+/uev8v/9Q47/8YkawGe+FvmMi2UYW5cSDF1zyfVcxIwCSrowyjP7D/F7YSj +L1ORsbrOiVvvuIGBA4aJpf4yad0IcMC1R6y2dV8lsvixzU6sE2Xuz4muSiFsPz5MGEV/FlctuDUq +/3v2La8p6N67Da89JQvuVRkfvONbQWMhmIJZRTzFN6muMwHfm58yq0oYmq80LcEeEN6s1q6ed6qi +jzVXQUeuZos1UlfLc/COX5pOJ/1L+aGgekkTwZ3VjqFgIiF6KOeDFDTyoQ3hWrlCO8reaEoV0rqh +W/6cIc9LQAISykeCaDKAHD2KSCqemf0oXtNhyitHnAB1GSae0zDQy6l57CVMc1wlYpEvh7qIld4o +Q+N2TIidc/jOJ0Cv1FeimfjJZSmy/OdrYo8gRVodsus6a5xntdgAot9WF19mcJ0eal++kO5UQtzv +TADidq3o4SZsuQwdcRHBzPBzv83TI2XO7CXtmxCZQv0CsWAnLm3Jd/IkMTKKArY6NO4wmSYAwcKK +D10Bg4ESEAEgDfL1N5g4ud6dzpyDBmgtXPbZJm4kUu6f2Dp92JU3pqQFyUdmCUzeVxAQCYBKFgus +PKiNOZQZ2JqTGdBMuZcgQbsHkrTmxv0TDqvZUinSHhYyFaeTL2/Ivh3fRODhGreDbxlGuBSy1uwt +9q7LSaprlVKbOBw4d5k8MDEEDd3NGocRf9BVB+mFjN2u4ozE9suzQqmLtZZJFHDlpXaoylyNzRaS +ArX/2Xtq+R2AGgHsSaLYzVLqjEDrGl/S5JjejdDTiqOZfbnxsejz7/lcFnqu6c56rs4snIiNM6lg +8buRIpZOdAuIXeOuJgEIX/4yhgK91dHSZbxuFC/gJ5siKOekU6Bwzxg7YMkRd5FUqAUdw65bNnJG +uglZ0SDvVy71lhMxq7GOGDf5RtFtDOyKeJU6QK1YIy4dL9EdANrqIgEY0pt8+rd4ilj8RfjLa1d7 +qjTGQHSYjkDB5rdE6vRFe5zVWVceh5oUM1UknkjoTsiQiq2NtCQKCuHR5tiHFclyVwX0D93R2RZs +yskiUm2kDJHYLYWO6Vpq+aGHKI0FXKNsYBsJZUvhkFrlWk8ctb2rYsnwjrB2XHO7FhS8zd3+cwvJ +V9Mop86XOglQkpB6yaFCS82N1PBA+YAvpswMcolGHmFTUtC2hxHdlysm0fk6nchGUq6TkpzVqO1l +WvIjErmeBOpxb0klSFJPbMrSIMVYceiN12Ea2xJTI9F28WJ2NsQ241n0xTQsSt9evhhx28pFg1uT +KjU5Fjx0Cp4YOjIosZMlWSIHMY7LJy/Xey/AhTLUZ6a4z8aZQS08T6bQ8hzPfJzhqaZAV9bf4mSB +uidXMXJ38XO/PyyBxp3heEWAAs9jIunA46+5nWufWA/Y6nIRpENAqRdDe8Svhopoq6kkVjOlFfYu +IemPpx7OaCTLWTbdPi39p84Q5K8B256Mi3U19LXmkoSgvvaiTov5xiZ8WuUbdw8a0kke2CmIpCfN +UwYzYgCBuBdD8UmacQ85pcXDMjpab8jVJSrs2HE2lywIPzmK5bHXLv8oMBmhFvVH24UztTK+4qud +gy2aG3/VsDtCnf3sYC98DeVsGLZxeWJO3px/vRQdkPaHZCrk6qM93RYbib0+wOCxRsDdojXDGWpo +G/45AHL1xUHVg4DLEcCKV8kBtptVUF1az4gDj721Q33xNsSU/oVBELBB8bnERHzFBAwQv5ownls+ +48hHQseIsPympNduiE/M5hKkGBN8h62+Nyzpms8ZQJxG3QG/a01yRuCC9Y5RGDSSIzAYLY8eEWM2 +Hs5Nhk5TjBKpSeySp9bbMWFq39UtOtIyvWHcASBfGHzUges/YgjzYKMFUZr6INSTQdivW19ja8yH +NmGpRiyMhWlovU4CVfgyo13TIZnuP17iQxwVRdSwbqp8ulCKKCU0MayB273DPENcw8+Wr3XHDpe5 +CuE/Fl/cOnXCLoSGXEE4zFQVJ7I52gbb4jTXIsrmg/DaehQ2/s38BNpQyrvLWExKcmVcj0pbaMwG +k8KY/2chA6vMKA5TyfWJVTY5HxkXOT71KdP7zxqmmPmV72m/siZ7IHNE0ze9xtHqRslJAlvUHw02 +ongqwClgzGlFq7N4BtNoeipqmXyFzfNX0a+5iN4bIxBmx9D7pxnDPGNhkHAMFQwP3B9diD1lfiv4 +sDjS2WXT085C5T7C/7njrIqZGsVtP28TW9VBAQiRuHUVUE+ys6Qg1IYfE3pd2FI+WRlizpz1kGjo +7/UpZ/lspz53g/Yun6MIwzd9D+Qli5hzHo/u1ri6CYn2juZYqCSODJ4cBx+H2LAiBKMW4IWmyjMa +RDHuYjqF29jvBT2+DPL3hTIOVyLcqBHVKlIfmzyxf45h5pAz21M0Xkl2uYaubrI4Odc0oW3Vt7ir +iSQiuMudl/KG1sDlxHx43faQUye33ZZjUFkBCvg/+mc8yqTmuRpzqp34AAd62t1+QoEZZiaEk3pb +IpowqRckT/laouGMqqTKRmoa0YprO7Im8wcsD+YYUBzezoSlB3Fk/CoGndYbEgsnkQjs8/OUQ3J0 +4SWg4p2+14fn191Htlh0w4dXMei5zXuQPgkO5aXxHLG05JO+QAE5+gcYgnrvFk61AGGYYeMJPMOG +Nr2GaclkGJFVZHR2luviW4N4lR5LqemWVS+DeWXWnq0QCX4D69VfOsH4wS7WOSomUxjpt7lATkQG +nLC4j5kA16URgWWI365EpYqnbGJxwK2WjsrEjv2AfY1WHndSrh6HQH/FC4e6H3gElJXoO9sEsDnq +6bqpm3r1HXTsXs1NVhjyMtgX/64Sr8rtE0aSGFdZmo1HSULlhVYSzgDlTuAxQ2KtDoTkejTyB2Gd +KqS7jL2KLNR5uq/oB78tdH7IfF6+CNBS3WJwLyHSa5oxPzAsulzTTwefp3yZXUn3p2/Ceef4GrXX +E7tFa0kO7upcVKl6XbBW5SIQR2JUSpYx4GvstnBng5bFIUnD00+Yz21MGqZL8yQu3mZlt9P1KrXs +DPkC2FqcsTP6aSmResbiiXPXz1/HJQT14QeckHYtD9DSfBbh2vmuWYr0bgy4ZTLUYlFWcyrVQo+6 +Qoy4HZqJ3gIQ+jgn6WAbbCuoWuC23fdKGs8invIG+10fW5slo/9VYTY0F8wIwa/gpjHJAxsXLu5Q +uMtf/rwQbj26snF5q4688ytnw+c8b6m4fpkQWkdUigSoGh5FwQ/Ashx3BQaLNsaNb99PeUAZMbie +CNR+v0uMdRdwNDDQnwdl/6Z/3p96xOrAEaPe2v6B184W56akTP7dCuESqrOZr0y1wwFymYQ04BQv +tDnwd890qoBnmoSDl8QxT7USeXT5nVY78hRIsOJz5GdguhcVh22L94p7Q4nniCRdwSAPfq+b1qev +/7JOqAGfUcNkx9xwL07M5OKmxY/JOo246ra3ONUEct32D/ggPO3k1v3rPG6UsuQOhfqqfbLZu4TW +y7cF3CBFJXvsBu1v8ExE26rN8Z3Bx59rPhY10qXao17AKlZSx9smcvEhnS12+vKkCHGSbOVM27Sj +rp6MH+H/2IsdXqKpmYO1f+DDup7tJoUdchiAbix0IJX121jDL4EOC5k1pYyrynzUrubjkGE2kew+ +Pw3k2xViqesIS3EIDjv01fxDFnYVHsSNUazqF4babsiei5BJhCC/+A8UC40sC2QAmHhUABGFoiLq +9DaGi/Ft91v7vuNqydX9r6KnMmo2WmaILK4fdXh8rOAn7quVhT8NCncIXHtnuVwvPQHdDhh+cXrl +gUdd0jqw747uFDKowxqhnHCN6biROidhYnBxBYnmVndi0EHaH3RklG3IDDK0Ws4J9QN5MvqmnjoF +ODTnuNY1+3VSoBlCkqght1M+VafHh/Vx/gE3ZmZ7oc5QKyGTjr4VMPcz9Jn8UlAgCzgYHje4qRG9 +wYIhoVXYtL4ZNEs+HlICZheyYIrOAMRk0raqnGtVbYTl6RPgKwRP3wmjRXHqssdkxxG5l6kZpr5h +t1+p9iJ62ddMJIzPSHtdlVglDRMqZP/nzkOwOS8XjDR+UP7X8Edrf+zPkI8rw5jEt9NnGheGFMok +3CtptxspPYGjogHJGtHxUBcrRodhF2YiOfdK/XPzcArl+G3R1Rr52nY/SROsRByvJQ7Fn3EQm4En +rnXBxv9XHavlWU5RSv6nKWA4vwhA+6v9/Q/lJ0n89B2aQ9RddPlEjpE3yjq6h3TELo/XBqsfQewt +0BAJUWJ8RC+dkPm1xyIY0f1WmYX+N/cgLUURyYWxTKxIYKLC3egDTuzJ90DqUk0uicl+IV8GTGYi +X/vv62IXuJecmu6C8xi66c2s7rXxZH5Dm1VGQU4BvE05Zc5QUdtHQQphbXeAV8TcT6q6PrK/cfRO +XYBee6nfv3NBsqFbau56ca7/3uKk6ZwHflOW0XRbLSbBct9j1KCV/SsYujJ/2fxpUXYcqp3FTmUV +llqQParY0WsjfIlinY+HWO2bZ71m4Wnc9cakgvCLjRpqfdEJbt0hrgP4Hnq6e18mnWIYCT2S39WN +9WaBR9AbKFGfOMGrO8lAmXkdJLMOgjW5VvRm3y/6muFQ5T4F5cDV1zivgQfagX2KbZMMtv+u7JWL +ga5yJo3CEnuj6OQyXRJBzc19flFGczIvBUPUQCCV9PC1MgtuuOLmcqrgAT5v+A2S3zeHcr+MpeE7 +iyq+M8WLXFPW3AgreW6RPtj6JvGQHFOojFV4MCe/vGgr2Q+w3V2N94muYv2krpa5ukCZvtdR8XV+ +2UJRrTRVHYZSXx9lcviJkWlTImqV23FZsceXUpS9Ns0PEtkmXtOh9SlG1s/heHb/T01FMzzU85jz +u4hJbLrtf9mDSG+IO7rFk4Yo7lj2PfKWKRS+nkWrHKetp7MfbJmv7HI9qnlHuuPB9ngA6VFUxDgW +CVMXujxwTWmDnHM3fnTEgQv3He5tpF9hK9rl6HUiBhaY2k3LiSmAwke3rFTmp/8DnTpJj0PeBxo/ +FaXrHSiIFl2K9rvP8NdmCgP89v4hHAS7ishNMTuwxB4947olSsBbR1bcMGpU8JWbwgIRc2u5Z57U +p3Whl6LKYIHqQC4+qPaA83FsRQ4UXqfm79Ci6ODCu0tUSpI0MBguJ/s39vXbRuEDPGzClALfQRux +vqWwD4+QFBT6rtf/Jm8JE/qsQ01VvsUjoAzqzAZ53B5bwPzlASvcD0ZakO1mnMqe3t+w75h0K2ZV +xNT9MgJxbTDfvNYbjlIdpOvIzk/zuhVsY5SGTmElyhEXslx8W1a8E56jqo/Fut1cy9WVnb6ZVqoR +6hY++qlz0T9FsIt+KK+kGna2G7NrJUV95LgPr3+CH0Bmib3vFBWoe50ca5ThMhSUfgbfCSnoTIUj +t2TlTub0I3/rQeASwawl1iYe0THjeeD5Tszb8CtkjRL0SHjnM0lNkiv4YYswn4HLmzfzF7dNy6Bh +2w77u2TVxgag1xj61sanwtElnAZYdRKPx9Br+yTxQeBc59CmXAThF1qfErn7KIkBKrh7tpekQ3l3 +2H3nE04qmpgy4w2CMsbTjiAX+bixPtWHGRlxNaFvWtWUyQ1vW7AU385476SksiDlS9cbvu/BJCro +Y5yzfLjoqyKM99OWWAUDKqx3Jn9pSdLSKQj0YpzCDcVJWneeRNxgj7zM9caBanwZxt2m33Qd4F8S +8uLxd1V0KAgKB25xPc1PidWSItffxkgA7I2dkzEd5YNp4voFYW3i97M1QvRbDFpOWTBEDQDckKC2 +M1mdxKgXUgJ4P5XbzHbHkvWcpdpw9Z3jz4RN0eGSOGaDB2gyA9zP6yUE64W5bp51kk8yb62xz6Iq +8+IekHen7X7hUN+1qeZ0wLeRRIihHddF8cfVKxqVUeqh/2kbXzJDl0s2n5MbwTHlprDow1a0kh0O +EsKGukPgwHQSsH7ga0RxZeYRUzGvjp7337qKb4NhHuVxpVkUgMA4RGY0eYmuxTRknEyaC9pavqS5 +IbOQxsrtKiIfjIbeXfIon3uLRmLIZZt338AlZkc5xBpleHMoQf6nBAV8HxWZXk9GBJHwgly9loOF +c672hWWmyKgKqz5Y0SEwcltQd75DteXQ0DafndnI3JnTNAaN+lotgUjRRDbQq2/ALEZZ7qwbC+8z +6TkvBhCDHfYFDP3t53IUiVJ0lI32XlsNO1NAiMVLewC8tzkr9H+Lk2bMcVQAdW7ylW1+Y2bLSTVZ +iOXqPviLUzguUyOdqg/624EL81x81oueu/NQFO/Bp5pNQt/FxSorHfikg7D1k5BnlEnnWDZiXcHC +i3BTo2qWkvCNA4RzdvuYmLriXRy3f/WUiCKNOIyj5jXYTBHYeRjYYnoKMYJ4DYCAvWyzIJ4rPWjN +22zstbxedqLpuRSB8QJv44bBG8UgjBhuEioRECrIu7K6uJ8aoanrWSphVYh4QnOIcQgI42ypEaxF +5VLs7NX2Ghk7qKJyZP+v3ZbVSegptH1YpnTFleTUiKTagjzuyV+jT2TWWVWvX57MQQNUs+r4dUtX +e2y5JQbdGunsrbb6730M/hW63fY1OgWFYurOLCoUoHA4ZdJLBI2MdMlJbF8SIZk++WxvK9bBveD9 +yMl4mSxJy7pvCNtqWtMkBPrbYxgltffWFfBrmM5YwO0SCPYAbFnTYLQfrPH8RXJHeOnbxilb/A9T +p3MA02olowtEGgYC0Z/I8osTskb0u6xHly8549U/hc3BylqQikMtJgkug4uNLISPEfshbZIjGLOa +XYP4bLTMUryG7hHtwgKyoEtK77dmzsWx3QSyoZN9zS4lSDw60Nfst4zAtbxE6mQEJXRS6N4zlGI/ +LyT22fig1VgKTjJeX0zav8LtX9L6tKtyt5m6il+KY+ml1NNfVBr/fHMqoQc2l3XsPTkD6mOrup7S +kZnpivQj6F6K0kUjt9AjxWZCfT7pdwomchgIVcwfvkmp0mo9qJND29UXz+8a8e1lnM6SybAVtAtG +cAUo7o6JWnTL5kt8EhuzV4gWAe6J+A7RxRUtXa60Xyxu0fm2m/naowRDVx5LXql3j3YlhWUJUIHM +iScaCWyg61pTuRTWaO5rMEa80A6ujqTnIQGazz4hVxIsq8YsDhdxjQzvp+A3F+F307JiW2/vPR9l +7OrYHR4VvWN2d9/1d8yyUWyA2k9lL9b6nkCfBAcsBb+LxszqgVWgsKcTblg0O3g0WfAGaoq5QiDa +tUIuj3csY2zQrPoxg+UwoP/EAAXQyvU4Wg7hEpVrO2vFekh/ude85f3qOCSXJPEYpxh8PCeicfv/ +ZA2Pupje1npf84h2xG3ucnjrOHp36428doFpRx5YfBFwDjvXz9OKWYusB8e+t2VHrVjACfMky+vR +MC736eckELUQ3dnh2IZgVcf98yrhqY7y6vMDBATQ3wyEgC6XaIs6L7xd7+qjOe9y3GKnvjqT11qw +4MNmA1qVPr7i3jaZTlEqz835M2pp6y5m7vLd3FgE4W0HKA/jxS5ISHcGlXTc85WeYS/BAWWTxuMi +0hk1LHwv3jHgZHOdW//C3vy+K38hDqxB1N2F3aSEpdogQfo8xKMHCn7lVfWBugzRHBu0vQpx4cZ5 +3zPCHmVEKooALb+HQYiHnlL0nrvx2MYMcVbNmGiUQnflPtodUUilHCBrzJJZUXW7VEA3hJcitf0q +R5O1nlstXIVfCkyDhAIAPn0y8ls0NSgR0/RUQ3o5xo3lRYBDQ+QJXBWfKE8uAO5zQ2fZbjo22s+1 +v6crTQX5mF6qJDsCZQ0nvDOMlC5RR6jLhc2r2c0avI4NkHrM74sPPnN4zs5O53kNZR6TCbQUDYS+ +F1SYsI8/mppCnxuCA5aUg12I73/HjRKbsZdxqcx2ZbqJUSc/H9E1CmsDj4e83WCvPtJSQ+x70Rou +ZpPMO6xV3iKR5ihtLDuwEVs5wpvMbOlB+sCb1wMJSvHuw/l2n584mcvvrCu/kNnKiR42GzXM7bW/ +TycGXFl9qOTUmHF+VXVpyvE9NRdNpyqp9seY8Tt1mGkOBTIngIh/Q6OXGBecfN6sPTTB4N3DUzRU +drcYicxujR60htegGg/NXNVk3irDfSvUJz4wHeVu18d/5Kh6tObMriYgYMVxbzmoklViRiihvxd0 +5WRV57PQUsG9YOlvpyIbfDMMAuxWP+czhW0ysAzyr3EJSzNV7BYRZfyAojXNR45zpkjr/Wx2KJD6 +tMV8OzO8keYUNXbS38j6c689n7EQFt0Dg8OSz9mKLQmBNw8pxJmoKX70hIGrZXHz2pzlFn1ySt+q ++VfCo3Nhhpbi6IMe1UQgzlYM0xTgHnR+2NQsfPzSA/jaNkICbwmZBpQDc2+7hPneNDo7ip6XP7lL +la2TCk/BoNqdmnoayvKM+LZp7ArjldH5RVqQhrb2jTHUQd70TbP32K/b+iiq726cXijeMPtGxT+j +qiy9SReif/lexsPRzM2lgRZ3ucfY5ePRTPqD2f/p2ph5+dR44BgNZUL51aSfp4PyWwQqxHVEWLbY +biQly1sK8tEsDB7lx8gRSQ9TgYmYn8a759VhP2QQXLrmagDYYAJyNbdcphrPES6j75VkZSkgideO +Z5GpS40M1ilj2cdsgj2Vv7quWUa+UMELcnRqMRBOcrbTDMGqnYpVOVjpFki8JyFumZBuyJwfYbn8 +6NrO5EgPKQb/Nd5vJ1pW6mk4HUwdwo9p9ePtWFZfp2ko8DuGGKcnn3NIcEGRajQTlEo5Y2pckDIT +MHtL5nn5w1sYsG/H33jmRaqmroaVIyUSbpbIkcatfBcNMTenx0vrUHBBzxadg6LDFluUWzTrYQWr +L1oj1NkoJVKUjYW8W9OxHMsEj6IzZeYXEr0uv3vlLO9y5plk0oVLUwUq8AljeGcubmZMOfFZ0CE/ +gJisvYniAEY3NaJzqlw8CxUKqKLgvrSFPWUtWIE3P3XyAp0I5ZPNWSxI70QbtXva/kgZ6zDspkEP +AOO166yzBF0mHX3pWHBxLcksIDV3TlwgTrby5qm5KgQVupjakFQfu6g87sTqA1CxZ4uUjNWVGOme +BVmfCYEdRLUW77o7CxLajmhseTtD59MdK5JsbRgtgvggjUHcCleN//WRI8TNKl0mOczBuUyn059N +MKh61SC6k+tzLxww8QMZfCuIHiUocbp6Scfn19Q2djVsQGaCH6wSQoSh1vHNw9dQcx2/MXvS92V5 +ts5IULdAWNiBjqAc1cLPBYT+mtglESEH+VcoNAZugn7IWtikKLe4hNNKOzprx/xCuyFpHIRMGt6c +bSbfQyXPcaa4YuNeLfrFk6FtIKaYsTDO7vyDExZ1wwESimcZhW+PE/ZlmJ4K3zfffLAdXtUNN7Tw +5VaIZYp3uViDt6KD5FaNEOCjmIALwg4NQ7ZCLkwP/hfzd9OxOf+7JfctYT6IsdvUetM+io49ErvA +HnRzyDt0dxaHdUji0PEzuKJs3B2XLJltA1ZNt4a4bdGX2YQYrrk5WcFVcQRqdf9Bdm8gaH7yQ5F7 +Q53V5v/A8wJrFsuR16S+Cm6IAPI6fM2qFlfRr+OybCSY4S8439L104L8KGHBgHP/IjObr2en9yWl +SplKoO/y/RMCq67yEAX7C9TA7OVhJ0COK/e9FnB/zloMXquR9pcc7l0axP1OJD+EqGfdA7IBk68n +Wa/lUWPRaBuiiBN3PJuY72L+oEZhBOypCIeS2qZttCfj8SXVOdYeTiRoeofev4lfz1lS0VvFFnaI +Cz/7d6AhYaeOg5qKSZwnr+hW2fxc/YPw8Ca+1OmznVv4ZVOKAQfvDUGD6/7Zl6RE1jrf3HUAWNET +NnyQ0hY4WHTRd+2xoG+dEzGmt3jMiZEcSJwxaB34rgLzwrDefmAPUsGCd2SmAnhucw7ubxFBDqdA +2UPP2Ykyezg3h9Jc2lvcM8DVnWXHwr9nXvp6ziluvl7OjP+MFTxRT1clzi/u2nnqoIdxllPJAMNV +UjAFGp/HafEobIqcF7AtDs3FODo7knnJM+eROUM6f3OQEiESn7ZtIN8Nlu7nW/XBEuivmECN30FJ +a1bdKVYlX70F17dzeJBM/dpNf3vU1iSPEsvSgyprKjbGWxiZBqKeN6bTKjveeuPmJC3i0AZDiG4a +SvafAETYs0RWRElPEzPkMjpA8AvfOxL6jCNrM8sGztXeJKEwgpkWxt8QSR8e4PDzsa2MfgBp5q4C +TfK4IIyZKOQc3jwm6NwiXVsaDwwvxmQ+5FxIozxKzzxRNj3buEkNv330eDaMJYYg6YVzwaTCzgsc +LLGnl48hb9YY5TjoVp5WX4D+x8OYZfYNP9kGiHxBe70Exzcwk5KAxhpsuEBSUBKXW3U2vHlxOD7/ +sS5b/rsrkSw4q4rVUKkNRxIRZYqbE8cLLwzc5KGQaFKGNIuGRkrtOVCgHqQJifut3S6g5YFwc/3K +xAZRYIRUA3nMYfz6rBXUp9BFiHxtPW/YMTFQQXjZp+vaW3GQBzxkqEQjtRAyqFuyXxX/RouZwd3a +X133HYj4Dgm4MgPmveg2kBkzheeuNRkQUpKdHJzjHfm3heTH8BBLxoE0lPHsLO84xaLRyW9AMVYA +9M/Rv6ZP2Y9WASM6kxl1mQp2YkSCI8hg+RJkkIdZXdmqcQh8OWWbRhNU9gNWBZhmeg+GCrScdT2D +CpV7dn8kSUrTb+ZEWK6dSfqJd8WcWOELNHv8qvv5C1bQbJLeX9XIcoRZpQVwPhqevPbsMpit1Ftk +Pmv7lRskCuu/XXPwKu5nWiDShZGtb6kdleKum26ETYCRSEYkx8og7DzP6cjfulo8+LibRzrwuRNx +wFdyrbSXvXwIQfweMT3zxt64WF5ydmAPoxn5De68VbRW1kM34vkBKAgbr40WNvVJaVDnxUS5poC8 +6RGp8zWdbrEzZxUkJF6IgGyVJf59ol9G77ttsq0kON0bTlsmeFPcF2s9Y7xFmb01/lXmB/frXjva +GgieayURm8w6bhNwl371S1PqBAl5OxuiwhTmahxVKZSPxV9ERB51M/3kQo5an9j8tdfuxmoZPXIF +e+r+469WGLKH8Aw0WWmVvz1Cxw7bqP3insVWloOAs23A1ZxjojWlciEsWUf0MCQeQmyz4i25+QK1 +qDWFd8EIs3ZBkd+NikHVbPboqooKkDDGNvXVA5yP2qJ75/hkdYLQFKphD0ayP0GNdj/iveTV4jJB +tjmxHfI9bUI38RRUYa2IopH171B1J8xYRTXgvYw+V2HnF1ftYnHkt2olU7IKxVwSmgdc6bxCbCcg +EJLW0XrUvECJ+RLz/LtA0UIDbISzIVMaEhkdghE7Z5Rcxjgsxu84PsB1VG3aRCIvE490+nqbb2z4 +Cps3WvdFAW5kXJpByPjGtFjpD2OINE0Pa0A5DURNOBdOOW4CR3YWytVYv1g7jVdJt3Icq0W97J8x +PifsLa824AAoY0nXNdyLtOeCh1ivWKPrE6UNBZdoUYD7VaCrw4ImQy0Vbm9njikPwubqLUl/ByxQ +7q5sT2X/AtdWiSW15J07HXb/Q2mrnYHn7xvSPxn7FQhRG+ab86EtMvucRaipNMtXok5un3CTVyaE +zk+N7g2H83yW99HMqmdoFTWsPAIngvtj8vZbEoOBWOl6y2pLfhU6A/eZxe8mSczrpbeJOc64xG6b +2dCYfEod7r9K9gn3nJA8nRm/I6PuanaYiZOWBfOeYwE9t7b4BzroFRa0b5yC5YBH60RXXukM734k +jj13XKfbZvqGOgPrUTm10FpN/yU+FrORX0lW2tjBxWyM1EMC8NkFfD+kosCwzeCG5hzZQV353pIz +0fOGV3Nj+FYT5uM6phi3TNmlWjXmI+i3bOnEFXEx9WpuJjcff8b2G7c6zgH1iZt904e0g3Qz7YRl +YR+TKMpaI8pUjq3iP64NEmq3GN7BVulZCp0wAXD9pr1Xhu/EGSAYD58mcSilJ6oiAY2AFHuy6SOf +9WHB+NBc4q6D999Zh0j8Q9Kf5tKGwLzqfnmKF0r0gtrY7JxBAi1mB9RTGJv2/xFRARkh+QMMVHFw +gKEYMU/M38vjfyB1VwPu/8e8BhpQ2gXmUofCr4sK+bTk6GD1SPT4Yyo5jQ0zy6yH1UnT1kHheHKX +M4HwbHVEARCjayVy5Ny0l70/D1pNt127OpXpSkzGEs5OLfVIfJEpe5InaS/qpE/yZ9JSh/DAF9Gp +ZVFPpI9KyZ0e5PhORGitmZUKR9dfg1LlVUB0FIjnxfreeMFfnqIMdWQVAplJSM4mUW9EaPab0DEw +nGtQxG8iXp/58l1d5o51nbPsgFazwhI5aE8mpTMlperR1Jwj4zSzdeiGho+mTNyycWI0VzS/SKqM +CRnSK1mWcWaBybgUoynnTZw4hHGUHx1NkNmi0EhI3fOdT/EYAh1Y6bvFi/viB4cgo3JNe0XrhDXG +T8MsXUklhMsqfayXegdey/ml4setV/7L3g1PFTytnEolbZOhZZYG97bL+l4atgUUeutqAx3c5Wod +xlNI6WrEWw8EKt4BSlzGNgoFYXK9ByLel9DLu0L+AFI5h0MpbShjum3fqMtekULfvhjpbbJkRiEg +20BUVzybI8QAQmW0GLdTvzyXf3JXOiFWcMVhA1NDJ4NyKyt3zGoXf0xuxKJWf4vciKxZMxE7M+DV +jlg6h6hpd0EDuygRHjEJ2lIwCqEjQaSq+XEyDgpwZExXergQiSZeZLhsRtZZzcQ82MJfSxNuSKhb +TkoKh9KvthAVdxncTMTB1vlgbm0vLdU67t4eFiiLMb8VkKGjqOoHI2X4lHN3I8ZTdMdyKC+6Ju3X +02UzohuMy2T9Qi28a9uYDDrO0n+A4hj/dwD3eZ6C1kKJWrzELaiIG7b/I5jF1Ov6zMAsXGm/Mno+ +Xm54jTkrFZ3lWHgd3hM7KlmF/LySg+U6dwQJg9ajeWOJNKiYN/1iCR3QRvHzx8jkrxtvmKKawaBp +fvmerlq7gh/IjOqwk2iZxFAtdekG3Yur2dVXPKVlzc7hY9fthRARl2hb2vHI50aYJjhYnLPU9YWR +b0qF5NvzvJyLvpFNFs7B55lWi0PX8y/BBdYE9gllONWw8CJ8LFC9QFATLdjXDNPhgeKmQBORDZBZ +Vm17wqDXqhmwprnx1TDA5H1giJsVOxSGdZO/orzCdkkpor8oXQz2mMHHhNFAtxtUt24foUK6lppr +bZ38Y171HEx/z8ZkuIuC5PVD+b8K/NXx8ICeazdDID3k3eEheYHIC+iaCYQCgHhIzV+63jA4/PsJ +9Fq00jmtaamu6X7IY/nbTNeXlbLo4qw9NZrJTqomZx2ZW8YIcnAUH6zNzAzfoliVHChqwzZ8VX6u +hoB32XWRUCZrD5Dvgr2iRp8xV7yC7vbFok3jaRbKe7Hj90Vnpmt6/R1kznJwI2HDpFEshIE5qXe/ +sSUF+IW/eh7RReaN8Oue5YHeD+naIXEtM+HFM+cu8Te7rJV8TrHQ9k6FeRguyvd6cEfdA+QdI+k9 +zY1EETOQk54R/6jV4NwYGmjouhF8V47d/3MESF/2xzNzFsDWOlQCrkWHcYHeF5uSD8aQmvPlr3Vn +hwOC/hYyUONBnV7TjeoU0+BDse4sdDIKiFX8Kmu+4XoyWXKPqcfmbxen8ImXOTk0WuurQc9tXHf2 +/Z4XwyPT6FF0h0PMPWk8/jFh+OOtHl3ySlAeyvgy9hXh5La1KTxsFtC3hPJo8H53rMcDi8unmzW9 +yunVxMp3YLDF7QyPEVlreDfJ7oX8NR1AeqjyECIHfvyxaWB+9qokbcXqvOdRmnvcUaWNp6onVwCP +tlpRevsltqv0/BLtAVlmFFpBA7w/Y8A9gKAxdxn+70xoKjJ2PtJi83rSS6qFLVarnnLjjlLm206Y +bubMQrlUSBEz30R7i8oIa44xEMywTiOQNJ3P4XtLRpARaJHt0mwhE66UitIa9p4+tS/r4/j85TJS +141o30Ax4kzMkoSxBP3rAQ2NqxS+8vh9wsuda2HzMIvXRhlziOdrwz3/wPq660Ma+VSOwYPxpZct +6J6GFoE0rElxESEprcuHDabB5+duvJ01qTiglmAxFRx+mzsxRegS6C5DGI5FfrmouUCb8kGxMUzZ +KKX8GZIH0q51P9UM8gc+d34PFww5USZ250n57JEwsQom/R3YsN6bBGfkaFjNmle8lQnnw28g7qaK +U0JdJB5blK77XSdnDWVRltWU1+hYfR2eRyzETHn1swVJjppPNG34iGDCmHiQqU3JXolWfWdXNFmP +JDRskXdqdO42fkUXYmrb/eHOoNFcThYCbS6hT4CAx/MSKG5ubQ9Zu8ahRLz5zCyVjtkV+CfIJQqt ++h5xLX3ENTTFa3i8tnwdcYmstgjy6lBpk5X84yLMK3Fce722O03jNS9VBl8HaHQxuu2LjaljSeAz +sTEQ/Vw97PfrtDyhA+K34Eivmkm40aGyFhQ1ux5/NG4t0TfMr7s9EyZ4Ct9XDZAu8gqQk1mqhLMn +Z4X6QPSE7GwFWA6jjw3x8Be1MMerE9n8qRkxw6bQPmukp2NpLgsdW13p+SJecXqIcqzSxpKk4j3l +MqqD3esGmaUIthNNhjXwqduBGkt/y3kx2ke7KAYdaOPq8aoGSnZpTNne6JLuH4BFA+lLcyq0LCY1 +01i5k6CXyS+myPnjUKU2k1BthMdaHZtWy/a97ol6cJH+alr+isVKnlNBGl/XNossJEd5tk3gMw5e +kEjXRVH0AhgDB72Fi43XiJyz5hUcKrXAHWUkR5KDPwFYOFQ23tufSHrhK7MsQtYTt4IeJgYbLJG1 +xhU+W/TUR6a1VGECyHSL4rQjNTLyA2QcQYX1HXHfO7VHvKuGg+lCDpTHdDMWer4cI47Ib6rFKAPk +ZNwjtyhA8akaDBsy/44Xpeh+QhiaTBuLarpHkJAFxZJX2v9d1n84ufSAufjf91E/6qhfBxD0V157 +aQzmQS+Rc2UNpd9iTDSDKpiv6hvCrTHr/sFXNNqMjyc3alDAPexXAyoagP49AE/aGjAfF/2cPWdA +AAojRJ+p7kDbRZ9+lrIc9Zw4HWr3N1rMTHi9SPUbVrujcLnrBkFGdLMrkvWjQ05Mk2q9wamrXUbw +hBWJd5FFrMjgP2ngn6p6OfNSJ9/QuHGXb3xqP6H6l8wc5L3I9Vg2MP1FKJZF90Imcevd0CSQmK7T +3AXqr8cB8zxwi8YHIAJH/JqQ7oH1QZLbNax1for1Y0jwQtiv6mf5E+gJMCpBrIjHcRpALtfexMny +WSbxgqm7OFB/Jl0eOvijqLuDc2S3Om6HKUX1+c5u99aIiXGb/34n/ZSrJyEKHj2PwqbBl6CX5Wtb +JMu2AJFf7M0LbCgDx/Svj8qBTxBUSSp3Ux6IkZtanMY0AscJt/AVYBPdSm02SHVKMV7vEqi9Y1zl +ucOHCnQ8OUEuBJhwGyNVygrcCjejFLfDtL1iYgIX3u8qer/nr4xonEkyX6y7vcqiY15dN65j8vfT +Dw9mOutgeEtLLfQSUKaK6cKZu8JweoTvph+66p9o59aecotg/PGIGBUxgqEsaSV/90OtA8JAEj6A +HeTblk1JMzgPaI5ApzHVWqZfJiqRcfGc2ICY/2+SAxs1JK7RX+aOBHLKyQ0tbTX3OBMfTwsimVfb +ZgOmtz50LaCzCFCMzDUXUewD2AhThXO7xQxO+EeKhdI/HJWpfIyK3ypz5u4vBoxrHAbLVxEvFoTI +YHRSbqQB2B/b5MuV6+M2lR6B6GzddY/eAHvxe51nQ1KcKgxX+Pq+G5JY3obV33JPSvWb9JPorpZO +qeJ1RbLF7/rKFWlk209OY1dTmGv4A6QWA1U5HN7easHEXiRjXM1vKo2752qm41pZSG/ZRXouRoJ/ +haf9P1l5MSIYrpqv23ccPoq2EmVGwOCLNnPa0vWpOI6dsDihHWAqJI8SsIwjRXs+OFOWfE+tfLET +YMZGWKwGkyTE+yjV684++kXa5/1oVZ+9r9qD+GIfZ2uik377jZPJLrWRN3lUhkZ2ngStmOMBITtU +m83QNFoNdtqdsl5xg2l1QBO9Il90iBHKf43lSgstK1QBuHzZQA3H5D7pPQeqoQ/uTc/AJRwDXvkw +2de7Dt/IlKyQphJkdmtMtsu5LEC/wgRF0YHLZmqbE98YvFA+XjOxmg6pvNjg1/XA60ZU+p+hMdgt +Vd/aAFxiuiC91g3xLI8J7puutb3HE3jDiM3YrrJz6Cjx3xWBtarulGO5jxAzmRjDBvpBd272W0Jp +5pQ4I0MMcdo6bpA09wTaVXobd7OWrHBRt0KHd8+oeIeUoY5DUDxotvrvPom6E1wLCKmi/KC8Wyv/ ++zTpchgGD2gK+AVQf7OiwGqGh8X+ZrQ1dcoEOpwKJuwQgKUpCD/4gUEoxBErT+RPBiEDaCcr7HAy +AvgpLK4L6fMOTX15tbfKvjDbSlCSmiem4lM3yTCf/ViJQRtYEc33hfg3CLM91avAzTThv314ZfAo +R4bNZlXTG/vUSt77OelqothPfAEMJZIEQXKPLcBwNmcCYP7tzf2R0nBNlVN5s7iGOiULKCYZbIkV +rCZl1+w/Le0crwO+LbYmr54alZssmYvfaPRod+EKXgyayQVB831JOaTCjW5Toveoq3L3+F1e1tSC +fpmlLXKYPvpXUUaBeNgbhgDfTxo7Otyr6fy5anQrUlEk5ARI8tg9IxYXOqmNR4FkD9q6zSFL7YGD +9nq+Fn3HZkD3WSTDblPkmyE+HvbQ34APS1L5NuaAVsUd+oNSDo1NR/Bl3L04t4xOgkVPvLocAZ9W +NksqFhbOPnvPgMDV8PRIRup2pKPzlNcd1AlYd50WW1vv3lsF/Q75PxzRXix9wxHpkwyv9LdyZVt9 +NMgZ9AW6b33dQMsMhdgdBxBmQegD7VePmWRWEHWeFjrBRAv3cDzvJEmkdDefiJsCXD/za16s6onL +4t7Qr9QwBNYSpjzm0SeRUpwBWpC6xquYd/nYhkCsX1WOLeFy4IGn5ki78cUJHPgOjCdx2TEKlAo4 +eBe/nO+Z0I6ky9b1c+EyWm/d0nT1dZFNhLICAJCbjXCNpwzJhG2hDwBjtTJbZEA5iWBgeC3zjwSP +OLH7NopWKEMR1CClbOrziVUcIJjP5kxjtSUcK6H2w31HpB3SFvu0HLsdERSMGVLwPEnFsNtoMViD +ei9yUOyYh7ib9xrXmI7Z2ZQEyifhiAVW2DYKLhAgXALrEB8LW2EXTSSrLHl/0qmTFoEHwTAwru2s +joLttKMJg40ocdXFL98plbfL4N0mcF6YF+N9QjIc+pgFhsHYLUeo7+0Jh/5HNet7pmpNw67zdhlH +LLsnh2AQH23JopDzotm8zbiqmsnzjucJi13/zvsQmw8hSpevkAU2TIyNuEU/BX3YEATTNB6+IJDC +2YUKikTFlDVB0GqdJmICCdp0JKqbzmDHfUeshsoWl7Yb+WNZBWVrJIhvoG4um+/HyoyUd0ELsc8B +baBha5wMBrbYq+/S6So60WhvxPz1gJamSICxn7o5H/cEEtoTMZGkkXCtcUEqmsGOWgojVjD+Barq +BzKe4TGlYuFwyulaZPnzehUMN0GVoDcgAUCHiqkFEhnzbF+iy5e3usrXAalyTygQ3SjEtnsl51Sm +GHA1tMHVm7jnlszQexGs3PzIlFcpjdY2nYkUhUbdiRyM31xj02v4c/yvGKvwo+b6fXPWsm+vDcJ6 +UAB6zBKsIjP7QsDsOW9RRS+24ie9/T4JFAPNSU2Xe9LcSRgHhEO+79XTqF2sHpYtfp/XD99/huoS +EcUZ4GJADM2AviaTPr+m5njnB9myA+T+IVgh4cn20IfHMNHsVWLrekLnReqhJcuJyLmhBJfmr77q +oAevQq2FZS1JZRNFbNFRCOSgUchjlVjoPo/rD4cG5X7wXjgujjaRIqlmcRGtZli/lLFwvz0fOQ+W +ggtbxTcEcVysUJfqm95hcLVPrwjbdlrC2AdQVskSz13JZN2sfMh4njDDGWrzLipvzMwN2tGFqnWz +QLgk3ABpQWSYhrQSMQl2HBeurfz461zfKi35K+W7yLtCevuNqysTEft+uS8+LPRF/4dqfPTBn85q +kd73kIsY2aJ+0qmCb4/MaqTPMZtdER2h4liC6J1Wv8h4tlE0HT//f0uAQsXlr9afhSBiJuEHxECz +E0/ZSAPJ5fDUQVJtn9eTj7nV0HG5u8y776agX8rQ+gQwnnjGROS33COHQEh/+ySRge1i72GNw4Ng +FbGkRllYDoS56x7im+9GmCKOW5O9eOuoJndxJG4Wq//iUWbuEFESa3TrBPjQWbP3qYLCtTMt1ZmC +pyrX2tkQTDSya1mKmsy2QR8g5XKS9He09UjBHiyU1LeKLy1at9pQ9K6RC1hupIC5LLvFA3VgDy8/ +xMxjQXGSaqmoYf3Fv5UO7/P3XeULrMzpzErjQqiFFeK836jk+3kJ6u3jMHbO+moiCmaaPyDUD3MW +XFiKA7xR0HqZ0znqRX0hwPlmJhxnRNrcm2TuGs8PCqZJ5XbD4FPk5iMEPu+2QXxiy2PKlwAWc9tK +3dGuLOayBQHmeV+hO8mHlTeFwhytuhAo4AdgzMi1rJnjpj2Kyli7lcd50l/E+GdUzOoEP4E9IkmG +0VTUDB8vwvgz/7rmGLV/K3MZmGroj9PIiYdpiIeLNlXhVQh+k8j7kmEFaoTR6QWXpuuTTnWNiKah +tP5a/xEoUwH7pt5109/And1kdPCy0jssqLRU0Xryalx2cKh7OEgtfNPyv2eaF66PXGZ5LJY2C9Tl +ulLsNYTxuc3JKlJdHXupgR5b2i+o+1Vg6Plhycym/z1vXTATR+DEKKcjXsW+PK/okJQOQXX/kZ7s +j+Usq3BYuYtEljFholye7acbvp2er4rT9uOE0lKYAN/gLzRO1pcq36HmXt4GYKlRwLoHRTDZerCU +Nkc8DS/hez6QAcEsigFUnSuBRa11OirA2YjzesxxX9DKcSQswz3O+SiJVqj/DZ4mI7dAxQiKHFAM +2OivoTTacdBN8TAwJZp/arcvxLuzFSumdc5j3bK+OIuP3K3DfyQIw/fFiXML87AWW9R/wA50rPWQ +UDZGDWNLOcRyIuPsYAbiI1ipiZHvPxyzMcSVyyT3eVtVmBKeGUJm1MJsGmeuOPPLtMKuikhs8wjr +E2gn5F7XyVCrx3GPETW3sBYUpDoy8UeJSAZUDKsLq5QbJBhV/t6nAkSq1oJjyOE3qr01fpCqtNvt +saUGOJ3sx0LdJTdODaVKEQFjepcbpH/Eiy2KKEr5UFcRTKWjs+obHoLdXIMpr9hg9Q45xHwzu85e +V+bp0myv6XnkV9kXiBZOrPWOe9il2WGUy/HCW2qYxwv/rB64bq3raG19LFChQVZwv1ODQogMpZLm +b+D2wPl3zg/MErKAEdDNcWPYDzp3FkCKrDlfSZ1L5FaBaHWXtekkcCLcRhW3PEi+VLCwdHz1ZtzT +TifKHEKrSVu5/TFRtEf0Ievyyhas515cHSVZ00awGQiEOVXU7WKnX1QiKy4NC2NXR+GOTS1FUb0E +sd1JfkDDO4IF+bGc/ggObUIO6cjj40KPrLuQRlJhQl9EP7LXwlWMxxH98/F1F4a2AO4n7hnibBHP ++g5VV6yODe6j5B4cKD59J0AGzmGaxr3zhstXRD2fr/RhfessQr67AeTDsggD4YYXwjbmmDHjURhb +yKEEV9WZguhUrVls5KeYvXjWrRjw7Vmb3jJGm7apntBfiXJNwwIZK8o7C1ejgKjYn62WacjJqXUE +Tb5LK0O8adE1nZXeHBYY4R61Fj4R/T/pDE93OlrwB8zBVtgYbuvK604bUuHu+M5SZk0idb3ZSz/o +hpA0/Qw/3lni9njEzc7pwobx7ZMRgjyflWGwhf7KzPREJvf5XwTZP70PhaaV1rGwKzYlOwznUW9V +OFrPtcEhaDCLN9Dzfb2o/eLG/DAmDnBNZ6Obk5v8Pq02vZ7vulTIYS1VjZfP4GwWGe4yMVQelyLa +2L1NyqeVsovoJPoW+z/h+Hq0/1hx7cie8PdpmfE/8J5QJlRWrHXWK6DpDmVEt2ICD/DhtvZiZ5Ov +kJ0d0cHjsXSwYojOdWVNi6ieCv2W5jBhxuWBatsNEgGMHxcmXERM648tVSNTcE6cMIPf5TAyDod+ +xlGdFW4pwV5WAjZ+st2hyfWAvUW8Rv6Q+w/VvY1S5NOK3CNSyjlyWbwFFCZxRbsE3n0TlKedVil0 +NWncPXdpP7bjhBjHJqieL79masARmIV0R923+bVZ+BaOfFKV4x0m9FwPMz1IPWek+OEMv0MzKNMl +Iz7W6+YagGUCNQm8YM0/e0zMck72RZ0asPJZiI7e0aeVKowJ3pDwIbYGWk7zZmmFyr/olCm3yYcH +HqLJrtSo4pYxCDAbyeUiu5yTKgz1AVVKKMLFp43mXIY9qWan0TLE8ZGd2Fk6MhART1AcuP01mTAX +ijbQXSwCdC5cNl5WtFo67HV/aIT/nYGOns+s/a6r0d9VJ8R6jjfYJexj53tgg7cSpTmLmugn+qZ4 +0yTLG6AxtBo8t8bf6+HZq9rb9elepMb12fP4q0meRneYSe7MqalVmLbo8u6sSlgZug8BpGiobcwe +zwdHvSHkUTCRHsUNPlnglpc2nk4N4GxL4ZuJbiYD2qFw+mq25MT7aGcZCg/wZVMG3aPSLxCR6PTE +5jemvbitqPKJZvw6vWhqsTuXLTABvDT+96N9XsxQdKUCTjv1t2lB2vbNPhcyNKQnMKQocU2oNXaA +wO/72YpSZMijTaDk3PYV68BZnd3L6D6p0zA6blSfy7oA1t6RDH6OJvVsSA1fhIkFIGbINDRkw1// +uUZscCjwsqlD1+1kT5AWsjw6ZsUHqp9ftxTkQCON7no7Xo4GUE7RNdWCOt3FOipkWPYEpKVVppeQ +tUvqOk1OsphpoTcbKnaMA0BQ9RT+kOFjFhwTgt94meqc5C/brAjezuGVV3dnGrcVj9AfRVq9xR5/ +Ar4eGHV2E1WJfAbUwPvA4VJKRTK87C8HtDbXHnaenyDse6P0/Y5ZWcvKpoC58fCKup5kp3xdPMn3 +oIiKt6oEo5UPt6fxy2tmBU5eXUGTaOGrxL9f8iNWR9azRafKmjrTCcI3lE/dAuR5hZqLpfk2/ooW +LIQvFKSQ4Hk2U4LdvOTI29ZhmqfWrO0A/eJbajt0x0y+ESNPKN/ozLmYm4vqsGI9L+f+kr0sHKvU +UWYgBuFiDqqcV0K8nKK/6VfgT2B6Pyy7VdClTDUyOcO+ulMwJTTBqcKPL+kmi7Y+VuswC/i0hBmd +5xvHX0SGAtfanVvExetg6cuMJHmuv79DLYt3+KEa7f2UyRdGFZlPLryM9cqiDtbkh/JEcx454t0g +2qYGDCQh0eF7xInwUmqAHQQZZIwvS4yrgXLZzW9ZsAsI72rQDUt2pHZ+Ptjg+zLi8Yf3L/0yQooe +5TSIsd396Jpa12zGl6+cVHD2a0NV92kZ9ZqhV2hxYXeB5i9zQj2ObNPOOR0qfMXCUOkMLFFRmqGR +9MDVuOI6h1P7EYDLF0sKOBdm1jx6fmuIVHbHQLKha8kUDGtgFxZtXNP016moxOzei++uoHiSl596 +riJJdj0AHNf83ElIEhog/Go6G52Qvq/wVgjI6RcyjfZHNrHeoW5/Na2qH/e0M8Icv2VzfkGoSEG/ +gO4SlNiyFjoWwj1dDYIIrRJ8q2jXKKkUV4gSh1s1AjI/Off3AUHWBgsXq51QDcdx/03n4PqILwxh +FBbS5012ZnjD0KkSc3Lc6ZfelKg0Q0JoyWDjbvgxa+GTWeri0mtQ8x6DAtqd4krNDV1c30QtbUof +v4vSn88RrNVhvZCxoy+ZmvGJ/lyHE11ey9c0IjBbNHiszcwbXq515ojy5wVyz9JuXS6KlUjJknXU +CJpb/kRvTqWAVFEdlBWh0aA04TswbjRsCDuTDvLhNiHKItw/W/qtiB1A7Yijr3j/Idy4LZ8uZn2u +Qu0MFnYZAYEHhjF/zlqwON5VSMoVPeLDMAQ1edzV4i2aysvp7zAbGStbHOe8Mgh1BS8SBKeoupC2 +V+B3WFKpqqBYDQcYMX0s1n9q7WV53442QCiP7LgU5hgbM1GmiudlgCfG4T18qkkQC3WbrcixLOE9 +HR4LXHt4I+dXjZYxGaflJoiX1zzxAPXG5CGBo+sw7MSqepDiPjqk2CVazaKIQOx86NNKdzH40ble +VFJ+Bz7t21bvVkgesUSi7ZuvB1pbccH8V4o0wGp9rpoMA/2dNMpwQbDvT4ov0AQ5BawQwVSuvy6Q +ipFNTq8p7j599rGnu5cBUBrCl04Thh+ymT1KKuU/koZcL9ZDZVyiPBVwEOzrS12sFrA44tOv+qWx +V+Fy0XTdhdW0nr5A0Xdf8XU6LTlUQUV28VcT9rk+SIFQUuKB8/h/XO+wnwqNyL5OvL05gc46YMW3 +sMkXX93I5fQmwAtUPvhtioaJTR3RYYhvizALIUg1oatTfnNDWuuV6eWniBvf5jhBqMIU3uyttRJy +TRuzf5rDpK1tu3XP/6J4S70GNRYWeN5z71EodQGdlcU5nbLUUAHdlEw3FIWpurQehRq14vbMXyof +8GOroZXQ5uhKpJ1ImCtEQ2CPU0NLldgqVylxqzWGZZ65IxDB+dbvhG5d8AdBHFw6mTGC3VbH/iIg +0QghGsNKNBbNc7ibxTs3p8CCSFTcJKb83ugPtEPMMOFJH9o3QMbL0RZQZeuiy/ksOuESIsgWlddT +KCfpzo8uonfCD2trBJZeqlQj9CEm+Mlvf9yFhpPqCAAy/tNkmkqk8brEjDicIstd1rNdGtnWBwQN +C2bbxFN+GmIhBy2qcbmSFqRG916l6tpyxKv2xGkwHdaQGoElOATW75KU1B4MzxmsVJ5iedXTubIU +0ixwiMoyfiyO1aI/lS63mJF4BQY8gcQUWZdMwRR7kWZcMKymv6fBKvoLYeMne+URxfh9HzhA47Ex +RzSNV0fepWYU2qj8NlLp6VUA5DnqlPEiNm4Xyo3iM0juc4NcNOz+vOoxPp7gu4HVpDrpPba585JB +6gHnX+/3y0obpeIUCBBUquSbT8ydLHZJBoXfJh6KzlJj+pkErQebjEYBngbCE8IWXMgY4JDiUU2n +fs/AoPVY0KPbagbDXkxenjlxgQJVkk1phvvSw3nOhhb/EKRZLB7/tjXEnWLFBtsgrps6a9tunIbe +A3r8tabWicsVL2FiYWhy6nl344onJpTAWEybGDVQGe6Fe8/ftfVw8p49pno97QnlymXVoliylDvR +eCdu8fO8c/hcWYFTSEQLPY9FzE7ndWSW+SEbxRuw+HI82Pt9ghPxMM9pfSf525yi/+LeHzI6fZ6E +7xIrjWlfnDvQQ1mHyCyrPsrtKUv5y64i5zTsii1O093lyJ4KrySgZv+FhRMdeKOkrntgGdFjXqxF +/jUr0mOB1xFL6Vnot7NP8EBZ8o94P2qdLSCNqy2H4GaCuM1emxuxPFYFBcuOxmGXPIngTCH2RTeE +OdxOr3WjElCYcEP/XU6KTcg8GsMLMe1M04u/8DQOV48ja+ae1m7gMjeA6n+5RwO4d4mzwA74Jeeu +tlm7QVzmxHUNger4K7fiMtXRXY9DWRpoOA8LccCbqk8sTglO7noBHTUCqLmx0dOyNmOP+M5D0wPq +0qN9+7wl2QGMD6C3VfFZc25/OhvU8y9V5yaqAYu84NJeXXlx+lBK0YFxKECckwgRccI0Bkx4KoY9 +1v9EmrEnm5uVN9iq9W8//hEmlfAMMBjZYjha6QibQ8HK5b9AmHV0K3j0iAd1DRA2qhnMC9Xzs95s +FYHThfMblaRLvzTDR/Gb3AMtQrjhEPQFfxJHPvJQCFTB53aL8qd6Suk2Cfo+ejIBIajcwfISroER +Uw7iIL77SRCxTWT9+R5wNqcfqHvKuW638SnqtuPTomZ/RWcCYEUD+FT1TZSPieNEt81Uj2AeTFbk +SGHWEzDEzpGmLHLWEYDTFfiqU8HSOnpFs30nQNld0Iq4LGzJzPH/Hsfp/PEjvhRo23qa0XpkusMV +s+ECI5vwq2XdArF5mpDY9SVjvFwB0r1f5wE7wHr4Ft/qXPWqZVGh38VyEVrQDWhzz9r4YOszNUxC +vih7ysPN8o+vCUDLLxLnbdutiiv9VW2pG8/KgOlRcPXD/XVDxc13C4wlQSubBAppgTwWEQsnwQsx +wuOoXbHZFKezvOhtEicujYADDtO/SE1Wf0DILusLtb5igjXUIK80PHnYK1TXReGbtq8CM/ptM6B0 +7hzqw8BBAGz/bgYtpFOLLUPzm1NZoP5KpSRywXr6puDWsnc+qT88vrwfJMBB8MKRIm146Fo9m57n +Y8YVG6wTe6agpGBiev33pJgrlq/n8ZAPOYyZXCrggS0CctjtvRia6N1v226p56mFjcsJPynKDBB7 +bkqH3IjDSnABNqKD3SIp4WaAEspuavvR8hR2y0svnooqzwR1/DL4ioJrjkScVIzw/zP94XmU+rHG +ToCVhbMtxBoaeM7mqQVhqSXpf/kF4liX97MLNm1fGOL17ubJifWVroFN+V4zPUIpaNN+b82EkQWx +bjyPKh+dqEZG1IIWGYHU1cvLaaj+KiNgSdhvh+gSFJDGwUpvwrAUdW5SGVxD9gBjdXCoA8/uKAfX +thvxA25LcaIBNwrTNWMwMXh5jJ2VlRGLB54GHqLQynmF3vhnuQFLD63oGxRnR+oP6GuVcECbDrMZ +yJHYYB3hM+KzLsfZKvYD3Cs+/xHjiA9WOz+feokzKIq9pvmeeKtELyJYw2euPipWBhN8FNycWbTy +Fd4+V38na0oZOzawS8UuX7ltExp6N3Iy9yI7j/8qTxVTEbaGZtL4qzqEFo2Bl6bm48RolEm3qSNy +1i74YyYjxRYqgrch/flsgvKWTk+hvEq/5M3quvEMjd/oLO1FoHsYpbIVvoTJPUc1OrXwgOEO4sWY +o0RkjoCrKhKL58qy69+ERJB/WdzVSTxtrKhtxyHaNF8nH3XlpcqHSx/ih+NBPA5pcLwd08V47pTg +Md692zkyHPcVa31T95fy4krc/Ua+cZQX/D3iFUBLr9L8vl/T7b3D/4zYGnmPIsBH0jbucDMli8ZM +hClPSpv91XbRwJ3fI7VtEbdpnkBztU1/e648EZdG3Mhjkt64wTKg4OODdNVW+0BZ75lD3ndiEws7 +WBl+yzRzDnab/H/iQarQ571n3vQvbtlKw7isqz+AuWMuMq3J3c7IOE+ISr0asfW8+SU8ptvYTwqr +RQLg/UMe+iDl62xydNBx94+Tdg2944EBV+565waYDYXRbnKa8/yfsEUxFDiSq9S8XIWLXdE9ZXme +eopov9W1tOKnvJqIa4VvwvmjySEogJhqz0UiSf5apukrrH4u4Egri7zPpcELLz42aT7KifCEZFuw +qyCsBZ1ih5dbqMdt+B+AOA8dnca/MKdG1sC9CtPIz3VpgZnotX6yIqeaLGOMZ1MKTwmID5JyhKPy +thrl27p7W2EjgmKiazXFQ0I3SxzMh2OuQpQtcztx4hHSB9GESQwaul7WRinVMnqavQ6PXrk+ynsh +62h+idclzp6r4kDrn7LSeuzBVPSOMt8MmvnXUR/pVvT0RHn3l6Cs5iea6xEiPTMeb7GWpQpMc8xM +eDKvvAc9j/9J47iUCway/g1F62CS3PkAWKGEOZ2AJ/uG4rgk6BXaYqu9tq21q+PdCaiCB/NUMnzj +vh7tezM1Fhl+uyl+n+NTxaIrTFM8uDsj+ebxcwRasYICGTcxk2R4zfGSYrRqWsQBQbju+Dv5NEvr +YS7DLPXDVAnlutBJkaP6aeTzaVxtPj1ZJSWJ2LuRLN3su5DqcWJ7aprdEYM5rNA7d15XwuRef/7T +KdnntzBmq04yAJoIKs+x2SXkZTjhnqqvJPXZ2gD9uDB45NsouplXBfkqpU3mDVsRT2NCumjedQc9 +ihUdkK2jDe7BaNFpPjmzqXEN+S4k2ni8CgQ5vxv7u0pSROIpAF4vtK8yeKoJMLmgSDONOuR/+5OV +C/QUiU+7VY23VORC16tWiZdI17QFEe5Ro/9xMnaG/ZcU8BUUycC0rItJ1WFLRVcL8S7IWOS03vJb +6gue3WkzBqgKCyDUKcrZEhV7uOYdD9DsMD4chywqCb+IL/xRbZJAZQLXs6K0H7OX1kyWolBcjjUy +YgGhtFpMV0+sy/Ag3RNye4niEM3p3nV/OJ/gNwxLfE0sp4vBZRp/GlmREzM8Rs1cMZJsPqXKW3Jc +wpx29Mu3rzSDkrFXuWeYUY9x3Jux2UgeZVHiIg3pYZPcFZCq19s9ZgKOleteuPCLpVgqe7e708Dl +Byds/yr/4moGEDxFOKrGkLlCnmxN0gyhgdh8DfJFSzsoYFsZXsQLIcPSLyeNGMyo3AfwmFEjmkTT +K6SNULokj0+TXVkpu5J/SC0m260lrH0PGRBzz8XgIVAYV8yZZD/ArBJTfbBxazea+tDCVTO3aQl9 +ZTPyPU2Luw7vFqdrx5rcMjJrekR6nEZHQ6dwMwhgrpmFGYzIadRuMvWWXZqut4tDB/hsxAmRIt6s ++Xe2JxCn6t/pv0CyH+67+Qco0FVUY3V71Oe4Rx80l0K6EnxUKteoM3V2X9ixr/TyKcAfxzymV2Yn +IS69oTEnuHMQNlvYCJK0zRy4gjoP3r8AR5FBImblTjfaF0HKer14gADw6z4sdWorXCtfbFT0fFcW +Dh5rSZKFO7z21cBfE2/RlgC7csSkD+ZGTcPS27PAgQXZk+1hxHma5brfmIn0WogHdQ8jiw0u08eK +IConFnprzN9fJC/CNia6d4VzCnThPl4QYAhXi3tI7N8Hxz2yewiYB4p0u2SWV8iUv1ljAPyGIXeE +OHWCnilLTlPNs7Ye/z706HaKY023IXCV/R8T010rfSXwq6M9LLUCylA/cRLVx1yH1JdZepu+54T3 +QSuilU9PMS5kCOSviumgmR2iqrAnKeutPO1/aAJyhwsNOe9OU5kMWu+WT93kjAjNvDzWpufu5/Ol +YCoUweUjOLWP/zMaHU1f97fvNhkUDjbQac8zUhanRBbr/RiQyZS823pss9zfs1QZ1b7ewPKveVSd +bU2jzPyekhjc87Mv7+zWRqLO8v0FKuuSF1IkerL6CqDDsqOnngc0+N2LMyhiKtKQUSIqiPUqnU1P +DuL3nYVubYvIuC9Kh8/CJL8jiJvOCB/Zdo6SxafC/rRalhYEd3qC2pbGrGItUrG2qDzedtGq+UKa +M8a9bhLUaoaqaytDjOiF1OVZeWS86d4fTtyiR4Y/nuH9lRoQGfjrsPyQUDrmxqMk0hCpPiafKNwj +H9OL9bJh364r0+n644v8tzpfshsUra4pBmmTTojFOgr6aRNYRsW/BTsqKOcB5YHU1ybE8iKviWh3 +ocoIpz2gSLYsI2KhHr2yXu8vVhf19LVSIOjMJS7zLRT4FOoRjnQiKACNRHAkLKSjhF57fTGpH0X9 +JgRf9tJxBC3MdNzFh4w8CqB1wsStByvudlXwKg1ZnxM71f86MU+jv+BSmIlKGpUHcOP/yHkCQh8v +hpv0n2v5/xWN5l287w4zIGdnyGwMskqoHAtLTlRquE+cUdxVuOxf9cbP+OQI15UeW/RO/JdqMej3 +qoYwKZH3/T/lNokS/jmulM+V31+JkTY2cVZDTptwQ+liHnhyLjLJ34GZGh21qYQAzyd4NW4Q/zSm +tq191HuIF+zB4kb6TbCEjrZGBg+Y5CkLqKkCAsdtTtzymXBndtZ5ETJ2KQZjqUJ/ckXpp1dIshGx +YOsxRw3DZLUBFd5EERgJJEekt7t2bhr+z/LVklq4/4wFU+uHPr5gEPLtZeengOFnzSEHdJ6t2V/a +rLaTqVPifzZ9Cdum0HM3EQyS7qf2Y63u+ZbQRlT1J/A/NaEXYpegaKkTTq1ZWYfH0VBDORdb1v/B +GLJKW74oQQVUBmr3rnbCnteymf4OTin3L3wHsU0YKLNzKwiNvz/Y4ZDw3Bnn5ZKteiBbfBVKae4h +2PlRoaS3JqMyUMc6OBCcEQJdip82ujN7aC2ylzYy1uP29SGAUCW98Kc5PuU+tIcNdcoVHF3h/qjg +mkvdykklm6FY7A6ul9PnOdpfPgsgxwqgh/FFUaANQ81gYwcCWf6zriI56/osZg0xdym5Sy72bRtD +s0kknt/WQGUulosaHT4ubGfQtQRbSjO2I75uT1FtCXvYQ4XoC6zHQ78YZ+TxsexRhaZ/IWIq+gPw +vpv/H0GEycmDZxJrrImGkMTmj1Onx4vJf9hJQE5nzniDSZWSvuP4zaaamXBrfv/IfxmJ0NXrHRxz +TYbq+vnA7Ef2sdGu0LOKLGTJDHGaj3sT94oWoQjLiYo4b0J7RNls5kBdYLM97SNdp9xz0EY/RzCU +TwC39WuZnYlqs4mS2MAodsCk8tgwrc12zwjy7Z38T9fIusg7U8o0mWakmfZiTLNa/G6elgtAhqQe +4m6gLCOJaGtTBtndJTuzR0MHYM8WuwP2ugeGaiUkHb/REX6lLYhD6iNg9iwJJ05ip8pgbmgru3sn +nVx5OsIYGobvy9hqsJhZ7FXTlgJMhLsAAbBO0WnD4JcwnopfB3zvbvA74/gMwpkbsM5ixUmMnLsE +kFDUpz56eND/DDZtN0KOHVa5hc8MTb5wkcWEcCjPbpGYt18rR0J+J6pUCoteAax72fgdEYR8Cmag +nVE42/mDstP5QXWNvk4FcTyzIe56bgkYXqT0CLyjp40ZBKIbkoVJVvoTMXAXnunT4Em83nivAJLb +whMTsskm8iFEN4839Xzb18e0gMqfOZ3QT9tqXnaXRai69K9KNPc+oMkG+xkZpYyM+Hp+xtcQUYrC +LhSlhoHU2OcJkfLHRTeb1Q/Pm3S51dAqZDW9qs4q21PpLM5yM+JwWLF0Omue6PFote4TtkX5Al+z +VlAFSLKaYrCS+jEsH3M0pBSeAEAWfwjcfkk6WjR5KXjcS3JVuSjBR22W+56W0rZS54cV/oegdWpD +JUByFmHPyzgFqsRl0yIu7dMPefIGffBHNnY0HZKsGmENDVE5mzvrXQ0rONsVtWX3J8w8pDusB8DZ +eEH4LXLITL13HpY5do65lb55JpXq8eRpi+9DMeH+ocv7DS8gG+Tn4ih1zw9Ww65WUYokhCPmWE+c +xiXusMjfpC2fM+HIERnycj0czXpDv+XjGC80fGUoSsBmMpmZPRRiMTwScDL8tdgqxs1jmySEkyGN +lWANFL05Gm+3zvQPL6s4n3Qyhg8p4gSwp0ctGKczZgupnZGAHFXdjHyWecrm9vYP0NtzCSMfuC99 +L6vBHAsl3otF3YJJr9EFSraTEw7zgi1FvMb9mYsHvf3KcikI42bO8U6/Ots6D9Sa0ySbOV79ojfc +wczi+RZ17O9r2KIzqsAKWBc3nSEOoc5ce1c9KkovoFDfEzsTn9ggRC5r+8fIF8+ZPf+iB1SaYYmw +rtUKV3FRfPEyRbwCv+7WCi861/K/vlDxaU0bR8PR4Tj5fMSYLZTK1/Ep8/ldh/LPnoTZWH+DMMj/ +piNA79slDSvFGrYUey8fNFo/AOCWJ/QLfXKJBd4bNLUEW19UtbJ6IO6OLiUDjU9pREW/Ntf0eIi+ +Twvqw3u/pDECJr1hyorJaYgVCQmNoVRUHq3gvAknJIAcUEAAwiAq+dHKlFYEhSbhFNAzF3LScVWe +KNTBYwrJu8b0/aLVzpwxla8njvS3sgGEyVjtg0q8L2u6Ilsd/rbPYgapkzMate5vVxglJRojviBX +rlxCdnsMG0EacjK6y5OHrANUKpdp5r3trLX5RrFg5YWdJJaiHEaVT3S3p22a4JJnntHzjhKbUmgl +JGgz/j3WtchG3oduJS5i4V0dCP7H+qppEaIrvT3wcjFvh/6lBAEUsHxZZhERXlac2/+iQ+TsLZmy +a7CJ7mfCcmXlSmQFBiwGLWGmkBKnhwULUJ7z5o4icYQieryiXfrOjgr30Oosk0raHU1DbSb4O73b +/yoQsSvGLBHtak6st8g5Z06gra2QfDpl8+KQp7x7fBte5NRbW4BA1W8wmhzW3cDcAxs6UquAoKqi +KyJGCga2Pi6qDs59Ze0zxcigz0V2t7+ghi5EjPfGqj4BDX6y6UrXiPEm2CNSn4b4Zgy6q2V45Atx +QTaVOHo0AH/DqvxYUFN54ip/g2UyAjCHHRCk2kyqQfL+JmI0/gAscM+0ldAC7PFYc4C/3oX2QKUh +ZUbbVR7So6VPSKNs1E67Bcjn4t3wv8+mP64Jjgko4DDGFzrhZxstMkr720sM4JYlo/3ILwn4zBpL +5CxVpiAFkYvd6faGrYTsJ4wFgA50AOuyWL10Yx65isbVlHazRQ2QtLJ8ndDovK8Whlrquf4Cy+Zu +8CCEFFdu/QOZZmE3WkxvIlXt+20++7hwbq6SU9FMBQhn1TrMnkoYoR5PXoZduw3kaEqlgSw3M8xs +0oDV0vV4gKo2RnSTIr57x3actYQIZQ5JwkJc+82nHUT3Xe+anDexHZUbAS/u4wjUIyfdfH8Uje+D +6bPZ55rws+MIhyspAcSl5WF4ReBsy1vGJ2pHaZ9UlKQHlXYuWtkz60t1NOSrsBHgJy0mI+i05ore +Zw6+mk+nz0Nuca6r3c8OvegHKh0Vfl0P2HCe6P3PdQpcrYmH2/G3wKhFl6lvdZ9g8qesfIQDi4H5 +MTd6WOEmEzxsMBQSk69Q0OK2owIvtHzLBTPnqEW1ZKIG64ptU3Rwtfb97k2tv+YajriTzBYDoEcU +XazUgJcn8iXZghOMnpS66kEnOIfO42k+DVH0Nw4w0GYKaXpOjIKfUrO+xJQcfj30uRTkQxVlhBkk +dKlGUGpya/wwow9fF3U3sOrxcaSxGRIQLDvTdm6yvdPMA9t4RS9Q3xVwjhlKUutT8VhkwYfRmlvi +60QCLC5bbE0LwbdAOQzsp9LRk8XG1zm7CjTY2wjfXHpHI8QLSyTys7qtVAV/dVbGQ1x+KrDxwV4Q +PROjRg2zAPwlGMxe1651sApNnn8ADU/fsW4seBeafFnYrQ/XvhtgPcvQIWjVC2WBLMrJynjGPhPD +esqha450DJcjwCcaeYsQAee1301ZzRCBfiHo3FqhStYDqBSP+pOB0zIcI62XTfCEHVv++MRIXoL1 +OZB7BeFykVU0Ra51nFSgxkgEHuoXDV4KatCsII/AdvaMm3nt1Mqld+6d9zcI+dOEGXdMUx5nuR1g +NS3Cz5tA0FRGodZGV7nZFuH/8F4bA8k2O5oSkNawKyxAO7nrIBUSxCFz2MYcg+NL3nkM1uwRH/4f +uAknhf0ZSUn+g4qClRCBhTv2XLMQTsEAmqizRE4NFZPdtYptq+2gCjLZrPjugUPXpTqTtYydvo5Y +XAKTK+8AO3TlqO7PjU+YTi1hRtY6cyQGNeJwYOEA3zsOntGkwD217uxmHWohBWl21uhtzhnhx1RT +G9RHVkbb3MTMdTOWl1+hL65z+QPllaQqwnePy2U648HiAmteyb3h0xm3ZeERNHQs3PTwTypi6trR +u2hP4iVRZzEjS4kk2/+TAK3OvFEMIqDMTib3UyJ56IxfOOunk883guA0Yla6bmlHDIgo/nJFt+RU +XJo5TMUdZnAJ8WYkjZcz3fkFuIlUR+Oh4bkVvxZ5Wx0hG0OAIIfdW11+IFQq7peqOquSlYRnU4nt +z7gXJ9eIkR/+HxRkUQ9me9H15RPPgz0RYsQBOgBHONuX1LAUnPtwd5MpRCi0MRGMdogJPIqcObnl +b6cRgiaVoWBtG8EbyV+4pF2UJRz+W+8kdFrRqIArPzE1wAcmpG2rZSnwyHyM7xFUTiKImoyt+1Se +XZuq/jMYt2Zdqiwam4z2fTbn9O3ovnTA3JMt6tL5geVtwjuMP+239DWNo/mnb8zJS/NpuTlZU0Wf +WahfTCedk1wLIDQJWjkLv1CcZj3V9LLfseVCyGVJ+XylFtQPufFnZP+Ea6cZRCQZeNELq8NfRLNZ +5ZKcSFM4ykbq137bRUOreT0fuu2N795EStRDr4QEElg33m/Mk/L79IRgh9YDbkwS6IWY2AKuKC7r +EYFojHrIymhm/R3sgWyQqZCFKYWyeuM7Wy5PmfgPfp40QMGk3Fv6m8dZW/4eIJ7qz7t9lQt6wkjP +iEViNK6CX2sxxqTAYL86QnIqrPdQLiTmgNiawY1w88+U885wGA42/O5suVuSGRGZ4QjfWH3deLLl +K4JxVA2IRJHyXBVV0ydIJcvzBFF4HfblB6Aiiua6UowCp5tokLpAtOWeVESxS4MTTvdNrDnbvi2P +wBa/kNGrWNN6h/LdiJbUTMY6qtQhrm09QLg7QWhwuJZc5MGxHqnqvVwPyJ1peiymdsQyCMCC66YO +W7pZ6EEd3fiNqG0eGq1Wv5EhS6n0MOBzLjlDRV5c/8SwhdwLnBqEvCeyz1ItwKXzHz3yV8/fFFH5 +ok3NqB0w76zoXmTCvGOJ31ipVS3Mw8fGggcQoNH7f3voWnrkHaY9hOpkkgUVHta7+BQtpwglI/Xw +S1Fs2Z71BRA9F8nmM9ffkZaRgEdkFp05JCCRmMY5yL3vJp97XKXX+9yLdE6dotiS1nF86AonKYhH +LoEqqRXnMsDYlWX/jAegWxoGwcDuwCSM1VQJtoeLJboThRmoF8Tg6alh1aYZiPd9HjWYzeggBcQx +xf6YYLuMaLfGJhSJJco6cOLquWz6x5lP7QPF4k8kULH5BoHOe6ROHW4MAagGhu2jxhKTlQIba66H +/CBAx+0kOfsp6d+XJBH8iy8bLNEhYjzO2HEKWFYas27XOChACVxRDHyNo1TSpeF6tsFlLfSU4Hsr +nXKwJtwnHd/ah6Kp0S6dGzY0Ce8BZ6tshBwIxd57BFOlQOPYmweJULWajxddRuPoePwmaEATRlUI +iHbBsj07EZf5Nr3mmPHqCyjZPwKQBtAHGNzAMKnFRF1PeJlM7R3z25P7HpxwjwxCW6F5nuWg0wAP +EsQGtbOKxfDW2Erc8lyG/5Aeh25/TP8TiH9EeHxDMIjfwyYsVqJj1eDczQ7hLkHmPdcYMNvNHBLm +NLG5Qcin0imOhoorCxS9OwqbjqcZJNV0qZrPln2Wi4ozGFVfHfTGo5dJuKVr3UNZnJMPnm3/0Bhc +6g1i4rPwhIw28zqOIhfJLxRM9Jxq/WQCKUU6ArtbvJqn832T7vsbU821Gb58+9wC96sHg5WXfjHZ +5TrAY2MTrYHDo+azZSc8aYjNQy9mYKOklCbZJWdH3bKZYI6ttQRF2yRyZSx1JcxlY2IV72T9z489 +PdLUYy6QoCKSDJ/Ffhkd2YxSopc+PZIzIqbojpMjtLJ6fxuiVM8IjUmou4tCjfYxfzybaWEIsUYg +R4Gl+HoDYLmWrVG2gMt5VLusudtBKGjGUbexOpy4WpdL9J2NlnsMfoXzURjxgmAvEOgAVeHjiW2d +QIBzst7UTAJvo+ghDmguDZi0ULLKFsvTzAOvPHUqkSkb6L2gV1kMBDJTqGPbLvzg8YooWDVKy8JM +E0EbXOXgkzIYXP3gFMIQZFLGWAotCFl4IxHMLZ5ahLemTk1rlZaIuyimhUyV4+ni2FfYBZfBSsv+ +vXKrdIfxHs7gZk1WvN1pBGHwug0qU6k3fXYzdZvLIG1hg5+KDL4g8va0ihtsDXvp7eXBOPyX+b5S +4Rwj8onFHtH3WeLuA9MRteYke8eqpmsfgcVe6NGEQf+kJV9P4VBLm/IuggjFrACaxA00ZnpN997w +2XMH7uLMojNZYfLGgCW22vpIEh9f/GB1YVHEXGPBdcUb/aXkd+R9ZsV/Cebes+R0NjI12WXc3QSa +AGTNOCFOpRimjJmwix6+j1zwNBljvd8KXW0mCGfsphcgCPeoNsdzoBNjL+Ubc9ExH4ortGv7RIjd +u94vuZLagwEfCfs1oJkTjFGn4x+AQCjEGsWo6n5x7t8t0yOw4csv1o3Ucz6UgZEdsEPStTuOr/nY +21jIIUwcdpxm3b5A0PjNE2QEc07Und3AF9GI7ClmsuBqh85fCHV4xr+QbRd5CzkoIwfr8tPnm/+P +SFoYlf9uz9w8DQN7jTOthcOHlA3CxzGSHDJtqXnhOj0LTAuRKbgVf7mJmX+a9tUAKJqPube9Kj18 +GLGeNYyprrvHsvOwjBYdqCYHt9p5ip4ieuPzh6D2lD1nICX3CE0TG/LpSufEwKke2/djwMAfJFGi +apGeWAte7ZWDKj21BmeLKLmrUVs2ILe2kEZ0fPHgG3uni+fNgmnKXd+PEfsQTdClK9jfjf10H9k0 +T5i15F3v3nv5cCunTYqhKJf9uVBue/x15wtzMDfK0uGAk9VF4tXn4/5jWm4hIgqJI5V33SjyhwNw +E5UtTiiWvbwOBNbFMPKH18556hLtnBk/Q/babEhjbW6o/7vcfAxUqLT1AYii84Od/KPLFph23vDZ +WrN9rrmIPys/+ZOy3YASVAcJ/4Hu++cX/nwBC5by/89vNiEDccKEon5+ukDeINy/u1vixFbn2z5n +LPkaVuBuppMFKAtgsJyX/hJlppPaOAXrk5muryPIPh3t7Ig8+nM/IZV2/TtV7CsxjnWAXl9R8tZp +AOpp2kn7ttnF6nl+VgTLTZGu0w613oBXv0ySHxnDIQlxfnjGSk24dkZjDtZN7plfAOk8mJtzL9cn +EDl00/XVp2rkjSEmJy6SJZZ8Z+ZKcG2xqGPIHUmxYB1B7Lbl6aAcQXOSd7MhI7i20NAwr51iiuLi +Qmlv5wrmO17QwYUyL4SouUow1iwUrUoiv0E3t4TjIGcpkcOR59Tm3d+5cnXJuujXCugN9MsvI5fQ +1xS+Ddwt3Oxa0M4gV/YiGNjJroiLsJm3hGMj5orGF/+vC+/VMCVZPyVCzk+GH2sPKmSfGk8DlJtU +d+dd6SDylaEwTNE0l2cdbY5oYRuUAenHA9Gb2eU410jyihWygaf5Q2Myd/2FQ4JWquVPggVPPCRU +5QaKaEvrKErW6XMpGyFL2WAmL2LlmT/IUVnRiX5C5ag+w2ellfu2oGE/c9l1iFGNCt+xATTLVEmh +6fjZXWc4Oxk5/RKrVugZLAHRkvZ+1P/3n7G6Q+sw+l3WGo3QL45mWinWnF+hX4m2fUdMSHLu4V6z +uiRKjJgdADLif+XB4Mzovugn48IJyWKffcWCAIA6Ts8EOV781rYY6sflbsncE2CZWHZhAisJRkow +NRTBF/iKjHzMoWOI9mMA8aNmfU4GhO6IMtdhtlks3ltDm2MP3oR9mm3UFyouF2wUPFS5OXJ79hB3 +uDaHJWA+SN1g83tyYFC3mTlMes0qRwlc6fdKC6bUCMhh1vYH9aIi4WD2xZvN16MOo3MnAw2B0v18 +Lmefsir3wwpBWfKZOS6uR1Y12E4e/jXk8jlIaj/Arf2ri9hG/JxyA2chh7Ekx/A4Am36RcG3iTlX +4xUbtiqnW/XaYrlnufYXJGGDiiIyN4I8HbZXNYcGogtHIw1dZIDoodmy7f7raS/Xs+qYZWqAZ896 +ZUmfJxMCONjqwGf1oQep9uF4BVWM+1bg6eExA+EJ2gJ75PX666OqGR9rYjnl6aq79HiKd8kbvA/s +ylAEBe2juH7yPByTUoHwp80OOuqbyecqsgpZznvVMC0vhiNLMY3TtiByltkU2DWa5iKIPJQqW5D+ +Gb9DQZ3ToPlVGvVVqubN22fvji2lRHENYr3V+lOU4rgZnQfwsc9IIos6CfHYOI8o4WGEJBYj9XfC +/DgVyZ1+V7/46MXIZAVs5kIgJrnxJgW3TxgfdhYwQ575lpJha7ExfY+qU4e9DWk6RVYJq90wTzdE +EzfC3TR3/jnO1J3180hjvml6l5Dcmk5b3NrQXaAtKB0liKUYe3kyThoTjwan9gf0EatfSTWdhuep +//RJz/goqyWRey6ZdJVZ1iLOZZXTx5Ce+hnFUEJgGZ0USZOeqLgVehrn+FPYoAW2Tie3/9jaqcxB +lKuWyJ1hcdyIeS+1Hwr/JI+mk9j8ufN7xxUkrrKqnhvtypPlD01N6hSbOCfqRW1FBO19zPFCl7h/ +c/qqY6w4AWKes841aTvayj5VUlFKZfc1n2BWbJiMM1YCQaXnkmC05dNa1lDSySES9lVEiFVolquS +Prha4cPxAUmj+pj5EF7EJw5WoDyLePik1Hwk0796I/LcuRGpN/4WKQ8wK8cGw0NyHyfNBzEWlQJ2 +bDf4/zf5VFoZ8pYe1zBR6uL1aDofrnz+QDbqxZQj5EORyuRc5e71bwEeG6mPj6F+icLOPhBhrvtG +YyixyRPkj+aW/oqaSftQTb6Cm8LVYvPKRhbisez6oGDaqIgQ1eteilvW1q/62jHtrTp7DlmnMf2f +Eqjskf1Ph/1fX9Os8+X9LT8DdmbtJAOmnx8cp4XTJ1PpO6zpSEjFnM66gh+zm6FRz9ZdSCS/lMnu +B7k4AhtjR2zznjKuA3TOpAs63SG/s/S9XVmjJfSZLwQBZd27rBvRh76ci4jZFNEU79sBoO38Qoz9 +GaOQoE5IrfjUvkUfPHrVpWB8CCYHQpHWkqf77o0/kmDjAxOLpZjttI+zOTpPULbxNDmLsYoanqbM +/4nVvYIj8NhQ+EeVHVKyAoRKwGiig1gOnC/DA5ebXUQ/tw9zTKYbW9iiDv5+Tbdgu7j8vSv05lJw +DvdwrhPpV/ueRQQM0s17rLGvLKkWtfdt1WZVanX1TChwij/51BPEDlSZ8N+Gj4EkGJ+BtKk3p8Eu +8AqLYgz7zsh99SssMLv6meiS4CyMizSYsQ/+7zwjzRuH/tdsl6NTswaLP0OCONLPPSlxTjzcQcT5 +lC+/u6YVSesTw3p4zXXR33QGFeLSDgPTW63X9tvv5alHYkZRLuPuMlgKMNporWUl2GSxmtpV9vM6 +0brva4L82SbkzMCE9HXz7EDsj9IkdGvVzbr1yyTTruwqtn5+DUSHvHREApjnskCwBeFiZtU+FGOm +6qJTyfUW1qAF1lneQOoaVxczetAB3q77O6dLVCKs00U2jnrDlq2+Dl520p0PcA9DWHVReybxzvlW +FjT3ZoqSE4F6xhCAGUX0w/20AotD+ZRWa2P5+p/hpSLYGaf7m6+3fG3lqT8gkPrcKFxhFYdi+/QS +Ovfv9uHNzHXTE/xCXGSiL4kn0pk1WxMJqhSbW24+icDegCmD0dQ9/ypy6OZCK5OIWA7L5TMCffaL +dFwK689UFvW6Y7XV/NFT4QVQzrvFmerhV3yW6RK0rxzWPi1CN2UgS/NPWePwYIaAZw4VRwzvEHDY +1ai712cCuJck0gzmdiwlDEiSr8Y3ZP323vpB8hsKmEfLWDdTfw6EgUxUpZoW9QqKTXANr1Svx2Zm +7tNgLUVJSd+xV8m24tbX0mZs8xPmGRpvPwFAiFyHWxFXOb4lEy6596DVNDvfSk1yg9eUB/xoSRFz +91j/zF6/yrvoJf+oOtluZkQAj4hgx+G+z2d01/cSP6FxrBz2F0EppttJHCqirIENMN3p+QPh0jvC +Vsz7p3mvXSeRH+8/QQuD8LGqSFIDcAcadEt/g7ZWphTcK4nvA02cgOrb6tnvMU9fDhKaMfGvDDD1 +IxJrLufZc7ceYE3tU4Ap9OpmjU1VClV6rBMXWcjJjSRfKIPE9ud3XUwPTWKoMQ59aKriuMDRHIni +Knva6HBW/cPhzWnzpNKc3bD2k6GmFNomzw6WwN83DHaXmGLhnmHBjJaU1TBTajGLTOTKMDcc5fpK +yu7gvJnMYi3tudcNTYZCsVaTT943ng54Wf7UB6VpW0OTCcp8/AaeefONWs4PbhhqQKa8/LsR6NmL +K2u37ZktaB6e3nQnsllOg9v/NZh+4OKkwi7YiF2z6UKhBQfPa76u2w+Xs3T7BYvF1rXmQNqkx9zj +XZKiJemieeEDm5d9d5Gogz40QfVSv25TsMf9sjUg+ra0nwFJom3pLZ6YrZcuKv+JRG98rCj6K1e3 +79ySyOOYl71wgoKscVV5sfcKBaj/IjlBLMuln8PEk6ViH7J9/nAqFe/nAaBa21gD2qebN2NZI/m+ +MrG91f/WgJ7VFNT9fPrdQKzq2jfiVuKADn6/L+Bol9X8Qud4rt3OBAoneeGolL7x0QRtXlHn6D8E +uCfxvVSZpFqZ5GPeEGcFcfoOtUMpYadjQjujBZP+uNOwmhfnK6lk1LgsQ4rDAlHguSi/YGF38BvK +ceSD87Ud5fCEpe8DSBNP813lTPB2N9g5eyoSTdHuSgYxD327QQWHl/KxZjyqaWNzpRGK8iGZ1lHP +Y1qFpJF8vmYyoL2XS32AAEj88KlIydEqdzdsLWIbJOl9QCOwCbYzTaDJEHNC8U9rHDs7OXiQVT1O +yRpPZq4KFi7UYe2i0XSmShOM9Hyr5wroSA81CgLox7l9g6vHlFNUsc6TydcfV22So93/nP6c0k2L +77qCEMHNxaPc0Lt/URJemVIOJ0KQmoXwJkHijNU6QkIKKQ+oj3AHv3zcZHAmAMljD9jRlJnRdft0 +k/Tkb0sv/szUQ7gFPZj+MEmYsr37pem7W6uQF2Rg2zL03e7ZgertUFRTxtPYluxMCd9ugnyun8qZ +MiuuSbtdRajHQseeWO3ixkoEKp+UGIPN+RCFdkn/iRs4AYNvuMyYaxURJfiDzD4dLyhQp8MTT6Pw +G53fVsNI1ksoPhhdRQopnZ+nnmnicWMZGoATLeYtUs6xz8JK8+Npgqbfyfcf0Tm5D86Xkq5TsdzG +SbqOq8XxKVuwrQRloYC2BlQ2DJhuZStyl5yTF3XSElkUOKpGFJcVPloNr4sQVQKBzoofzKwrjC2r +1o6cvLWwtS6MTK3nSi1vgusVGR+72zZPoC+dJiTUzTo2wOXEGODwVgalYqbKXcepT6mjjIBO9hWI +1qCfMVb5yiOp+D04bNueq+TgLOFzyYoOO3crAZywqAwZUSqC2wN7UWlZIUzBfnWuPcgynvl7+poy +rLAyrg6v3Q1ZdMFlJgESJ0LOSbS21ZhAmwmJdyTguSJlgpH8FilezE54wpMZKx98PLBrAwrvI1T8 +4jNs7y0j4N/v0Hby5C1BAAcr+c6yCuws2waxW8XHpskgYHLTd0xfxIq7M+ukE5qGSy5PlUVPdTjo +YeSq+mBhxKUj0bpt5R//MfxC5Ad2EqkxMGrF3oa5suKbgSVg3fsym8hU93eAc2EaG25AP97BLpQf +yu672Lk84sTPKZihgCh5F5zTKouljuV4dKvzTf+hVULPZRssVGg3WGbe9uCB2y6Xn5dH8xjjxk2p +izpJEYDGzHl93trWEhQGZwE0M+wS69IYlbW/hYJ5cqMCgn3guRsnogu/1jiIUigvYa6+f/qe+Ega +/r15cjAze1XfSi3QmJXBQ6dpQcTvw6MgvnMp2u9gO8BG88eGM5HNmGv7kuxwG7ylOzH0G8Cp4UEF +wxeUdRH4Pf3MzHMpubIPSIJL3L29FWIbYPw8j6aGbr+pC0pMd0Gewv5xRevniQ10i7d36lpfZ1mv +V4EIDs19Isaj5a8pVLoSXi83ju94FKyktVuNwKmq+SVNZkG8xyepiwPUPIjevfYIEP6PYbcDMWt1 +GVAA8GB81jtDy9AQggytj5lAW8BV2gUGZsUkn7dfURonuglYVfygxjy3yjIY6TiaEr4yd6nkTdaJ +/RFYW/8DmUVAVCXTtm7MuMrqT7VeWO4YDMCBfTNzIMUb+MWm5+MgvUUaFdDh3IQuEjRsLf9oLGgX +qSwR9HUXovLyXxe5U519UO1YUS3l4crP+wV09X2TSDeFpILv9enXVUEpRAyiabhsaI17yVojHhzu +nrPcuw7Ow7qvq4A8NWeMVKiuRbMGe1b91dZu9M8JPTD1im4xK63Dgi5EUvH4JYFoSIpreMkwd19j +CKk1pqeCvrAtpTkNcqGxNje/fzgT0O5lVsK8UkKiwi6nqrehUIL0qzLsLe+92AYL/hhtd0W9jzsy +sUD4ZNOIYjtdDcDvAasY5LNsJ35eWMTFGyJ4nI5pBs1DBEL33lcRLEw6PAHmMopNJ6dSsfCjNvP6 +ACTDy8tGtz6GyHbPtLzIv2IWl+Wa8yNPwgRWDx5WKjYWpEVDErlGHLc61OcYlPXVeMfRty+6ABcV +DM+j1evDfDPIj3lKN3vRNLEeyiWl864EqtXDQjVo2MXdSRAJRIi1Xpn1hzSeQh641dHD5RCr4/8t +aahxeanbMxOAxIN+WSyiZ3v5bLpXu15x8fcx+KdoVQT3BKdEMUNVxxL+69Tk7AhVNc8ltTPBIwIh +a1TuULHMp2CKO8X8lipPhRRx63juzFWw67Vy76wJoaLqXO3t7i8fsxnhbk9NfGtKhCh+tgSoLGSu +f6u8rbNB1gSKZaP9g34YaF5nK+TdUOCP05CH6do6mkEqlXDuIb8mQgiy5YOOTJtI9PAzrrpAMg7O +cq5MGM6MR0KCjZlkO05bEKGJAXmou6D8tFEaPY0MGI2CHQoXgyKs1/xSRVGpSURNeOSsHFvLmgLE +ycn3lrxceu7VVsVzbFtxOr9koLDDnYqG1h48C+CUQvjCWEsI8k+cNZwy1QjloMtB7uzFlm17TgKX +ok82Sz4hDe+Ckv9e7+xApSQhTnw+Y5/GGG8HA2NXodPcFe1T69ezKTpDfe/6P0ta4Qf5G+ZdzS3r +Yi2FUxKqTmhwGINCUVsXIdylcVTX9v5aOFnyvFG7ogw4cephn0oIIOTyMf7ejOBjvJlReK+1tk2A +gOaxkeZH90BiE0RC9grMoALf2lemx3T8VR5N0DZEpJ2Beike1/qfKYOhejkwNp/jzaj28S9fWIiN +ORQR8NkwfB11AVzhBJvj1ncp+qldkzB6gUvEmnCBdqzmyTE9s79E7LGKV1yi/DF6pZ+2lvbigNNH +lIK9vbxRAhBBha8Old2qCkthpr2stbyHE+GTbO4G3GM5NkTVJ0nbE6HzVOT4o/arTQLSkPw+JkwL +Jq3NeR+tdK6FtQzGPktsfcnoKdiLb98HCMydZCNg3QSld70wVxN7udNZBElXM/1i3NjfK2w7l+p+ +k0O9FSqg6MyssIF21oeimAgXnPZZjxTdQIHJir42f+QkdwMGU04l+c5TM41Q/Zrt3xl70GqMfK8G +Qrn9T9PqMqpN7sMpPGzuCMKXoJS/B1RP+tp4yiKtuenIgsIxHG+BJ8u5DRjObEoCfnPOTa3dv6C0 +8hitFRwqqHkDjRCOWrB/iCQlFpO/miA/HFPzp5CV4TxSF/+BcJDvGgdvlJlwE1GVprXqcj46ceto +bkK3jVm6HXJZZhQikMdIejirCTo8nTu5lqUsXGGBRkYwosahRSBlZa6cfuWSSZw1HvbcUEFAfiAa +h6IQtLFmBJnsUdMaCC9T8TDOs6Yioyr0TnB5ie0hW7LnlF+OBYL4MT3uIIOnZtiMi8TB3QAcEvfS +v2tz9o/AI7nJRd06e7xVLW/6PyQoKe2V57iisDFltn4rlHP+bgrKJxOg6pLfytL6gYtMhBR/ZJMq +7hRM4m5cz/wD7tKj+PdxapuE7MhIcSkHE7C+YS5Yt8FKT9MJNcKrOZK20AAX32c2hFBgvuxK9iuq +TkNYB60s+NOVj+kqc/2YPD4GRR35QG3o1cDAr4t2AipMDh5qASvDbDnor4ibCRPor+FCU5U5lyu9 +U7IY4kc2De4rmVCPkYFqNTaB73L+Yf56hD8bLNe68+PQ3ETLkLV2N/rUhd7/ie2MFV1ia/HMknjO +pU455S0ptwUuMPjMIcpnTmSFGL/AV2/IVJyPkgL3AS6Vphm6tJWiFmrS7HISA1c8L4D9hkUMO38Y +wTkR312P4OkzTcEhKVyOM+7fo6rpdcpdhAthp7FBLaskP+AzqGcK1jniJiy398C6BDveh5L5YV9n +Dv19MH5yc5tnRkujexcdFupNPKI69bAy3u1s06QsSUUlpvw2WjwYs5vJEHku8qBPb3Q8XYakGrap +TYQzaWhVUXxhaUobThsCnt5DGIMRk+kQw7VoyFp82XBRDB0ZyMCFuC4dqjBVN3Yak4Xz2X5HAAKs +3i680hrJZxNI97XhF29+Dw7/5/bWL4IElw+b3V/toezU8N5d8EUcyfZt2NQrFec1Yywl9v/Sn87L +l3suq3SU5SvH9Y/aoMMx6i1EYMbN8qLn0O6QxuYUp3aTUS/7O31Tc3kTHPnVeqxYYUU04qoOKrfV +3xA3hFSM9NrabxKvicIG4qt9aD9ROvtRp0ATY/2Y3qTgP8Qcal1eo/KJU5w8lWvWKP05Gd4i4Cxw +USJOs0BDy4dwiS2oMJo1O2rruxoJBPdAkNQJhVuYHY3ZXRlYqhUx+RjIf0JGr9DJdXBs3NB9ehGl +KzYFZ2RvF8FORzv4j4O6kjJbSeaSXWp3TnDog2Q5Spl76ytMYcs0NXLznanQtLuiXsNOFO2ykdVq +BhotdwacWL/stb1uihiX8PAjSSrrB5t2uzg8CMX+HZBTcFSg4Tm/tlKOradArMr6IlQf0krU8dwB +jr89pB4nlmdSOMHgRukNcDsxf6jukKGGW39Ze6LnH3dj0QHdKNW6GQeRuknTR8YGE6C7kH1q0gng +BQ46eXSiIqJMm/yzhKhiL4UEE1xUh7PFCwuj2TNogL8f/l6NFIKnkQOP6Mc6l9y5gYPIwJ67OFhA +b1Paf6xKMXh8/UNhbI3D7xAbgf9LL0oW4XMkQzilxP4+/7opz81NKpQUqKBBBDsWBUuJIkbXQZo8 +ISPuYLMNymQIl7IFWy6mxusEShopyATUK+VT8xwPRCvGUvGdbxK8kkig6zheTHAYPM8fgN3a5Z11 +ks078k19XyjX+2iDkYYJNfKYhJ8Z0kGpAlJeVqh4zM/HUXGKmyDv0P63AmptgZKB+WMU85yz0YFI +gsiLILCOu121RGkwWwMkWhoFZpup7gy8i/QVf5DaCzEF8R15062fASHm+1nDjIJwvcE5jFBYdx9s +ZFuNcFqw5hjC6EhuBxtl6AZkns8J0AoYELTfVumxLPLgM0Dnb3T+/7Bl4QijaL2Q4/Dbv8ZDHBfF +Hu/NR7N44iA8wrz1k6Vjre0+2rNT91pYrxvfzJbxLLatuhsIZkcgJkHEwcC8fr24WOzVu0x2OnVE +spWINRij2BGaqcIjanAPvn7XC4eFN1nxFH9MkJoKDXcQyHj/zek2ieNF8rTO53anbjw4WW7f2YxB +ftVIcwf1cXnNB9ZJckNc0IRuCl5ggazrzrJcw3lzPFFAufe70FvPDObPjgMWN/G0SLmvXxuDebwZ +3OUELrv6hmNuuRmcLzWOgVlv75cXRKCUDnBQaktbOeyqPMb/pmk94deHICgu1n6neOY1yQdupowa +g45AMkqaic/Y4NLNG0sRQ6kw+fZX1M3VGnpZVILvyL402xhj1dKMIrpjeNZKOKH32GbSKZ49A9M7 +hBxu6P927A+wF0BXsEMUsdvHtK1MFzH3s+v5hMxxMkny8zTvgCdyhFvJfeHJMunxnLDtjmsA1tsO +fJ8Guwu8k7ctEHRzu/fBbaNct4B3BKfMSmKoTPhLO5vIQZC+nqQctHjMkW5GIXx7+se60fE6CftS +DSrXfEnT7OBPMxBhs5RIuJ5GIObARAEp+3nQHgpkL9jvX/FJ8hejBDHjHLJBOzGPtdhTvqy4l20U +NKp3UAoIayrcQTm/P2hSy8fPuBdYCmufcL7X2aOdTo6URQWVcr3rdsNPWELzhQWN6QsqJJTUsLrA +RtdXsE3sNlcXyIUQBZfxCnor5qTzOpXsZ8GB9WH1AHW365z5xwMplk1tK4gDr5j2vRYuEpo8rGxV +TliZauv7ZZfPRTqT/syHD0K8IGkaeVFIRLri7Hgnl5YPMUh160BY7kH/GBWAmyBZCL/sw/RDs+Be +fj4Op+ysSZGjSFjGFW7e2RxAus4sIJmu0Xmk7r7l0snBDge5QTjwy0E0/RoM/jM9jJJaTOPfQXOO +KyGW5RNVyjPngyT+9975FLVMbyR5lw2yHlbeSVOBKfveVi/YznCpSSrTpVdcKyUU6ujgHA7wGO7E +gfgoJy7UHGd/ZhJxgDpTPDT3qf+x8MIUq3G3Kieq8clRvWjpeU4RnqtJLSpmnEgmA7Kmq1aiDbtx +MmMJU12AxiC18jff2vxadQuAAqMM8RFqQm69Lc22N2RRVv9KVw+kDytVnFP2QPbZvc+ClsW0jF/T +DvJF+jlrKsiFyerDGf3f/cDKOtcOhpnhBG4C1HfgElQQP1RXV0fq6lzG2yISUEmzB37l1+7fIU5l +9LKA1lmz4n8uYYu2iEN8aWC0LGSw7C0mqIOB84NzlOyJxyCBEMWF2TOLOi2Xz5hKPSTljliWTTIC +HQFU68vT+UsaeH8uTjga2fB5MRJiQjzikvPXvywHnA2zVbF1VXlru8GdF1MMMo3yfNn4Gpo8hM2u +Z2Alj0GlvTHwSFIXwEzX2SU7f1VTR+mzOw81me+8OG18b1a3rG7HIima2So23lTAs9NTk+KTiVMB +ss8+QUwiYe9ldM6EaHLthraaAINxALit3KEsa+lVA40Jpi44HcLDM91jBZhl6UFbRkpzE4Iwp00C +FcIPi9ezt8nzC/ZG8na2gqGscMPBpawGvtX+wOAalcAO9Y+yr1/+THuDhdRZfBAIL77kIX9P3T8i +JY2X/kdHejKuklh98q6X1PWZwAvz3qd5q4zvJ5ouXTi229Vv0GKk/81TKpwzyfpOuhxZFxsCfi+K +WwGoaJUwaTADrCm2Y8tpFIogQQahLymDf1MUQSKFls+0xFIAdAOpEH3dVEhXZ8izpT5iLUxmo+wJ +DDaiCZkUsN7Zc4ezMC5LaZy5f81xwAcORxkuFVtcsERQ/x6juoyOmQDyQI/3QKOuEUtxPEp60t0k ++mZOQ+lc4x/wcpLxlU3+9cfJnh3vRYqmt157mqBcDGi25Tx+ydds/GvyEe2s05cKvYfn9vLr2HFH +hYgCTEYat/GTaeAdOkxOjRzt46Vjz2/vknze9k2iIh4WMMoQhsq20pwoJgZJ23EEWEVNduVoV80y +hdCBlZzTGINYr32XwTlLM8wkWeUkcb3EiW8Q6kvvj/KcTJiFqU/oLZ59ACbYhTxsSseKwgz336ka +qCyRr/IYlC1emX+k5fJuBnvnZOX+LLOxJ4rsjTrFuYWB+a6K6xQs5y5eznGL7Uff1l/Stqk61z7x +jpHTcBSHKJvex3DozEcU1mReh6vWSls2UNBoe9rE5qFQ/zNDxjewMm1nrZjMOUB94YpDsKxHgvC1 +Hbj9+DQRx462AfwiJPavWmIpn8ffHqEyuSTTULjfDkbP0ge+nd1WAb99oZ/FGy5puiVvs0q9Wmq4 +RPcphYHOZyJwmxGyihz2ZMpM3s1sFrd+0Yh66WCyouScHj3Hhc13Zang8sB5Ec82l9bwx/aBPvXe +uzLgvGwQHHZpjDdHm/yQ/AJ7e8p5ETIYPP3UyRhz6JNfVUmpkGZ6pG/3YsMYpo9IwdM1CPqfKMp5 +XEMxJLN+YD4mPxxzU9AjquDnxuvKbV+2PDX7xFY5gFFBa+68JVRRPQaWE+04pj+bd8YT3tc906D2 +XrnFbTEX0A7lTnae7W/II9j9KHm2MlLUYaSmfTwpqhnsJ21AQeHhsZmjGmeBxrs9rZx3KFqfx7Kt +46Moawg1ZiYWM/wWQB/tXHeW2bcLJ7WHV2a+g1NW3fscyfCp+331ajqEn+1z7e9pXOHv3qiLI+XN +d1tGY7Lyw/vDMYQHxokOeiCohsgjhfRSFqshHPhQA26ksQGac6bptdkkWPCf8oH9Yd1cCblio8Xq +rksVJKG112vLijdmw93bLsEctfVVhhFwFLconCFNItS9AY3ktjTsXU/fKzBLJUea2Ynk0wrjbgqr +4N4d1K3nOsGYY73NYl+XrYS8rSZQxrmre95ekm72lzwu8cQJ7DfRPSizOjCvMEDx3EHLRFRSmICo +Dybpvl5Em1fw/7a3XreyxpusOeDM/suAqWk0DsKXyS9JEp81uTJn3Xii8PhRJiag8u6cffUgxpi1 +4xNTeG0w/QR65pAJ4/Ab7g4Mzv7FPnE++3qRxyArn6e6GTYzCBA9+eKLFkqiwc93g1AtdEQYeCLy +02iSgNudFLCRgr1096SLLG3Oxvnhl4Bc1zYF00i78oDxcQSLEdWNmxpHvGntOMOy1r+H5buyqrvl +nl38n4o2wObtwAS0vSU9lapNxxbA413M/OLnx+IEV9xTu9QP//vhc2dU/b3/cW3hwHo+M3iKjLrP +g8jQAmDVEn7aAGr/WQ8WKujNhpKXJZ3XmZoFa5VLCtkhQ6F0f0+L0kahdyo1R11SGqJJOv+D7qJz +/mXeFjotLems5aYu53L87IoL73uO0rF2RzRFpn5caM134y9lYDaTT0Y4chEIMD/B9fqdsgLx098E ++Zc6yb7wq5Q+ccv36FdvKTTTlz0dhtBojRROFophC05gVeUqfR24aHK4sMq31hiDwHUkDR4vhIHJ +nxuW+o/s+l775slGGk6BZ8xMjhFX56prPyAb6em/UCM8E3Q7YgCcAyFSOo9U44GGbUi43PMJi0QA +ltaivL8D2XOE0d2EC5RRFkSgf/CccRyA593CDSFLelYsRhmDwqGMjZDxcB/LyWj3Sd9vpyO/sV/x +FV/ioTgB//FN940q9VURvk5YAecq4AseTn+vlGWU77phKFk3nu2AG07nHkzwfFPqjSu9ymAQ0sVn +AP+etLPtc3FdzasmpIt8DXI6xgohszdDpBzcPa+ZkXoUqyCSG34U7k5gVaReB5A5i+1a1OCHePpI +Smo7X6Y7cTZLKO56qPQY/PO5iCzXX9i5s7z9T9js3XtPgmYU5CUgGXu1vHk+GHUSqzCSa+Wbm4eu +fA5XB0au6G95DJLt8Dbb73E1SWYFYV3rlJiTUgfIctJ45poxB6VoUifNOVVDZAY8bH1sZJb1JsCO +oeIdxr6IIKCXAICFqkqMaDnHidvQH3ciV0Rd8rlnlqCW6Q+MUln5Wg4L9G7PTFdeX3J+EYeSqyGl +YkTxEqThltnVxQ7PwE+N5RZ82Y0ud16hkk7hAvAiR8j3GIUlGDzI82N/gDiHrfaFIr4zeQQpgjdz +/wflF9DTbx/BwAb2KX8B4XZRFNS2CR0AIdedslwKzkEdMbkicJWZtBDbmfOkPJWBthRcNLArRivF +excoHzbbsMle9UOEtiXYsUYl5DnRmFK4k+HgWgVzZSViR4/dmCC7EuBQ62RQAhkoj5tLND8ONuCG +iOoEOogZfq1mI1ZE6nNVKipSkF9BT+fk3WnYVZqd9yA/dFgeffmcIwymTuY6lACmHkrrWLHlrYvt +1EXhRaVMaODTM/DhPnY26fDVpL0jhbpfTY/pZ1hhvnW6f81KLXatdOWIV0KMD2AN3fOh2LAzoDsj +npDT9x8VqN2t2Cuw748kmTEWepc6xrdgF9CQa7JjUz2zUSbvmSLjI0/ofcPd62/svGPUfvfpyzwV +RhC0rMbVqdhiRwIiUrhaIfMIqH5l6+oj2vM9WyfCetNkKQbzPNVwtJohtYbEzallGd7yj0+smg3n +t56KjgILNxd62OKPQECCSoA3mYhrekJ8tMQbE+w1Nq++Hw4JUMXycs82S1QjuoR47KMMpCybNAGK +JsCkn7pcIbFWJa4kUqk84fKQt7eBIk0Sq64PeAUTAd5UDOfg9s5U6u30M5aAHnL9mZsD1IjWCTOT +Fcmtl4yR1Aoz6lSK4Ob3HyzLCT8K+8IpEeiKOFo4wAVMXsS5809eJs8W4GS6hlftFIPXk6VYbaGh +674Aun0V87TihgEs5jKuZUdTHFkrZWYc+qErKAm//d06mpwhOjsa1+Q8u6NajSSM6YGnFe2AHLMB +2R383S3Rz4crxM5MCWJQCnstWxlp6OMo83XzykAg71mEIgbE9PEtP6aV0ts+HxmuMY4Vka001O4K +KQbrbyVTnQim3U1y0dX5OIA/l7YBNK6qIVKbMdnYVhrawdha3g2JCHYfwzcIfTbAMmXIuj7X55pX +u/G2YM/9QlR02BJLOJExbOY1jIJqdzdxVXeFaCvzrn0beJaB0x8ubewm29Xd9kGzIVXAhy6pgXaT +i6bIrt1yeHyvYDjpKGda5R5LwlTo2XQYxOP6me7uXzCMUZsS5af7VSuRLR08T1mKt3ISX8qLjFQG +TJzVghhFIUK/mnNrQgFJKFGgby0zQCGiCQC2nnNphRo+TsYRWfdxuQeBxA4OV9OwzBWRVQrRKpuy +VEo5F7U6ObIojMvC5KgZkP8P62Wm5EoUtWss5SPKPbea1YMWulmDJ8OrYd1/AFG5U/W61PTLM9uz +Dv0Z06TJfszS/AsHmxT/gyW/XvnlLM4SJizSdrXzrevUNPrOpTdSgYIqdUveEIUBnWjfeuKcY+52 +GWHuoIROicLZgZbLIclENnyy3zmNceUJF68SXxRFGL4DFMof/uNcZ9p/7bw9rJCBVjqB3HC5TiR5 +nhnJpy0HoeX90YuvPBsJVCHesTIHtl1llAKqHZ6w3bwH51KvGk7P0Pxr1ZqbHbrdHWdLFajktdl5 +annrzp12KvgqDW1Vikcc/uDQ+jVe2iQpX0ZA8O+nm5zZCKvXQhrkYMFScpu33n6Ab4q25eTXvO9V +cTFuM84qc+H+3pRJGPM/WjPx2lyYxpOZttwWOBjJPqCo8rwboeYaCBftQ1kz4F+dmTOknc6GEPQ+ +pQd4+olmC/xU+EfUmdDv2EtYp0sP9cRXkfIktOBsR5QRPhDfTdu/P1e59tWhw6YZGHaDJY4thmO6 +r6SbW/DpMWkWV1p1mWwp0Ez19Wn5QhgSPEJPaXGFEir+LWKVgwZzkV3g+1LIqYEyOkClrHdKCoy4 +KsW5TRCVWcBAMaRD4HgZogE5lJYhrAE5zBbTbtOrWbrvEJCwsNudnO3WM3sivvbqIuFUNWoh628F +37EcB2FBnsZJW+W/+AS32QyzTNSEUNfD4i14gHD6l4X6INAKRhxmwXXANS2edmpN+V4Tw075f3xX +qg2YbS1r//RSnifQ56eER7QW+DaU69xpNf+hoC+e9J6iOBV295Cdglk+evAOgw3k8IB89mA7dz1q +q/FvTrz+ecvRs032vQBvyRSWAp9j5/IRTNgWAmqxjKs/YQZxGl/sX8nVkO2/64co/V4iOYk+uOp1 +DrsYA59Bqj5eMyMS+H4cTmJffBAoYtAiruPtJ1nEAILlqqNvDnDIDiTXoGRjnBl6PSixlCAjaOo+ +b+qXqb+lCyJU2sMKBX1om2LUvPYX0KtQepEzkTCdd7hveYrCQki2EOQy2gGzheUC2dyTGG3VXg3v +d6S2jWqyjDabtMJVlJvYl5/704fQ2ccpZusG5OgNLb+JnzYKTQBFg6qiKBIAmGLmBXuyB7h4rWky +3f2J8g1q0xgtKoGooU3hvkl4vW3pGT971tvsjst11RUFX1zdpSIhDfOmPkfOM/tIlevMzjJYBSXI +5w0HnpvMGDGiH0E3ltQ/nb/DEAZqUNmOx/zP7zWE7KHh2CQGFOu1JoBjY8wMnVbRRpPHesvt97uN +aV6cgYCgpCpsmbXt2u989t/1BxLTOumiI8x1Aj7cK4Cx+tdQmlxsAD9Nbeo+DvtwFs/ORFcv06s2 +wrpOOQ0BGD/dr6nKm3/iFgu5waErVKhP9fVcL9cDCS1oqcN7my5e7jD7x6KMVfgtwNxliF8xK1vw +spIa8C5v5aWvO1o9iIGbHCQeAcJ534k3eH0w3Rnt37yFytXC0qwUUfpiKA5jCiNKBPxMJBoLtn7n +21D8UO0wcM1siG5Bt11jlZqj+50umlFB21wHWixV7etPxWbt/W/2rbbMq0a49nFR6yPnCIrFQG4A +F9TvBVDTGq9gzvtvBhOMGScprPWQ4OqXn74IQc6YGP2BhQKhhfT4nlzxxn7EnttDyqcU5eBlzTVS +UQWz6A7pK0zi5Gxfv00tYtgWWdPjBOAISC2BNa2HxgViYZlRlFOHfo/CJY/S377iZAAV8Bsxl9O4 +30HrGUz8kUqEn3w4QxZbbVKmRqULG8jprzNMRV3BefucyEXa73J4wTDbENp2da83dFQQ4SOr65NP +cTJUWWjmFWIHuaOkUSF7yKIW6wIbDCYXwhdLnDzNaRO5w+hucJcBsFufEFRZ+Nt1oqsYtComE/2+ +fAdmKGjvcwK3HJa3IGQk/ZwmdnrhU79tesuLjMhqTme4DyABJtFTNIexZIJPQ8Mgrnp4oNixrhrz +ef4V6TNMnbEZakr90r6L88g0giRoti96MYRGsCtm95U/z/N2A+vKYyFQisFcFHzy+PUx+N7iEAXq +NK2ajC0RlGm8Rc/NcbnMLfkVRT8ige3HYp3Vkd6c8J/98Uf9gCZk1q6/zGknp6CtTvWHiDKcRUBV +lBepdTfZbwSYEWuYIYx1koY67wuzrYhJh7kcJPSn2N7q1Tz17bflSVOXSDMWRn52q61EeSKTDlcP +gDl+GHczip08FtMuipton/O7n5bFi6leXzsLX5g6O7bDkDtdH+j6NV2B0wA7zJ6vYOr/nD3z9vTk +EyzKuMQsdYjxLcl8MAR+mWkfvXKjpK/lfjBQZiMpB6QNsbVzaA+C+GonoPG7MQX806IpxXsaxaD3 +pWsyuY1Av8oe1kFxQQvRuymakKmB7axQBDEeXG4DDzqUl2ryXVKpMajhDxziWfmR7oeVzVW4lYGM +ZjgjufR3IKCb4Cn4XyJp9ews/jpfxepRK66znoxLMuxESvwCNZnIwl4cAE36YLvMzSVJvcGrP1BM +a1Pn4qBgmgWAjEixmuSKbhXlxDfileXgE+/wUFdC0AoxZNHtzGGjfL/cjF3DNKxN15YX/ZwTb9gf +kP6uNHH7/WDOIEULM/DLjCwL8Q2lN/O5CDbGgvZ3lwCtLHpZo9EMBU0OcEz1uIyk+VR2ENLT5cPF +gwoiQpSFQK8mjcdCPhBlrBxDLmabsjIUvJUlsR5CyaJdLxnJNrWprWcQfT5JkCD9tbJnifJnC3LB +PGfB4CVIhjy5zO0iuKBELWRTcgs566LRAqTr7PMayGTJ0SETLlf/7GjDrr+fG/0zIohz5ZLNjzmj +pDHC+OmvVvaZ0dA1no7BsbdAx2I3m6ENa2ub/QV8uFqbom8ais0Dcl84Z9DFeD8NH95jtRCEjjZT +zxb3JmiZfPwySqKEMgTB/R1dCsKPLYKUwbM2v3p39HZ9yjDCACQneNEP/VySout0suNGwGVPChR5 +rktCpN8Tj1uiHNqWETsI9V03F/dPuBflH18lnWkNKUI6vq7PeYk0ZB4K4GWK5Dc8MK2nW0ItvJRY +VzB/omjilEVnAqMo8bgMdYuzKNkjHDVmP522FiSu659iPYXuxGVkC6+FJsm0hTiiPbilZaEjrwkI +DlwfiCxg/cGfpOcTnfZphUtEbQQb/031Y2WUWO7CCWCNK1xoqD8LcVGM+DGTi6zHsstIt+MNW9ol +A2SYS19Hg0ixlhTtWzDbdD+Lgse8vDLXL1/VFUWc2YG4mRrWKr2ZQT/H1ZjSGPwVDEGvM7VMJjgz +GR+GU0ROWzr8Lc4zPJlnIRi4LmKCfO/aox8jij7zZwl/EVQEPiRYtwKNRa+lw7c7PdTmALJf+DV8 +CmhypJb1ncjOwZmJK3k+Ynem5g9ZSRiAU/qW+hi+tVLOAEDeimeyT5dcO9grn709Dpi5LBlUCCBP +JOU+JXGhAa/KoBvUb4vkRNOC75QBamTRceAH0MsZyEINWqkCQP8o5KnlHGBuoJG0VeAP524OCK4Q +plP7TGoo4sZL3ZOzXh1W4vD1sDm7b3NYXCX1EHO4O9oKVaoE88d45l40WABWFNmvJGTfsX9PszzU +HiqE1Fz2vJrcQxSWgTSu+OHmkH0jw6SIytDb4vFTo8wrBzh6RfpcNgQNe4F3MCCtP67HVUonbbqv +hzFbCq1ORgGsvZFN1Xi69uvplrY7CiQSHEzrONu3zqoL9Dv4tyJlAjYVg4nocdDX7kBYW9Fv6072 +ghQYHABzPmtZKueEdCBn480NQE9vLQCjGFLiUWZLZSK83SuwmVsAedD6HVk9o/b9G29IsR5CQufp +Em+/gJhYbbGH2ON950nxm34MpaOdTElW6+F302FXYFAzeUjMoX1IJ+gYkHfjzDFWAjKs9NoiQTJw +9B+vSpuJhX6p90NJOPREY/GRoCJkIgKOIxBbUaXed9mD+yTGgRJaq5AvkBrv4iV/EcL/KWl9mMbX +xgWQMZOaG9A/61iacB8Cv5S1a9cAnsDoRvd/pTS/qiSkrRTAOIXu8TcYZkI4a92487Oh8JaQPbOc +dtC8CcKzni7K1f00/4HbOtS5vjHAjSHF3RKSCQPR/K0+uzgOLE6mAK5xPQNhrgRCpOY9YULt/7ke +e93EeaEpMg7DJ/uxIDS7jtSA2L8YDak/awfPAScXKy7KwsYJcBF2cttANzPamcnTN8akiZ+X+sNM +BmB5zFrgfr66WXbf/0hSRLezOUhY4S24lY5VTqOUD0tYxC7EK0AOCL3a5s5BqQoMmt5Mm93694DK +wxcVBlpaXhgOJLz/ClrV9zH3GQbUAhgNxbseSu+GyjOA0emjDXHRmCmQE2pnlFhNe52MGpuvhjCd +USqsm+sUInNz5hTbm2v0WuaVls5cDnFFIZhHlUbMmI30j+NGbsBY0ZR2eTVFwy9oPGryCRQSEgJ2 +q+eTEy6L9Ejdp4zcwjDaDYJXzNb22Z6+6Xk/Fby9BsLiCx5n6pSQCGzDGtQxcMSertCL2PdEA1Wv ++Wr/KrWK2YD0srmM5aplhh2gw62NdmavqRBnSGlaWIgptnhf25RlIQpLevyD3eRrmro/PH4V/OJq +yOj857YUooBkd+FE0u8Id6IoDsXdWj0RGiWKEvfhzvz7LNIaYykUW7kMErDewfSt+NSnHaxOsR+4 +ELLgivKUDwl1c4z2tX5gq/1+EUPy+aAiA9/dYsEgxJ4+E+LWwBsC0YmrgIhJUnzS/rC26zFhrp3Q +MgueSVwMdElZpoeEbA9Et7/aNyyEiEnNnzqH1xow/TtNoZpYTetVSEwW0WfKI6bcI+h4MPcOqSrE +i09SgOOBCqUtZ4hqf6wsSwSB/LjPC6KDlA5FDxuRW6iLFEIkdlmPCUUiKLJLl0Lrab7i843C2jf5 +0fGlFQw5Zxi/zp3AgLeOoKJA2/zfzxVKfAyYW9NbGjsUUITSkcem0X4R34AvsscE4M0TZ4vpTLJQ +/LwAKFsgssIWzHIkzdQEyIebrp2m/HMsEyT7ctSSbnM7WwPH3Hc672Fvfuz2JPvUtewmOrnun2d1 +zESTFjV6rsWnVOhWCW95O5a8fz0HHza5Py6VVJW6mL1HVooWY7Og8TKFjVT/mmIeSixX7F/d+wqx +5ePVOjtYkdCfQ3zUtW5+mjz+mwK1Nsx4N2DM1Gml35TY84slAyW9p9UeX2zx+y/axBjP49shmE6Z +EBdopRNNGF0iQzospFwFNsUcwcUHE9yAME1xcrOQeUAP6MTOqL47P5s7+RjS+VpJgrG/t4/JS4G7 +9n64PpMZbjktxTuuRbh/z9x9n20ZX9gz/JGhjCKVBnWDIRNyWVxvY7YsbnMH2vU5uOht/8/l3MYf +YHPnj+n1GJw+OJdJbZKZjN6lOVuYrRubmHvJuLpJkUlVvPeMnNTea1sAdoag9l7J77VidPxVN2e2 +LNlpcb3DcvP8J8t3uoUpeWKYd1YYsiOnzUNIzW2IxIeLfqD662bR2MoBjh3Z/dRrkqJMMQwtvjuO +fR6R3r5qcAOUl4CmjBKewLFr49CWLgd9Y4tB6UJ1tEL6+hZUz2ZvT/fkbY1ZHpH6B+f9noAH1Xyd +04NXVZlVAekZc/DRE5uwpm0PsU+j0+/1H/cr8U5FZpJAVgZiFchFOzSNIy8C24PJSKA4iR9KHDEP +HAFoTPGigHHy1eriR53UWjwA0uIGsfjlU0vcGeMJDlu1kr0eiF+a9YrhnWKSzv1+HDBTovuPl8Ky +nJNCWJhIEFttWmlnaferlMljoJ/eMnwd9Gr7AM6P1/KjxIG3WitVp10a/GuC5q+lSeCoa445eZmY +7QdYP1OAjTzsorpOVGQfCnAOxDH33hDzfhuQprmzuMtl304vLJ4ua7DxmxpOTdvq20m04wkGd9U3 +cJ9ZS+9WQWnwJSHvtwacvc2YxKsGxcMOKrOZ/QdNYQoKJ1fRY1cYQboYyGvy6KhAUZRsksLQebVx +fgntzPqNLEiGkuOatI+BXDkGqYlT0VWAwnLUAF2aC6TAkb4jYpLbnqBukmjbymH0Te9vnlskpnoT +r6Ho6DmrmNnrotH+1IJ3LoutXuO0Cq9AB5FBowgBKZoSepPDBL7XyUf2BBH7eDOhVf65VmAdkK8k +H/yshfdGwf8MzFAjQ4beOiNEYXHdrXvcTLxCCBiUqUHgReOs3UjSjwMqzcPS/PGyhojsOnD+FJIX +5kPvUGyRQa14qRqocWP3kv736zhXRf1sABrORtLX+iNgLeepv63M/SJuugthkDWx8AdwZLEJPM7Q +YnG1OkSpSsDeVKKsRyuFvsq3tnVIMPEjfr5NYnofBJFW3ceLeOODxvTI1m5Kji7uLZuZh+X0VDFX +zxMmqFvZbe76e2de7XGBW/E4LIwiErYNV8U50I8LeMSrpEJ92n8eXD46dmRd5LcZTYuKGRyk0vSk +KncOZYAeZBKe19KJb9iFLK0IpDClYUHVBspe/P4I5uUxMB10Np+AJMA9Oi2uiOGdtZbIsVyD2zNP +1OQKdWq8r2wdK0bseuO9e+faMv2C+tMjdhsrsdAUdIP2WugY/PILiAuAX1KA7AqAD9N8n5VB5im1 +DBUD2DzYv0p8e3wgXvjvPhbLZwhwtBij1Q8ThLc/HYWypS0OJeY7C2UDdUrNOWNGVSjpiu7Vzg0d +mcxMn+JWopZQCAV9kx4faheqw1zif+Smwf2RHoai2ccQ79Pj+ZDxloXCOJvRYqVMfKOLa14xi/9Z +DbndeXnLkB8S8mMx2M2CU6wBskCBWJFTNmreST95RVh4GndO6lwBI9j4fC48Sfj4AyNU2PP3Nyt2 +EL13Rf6fY0Y8KOs1af6xNvJGOPDhiJ/bVjXWKcvidjg2i8qYqKVyCH/JFR56vgoN51iE0nqzJGg5 +YWrNEPfk2fO/x+iO+35ulfspEjsHdHXTrp3GMcwYlgsTWono7hLJV/Plfe+f0ntH8wYnwSxB8+k6 +w4TmF1w1FIs/ir2KwrwWOakTHjH/ut8Gc9jR2G1Hh/ckF53Mvy4B7RbRI2R6rzE8R0+kzMfP7w8w +CMXmbUfIFy3pdWmIfJ86TLc1SCnA+vowrpcdJvW1xzCSmNTqX2hnir5o27XJGqRVJuDHk3QVk3rY +JMrh2e/N9IXDfvLHtX7RVRaewb4OssVzuO0cPiyGeykVJEqhwSJQcmL+NQ4g6VIfrjxSmv7hGOrx +5o2vpgSyB1WTGu6q+Qz5zefBZ/Cft/2H9QteymlDGkDX3VaS5WkZfPzk71vTq56uzHSXfwyxOhHl +boMc/YUeFul6tk07zBcS2Toi2DIfbI7cNBR+NjFMW/knqWJzIETe3CH1C997mV/hr9Z68ALUOvOX +m8R+4jMhW1wSlS7Q8IjuO/eaOS5Ml3/76icCDOuQZQZizPbZ3nsJ9SAYl68tCFthPXL9s51+P2Xs +s+rkskJ5KnP2c/8baxZ83LFYaJww1zR5+lRH/5gYD2UJzupul2EkozpSTrC16CSZwBFFQSfA6lzR +XPkRJkZo40/j9Pr/H9dG1jPuLezIbGp62T7YToxTj0HBCeRPSbfjEn8HyZhW2LMIWBecvHN9vRpe +7hZA1gqQibqE26CXrdGdD7P6iQQShK2rQET+dkfAX3ZibVFK5iMXip3g/k2nlft8jWeCAcX9c1XO +kGsPv/EfuE6xYnuez8wxmUhKAA3bpTx+18tFXVzpCwcFz80UxNXO0FBTsWfKOFiY7w+FA/31Zynn +8LA4HR6y/K++uX7ojMQeLxlCo0AT5nmbDf2+ELwM7Djmm258SDOMKZtgebMo4idh6I/AtFabQKAD +8ZRaWrGn1BHrtmLBgNjER4Lhr79d4/vDXMxGNz/WX9zdzluW02DxfWwBtxd5JgBVeemq2Iom3PYw +fbgrrQrKXpOOud3g4J3PhE4u2vCdGnYChi/5sQ3TSHsA10wG4jx11CqUb9TlzxPOgp2BwDXSN65G +V8WGC+CYdk0yGo2tEJUdIGwTjI4cPeZZlcQddv7ZSZ2EO1uNNkyfnHSNDS7NJQlOulhfWGFforO2 +qkbannTGpfVj2N8OYt+IeTvhzqE4FzT7lRdLlLDtEGyJBV6NRYgF1gbB5Kgfyd5oWYB820bJA1Mn +KisQxrC6AsptnvtYKBGSOKWHm4vkd326weswm0DTOzPbCHfQ6lmUNF0mJf7J1ISFpWhB2l/4gaUu +rB0cxiXflzr1O5hlKFx2QW7073TkNOrZQhdVJDwJ9QontZiL2vLhq37RPTEc1LwKjwDBkieRBxaC +m7BpUNZi1VkjJ04d5WsKPLmC8+vmWSYYOeDFnBvqjS1z1zBIsHV4WG3U1X5Ka1lXrT/BUtLF41G1 +rLoJINUSV27+eCAb//6RBUmhfgFLKDKXw5UHc60rewuuBmJM0Q8bkB0KavLNS6yCrXo4VApftDuS +AHo0wpdytGhQmQaDoe0T2h++ebZnH4Iq/gqCIIoVKBWfRz46Mz4vC7SYh7wnC8CVR/QhzMbOeQpc +qOizz09SaIgBx4gnvIdItvEFP66c+5grM5gyiCJsgDIE3HpB0yPGwwCZJ/kLAR9Ly4SgjagspZev +sUHb82xA/H5UjBEyOk7Ljqocxx/n6qNtqHoTIao2r9mOBC7HyS+1DsMBx9Ao5YucHliMAXF5XZcK +ZIIKMnzxVV0HJkvMlbz88JbIOoyL660yQFtA24ZZl8fIXhJzmo/E6SawOUGuhzn7j5TI0sDLiYv2 +jZNCG3K3sKflOwD3gRii1CEqnaIHo098WcF/kdJf2qCXWI0vdk9qzz7Ei+DzX+FZ0DXrDygwZqby +H3mtyL1aRSO4DFO0y0PJX/deC2zxv+Cqpd6bmsRJ9RuThIHQTPZ1ug4Nq5B9NhuPSoQX7AWXP9IY +zkxC7HPyvn9l2Fu9vhFS1XKjXhcXUrgbbUhpPWaOdHpI5eeVhg3M3cD2a7ibG+LC0qs8mSLxZ+wy +E29/nsLMm9WXskGax8c/oOFTjW8eammepxHhOf+hdt8fpTOKuY4Vj+O7eH1CjPCRi24Jh/+rtUQU +Y2dBJRxcp8Qt6ujCnUAXWZQBmACBCz08Lo1CStObQT60ITXQQ7TiDvPATc9kC7ef6S2EexquRqZM +xo7UQs1vw+PsnZImPAzh5h4cWZotFwbn5WnIl5TSYrHxyrgxltsPEyMzfUc/ZnuvutFBsaKKns0n +MEpC0ZCOEekrqi9c3QS2qVyewAAGyPrVE88sjeuMed+vZMSW+ph8avtfpMoJb9F9dRsyLZfy/yWk +Py1lJISp3rYSNoDMAiVH1O5BIwL7/gTivdNgcO9GTg0jxGvEegWgi4CctcjgKkkv55dJ+Wqj5lHK +LWDZ5nWACY0H+bdkah+yKLBAElWyQCIXkrFmlVRb3yHkTsYMAiz3Vms5olzoZ4TtH9I/wPN85134 +hxJvp5NhYgloSVRQXhbkCLQbIQABLOEN9a/Sa4I6DgC7tDb1GNaVXqQUf3a+Aljmsw9UggIfVHuH +K0Z4pl0mWDcnj/cSO53DL2kCw34vqQb8L5Ue5CZlp31g2aoj/+ECMOzUCxuSSuzWwuEEvx1jbpFT +TU5L51i1XN3sb+6rMPLIhRuOUpuJUX+73wN++QtaZ2iFZD7wqJXtzevH8shmZHsSoHBX4XMqhyS2 +BirDkWVpG8KDUdIWxYqZb1wUevs5VcaSdaPCWiHz+q8ekTcV7sYDyg27EvleS3atq0ra0dfhKlTP +HkmKLl2OcE40cqsy1MVvJ0qZW7lPTSaH/mO6o8kh5LfVHFY+2WPgKDizGQcxSOd87vI7+YSI3JX2 +q0weNS61Y29dha31u8uDfQ2yqfi7piO/82iL/BOAEhuMtif6mT500SgJHdDS5KEmGJC8/tF5Qwc1 +fULrzHyP7wQpDN+VNIW++lQfchQsGrudzn6Xt+eGR/WxvVIaoez5BopXeJx8xQ02RxYgdvWDJrzD +CrqJEo15qWnynTkXUI2uZp4c/ExgQeHKVy0wNVRU1vKwPh9SElpwWcuyRXnQDeGbeUU2GGVE7nsb +qkhenEJur6MsULhQ/z40A+zBKeZr4svXk0kSB+zTYBSdbODg8ovDkqcaZ8pmrpWqLdkt6g8IZbBI +c7LPq1vcRXlCB4Hu6+qL54ZyHobBnEcYV/M3s+qNBoTTrwnTEK2yoobPQDrwpO6SIpbUGzR2cje/ +5MLFLsOWJa15Iz0SgPCYsM4m2+JKSxudx+CpgfE5rUK6Ztyks2Au5fCaa1IEjAo7GkUWYbQi5YgN +s0bQaC1nEIRZ0fRIpU8k+GKRemDRnRt3loFAn19fM5xdF9FRCW7xu86X6kozsWRFvdKWcPJhuKQy +0ptI5ZRRr44LtqtZ2PxrTG7INcLDRQR99HQT2MUsqfrReRr8t5Q3wDlPjyBd4Tm8Us3sqwgzxztw +pGS/zolVd4E5hK/UEf+zsgwnNiCk2JK47nqTI9r53FrqZ/To/wb3bUX+oiwdUren+Cne0UY+njFY +P6QBAVYXuYzmMEktCa8Z5UaXM+5HMGz5ZKgaMuc8qwqQfvcNy8VaX/eO4uHWt2GlrQfFcW6WfEuw +MVVZepHSGGn15m8AZQo03+wXe7CeqCfsC376GMukX75MpV1G5cXIb64JA8mt8vdnKScqd7408k31 +aDjCE2qKChg9DoXNvnmON7kwxp98XepgxTQIrJ9/NFBJyr8fJTdfBByLInDWi+3ShE93tqhEVZEJ +FCKl52b1k8ICUJHf73L8R6pvYP7fEeJe4/h+DQ6q0YhsFebNgF5NYLClY+b0W7RudF1YaG2pCu9a +xwfoGdZUZURfhYGRZ2n+niQJvDpXLEf4AMd/a7vRsm70NzTkOrj0D9ZMRJglHWb/HWOqpnPv/uuB +Do3AzDe2sa8Tu+D8w1YiAZxRihxWO+07fsMa4h/jAdRo7MQxuQAk9GAKuTRkqlobJt3hrQO0Gt5s +JXFTQl82EfaOd/1h+XLOj/1Wf2wuUce2FTXFu+BpcNuDWmA2LFY15ULBILYU5cy5pehXh/5s3wDq +6EMBprCnRvf68Oy/oGb/PEhwpUfyvcmagno8y64QHRLbb8j3pZ8COS+BGMV8ihkd4aBzMAwM0Sif +yyliCGpbVFzS2HP4V9J6td+gcL2lhCLQ1hYd0FOTeA2rW7U/pw3p56iR8qOt+4paPvNbau/SNX8m +1cY/CFHjTnBAbFMRc91oWDaa/1QP4uUpn4pkOKl8WT1AKWCvBBNMZ2kQY+UoN14LrAn3OZTK9DDG +Jx+mkJ4eqJIQ6WeWuB8Ve28vIVFB1FJkKnyj/R0y51u/LEiUsAUIkEtCreE+H+ELWtY7CFCvQGBW +dzTEbNfJIfAGtU37Xi1VvBaAcfeKx2EKObqSTknAWx1oPxjzbCQ/2qWXv3Nc8iYz3zYKTRdmdHN/ +ccLfF+oC/D5SOm3wEwXeX3PkPTi38JITOw+04eZ5v7nXw496u/bADTMHZgnMTirhhWCSX3eli8ds +IV9k/5Sb//TC7hgWm5HXa8KOPkYRh1aMiXq9pqpqt1YTTxLYd+JPNnJiMMVytvKKid1wF7cOu+hG +8SN7EjdMbbWvWlQ1AxiiuHe7RBU9IcWnKGgsKwCJtBmARfhsp+XvX47QgTEn/Eh1JI2CFqaIA4O+ +cWmsq6ZJUUIchNjzV3sFCqPI5qIEAPp3Dg8oIQz2mAg5/v+qWfoFl2CzhsC4FBkth0vAJgYObYXX +E+snr9sYI4Tj9eOOaO/OwzRvDjzNqYcmSo9s5JWztTeFesdqL89lWHrEkP8dq9GMDruAAI81IppH +z3xFxUBy5om+Euu+bS4X2exPUtG64p9EfobE03DKaeHU1FghNwcLRebTnuO/I4edPZA141lifc/A +fu0C26VuyXl0j3M/dT/GJzspGlqOMFSjcP5qZgfXeYi9HFbWP3NdEd4JPnAl8UQ2hNb4LO2CEkc2 +8BoODOztcXqxrmof1YhoiUjtanvW/H2Xveiycl5cDv4FevGzJT36ZsevkphPG0nytSLuAqS1yLdu +KY8Wq3aea3E7NTNJCy3EialGl+3tyHB9Zv3bRKELCKaB0VR6tWbxnBjZsM5+DS0VC4mX6URTRscl +9ADaJYHYBrcfs4gQA8L72J34MaEvlcVga8YPR4AIqPDRie7cvsM1/x7JV5qAaGzA0nI/GermUKFv +gdX1U/aDZ/sqMD4N6lb3zjsthApXu+iONOHCZCnsgpapetVYvDPadDuoRT122velUw76GeO8DIh0 +YV7hN6bkXqrXu6iB3CP6d6SuHG1PgjWK/V9RnFZs1bGRKF2TYQspQEZyRmhMPAYZYbgUuZPHmmAx +Tqd3auMDNUFiFOuXEnh+JZ7Lgj3oh/bZSBmC6Sg2gC546ZX2lhHBOJKNj6v6RrOy9p86g9bUb4Gc +RUXvVHG3NC6PKjLw4fUwuVybqFXfjzt6aemj3qi75jfrmFgHVxdde0HhXx84ry/RwEp7OZpUqCqd +QoZtNYEpiwLBef1x59VwRVBc1L7lmCsGM1MHT4cL/6NIP4GNArZCymKFOmeFiQG+90feE7hqs5yH +gJ9Uutfa+rscMosnspJenpYpSEtxgrtvQVv8JJMaqIjB/N+ej1Onw4X9E99Ls7/FeJWJVL8m/xqU +0G6ZKPntoY8uwmrI9McQ8QNrFxVlFCq665DbM3G2T0lHR2Q/i5NgiheUX+W+n9B6MgPBURwefw71 +n73ASfnQPoSd58oWQ8PmDy9h1+sgsWAsAUIVtOCr4VTAWthXLRjdbB73TQLHCV9ZsujYGm0kUNBP +MJhWXZY22O5YP4gUhyVAeLo4DyE1BoOJHD1AXLSnagwsv5vT9yRK+84TYm77WvVFNcwhfqBFM65F +8liL45vcTvZbNhgIoTdRC6eVyeYzaY/tJqJ5uCn5pe+1xXKtcXzAC/6tPrYEuu0znqj4vBTQNvvo +b+i51V8Xr8L+B1xz2s/2H6lNYxeMuvuKcHSxCxmiXngVjoLf5RZCwBIDKhwHYVZ10tQozHVv5w/7 +K9mp203kix1ob048xodK0zsip79NiwA0KybRYMOs/QH2zvc6Hy1bEmYWwcx2r3aF3Upbq5jK+CE2 +hGIH8dhp8fFAQvYlhaQx1ZKIunoCWJDBG51ajJ8d2i6RLA5bJvmtyRanKaA/HmS1g0WWulEwp50C +6d9dkuJ4Od9fcg2K6gQbeR5IILtA5JW3gDMKlLxZR+w47Nqj3DUtBVkRCIVsgpnv7b7jdiQn39lX +el1ZwUBDBMidBUQq/Q6UrF6ADypNkXyT7kPUDN8W6YwwJzlacTDTOiDQDPnDhrfgtkbth40u4FBJ +RnD1/s7MCqh92U9pI3LoIJo8ZdTraXQtjCnt47QKt7rOpovHjBdrm8afXWW/XNIVxYo3/5DIVbpz +SX7Cv7bwG1u6ZOKepYTdbuQUrA44vMcJILA03ksQe3EgepzQY6qPhqJcGZ9lyOBaNhZl1uJpzpvK +K7gbiz5kl/k3DWHPSc3hkEIHvFRWscd0laYkLqOIkZoE+ljsaX1E9byChpbUg6NKRSBrZ18KxzDz +Zd7ohiPGvxUzEYWMUrZhemkDbvr3Mc+XztyjcbYAa3/jbQNfRLYgk1X4Gy2oaehofYOljTKCut8f +wynpxY1n4DWP5qbjvRq2qQ2MxERmTfsOHqEITMNPgNaatJOSmPBQQYT7ROVISQYTVXJcn50ZCPs0 +QFguylxgmXzG1eLoKwpMwx6eVIJW2JBm0FRYIoJVa4xlsbNWMA2TN5ltzuqi7m9eTRMRVfR6u0Zt +oVS0gAED6WvGs7kFeuCPRTOzceXODHMnzQGRIjIWHPgg1tXrz0dsRtqQMYV3H5GkU3X+C+f/cVFy +qQmSTt7w3jBNqyPyF82Un/gVfK8hXEXn5brDJVmLovhRzXBXYCMtpNyE8TO6bU5pRXK1Banc7tjU +Omg41TPTX0XlKRVU+Cyv+V9zbCXQu/PSoJAzQQlAo80awUKvWnD86Y943Y2rWslLX7DdltKGr1vk +arlUvS1cmDRTxQFbgkvHqjThkBhEK1E7Kt1ArKSHo9fRGfhgxpNJVBHzZDO8KwKMz67xpm/v2MdN +HRgLPpjpKF2GHvVKW7TmoL0N5FsZF50bA7QenxGriLXSrGCEi8WP6BydDfUTJQMAq68gdbpUy3kW +6mlmhW3ajpCEdF4iFzgIXH/pG3yUzT8S2z2tqj7QA5FQXD8DeGvpUCKY9Bw/19QKiT/AbOihuVIs +H84OcXjYBf+DmO75RiuTtJITCa9c4pJAt3NS/5bRSt5R75Ref4aml8qc+HIPSoPLVEaWnqkagMf+ +CGwnT3acsqHBw1hDHtHxRHwxQqr2kRoOQVSao3r6t0k2j6OOt9HuGqqE/QC0ntlEabelOIeZbIT8 +EnLnjIRXeSMcplN5FCzliIBabHXWcnYZ+/b/tUKLyc2WYpyDRR9KhLOuzPyio2FNG05P43P0K0ps +Pnbos5dISPvrGqMk5V98eV8iEFqMVAj1I238td6HSy1itJTBltSiwq1wPuCdOhkNXaimvlm5A5FB +Y3QDYbmR/44p1VwBqltRu6wGKn9YIy/Jdm2mN79bxlgnFrb1Lh+2dB8uYr7x4RYCNv4WghsGTESp +r0padoZEPxuqqV6SLneJbBOX8X0SEIbGYneH9TliLwR4DKgtKYYce+tar/GKY58nrotti4Ntj3f0 +J94eHQR34qtjpgfNApE44TMp6sb1sWez8SCHEnBVL7wUhaIJBE8Y2ZJ6LBdDiuRtRG26GRN63bvI +WtpruQco0J5qM0hY2YZp0fGTZyBI1pvXxOviExgCt1MtE3xsu+U07D79w54Ci+pDTteA5BchzcBO +aFZUmNrUmMSxQdynDymsDN97/eYkvcl2ItxqCCe7iZC2I2XiwZ+TsE/f7NRHQAmV7Skgw41PcPVn +g5cZP+kowmFvf9uGOwIcTNzEmjpS/NC87U/OwVXPZPuJIhuZHk276rZyMa3D6JBV+ecE3wtl2w2V +kJBqAIvkdgPvi+EXcfEBcESMvMX+fnbJbMlDCKQuLlpGiBW/IQ/jtw7YjX1FbF3loKJ3wittcpH1 +DyEmoJQPHFfG+R0au6fLkkdMLDi3Vn1VLYQPJm+0Jx1doYBuFuIeb2QjYiIKKqRVoaVQYWRthZ53 +vSGHSz0ziqZapUevKElO6SKYq/FWA6EjlpKzZukSrrSEYKAcNv/NVBL/1niQxxD5rAx7nKbEevDQ +f71zZJ4LinRUC3zUPrNZrt3AzoTdtdoZ3zL25L1WeJjlDdcxMX6m1bhJ7GCtGo6RFirWrHPzOOw4 +P3uc30LZ8CPyuelPbCtejM7erGxZLNSDeN2NGYVv+mZa7tKw8sEv/Vpt+dfDvYnPfq1J65J+vRvc +8QK0mKyyBTFs3qOVdDOm+6ME8eB6m6DI8ODReNtTfQUjQ1tro/jpDHH9onWCESzQK5wAnLxwxG8o +5sG2BfMsLzBmKh7ZJNr7X7drWTAx8Q8tTNUhUPaBQZ2vpayYYBOLGKwMSn2qi8f8eD5J6sWqIWgC +qKsOpfPS3tjzjhafKsavCk32wX6sSOwlKGsXm3Jo/Y8OyxOmxEEa3nJOF6y5WzY4Q9cXHgCvVXvm +/jZc/WU9eR858ts/iVg+v88wnOMCl8q2RJGTUfjMcVZHJeuA0CPsegIpLMK2eXi4b9spjbHPMCyQ +vf2sdvoBqsFRU+3y5quU3B/QE+LwUSmBiKVZX6XXh8Fewoeuy/p6K64hsPiMZAcsEl0B0925Jlq4 +cmCFQuh15mf9nBnypGlu6YxAAbSM1ZvnxgV89TDiOLKvwvLXD35AJZRiEZWDVGSIDZrNlPbI/UVJ +iCg0Y7ArcQWuljppFpoC30E/frx2UlRQBacqDvb577jNxQ8Rg1D/blmGI6I61+kQL9VspTa8up3n +H2xqyY0U2MEnRHKEuBw/ZzdvJ91wko423e+qwnePnxoqsCKXm9RzXD4L27mVxLgJrgwqcY6RyAN3 +IYjDE48KCqGNfMPMQylhn5wYWHURzOP/exhFoq4hIxL9RQnlht88Ky7yqtCAm/lmdiNtK1JXk1aK +nqUnruWp64TRpK2TBZyWe6isr7S1yuEgTDdXP+1QesnraQ0zA/ZMPwkZTHJKbzkezw5Zh/2sMvg+ +cNvRp56YpVaU9s1uaUXkyPifbGCN1wB44cuIVhjlccYXZm3cqwP4smFNiChFJBb0tvdi90T3pc3P +DLvWz+Pibv34jrsd+Ibg7PW1qF9wCoMuTH3R8eexKjD6+Wp6kv3DvCIh5ytjKHMziDXhscg6kqWA +tcxWqfBAXzzyAnd5UdE+atgUdNto3mixPmiPZas40dtdMELMfvflw4ty0rOdZEwdpHvpLxQqooBM +sDK3A7HIKsMEqDYnm3WtxPVqyy1WSE3LT4stDKfIvga9BxfoqTSqLVdAnf1A/jhKLpEQ0a4Mya/v +cyiezTfKP7DvnrfRpeLmwvm2wMVo+FZ6FP1M21i6wgql/glItfTqMGshEPjcCQ6yMAy6bgK8FBsn +OBqhMijQkWih7vxg9RZBIrCz6cFPZg9XETw+KIbWhJmzMeWoH1JoaCbjefcuiG17/U7WUnmYkCKF +w3t2uuyTHtWhhKcnQU+qR4lNfmEzjaMQzRPx6mGcTOKILadLnZ1l8meNxXrjnidGcr/tH+lSehk5 +ln4pZxu0Yi6suCYw0LbMhiXLjyJ9fbeaRq0wK/F6J5Z0LgwOj4BAHOzcLavT4wiE3ldS6dlhH+LO +KrpetIp25+F0SkcHEZHAzyLLijHmR94bjnwlFuxICBJs5vOycEwpsVBCxhPiRAUxwLmjrqj3oYeS +pGmdRE7WSXrwimSXAD48KhALUB//KckXF59HgAhbVreYnUPV0yQTdZjBKLRtAeBAV7xiWrNwaCUT +x9HS5M663eovITy6XtG4Vq2u3YoW4QCEFdIcNmPAjo/V6LPcs+DNaY6wpfYxh9I5zNrgWBOza8Ga +KR8tRaZOF1bdFxZRS3nLCO3otCyLzDVjywDc/h2Qf1/xYtZ2DLk59ev/biGO/rRCFGhEFUIlO4x7 +++wwW2d5Vqvx/0FUtVmijvJzVhQRABkUuJzbqk9OecfERiEGpO6+tfT7wASmWCXc134WDcTwHFxb +VhH+i7+/A3iUJJK/KB8J5DAkbOtsXhJ15x7eaJXADtpgkadRBc0X6TXeg4M5rGZywuMGC0hlBI8q +uPRTDTnjoKMf5lczc4+HPK9Nh8OZdXjMpYj/92971KaJz6ot0fi3+R7pmwLXHH4KROFB05Q0ElwA +WohyyQZ+OHb08EbiVViYnLoayHn2aFNExacXkdH7pBeqy8Ng4QIMCBOm6dqgSVnk2nRZS2fbKzD7 +yfhVSX/3+pkZQLBoDjMCcx+s0M86SqyIF5nrOy2T/v6Brbunq+Hv0WWVabxKIRyLKSPMRxzzBUYo +jYJo/RislHMV/w28secSSKmCU/fcca35Sppflqwrb11KsOBJ3xDhiiqs5LPssbhRvoGxgAB/q5G/ +o2P7C4YUzpQMEO8LJqQ2aa+vdtBuxcbmm3Pbre9A/j/r66OeaeDyt7ATuVo7vGjiuPP4sunPZV2Y +b9lcxHierYHngxf9NWuqyPgAr/PgnciS0ss3DTyDEak6qigR7nbsAwGI/lXBDbRllNgZiv0I2zYc +k4pgTMvKXaFmWrYNiVtiVyYVtRSDurNaPgK1tbKP4JhVNyvYpjH0lpSa+Cz3LMpkDbjbwTFVTic7 +0AKcOXHEM6cvlwyljwxDAGNU95zhMHbAGlYxvv4DY0A+hmIxr19fGeruHDXMLjxOmZ3zi1NFsopW +E3VB6FdUnLkAOIn7QFpnitt+tvFH8LKgscw9m32fc8yTwlJtl8q/2vYwwIhmPWG02a6/mo1thmHz +gzeiiby75bJZo9ELod8pgjdwTFrYOHyEWrVX8h3RONQUIzDug+9vSBTxTPhsnbi/T19LwhL66PJL +X/H1JPvAXiraG9SU+EGSU61/1RlXI0BfeIujHmkp7RDQgiik/lrVZWj3bwAmrTmnr4cpDHhRIfpC +AudQEJvcUPNB2c6TeCprmziHnteI56GL8gMcX6zs9mVXa0nsVokkoSD+ShN75EPuDDBis252U9xr +0Ub1b0hgq/ro08SiXT/tqodPxGtrQFeNkDOPu72Okhkcw11px0mUdA3dp+t9+OovlzRKoJu0rSAD +84YLWPYZVFQ2oBrDtpfWOrcQgG59CI1RpoSS0vJrajnjsDjIY/mkVYkazE77XKS9E+E5cqHuZ289 +aaqsFMrSBfIZQ322ramBoSjCoGhJicI9FeernfFmEJwCzEN2/zQe/F8cU25cI2bTky1QZR/9AA0U +y3N4ljA+ERMfDbtLTsT4a2o6PxYh59gQARrQNtiXEKIJGbSpcrw9IcwDh503M3PX7xvCyRkk5eEy +CNW2w6x32iAEO8+A5A9MMRkvqwJFFJfxrqtKF/L0iYJFiP0KqUMTr18z8bi5ghWB/4OKAFWwzGHW +va9txuHHGetXzZWIir9Gshn5lTlOnAvqceHMK0vpz+BrwLkdfVr83AoW6Un/L50f/xtumURM0Ic1 +p+PAdK7qygzBOVZIv00bGznxD6wI7hNQQai30RVUHROGkBANeDb94AEXkN4fYZhbCoxl6qMeIXmZ +GquDaaqyAqoPTbr46XzLjDz00ritfoeM1xmvjo7/A6Jmd/FNQOh8GEzwci38ggmP5WO9K06csEzj +yZ8VbwGCmtRWpdJM1hVLN0Zkfqb+J9zBwEnQLADyiKyHqGAP8t/E2w5vArcCNAU1lqze9ImRgSbb +k/1vRp6V7QccdiCZNVvHH4CmCFqhRybYj8znc1p3C1MhLMvHYks31BiRzu3bjsgFTKJ/2tZ4oEe6 +kMjAl84IOAYqOfdtTw2qU6aD0uX3sVU6EkDQ0QWuuTLPL8jHAL6xX7nUXq2Jxb34F61fYOn50udu +VHrPibVJXoVvwBjNv4cGL2gmNCh1FYmy7SJia9c2WuJv2k+F2oxzRUWxQUw+fs/AQB0KtO0k1on+ +/CveY8k3fqAUkUlfBJGSnLcH9Yn2fdCAICSYoP71mZIbkKMnaUWuSpUHTixEgU7YWWHVjiHIVPHI +GnKPIi3zTnvnTS/nThRGWn5mTxxmpuCauz/mnhPvIUv1J/nHfqrfDkz+qpjNixb4V8rI66ypfzUD +nAvff6+LZYst6e8eKMwP3u2j3w2V58uk90w96vXqspCXbAM87uRC9kCEKcyZUcqeG9JekDjMS3Km +lZNDypsKV6oCSDwrhdnMVdAy7tVxBw2Hbmdlp1E018JlWySTZo7rGgGi3eD3JPt9juTJeMd5t+Lt +Wj/e00+ND8DfGAaQ3rjFKsZQcDcra9+S6SlHfUEPtjJZJYKdreO5sjW8zc66WOOsrEU1HQ6BSQiK +fnnF2rK6E2+pW6m2ZqvUtWrPkGaaJApSj136PEMu2inozfy4VdwUx3QozR+gEFztvFwmxJ1kaqZM +cBHFo7lMIu+IRwQQ+0/9cFIQ3LtSkMsPCOJYktuY9+5g9kFRdyrkSPyEeEjHAQqfCuithCASxLJF +vBVYz22fZJpTNQgQozoimc8+MLvPbRFIwZYlCAR5slmQmz56wpCZL/5Iy9awlVr/gFsZIIKIVM83 +D8RH61jvR/sfnt1IG/Wy21e3tHAHvnldgTwDhcdgeehwI2WBom25nbLTvjLsW32hDwjMsgDDda9e +N9DMBNlYOGKy6XCxLayoW8B4pBlM1oLfDI307vsY5ig8Jik5cn31y7G+ngFu+jaKQWgTn2GP+irR +3qQS/LsaVEXwkG5B4HFCD99aPz8b0mxMoSzCMX1VpNc1ZH3eKEqZVNNhKgCxVLCDUj3nH9NZy1H5 +kdOF2f4TDV+dOKJhKa/AyC0XaX87mxDy2sWRXfo4LUP2kkx/IIZVJaHL5/Rq4nTWoYh1ZvL8uzj5 +XoKQT4zCSIU9SpxBNRPWJMwonNajDFXYmgfQVYAVpQ62BkiggJoLfzbsDo1DjhbE2txPlZmhT0UQ +SvTAGOleXl2vy/6cDL4t17Eb48ZKwnSEwFPnU17YqEUIiGA20Ont39CHVgSuYPaLAeFd9EDV+AiM +xtMCzpb/ew8KWKgkOWUVEdKwjkKab6BQIYMAXrmzZW3SkQ5RQA191R9BC5cwtOCO/h1v5chKWDjf +afCqD7VIr/KFNjWEsSuJIIsfnG4P5YwLaGZ4O4cACKcwkum/M4X/QDXVjkw1fstNxU3ijvaBYM4i +e4BeHR9uPWeRo73lMQm+6xSq2X/cmiphP63JdOXyeln2Gg2mmCmkfVIP80Q3FLw+66LUeiGUVJW1 +WZOv4Hek+YYBPO1Z54LWh0NlfFmtx7HPS9rPFwWbGGrOPPycUn3zsWgKbpVcQ3Lxi/aO6ufKQ1M0 +roEYmh7rduUW7y8b2OWrgP7fSzXCWtWHebvO+zi39trv8QOKpRF0+1QVxyyViJskJ0YPU3L7IMo2 +11P1lO26YEPgHe48iQOXKppIIHPP1ItSL/uB99BOeF0p3VO5LgxepIBUrjQxkvdFXznEwPGG+sDO +r8d18YarIxqCegp82mkRMe+pOUaKllf/Tx7ozRIw5kc6rJyUMPWu8Ot9CgaKoMjcYs8msexiIZov +ncqeNoK27qViZdCz7dnYKZUsh6ekI3Z6AARlxFmHMoI5tRnQ4b35GGHQ48qR0WwiJcpuxY4FEZsD +auLQzCTFN8DXr/TwwfCZDObKzvmeKp38wASrEk15vMUVVOpGHV6+QjuVYENzZ4IBUCIPzAM8AcKU +Am2U5jAKndgTq8xnWIMbiIGmcUoWmpViQkOwTosl1GXOPZl5w+M0G7XzfCf3QwE0MfdSioiQuAXA +Z5p3uVU0E6uxbvYq4tlG3nkHHLqSgGIjM0FZW4Wl/p4JTyO9KjkI/frLk5SxaWM1FSpoCq3/ePPi +Wyj5U55xnE0GGegBK2HA/uEo73TW3eXyni93NdWI/iIg3lCL4b9Y3kocQobf2zVEWzP4YUK6fxTI +/dcNWEF5B3j+nOl8CJ8SJd69XsIzDaqnW5V/yODIm17RpQP3wBDfbTtV5t/QLjpmTt0U8NCkkTEC +g8VJkbkeVskzJzGkFH57XQF+Wx4r0ftj6/i/T6hA/8jhJo8rA7SiezPgy+IVAWQYiQWS6KzFezH6 +YL33gkdUIRJLy8g2KavXV/3/9mz0Azm1s/e+4AhfhO4D06VMCwOc5OClF/m2o5ci9qUMdcKzr/Qr +NBY/9Dnb4J2+CGMnRKKk1KKhPvrUHxXR4M5IU/56j2gqOKOsQ4c0zJR0JRNdFiDXb4HQLb61yyrl +GKjmSMS9SNkit6AaX4JFa2WumBeO5uxzm3+Yi/5O0zaKtRv4yxtfgZKT0/98KOHIgBPm+fsamG8B +cral7EoZStBn9CKyzRUHepKfLSiqLNwB3lyFKqa+loADVKCXs9deh/JyYe+JE7K3Vdsj43FmiGOp +QsjE4A6/y6KYvWkB0dGKWqKWpL+Dmvopt3rxzEV8UCx1hGyAXZgKPblILieiIGGbPCExbsbg27ex +KazepEA72j9DewtUUfwFa7Cs0zrtOWID3o2y+r1vk0wSu2BYVnaOzfK9E1aiShJnwUqDv3z1E3n/ +X5iff/jfXuSHFQbVMY2pvCVtMkB3y59JIlsMyLVBByKPEeEtAVJhHPKEk8ajeZoktUSFnHOmZ60o +rIgyb+Knz1jnFAgl55dFMPc5GpaNBnFw6otsLs53mwrhUJxpLKY24Wd0DWmW8KalLaoB/ab6jRGU +BKmH6+1IphlWbOyGHYX7Cc+kc0gBP+kjf1MLJ334MXCvL7uFzuTaf5Hf9nK/rGAipUcmslznDOTx +NK1D+uaUCGF4SVIojvX1RkBIC3AUEWFl5WdyZEjFcDh8tf1jhvXtokSG8xs1pErMeO1mtg5JaVya +gPIwarehBKo3H3w8t3p7sdbTl2SK8M996ypz9BgPF76aOy8VBO7s41qmM9tkrV7f0VFbuaYF3nJG +MVXC/LmwOjWsDxi2Pm9U+eDODdt2nnMxTbknQJQY1OYWb0Du6htabvY+Nztt2PQIU6NfhDcb7IV5 +g3Nelq+uKlsPDjJXtv5LK6/MYaaFWHK0igzT5XdlyDIcIm6SxX3BUO+VL9azjoxQ+MaL6SiA8cx3 +sUfFa08LCIp+nd0FNIEFCZ+09mVOdnYMtunWkSyQnTW2xCKAPKC4+nEwydfBLCwgB2wkWSktfF1n +sLCkKSTOlIUSpSZiST2Z/x9cfcKiYyqAKClkqI3EGgBiFR12JdELu9Q5im7d3zVRiX/30qHM9DG0 +GwMlDSWE0+PeCagkDsp9QksQljvrfop46cN/vkgZ5+zh1qS6TwRjmAXlIFlHi0eiNwZZkQOym9gp +QPgMxEclOoaXKuggghcXy6KOONPWd7O93PZl2qb6OnaNzYqcbYYpKIbBTkRnLAGtnfGsTLe3i7Y9 +eRn1+KN03fqgpMzfokeP4WeUqRE1Yv+0UWLjbJKKz/UZMeoqrfa9hyIkyUN1evF5wQsPIyLI8mPP +y02dCMwGF0tldKIkFX9p9lWu6AywOLOi9s6FYMEAeTDuPt1bhXSwV51hH90/EhPMbEj0WMKwfzui +0O4FgdbsQlw8a4nhv83VzVUJxukdQymKbIgQBzZM9tkbXevqeSjAlAUtjkw0WP0wnhW0PdI0yiLB +VtN09SGAX74/oqmyzix09ZnhsQBZsuInE4epB3OMdcldCQBHFtwf5qM3AaAJNEfEZigrfHBsurz+ +Xy4dF02JdFkrtJGj2qOwz9Ngrx1uWip022BjTC3SfBbW7CGddJjhQFOEqFDqlUAg6HmOemFR1OGC +ddp3CXtoISwNh8yIeFEiI4wGWSW7Eprrgrh5iM/LlNQNS5EDcCXP7FnYl4HK/hFdB+pNRy8gAfl3 +AY42l9F7eNNwMdr5vKNmuYcr23uRPF9Ew4OFiWEVyjURgYgXpK3dW63hkfYGdx1YDBFMhYDiF+O8 +wLGV6WpLx91z5LkHA042JQ7h8gf90XGMifPcU35jPWUlGZRaM+CDkn27PsKHOiQ3Clbw8RZHrNhW +oYrdhc/gyMhaaoYX0Tp37+UhdxvpRiAueyhx4TPPmRzwWf91un1nculIRfxGj7tv5/nM2mCtDwLL +xNuWa3iKuwlLqqN7CkyicZNUDFEyqr3A2Yjb/HE0Yn4SM68xd3a7sZbsVOpWLi0ocsRwzvgDum7B +5TRFBydsn2u+zax4Ft163rkANt5+o52GRKmEWsUWeHaKcrfdOit6w5DWEHTUX9oisBMMfWDIzT2U +5UMsNGermjMzUVyxkq/oztj2bNQtvJje3Lx13wpJzZT8Npjv0ZDuSVAbMCnzu3G8q/d5tnj39ukx +EOI3oUsom9aOSuEXMKaK8FAj710JuolGfDo9JcuYpnqxwUHmz6Se1lqeaoQokWy27hdbAUYuUhYe +rRCkoQuNM/OGaUfSY3sFISZeaJOS84GQaHl+/DJjXmM2ks1Qg+Zi8W/sOua876FmBVrJf9RenVTc +iHeXGT7YNlo3tBjd/1Sl1rb0wnil5bMocGmOjSRZRcCcVq/0vpEmOgeJmFy1nO+39Fp/ZvNL2btq +AyezMx61F2jlrRZzBlTCTGoj9AJ+QggrGjHnHTux/eEz8XE5OXUV4de6pB+lrMUy17+jcvesMQt3 +8WrjdCOHSXG8iPsQrFM/tHd9PYtwPDlJhw/f3Tl8Eg0w9kUFYJYS4ppeoYUhSF8DKg5lSNpODhUk +frTr+RdO0eSrFHeUHtBfPRAZ+7e9PurFwjP6W8ZnV9mbyR0qC0SST56jpzAT3JLWZDzfxBjTubno +SEV9up9/qROVNFbAFSx2R2hxCwvZhcWAuTzKQFL7+K+WerKwtiZlWZZbW550ACOl9HsBYmphTPJk +l9gObXh0KPqnGHgDkUfnxz41iDlPVqhDk5XyR6hrDiCUXkdfGrpJhw22FxoEz5cWYp7EA+rn5YS9 +DC70xhnLqZrGHKrzAVWfmNnkhh3bCcvpx+9z8ZgXiypEYO+sS+m6p2nf9JUIRkpIaCRkQaI4OQ3P +Sy2gXmTh/z8GpmJP/hXAUSO/4GtXzjm7LivWux4Lc4L5rv8tR81DhuR9LvrO6xX6b4J4uLXozkQl +igmKLvAlJahFsDOt2OdGqn8IVxl2TwltjeM1s72Xde+SwKG4egMZlyTthul7N1RekfcUYTVKGTwk +FqI6Ns0yuSK5aiz3xT27eM/66NTCUvpgnXoSYJxNOnaBn9kTV+MCD9hmfvXGrmYn3KtVtTN+067Z +4mnp4HTZ26PO3GAkrGs3zzerU859lCoKJNm/l3mLQPRhUyvftikmW0ZxpmSrBQWBmnmr0L5IAese +G0PpNrDCMJFXI3TjZYN8FcOrEh6sCTuwu6s3TdboH8h0vMN+6n9lusq0649uH54mraTtRPBOPvU5 +GxN0zosCyzdz1UX+gLZgdSACYI+ol68fXrNpgCDh+4+UJ9PggTRRBQv0bc4OtbHkvLO7rIrX8/M0 +WdmztIfBZIQ3WmuvB90BCITnVTAZODxEq1HpRtmuROEBHb/MEhlohBKGa8Kk1opBgPn8GW/I9pnP +UaORQRgYHklJB/qsSVhoyodatlaslQcZvjjo83pgVK3+ARgl7EkXTq7SkdosvZgDkc31KsjBH0EG +HiX/Y83W6gHOQJB6HeE1Egj8hNVGQE7KhfURNigDtHUpXQsmbAnLZ1vZZjZ4omhlFZXKomcIfzA5 +Afe7XUhBrXkp4taOvPHWNJF+G2Vah61srbO8/h3+owqbKkQshw78ltWNeBcp3DzLKb3+hN2a+uWv +PhV+ze1q1JnAfo+18QH/NGAh500XavN2phs7d9d3pm6vP+ZEdGuxEXC5+E78zuS9zmy/0wzKXx/h +m6MzCB/Gl4XMHUr7XOEbht7bBq642EXONeHpLLh7R3w0ajE1/iNJppoVdai/SlAyMfBx+DNZ5LPV +gv1qZ/jYbByl/RBC0ZSIU6rZ0nphsXpSgHy/t955DjQuTJVNA8tH7AOKhYAg536h29k8+7xKTHYC +NEGUoueWA7c1AVHMySjAgt3WD4lQHnbagHuFYU1siAhReSE6lPqFyex6b4kDlPh+VEFjvq1zb/cm +z5Q0HlY6shSFUlYVKF/HpGjMmuRo1cr20xqo3Sx5eZ5Z3a6U0L9+yL2O3/0ETjv+qdA+sXPVekhi +/fLe8Ot4eYjFKeoNPM0x39ALYGeAZ/Di2cJMYKKOKaVqmNzsLthdkBrFa1aP4xvZ/NxyrnnS/Gy0 +Y2LyPf2WFmsxkriON97T0o/AoU+DzBpxXnPUAfOQB5puHbohbLhpiJJtNg7PVXaN4gFszsI3IO/F +F9VySpwh/DJJIhcTpkDwvBCPAH5bOfR+rlpI+HjGLoTyJSd74s4Vxp+KxTWGd5SPFmMeq+oIscPJ +ydsdL5zs9b5KkGZyBW+PHeqfKzdvuNLHju7MeghkEgQh6BmYHHk2QJalKfclrtsZlRfnSjwgc4D9 +MYRwc33wADwiBkcxehLOFmvwx0FEiIHfeC+y6vjwzCTeAEGwAM4uvCRVBSdVcmWLN0y9Av91srz3 +LoZMqCAwzrab5iVyWZAyd+RYxpEBdkO0JNoUWD0q8JEbBzULOm/vR+V9yuZpFYRKclgb49Ac+NOw ++2INUn6LzApsVvVZLvVqwS+dx8+watlZf4iY9YTCEktQDNbSYbZU7s6uXUrAsnBvPhAwb+ZL4+tN +xnX/OvLD7jUVKwRTfJ0bnnVDjZbBg6pepKT21uasgQUPCDAisvhKfopYW4xIfs3OD5/MQpeqlpdm +UZ9u9/mPvbEvGd1yyypLfXLXwJChxUdyCE7M+COCiMvxK5Z2QT50WgOXuFss0Dq2p2WpNonzYaZi +4zzMbHV9CEgsxaPQHdKxPXXuAYDBxNd+1u+GKUX/B/cLDrkGoGA4q+vc1M4UTdzLmMttj5ghn26I +at5ep3UY54pctM4axMlwrqo1a5VQL0tJummPkkHJSZiT1WiAEhySCnzck0Fp9vjIR5FYn9xQAicr +bIJl/PS/Xvt7jXPSCdNdpXqW4A/Uc2OpK3a9Gb5Ri9I4rpBaBK7IPitJN2FoTqUEy0LJ6TN0R4Zw +BI/mLenCE13A8NlLxI216Aexqx7Qm0zJ5l7jTqSMEFs0Gn0bhSMKPGFoM0XmlmCyZM5SkXJ5Sfjy +u1Ar8CAocAzM1bE2v5BkQhkhU+xZE4ouM+67xQKfgoMSLXmNR+4xeiKAlgOSbL1B8pu1ojil/sRp +1UAn8mm1vPV0PYd+WP9VWYikzbK+/A3l8Cf/1DITkD8h2qL56xagkR+xtjtSfVrE9DO0LPAjpbj8 +l88b359snD7gH6ea/mVn9brj/zZJVAg4P9WrJUjgJ9Ge68lsMAq8kVVdX4c+9x+HikjrezR76JhE +y9epH7UmZf9uVyfBF/i1h8XjMBZVliKT5FM7PKbdIogEx+abM3YbR0nmD/YGGOHKvueonKCLcDY+ +dnkghCNTfbidFK4+7MszL3W/bfSn1RR1dOvk4JyuzJ3GjmxSDizY5JPeOHTFKQyHa7BWJcZa6FmS +yhMCD6dii7vGdwvQs4B3U4DYXHYpvRWRCHdnIsMI/Qgppl4q2b89ewRL1M0AUzfcPLf3geyWcGXy +aQtA6ZuT7kbBalQSHAmVcfOexr8vG/YcJMDNqTmIRFarRPBQP/FZdbC3Xwgm4vkq+xSvHVFFuXmk +aONAzqmCO0023YMwSWBFozPeoTk318N6Dpm2wiR0eQMPembF2fGGlUu0TZNJ1EuJtCKkhYelbXin +PKlNVInwL8aG2r6kbwAuNwNBgoVPfw43DhM0kQoJfN4k/jEie5ad6AyVwGLI7NNfvSjnktzH+w8H +uPiP7oO2sMyo1WtVQDEtHmcuxqpb2groOAFoPwp8GP8he+k3q0UhAl287Vnk9Vmg+AG9L7v3AGT9 +qPVgkZQ96Yugzlbd2Vz+6jEnzyw0V6oqdkDAyTvQw53e598bBb3pMNMox8vfVgAiAfHw7sEaSJyT +SFvbic93ye570jx6qEZuKmxtO5kxTbZE80VjDw8kzI8/MGgznC1gfENHahQRZUer0/IC9Od6bKxR +WnL2KpSXx0OblQ1TH98DLzduFNWD/WO8oc9sBn0QkQf/sJ2Iq6DpPgPT5Zyb/nEWE1E4zXNSxin4 +ZeKfYndivZaaauCkOwRoUbzvguuSYLl7cC5EMZkBwyzB5+kM9B4Io/5VqkEmZrpQj1M73ocUae6V +oRC5DXpZR5QnGD/x06kBI566cImfH8+jzjhIfPUKb5oSObZCrDwEf7zQ2IIh9/pifFLXqjG6AW03 +B/fg4g16PAXBtCjebCnlMJkcHjZMvDZWNwFc7lolSX8ipYixc2sqCAfpwgIZL+rCOZUQjtkmWtAP +ursCmlz0MK1k+5cN9ZJ3i3ImJ0A7kfEyMnLHaGVgm4HKSCoGkwX+SZw1BNhVfGxTLQdqE60JEybl +NHWXfEnbpyq9N9p1lRVcLtL93hdYLSVxfl0Eom6nefnjXJBp0LSD+aHmlYbw7kKB7lhK2rJrbsXN +RLirHgmfjQ0UK+izhFVm45gR7lOONNAV6nifcCZN27VfOzUZ4HTtTR2SGx37Ib0cWmrBZoIHSDRl +JbUX0iUTb/VYJ9rAkl304aPLLXqI5mHQ09Jf2QyOAIJvjkHWYYILZ0cloxLx5OVjtFt6aegT25IC +BekBV2+UZr1v76sDuiZzDmcHo5BwaWVxW2xK6KFgdlgm94v10onuRUC5HY9zRel04Ce5o2V4qd95 +AG3UZ7vjX6nz5YI+f1wOOkE5Jwc/wfJ3orZBLWP/BhudTh0WYxrecoYsUjUl6ViwHL0xqiECwQNx +y9Pk+wtVpXl/2mHzPYO9QWzrKYuPH+UAK1S5UZQffDBRHz+ASPardH36nJiLJPnlIy3O+EzVai/9 +/1rvHHe9stBdvHaxRfNFU7iHfNOwfl8zEDrLi8+JUsGNsGLM3kl+FIklyzeHYOV8M1s0yvbR34So +hznD7siSltyUMIuLGBUn9qMkaKDkc97ZKYtO1yDyy0OuCZ/e1O1kqD8/tQ8Nmgq3zyUSqz80xfLT +tBOgjWN+727gn+zX2D0GbQD+HnRpECazAZ22rESGoGduER40OvUhoXd+SaroTdK4fMVDyYDp+7E5 +VwupTvvHIq5dgVPqr5+AbnzW+JmmWYz3RhjmEpLBZDpgmBgeFO4lw61ZJ2f0wLXl70b8QaySOMmi +cPYti3QgWe5S6buiOO4UuGx0GqipxT14cGOa4AJZBSZFGhWoyBn+05DRjOxfhzA38hriGNSF4dr6 +9Y3SKurRT4vbJqm1xUY10voto0O9sTc6tWeqt/aG4gQ/5gWFmvj2kFRc619XMOqNWTByhRyGaEGU +KCtIjwM2vxjQl+ectzvbAExaGM5EQC8cP2k59vGT4r1N+/snEDcvx2Zw5kqYCiXgBe2lE3UFdl76 +/U5bdDgweyixvc5ADdYLa6kM0bMxWhuhljF/Jn0U0olALWiGJzbn0FGUdpANiZ46vL/iWgoEcTKL +llqmdUGlpHsCn+n+U8vqBnR/48eYV2eCUuGLUl1ILF6012DHGPO3h9Ds6m1Lzxnoc6G2yMYl54+h +7zK6cG777FihWHE61RwtrWqc1Kvz80OE9uPbtCctWQ3Q9Khab+L7+fJ6N4lEwn05UMNzGVrOpZYt +0hHlNFO3jliAdfsRFoaQS7HLWLFKaBT6C4/RaYIx6pcfz6YapV2IXhDP1Xi6ikBJ4ZDHK1KK9Tas ++E+ZjXn5C6+ap2Amziz9OcI8TpJeXHVqdStaLvlur7wonwb6qtkp7P89aqsFoOLZU5QDiETHTjPF +ONTy2Xdo1dIcpMEK5ynVwRXhswVD/69Evn8UBOnhByNMeblX2JxHYYPEmRkxiMJ3OCA2rqQab3PK +XLtcfTZLFhv0IYN0oApEuwLund8jDkTsJta9o9ZhiLnRWxMXtc9MMUZXlZ/iAIG6gj9JGqUStVYu +/GDE1Dszb4iG8InyMj2B5K4t4lsLn19EyOo3HhzgWtH7C/gr/nlYgpX3SVwKIJPIjs/E7i+SAcdH +ncV/Si/KCH0rqDYtVTmD6nwTICHUOq8Q2hg4JPDr6a+MEswjkU0CPbvkeysTk8RMeJcnDdND1Ivi +SUQk9R1M2XBDhTBL5xLmuXScTKmn/+gm5gXDVrsVHR1yPoPjJBhJsw5+OtLazMvATfiFgEfdRyfW +fSPP/LbuBVwQS3AmnAs/TTEtpDLMiIGxq02kqoO4Xoi6hO6kJYT3Q64B/roS/zJUKEnQzi0wrgNv +J/ddb+47ULM00mQPJLuI2oweybhmuLjnwWShYcjURtSdOvYR4XQi2bNVG3A2lEIAzY/CTAvJdtoK +J39NlFJSLoTUfGcf8ySZEd3z4cRWbqcjM36PVGovG23YAHVSnU7VZJDfgDeChGOs5SX0S/wPjifg +5bPKOXCW6sMaBM0vnf+oyJhvLRPrWPwbUnXBfsqZd0k2cQAsOLcvRJnOTKSbKulRgHnHdE6BnLWZ +hUCOP/Ors2AN6iSlYede0VRfK9xIF/Ox4HqrRgtPyFp+CzpkxJ3u2I5intaawyy2Br0LkDGke+LJ +RbEgUPc6G8SNTZjH5V5civtFfrGwk9SpxFNVLpGVoeeSs0ZVTg1+3uz8SbnplqV/2rd7CRB8/d7g +SarJD4tJtuHbFmRHGMQ04Fdo9irGoeipsKrvXIvMMrg2o5AUCcY7Ow3v3OWUtxKLdhLINUNPmS0d +Lfw1jAek0bqksnb9NeDY9iADmtCoqoR2Afm/a8xgTlCs+txasrkwHqr5b8/m+h+6LQBYxpVLoZn/ +Bw/PVL17HwaWJEQMPv5tTmnitPFM8EYLr0elPuMhJY0HH1ztakXRjAHyf7uWNsNLB255tuw5SJe9 +cHegE/vzSqby/7jKUkVtuwHlre6MCj7JlqSrCGVEicZvFEUit3NfeCubp7X4KBc8uO/JDHX++tDS +FzaBagLNdRKHHS+ZgG0ytruwXkbAPNHH9abXvBkF9UWvimb71YtJL/8LkOkK8PgiJ5q9gXPSgumT +67fT5Ky5d5uF2DENSMPATHmuQCPU4bLBDCPzuCI7npuoqtg1ZFpp9Bn1ntKOLSufIj3qVR2fbpt6 +EH0nqG9/puhF5ifT5+hZmnIM+OSJeRcTaUVthtPgIRz4uLCs7Y9RA31e4TzRiOB/eZzpgchNGEnN +Q8qODg/kUHFgH9FtMaFMVD3htxatIJfuT+XcsQKB+XJVWoLSntl5Yw/zRfX/jtvaml3sif38AO8n +ftBKwIFMuEcrN11xTgklcpEveMe8t3ahKRaGoLTb4FXWG944v4AmZxdib9CGuCzI/0kdmSj8yP6B +kOSMsAYWG2vUKV73HB02GkaRhW2Oo7nRU2+TFv88t4Pigmu+Dp+Kj09XN50dNCx1s3oKZmeSnbmu +IvbWlUKCO9TQHquuduzgiVzrV6lasCP3/TvmWNvcSFHU+0XSPBNvHNBc2wx1zNQI8BzCXAJ3plyL +V1imea4/frUWsWD2zr+1aaDP/rJ3TYyFnLGfZKP8ZZtJHgZxh6xLNDgU8Msu31wIWmiBZGgJErUT +3OVj7YHpfs/Q+lxL9ZT2R9lKO+AwN9JtW8mXj1nXOE63mWWtWL7wOgDWs3AzMVmE3gliLz6SXSLy +58g70AwPxa0nV6ecHruH+2tRTewRUf+x4JXyo7kngC+2Y/KCexPZJjYsC1VPAJJ6VYu5GEwsB2xz +uaP7zJ54f/6EIuuKIMVBqSRrcZnLHS1+K14dZ3VBjC6j5ubrFQpOjdh6E+n015qE8vltteZm3kY2 +9aGvLwCbY+lec+/tfedHnoo/nn1ueI8153dqC9xGkJxIbYl3ybAkAsPpIYO/PvQu7o8OM6odoyGc +HOSmmH8Fb58im20qSBO59aeOlAY+chgtjD15lbjQnvjS66+/bu/nHvYm+HbmtEvEqBYYNPUKkvqo +ljRHWytR40BSctlOgTUUIh1L3C/I29n5Kjg2zWv3Icm5KlciapyYWmVBGCJpQmlo1Hz0g7Ave5WQ +Foycwp33oo7uB4C4YXGLEAM4OH6l30shWtpPeaaCNkTK/udIPKN6RSZeRbT+R5OQ58p6fl7pQIic +zsi5IIuMEpSlje9owu/LRves5zdLtN3pHqRIPZhtKg9snB1fwxWxCpK6BKqG23SLuKIKh12M3dnr +9+Y4x7coPO+0AFlOZbZNuToPyJxVgNiOqd+anFcLQNDmedOO7DlL+UZBEOqWq2Swe8w0mBJzxBzi +zTssnLcLxn72VjGUVaNpgscxMFXGqQQz5uybDhqMRENf5Xji83r2oaUqeZ8Ug8XqsSoa/AwSkq8m +KdX+IR2PxRtb8cJHE+1p93wmTCSyyj94XNSpW+VETxXSz2FYvKcLolpO0Qmaeb0dCPKmni0tLWlq +VlK0ZujtKdQlMfv3WTyiDgkLPM3o/EM42yofwEEnioG2R7Xn+mvIwZTbFOfesU+ou4U/9BlSj3Pa +PLL2WwmWENwoTkGqxizkpnD5EGxmgg+imcojBTLQSpkQ9M9NOgOH4RRUSit4LOcbOUkWb9mAMflR +bnBA/HoWdyw9xFN230SI30IHA/LnP0h8XYqGh93ECNN04B+OX1sNShbV+44V8yBf4wDAkBP6YJXC ++X3hHYXALaY8taws94oYwEb1hLdjyvp38r9IuWqk7af46NrDvdbhPqYw9zAJlor4gUnpgaGLHD7r +XXq9pJgIL+6uy6VsvwFmmRz1m01PHHrnyPx4s8H3VYeCMUHzp3xDeCyQnf+4/AZK5xone7tDxe3y +9Q6Z3STiTgKOO6ikDuZWxyzsRG7GkOq+IFe8x3DRDqjhhQbmTrt4rWn8+6VjZOUreNhlzZ838Ri3 +csHil5ExjXSHI2kDqIjMpIY6nS3ESjHJ+fUHAhpYKsZ81FgmU+v1ycWqdRgkiufp4c63rVeEWYpD +WuR+pHPeuSQHO5xC/NgpYb0ik/RLDfMKA3bziwgHgYhPtByamOuWJdlu/mhTBGS/qIZMFPQgiQVK +7Nd0u0ak/ZPSTb8R0rnUJjqDBYoZavjXeLvlvU48MVy7YKE/qRaHmtr+aEiR5MLEo8QaPlZ/X6Fb +kdFBRr/2nc2y0h/RTLTcmxn1bAxva12umR1TMk6pdAn190ttkSytZtkCzTLd2BbpmJun8zAnXBkq +W9x2mnGur/G0uGsvCzZ2tsFkCKZ1N7lWymDf0tXowjrO/nTRY9zLjh6hf8pFkarQghQuRjJbZBlc +fskdNPWGW6jROUD4Fax+7np38/8NUwa0Uq/DvkjOtwTK/d0ubh+WjQv1CDpZvhq+1ez/Chmvo4qp +fO8Zcsc1K9AtCYQMoZXo8QpEHcWGaRn7MFL4WQ4yPyqA+F81zimMB/isIdoxXSaC1oK7skCRfpgG +358U+KoGS/D5MZYxuRC0L1Qd++xt3cmrhWHmKp9DwzfmthuuMB9ECai0+hCeQos9DyJfxam3U6ZP +6Y4QvHmZOd82o4cz7TKzsr91aeKe7h9esXf/QCMr+zX1ydGNKsRXryDTmF4utDuxH2rqDsxTrve+ +7GR5+z9+1Wf4abL2tzSmevVyfPpQqUK1MB2lwiyHP9JGL6o/GLIkOWWArCP7xJlHv1QEI43sLXpi +V+ykQu+zrebe0F/ZeOj63tUOY0M5/4NRRluOPUBzfVNoBTwnuMZGCFhHu39mcnHRHwTQyDgktdp4 +ZnlOxwFnfCLBVFzm7JP6IrXdQiQ04XT5Y9CGCD/OSm2qjZzYao9X0OnN8hoVqiY9NBo1GjrmEYqX +tLeLlYGrXd3RswfnDCiSxgwDAHK7WWIZ189x7HIn90V0byB0anPtYUSdehMQRb/rzFt4tvOQTWIN +6xa8Z9jFY70afRp6Ny0xjYBNX4hZsi40ZEB+07ZdrMJUs72YiPue8HULXk6iNUFrbwkbldoFlBLY +Bi4BKeYsWoLJx6vrzjIcGSbQKLOtWT24sDXcB8H/oqJ+a69pPBB0oimnDWqFp8LfS0kCVViuYHvE +Egcoc/TjD1oN4I6HPoJjGXB3TJu020cc+7KOCc/QiS440WZH09Q1MNPFpB57umidlqdEnTSPSohg +NnEpTO7iI7Kk6TRS6XmEr64YS35C5J/yPMLXLXP3MBlsnwUF5/PAmYWB7fDFe+mQJvnKSk/fA4Pb +dL0fR3v9G8y89n+/4LgvKIU+S5A3nrnBJLMZSZifvUuajzfsQM+PfAo3P43cdRpyg2wzSz0Ib2Rv +NF0AbsccdJjgkSBin1xTFmL1UFjiOo5JeiOeMLp8eS6mU0T1Q3BCAYObA6b50KXD0BHcz7AaDJ3s +Mw/VmYekrI8bP6Qhi1HaO4P5cORDjUBd5qbtBvKEOU8Q/adg46nWMDt/R+sS+iPM63eU73mTEcpq +gPeF658BUU562WdNDaUQYvLPglzUZiRXJfTbWTzQXMJbv9GormWCWu9ISNnbfgKpeyqyuee75s+Y +zOnoiUlFHOMWU9BsgV2lnzGieb+3vholMUwPnUF1XCKH3mFUDCbEBdECSu0hw9Ze99WK1O9ZEx9W +gE7/EcRJA0ZJoqwr0VTy/+Lk+kTrTBbwS58QAlhF9XcKLsI1afrPi0MZC16vlQ6Lpr47ZVhg3uhX +Cj6Wc267s6Msoz0BJzjZLAGO4NLM+jWpmP5eXL8DS/12+GHuv+BdRXTQSPkJ8u+39wuhk7W0xwXu +O6YGrPwEFgUNKvDorZrb0G9Zw6A9jd/IbDgnb081CgvO9g6UwBP9SLkwB0eyHf030ufppy64yslF +udSNbSKS0eKJBQRjJCHesV8mXCPi9Huoto3qS/IONU4pTjz5P0KQOnKHKfmqAnomDLMXyMl9xfvj +gSVitVcNIo2eA4NRdUsS1RUDd1KlC0CAKNld9NXzCmTIp2VEi9UHKdZM+Esw2giBRdCAxWf+rqtt +CZ+dJlubQMCSCV4UtQsyHnwRXGlmcsQGMwg3T1cL7Q1Ure1+c1Clqf7FjnX1z7bAlVlB2cErxvkL +sKPuTkkTWjmybOe5G/TBmHCfM2USeO2cCP1u0Amf1xug/zvwGpCSkX/9VtathBKVEvqGHMb9LRSr +xwP7vljC3r6ot31iHS29MQppPnDhl8t/z/8eKAtDRx8Bt6zigIUwVGdkvb37MmwDxXYV0g4rm1z+ +igJOuC0gJynwBce2yGQELcwI6ZAO8Kw+xnaSbhj6Qg6mkxCHTIn5NjZ8K/oER2/x3iiwwvXOrIQt +x8ew8QyykrZUwX4gh1+GIH54MDczr47jqOTiBA9vJF2BnUbMX3pSV8aZSepVmXhXTxxQmuNRI/iN +v0jOZdwR/C36qbHjAqaR8+NVcJN2We12aBxpYWgSfygZXMDt6WCzWPwb1pScE0ELiT9G9cK+r4ud +Ih/3mIyfVFEtV7jPH7UQlE15EUi60OsGgYkr4VgoEFHWn32lsZqjdE/nr+xZThtDvfcNed5ZL04r +rib2qWpZ8h6FFsKkBjXD+si8BIw4of0cSVcmWitnDUBejD2Y2mokCMz6uT58UcxJ6B5YAIYy3Kgx +U7aZf93TurzA6DGVUFqhSG26S2cq8cwx7XuxqReI2wEKmYkGPH7vodI+s5F/v55bcbMxq4iq55lc +ZFPFR82Hp/hcJsVe2IHqZNuRzAfO6TDg+CwDCX2/xRq7E+P08ps8mIIvpozpZMnQ1xZbNqqgoMBw +8estVAA1NxHXZ/ZMj+4CFK9rr/s3uTn4ZR1NsyzyYGTmM47jxsJdjbu3T+C/tNnZ5vFMalMZ9jr1 +CIMXQhPZBQqKQTpApE0SpG8yKVKC25FT8jNYSMPiUNdSd3RacX6uFYtfn5UXQ5K8UIBFCbJI0rss +RAy66lqax2/5S7ZPRV7n4ryjGbwB6dlIgMCIpfg7Csn3h+BbE37BdImSOTt8tRuV/lLRoA9ZlzL1 +7PCM6Qk0N4api6e/bXN8Dspa0SkUzEBt4IeG0WMxpRuoec2J/sDj1qrSWpFCit4YiCZFhX1JPs0r +cGTBIzeQtSBBo+obT1iAp4eqFGqRswA08AVUdmXzb2O30+tn/gUKzQT7Nohiiy4ph2GY4orys6Gt +4+QMeNclDny+L7LWz7mh9ph7/xPusXj+MXaA3dhudVbDQL/l40uEV5eUFfRIwQ/+6ZV7yXLYsxZk +f/TCWoQD2/rfByhDKtQMBPtBrdBaM4/i3X+2SDy89cRabgaKuoJF+SxIiwosK+lrJgL5vj6Q1qa+ +Dya8yyrpBg+LLzMKpj9NprDryVezpX+Pz9Cv8tIXgjT1ijIfdU9ToI6Ld9WlMutifEChPPE2qhlF +4qKNam8pO4omeKeaYtlh9FvapM0wXPOySpJ3aYVqP6MRZ/1uGECPWmsqLJI5HjjaZeInxOU2qnof +FSm9bYNOHm9uiv2RgqPlJ3OR3sCPy+1F4gBq7PTj1s0rboUd3bOaHjnWjde2VnktoIYIXTX0DN7b +A9VfTUqknzjMpYS3OzGJf8qTXl7t1Jtn4h7cJZ11JUC/UaC6hfA1Ns/hhog8oTSkNkwgx1n+qnl/ +EOJP/IlZu37fINfISwpLy5Z30AO5HA9/ke8LzWlWCAEWBVft6bQyAIraADWo/Av9h0i3ns1N36R6 +6Dyx5gXVQGXbu3TPp5TyTkkxDk5edw9uulnVQ3/y9zZh0ldw96KNyLxHGBXcZ6wYx92ifKXCBTXy +uhPgstnfpOnznchjGXJikUHbm3NT3kILcKUVUcsghpS+C0DUuqxvO60vlBDOdp2xK/4bxL2HBY3q +DZT5YBu190oVBee6mtN7PPGfLSuWfpduA32wlw754Fj9PtqiOpiFM0J1cOmNYrKVqCTAzokzYgLB +DZuwo9dtMysTATKn2T56UOzAUNgUVbdpYEtOCAzx+CZBQRS5RRFZWf9dgO2M9BAR+k6G5KBhw6nO +WkIxyugi4WwPhv+mis7a7gUT6itrY+DbVRz2NuYvCIHEjh458pPebjfVmyY+AkyiBXLKTbqTRawh +nJm19KsoYWo859QxG5Jhgb5niTl/sPekv2B07elgxMYzUEhCr1Ey4DURXsmoLBJrAM3nxhuUtIWP +7wgPs091sYMQH4wk6E7I49ncQ+KO9bn0Yvy9QDsjNA+Uokt66r2xZE2IQHSXbnoQLxpMusYMuqEg +LeqCL8iHEuErbdE4cdCIobAAvpw/XysOSOV0otPMU4+fcdm4dr0qROJBKPEFCLBNUsSxqzx2sg9I +dvsoV6ELJdQsOBrOn9Z0ioTqvUsvhwnjqPvWZotPQuiprfCQTeUXW9bA3VB4diBR5ZFzG6Mw/jYo +WeyvEljoShWb2ypfGds1lCphpoE2uKGbgeu6OTEKJ4ix7S3dWSCHHFTLySL0P8y6zvlm1W6RzHlv +gGOI3j3oxE8L8DL3/IwPOZ6KtdAffYK3k3afyNqItCiwnfqgtWlHlHtXSuXTbNI9WFGpxjrXWRtE +JldHgSxk88PY2Yx0g/yip44v8fkCbAlb/yMtZTLn3K3XZNygfzea+RJ8T8g8NjFyg/KAZTR9jlna +TI/m07hfPRjawualaR84riLjR/emnWEQ/SWa6GhYwRceVLWzvbY62txnF4kzw8cg4Uz7bMFxtm+y +QsvS63spWSYTWdFcX1HJv0fbYZWao3CbxuSdLzjfVBmNXKAvEUIeao3uFyV00m2BDzY1nJ4FgqFT +Ae82Y7TaGAqVePGSbfuSUF+hfEn7Bp8/5hBIQlBzudp/MIoPeh8lzTgywTx9IKYLBSnahnNAOrCX +3GVHdHFTxMqWK/JU03iK+onqzess6ZsTDRDBkKBohq8RVspjI4AgJuflfiJuk2YR08DnSg9PkRVY +jbAY1CCoR6RnXFEFl5ABn0M2E27tFtRp7E5bkVXD52c77xdbPPZL6EPlGsFWqgRz7uzrLxP/u51C +trLfqn8fCDzpzXIwJDTmEAd4Bhph0BFUyXi+e3mAQlESW3AWkZ3s6FoUEbOyHESNBa41XsBUQutk +f1wimFIruVXxNiSYpu7QHlLz0HrbhpFseu8DaxtZTgbxgewfVxg1YcwEVRqLYDh2g7qLPF0U0e/e +mfRHwoM7RYcGuq3rGEmbZkwgV05iPzqMs5QLmM7OPcieOcTBphbO3w7KrphTKKBM2R+W+ItbK0CQ +zyIUU+N3nLHu8yyaG56RCSzKvH/p/Lcpf/fEPECZGDJQdGEIdkr2Nk22fOAoctV2pJfhwEvcPbEa +//ke8n9I4IRNdBLpT8b47MF6sJRvRP7M0h9LY7i8L+rkXkmMCWEpf06UFtuQioPDGd8ULgveTVSb +w6wAEdIqtmZDlghS/BG4grHB+rNdaHCCFjBoSzCqI89eGolM1J7PZqA+XZoa765479SGuY79euPn +4zxibJZaov8kt/ERjZ9zx+l6rKurShP33gLSdGK7VhMoJzU08g5RqY7aly6d68LBrwUL52GpEFQX +Z9Y4C5i09Xfw8EoOIVhUlBXklI6vloNXdZE+s2spIN4qCV8JFhLusJmaVbLxjAzS5RL1cCOIt/4N +86+3dwRUuuYHRhhrd9QrEbtpu6UkMNvRO5dblsKxVsQXyRNLUb4pZfjf7rVlXf1LjoionPHNTPCk +rN0i5LagN7/CXF5A3w5nyZYl0VBxRQqA+pGfdJa8Mp4Td2mRyIURZQAFRGYeQtjmJbF9E6PAcGUP +3cc9H1Mc4sh+1UX7Kj1pWg/+IPAtJ0xUVSumMOQm7OcAV0OjG14SUJ0nw+KnGLV9F4wQu0/ZSVeP +iS7J8tGFXIL8U0oLAthImMCn2l9Z7dgScqb+OVbpYa1QrBgOu4ZBSIQIQhvRlO6GdPwnLho3j0vB +OU0yv0lleCreWKOBSo7O4B4vf76cfOSEPiHqcBkiCw3QeF6Eh8utVDwseFUSRQt52hHT3m9vOdUs +BiU0fXp5twyeiBPVhgNk3uNW0FRvmXD1AZ2HB1ATfybKJmEfDSkpbRd9Tx2oh7JQCenIjqVyvL0b +I8OaHjGlaJxxDEoUmN+64Jde+k8pXk41iQp8HpNj5IdvX7yEAv5I8NFb9cOkEwxVHmo9Zj4lb6RX +NdJycHdtArXsymEYNoBe7qMvymvcWmNjZoZtzzivxDvmsXCsy/DfiHZnltAOtYQD4vWZmshSoCC7 +fdVt0A85iKd7JztEUMWvPlkB59GeVLgAFDTnq7toKBLTHmI2zjB7U7FF8S23jj0fal09SaUMFeuT +jJCFev+QJe5lWVSUpMznB43C4GlKy8D6W7dO6/Dpwv4nZuKpnwWNlM8jaLpMqEH8jSldJ+pS6VO7 +0xwY7l8bIeLzTimtbDJUeteKkoSqozXqP4sFwJsL527kZMHBS0JZ5eWMK/3m4elXZKR554f/j6S9 +CDPnMekuK1eTGVpKLrxVBndsCR29X9MlqiwyX0n89dUsWAPVo09liD5ruuOqyRaOfIeK1uqMc81a +CAFWHs+rHYVmeatYv9I3s9FjGeTx4CmLWkYzlz5tsy0whMNEoJ3f0F9hxdx+cpFErOVitrYvLJP2 +ZqtQ7PHcSHIf/91Qwq8/88AVKs/R66N5cLN0wtUmTXDa4TJhIicRjsITFmSjOTmC0scjMMSpgETZ +dKGxW7eo5t3fVoo2lhkI3M1j35B2d4c78Ulo8NpMO7D6blxgj8PjtYFew42HJnxjIzCdDV9lAGXQ +UIfk54cj6wXy5eshbafoyt+sqDghmHn+p/Vvn68rpUwpdzDPkA7priTnaU4YiUKlYkiGVu6GDuhc +tzfzigDCssajrtdXUrmaRTtl8ehfajb0W8oQtfGyQstcyedbS0igt9mRLAGZDs5jzsL9FjjLo/qK +FU4KY18nLmvVK1Apb17YnIVMgOrpu5a+Vb0hqVCwola4wPXSJ8PY4xce71F0joP487UhJuK0OPcm +q1Io0yyITNyFBDkNHZqkTfRb6RCf9C57Fsx0rLtIyVYbRGx2vbIHEsHtO5a0PM6Xm6sm7y/TbD3Q +D04EEB+o/WXSE8GuTBK6l7L4+K6z9YLz9E6TkxWqPIHeWGJBgC9P+Ab/QDmp2JB7VS400cwOTjKT +hCZ5Fnhm7+HkxIlFz2HyN1V5PGdL9VPpex19+JuKPYNBMKH/xDGpWyDU9CD80TOcWmvQFbyDQg6p +j/NBIlAPULBpygePqyp5cujZtpncV/dNo23NtydrmVT3PlcOG/BqnSToZpPYQr8+CMMxteJRivrx +TJojOzHeVbIUAh6I9WQLoREo1qyz8RL3WZG9hzxVM9OC/CYqdjuQjhdl5p8dh84ilaCmKFih64tz +Pv6iuYbXshmk/3nvfKfP+1Vg6RIA7x5Iq8lkSrdQNnndYqJUrJxS0+EzB7FHMMXwFPzhgD35QXAR +Cl6OMD+AWlpDZQqJ1E52YQJEEUYsJFhN+o+emF4V7q+gzQji/wSOwl0fyvmW1HGl/OFD8tHwFLdy +FgDvy+i2JdTW4MQCBBeD+D09iigqCNa1CsC2Tg11oN1fF1/H6VPT7ZRFe/FW3NLXrk+Qfz0Ou3QK +/8DLWhi13/C+6tYEMPMfNzwAufrjosS6A49KVAtM6y1zhP6OWq6dWWrC8d58IQSaHs6X4M2SCk7/ +k5zOD2Wm7KKYdgMU3uC47KJD0sVnOPU+VcRxUJoiX/a+rnDAoJKxpoUNllA33BF+oiPKJTATTaHL +KP028pDmdda3RMGQCwuTM8+V3yZWQUwWNk+D1TRoq+wT/HKRc1jTaU0YV+mkJ0LtmT6SgCjda2ay +FNHlWtLfL6gS1FjlYdkVZg35lrDjvsw4ViyYTu3pJBkg+IJ/1Xp/YBDtDD0uFUTJMI6w8ZywD8me +0iMujAPlxKG2bkHUo3gmexTm2sUO1IrbeOM5xSMCdcZdzpqnGBPtgGdYx6rqIf3aSLX+dumXbZoZ +Bx/WzGUtBF70naRaHYitY1Txs0Jk1eN6cGPlu/2Y6tGWjBhduyWX3TwQAhZCLoVefH3f1p6RHbzC +h1lZeSa1pKJEwlR6/fx7cTUt48HhaYdaRpkZ+7hTLdiuCiJm7QAs1eSpv1qs+RWVTQ045e5FKMEb ++L2hGeqQK6KSnQeBzgJ/c8FuDRZUTT17FqWQGVcTm+fuS9fBWaBDHy0Vepm+6qnabWjSLz312vnq +frmHlg+ckfPIHoDRDqtr4QQFsfRb2Y/tApxMDS7UFmKCkEtc2aSLPq4rRDGgSHaYUxpwwaJvN9ZQ +jQz70ZeURPcHnv61n5TYkpEhCt4VX85YMIRgy9Zo1XeMLVjsd3vKej1d9PPky7OXCT34ga+UkI3b +APc4JPmAOZvxx6OKTYgATu7q3FT2VF39Bzxh3SCJzL7jcdmvj0Idn5XcMX0/1soR1UU3FHe9colK +dVPZSeyXV3b6zyPtpiPLzeG81Ll+dcyimAFqPOjMubFgUWH2hn/5ImhcijKNpc8yuk3GZiZ0OIWm +1A7AMtJaXxVn8d06YRT6PHUQ6iYeAzRD8BbImEjgZ6p3OnORZ4cbQz8z0bwKYrLesTNPgeeby67g +l9vCraGR66rEvzbKihEOG41CQO38BIpFg0VIgg3RdtfZXCRCyGW5+721Q6EqX4UOZ3fFqnA6M3FL +MRrQFZPIDMPWSrjqj3bZequw/MyEPG1h8++k08BJTlt/4LW65FrXpgkFmM3IxK/MJIKl2maHndB7 +8oG67cBniHunGEzM3iydfU+GB5lemZ0YESBRCQZQNZvBGbDaIrJGn+QTCdX1iIUOy9y1/4CJjGo5 +lC49Nm5LQuUhJmq+Ns/PYoI5jMSzi1qep6HL8dPt+k+q50g80QZuROHSRWdLSONgQN6NBG5rSrqz +YKH4hRJ2O7x/vrXw6qaVhacbknxNPaGoNeMuM1KcNFbUpvtEE9ee9c/0rTW5ibstdp4w1C5WNti+ +D2KuojyF/SQme6lD0emPWyW237eMEnEZjksBiWpjg/oaQAep8HmpUfZPwdXxIugo060P9XW0H/Ou +w2APJrqnqmRuYu1xzD+H9OLORzhkIv5IZmgiHwFVND0nIIqMjWtiWXnMWSBBfZDAvJBI0TgWx8Tf +MHAJhy0qGUyy33e55Uli2mwS5tau5Jos2Aj3NNLM2q5z5p5UiUAdNQZVRyXwqAPUVXJ1dLAb1D13 +Yagbnj53PYE8b6Bf2DSgIDM0x14JeJ6cdSXET8MlwdaU+kdWZ+OgWStx3xinyuvo4g37uH2tc42f +HfHAJ2uAjgiHuFbPtPbHO/YMea5YBJkZ1dZoNgweu2Bk6R4OO8PE5O5RCQsiUyyvmZihVpRZF3YD +dQlQOHEfGXEmbBzh2zK4IeCW0ymdchBu5+3eIZpOo6UMRuZAfj0C0ENDJWBbRYyPqmzQWJzQOeEg +ZMzczav0s0Ndp8iEBGizaog/V42jLNP1LBLVb5eZpH8/qB9D+A2PCZKUm/okepI/fO8nEJ11Lt/C +aZY4cBhYPU6kMEH0ilJw2oMYhzhkqTEWr+zPnPoPGDOv0XlDar/j1tsp+Rt52Ton6XODGmKOL3aZ +Ffx7o5P81LjQhTtSqD7FiRL6EP73xtsPllQNfsEKae9/5UpzFyrbW9GKa6J7VD/ZBbzmwSpmAy7X +IESnO9vMT1cbQQjhi2+pvUhwld3eyTYcuDqlVT+060sXvNOcCwvXxzAaBjattvgOZxGzQCmGu9TY +PC3e5VUBQXzQmntxoWNwQunyy6fw3VPjg2tgnfIeLNmmLyPq+s2UFG2WaVrMKV1fiF0/ggkbYAqD +/EjOQxClHBeUpUmdu8xdqWoMAhEn4G/jt68SiHekrcAih9PmRxRTqscs9reZNVkn0GrkZbXuIAZo +azJ5k2TORcUL8WjxbiYCke0STEtdXcFubC4TDGwQN0tgRS7AZc/iJ2BFontb/JHArd3rA0vBBq0E +yHiv+hxQkjJFxp6zLfS7gd4yW5FS+KM0XQZDh3tTLKSJrU1Sz67hys2ug3SkD6eAMu5ryU+I7fmN +r7Dk3sA+XgkwESzm9VS4YSNAW7oX2ysn+1t/KjKJpuEFvyJqxmnhNrQwtk7JiD12yxC/9IQBZSZ2 +6zK8fTy4gCBl/VzGWFNc3aSeBi87OUMZZS/eUqVxQnBhmfc2Nv9UoyyNYJ/Dy8i0yNoJ0/MyMkUP +z04FL76m+ImPQBG7OgTBinzv4ujmguLhfZY54dz50WNTGY7nL/MZ9Y3kJFExf4oFJtanMRbBfgYN +wUOSN+eZeTJPZSAkUEMzuExPPlp3S+Mnvw5n+s/qmUp6JY2w37RgCs8fIgCQZR7lZRn7G3kz050v +q772ICNzdrgMdbVv9VnJxZOSc9Q0Iu5C1CaXJ48gLcB6qRwmjEUgKTR6KCBm5DiVlz0kSrEaMvlN +cEyTS4kbXp76yO67Z7RmmpsLM5Dt8nxslQekoNTW/p+lblyt2j5mOMCf6bnV70ZruNYVUW/s5jB+ +ww+Epcq9Gd8OBDUf88LcNZnIqcSqT79WEMHLSWhxVtwL2gfAlgOYyK1XvD8BVhvPwlghC9jBZoEj +b22zD5eBR7RucHHQAYJ+IbcP5FXDepcEEofCHPVN6rkh1NOYuXJNxhfCVkLbchmhSLY8y3pnZ99u +L+o6dr8aymxAJGyUYB9d62m8hzo2lIWOrkj0QJn8JM6AahqkhQHy+OxNlp49Y8UPmyAFgQ0lVGF2 +e+NwjmbbS9SjFg1EbCkb40Bcp92ErhRHJEDmvaHbqY+YJsakIGIE+JjkiP32H0R3/7tqXyUaYtxp +3wsfSW5z2NwUr+RhO4FnT6LFhGz1qSeyNloupLAL3F97UK8YtLEID/BatTdU+2GgwaGKRg3wGKxt +/QZl/F9fJSY55Lb0yggr4tEykLNS3Wu1RkRG8jX5ockRyLLyUldcrKkIJQoBvAmm48bltgxoJ9j4 +GKT4eaYys2Ud+oWFELO4UrQZKvphThQwCKQ7val32gM+MXCy9zpSIkyEc4or2CUmRU/Nw/GF84QZ +x9GMQaHRdDvuMY4aJwlJElPpcgN9lYqMJChPAHuIBc6CEsc6qGfQw4Mv6vcuza1pCRv2AOWimTT5 +WN4r8CgBlb9brf363zed8YkGx02uWbkPIChnNpiST/k1owTgZLyLtBImFMvZQ1WytUJJpCPG7E+Y +APCGduTKcyekeTm000NhAs7eDw/FKVwf4tx6oAx/HAepVq4hMZm+dyMcl/aR+BTzMJjC0kTRI7Yi +ZG6gPMF7CllfLMwxunU46eJr11OyZI1I13r1HHLvrrs64hpfl0hw17EL1IsXYeLy4kINAgezBaFK +OvJVur8d/S5v3wCqZxl0N4oRJI0j251PeDK13JmJng8IlrB1cgIKcVWkK0TAsvrYDAM1WevthPoU +7RTHsnX7LYK8TX9zjMcNq6DHCYyYpXfqZh25IyFXCmBbPDHdeoC1Y+s+WkWMwdy2wwPXtl58PhHv +PiTkTpZ1oZnB6c6MB297nk1FYe4PNP89X77NX3PnKsLQVILi6J/9dDXbnf1us3eaC5POLrbZnmG3 +EKqsmRA9c6y/II7GD3TKrDsOwX2zJsDttx7ybwUjvQQKfM5XQO0VqTX68Z9l/ZCIocCGOyzqAn/Q +hQUdO01UbGXxtvkr0fNDU2t/pbYNbXOEu8ACTm62adTckUl45tuCyHjyAjZ9n3QePM0u8GXrwLZR +x4dgzKiTe/qBtSTqKuiHjLk7aJTHD1Vhm0yZNgv+riOeJ5vKs2DjdlO3k6s7WkOjlGxdaqYc9fab +lmMM9j+5LfMDWScOyCsWtvT46iB+t01gwWIbrn+3ShFkxitGN0YjAQ711bmSVN/wmsErXfNwSS+p +t1dHnvsf7cXdMKTbjUso0LW7yeOF2W2ez7Y7KNucgHDekuUkeSPX9ISf3BESdeR4GYgIAk2MHNpp +LjAh2FbRm3hjSIuQ7TFJnbL8ovr3Ujx0eqR6HwTHNHJNlbEwCpF2X5Vh/I+LJG2OpGiqkt4/700e +a2jR2gcQbsXFtPjaZQepEhdIXM6qmCHGzZVEvhaei7602ENcVx7iBmCx/kynEGf1X/ljDcLaC2iQ +IGO7RDOv2UWC2R1BwfrQ05IBUae/AbfLQ7DN1Eyr0R565VTI/i2hMsqE6vECj6tsq90BJ8ltsxco +Ei3ZncYcMtAwA9oaPkBgCqvTTyh0fKuir8CFCbxx5BsyU2BR2Uj07D6PbHm4owaTrty+PmNbR62B +nJbmyCvYKtD6kqg55113IDhcanB5iVC6R8WASq9ZdJ58lbn4jDchYwZkT8uyQsZ0ZY3KzFylpscT +mJWnJE9k2TYZ9upcsWu4bGF1U8KIC7bW9pXRhKND1dp0g7M+T7OmGTyeSPdLAAu2PwKoBGpRkAN0 +vGG+mdVLRtftALuCTGPOmMDOgC2H/mVsHbQvDQ2fM6RJ9FlhWkBl+UXunYwBgdx23hb6n+jm5u1U +2BrsXaFv+5FjP1XS420cPr0/EtayLZwb71DUUOOUNa2dJ0dKEeWBWGvNv6OmJ5hrh2raZHYYzLrD +Tk48PpwoACChQiLXsCDiMyXCYK6NsNFqG4Jhwv+m8CbDivColIpEkcguNXkrHlv2yDqwlABGPXow +KJQjUw7vIPTxf0IdV//NcxL5u6Ni8DArzpH2WgD3e6UPB3/r11H4h4LDgO7+KUlcAgnj1TKw2GVH +4V+G3NJ2Wyvq84O/ITYbLbH0/cbQUrh3J9+dQ6Mj9ghTVei+xYo1IG5l0yd0BzHtsBq2pzL6itRp +PR5JPTeN7r/22q5khF9Dz3ZkUuoVeUkcd1brXdbDPMNLF/BwIuDSGHZNKFhbelrr3J8i1huBZp5A +/eQLgsW+6FvtZyIRi6Xx5+fGVE1yRdc+B7mhMPwLaZ4gGSvMIAuWZyg9ja664PedHp764oJGabPZ +chTbYDTtc7PMf38DaKcr3sKEmCtF2UIZl5Yzk5imdgFnMEG3vLjWTIUn9FHXGfN7uCv+YplpNoxj +VyNNPPjl0VzyyyzuB9dUolR8q5FGKKvizcgCsoKwsLyNMbdoD9KBdaYACrqtLVvFNG2soBgu7Doc +66G4j2LDhUBdR+I0OrSaxUlKpkIS+X/iOh4S6XiJ2esqU7CWVNHwLUcMaKBENorirjKHO6IvYtFE +XvejWVXraduUuoixu4HstYM9esxRNRVcEj5fyO55IfAlbbGBRR1XzcGYLeOdlU2hFjzMWM3NMrX+ +HGBiiLJYd1ENd1e5ni6TwGb79jIx8XWI2cHyPwFhHfHhyAZV7Bif6XHao81DTgInjSN8BMszHoLy +o5+88OVTt10NpLtmiuaF2UuSHA6V1vkk2sb3ZBLWR6ns0k+NvU9DpwULLvwUvxsyOt9XsU/m3hbO +iOO4CEfId5r+hZ3pE3LDiRcFsDzd4JsMDSbFnXreBf6KS4XtvSq0vIKQS9cJSyu7CcF1y8h/AZOf +De+aTQb4Mv1dQBhDnRzuE7AW6EaLWxsoxDV3O+8+s6yDyYoZLVBBnzMDl9Xcurd9mfRvEvZoebSW +aiZrey8drNAplaIUGXpP63gUTI75b7YPQBZEfHOnLAuqOsmnwmcyfmk4XSJlXhjUzrEvlOFgZlYn +9i3HGpUoIx+p+gDh3GbI9jl46E4xAh28KPcfFudOmrqddVz21rva91tr9F7J/T4cniLeY9eX4GV8 +TTwEeB145iH5jEnZIRj8lEWc1UqBkXmuNAkvlquScKXol5OjY5se3SN8+i3aldLUiUMzgf0VSTJU +9G0Lkzar0Ld38LKzK0FVzkT7U6u56wV9v5KanRYxqiHaQahpKFpfBDB/EhNvgCVvnThfj1Jxd6oa +6YauhsiQHbr2uNaNkvsxURdlwozIkD5LLduuqhD7At6zZ4+tX+vEPZotytbCeRrzhj8MCQpMvWjS +VnKKOu8sjPBiIbxUojcr5TmsAOIiD7As+iVKl0RXwwVV2FgrhIX4ouWK2hEw3Eipbl0l0aE2jAEq +ODwg3W3BlfgaLDgy7yjKeYd5+B8MLu6R8oaM3uIkYBpBNZtPEEv06/jhGJ5fS5rYy6SUz5L0gt0J +2uM67TKW5P7sjlt/rWAKZ2MTRqHPVSx4a8yeHtFKFOeyARbKeoxaWxpI6/LQ5QCNQSW7Y0K7NtZ5 +n2OtezXC9NIkPYdIYyNgSbdcbDm0+k54gWjrYStZriVarJShC6yXD4m0Z93qST8tgFqxFH6Snnac +ZcMZGIfpD8tfKJWhcVFV3vNecv8V8XqKqltBIqsI9ZJRXwD/wx5uunCGGO3jOh707DYMm9OZ4jHV +mLF56GElZ/LLBh4saMs5CDTbMkvHNDe9J1airLKQKTN9v7KfhHYZ4ow2LuQh8s7DEuiLuxUMXRjz +i0GrGLtqvKfVBFHuudmgO4lpvM3B9f0sDc3ySms4QxIXWH9QQWmiRTQY2OukEGJRiON71hL3TQvY +WRL2wScP5UCTaexiABe35FJlSqCRlIqn1fx1CGpvRJP3xyeSo493aKrpxZ/FdC+5cLkm8e3ZalIw +du3DkCGQefI6MZDL4I9/Y7ceTzmYTyKTBE7qXcZRWSeIQkNGshDjOottZqnCWSvTyK8IqljCkrQx +wnX4D4Jup8KdOSmSIFTvaycyZp9BeshARSvvPy8EOyVcr7fhIDuJ43T3vQLbLULC+NksqAPhk+JF +yIKqeDERomlx1Xo3gdDu2hDjIpV0Ytn3/v3A98CycgQb8ejHy1WuttfFR6/ZEBLUw87xjFBSvBkj +9soWIFb7pr7wfSSon86PiwoAFatLZ+sVe1oBwRa4LuxQV9U7rmssoeZVU31elWP1uxrKvv68V+De ++4x+LyTWTQF4PU4Y7X0Dg1pM0fbjqOI9ky2ZH9Xcr+33bpGXNAbSoY39fzYAW8S5S8rdlZjMxClM +8DPk1F3qOZpDXC5LxuMxj5KDlxcCVCSyUWA82//08RDx2hcMzyGYLUq2DT3PT0lsaWEWOyXrxmvN +izjQTXqA6m9UW94ANt0flCaXjjORoPNswV/u3NNJTl1JPbKv23UcKc+RBSFtjLHbvbAmF9FatHAx +Yzq0FTELAlI7a3pD/B9v9OGPI5PJHknK5OR51mbMEOJliImnJaqpFDGkM23FLzsg2Bo18/o01PzC +rEoQUcSCeL+Lae/aBv42m/79/T5xNDv2izbBsquiLs1H9dJzcakWXwR0xkEg5nrmf8BiKtpkNzYu +V92XC7XwIr1C5tqI35aK7815YDQQt/E7Nl67JmGsq6sdB2XGJA0wtYAye+d+PFWd+NTlEV5ivxjJ +0ALVn14+JlY1Scp5WAMQ//crejfypjkHSxR+cj8+ub+bOGmNtRPw9HOpqOOOnv5n0csnxkKSDX0/ +syA7JqJwIhqSWvbpoqTpMHjp+6SNMm6DIuCiFN1Tu+3CM1qTMTsfh4tUe1N8FgVbdAK1jQ3ZGxEh +6ng6OOjavryk8UToplWHrCcBME92qUa96h6NejnOFsLpWY3XGWeLS/dupxwul/VIgGplF6oA7uIc +8Z5s7MemDQzwh5xN58nYkkdCwzXMYbXuHTKpQcmNAIx21s6LXowUaVYwILNVtbGPxiFxLklxP2hT ++kvApLRtHrHpIYuCQP1LPjZkTRDVzruKp9KzPgnAt70BM/g5jXR51Wv0Q9SQ//pfBw77TuNraT4g +bWW7D18DwHglync6cfG8XerGeM91O+HRlHDFE+yKGN7x+uv0gEXxDHZze6aZ77ZjbPHQ1BIvb7o/ +oQ73LolDhm7y1I3GIaNIyNxHyA5LWUAQFa3h9dlOXUjMVkCWR+eXfG8hdVaHgQLDorOowYLxu8VT +kHPhBWwtXWrFVh5Bhh3aGqKq2FlR3FF0krg31+YYHFRs2fG9f47N5FirRhLjLUTBRWylXBr7Sk1F +FhX0jjIrD+DM9dBnfhl7yOjhFZPZYhbXdPtTFPEha8Oe8FqrrQfwURjqNpHUdWuGhpB8nsWk6e8e +3ZmIM9ji79cNH6TY6V7U0BC9neB9WCGvZVs4iIvKq6SjZRvVCkMjoXE0s9dZeVuFi/S+jz3Ga9oT +J2QXqnL/QxSjHh9SSWSWaD4FdN4n1jOOTBEWYtbXM2puGdzqwBF7jM4s8jWgD4TsEbBiY/2Hkwrg +dEfBePRt9RvTkd7Wa8dc2LmCH6Z0BHAHDBSc/tI3IkNKC8/PirhKrG8YSTKpHZGt+869gyA3ENiy +YLx/s5IidM4Rc/Y1Y6XUXhpwYs1cCrQ40VKGLNKeqbKcOTJZxyRfVWpI2gthJSx+wRZuV7Mq6Fdo +2GiicJ2VFtmcrBKeLuDVq+KyUYbttrJJ2F+lVKaZjAqgYV1ykWlWMSvw0UoXBmWsoAc8d20b1Q9C +rxY3iSOweU9gZM90HZB7PD4UV7OBhMSogo5cgq/CgMHQGahjgXnkg3mqze9v8ISvKr7OpWz1KfMq +oawvnuucvLpc29SFJWug9eiHkkn2nw7Uh4VuePHAKTbPYbukcB8kO9Oeamfv/latSa3IC+oz8QXL +RhVbcJXwUVZBlZ7DgZbXDQ+Vgrax2UzkdExgEJnv6JEW1kCSAemMaUDsGfkdPYzZenZKg3vReoLx +gMmHhVNXLEBOkS8ALDf7FMWPnvSTdWrJEVtvhI0xJcqczDkpHX0IXRzDnNoNN0rdRH6HuZ3cyxFR ++CSY0we8KMsPC1J6PoUu5BaxtIyMF52Xo6RG5tUiYFobYklrsKLTr3ZRWcS4ywQYLuy+mxv+cxG4 +XyZdMQsqN3m6QrBP9WcJyYPjorWb3FeDQxgcyfRL0ifCxEQR33RARcBOJKcYxqUetc/TuS5e/Ifd +nluf4DR1Uq8eT2w7sdDS+EcTXNt4EpVpGpcbHvJOBl0+Dh7nH3TW3PjglCT8kRZIUgGKjKIcULfy +wZNoZBJivkCjRxRdeBLrxrker1gSqaZiCdZ3LBAAHcPyuWAvoX0zywiGwbt9Q44YrOdW0R1fGlL8 +LjShor1T8hNP8s27czpCCNcTjqT4jy4lHRbjdc33o0B2Qb+AxcsbUAZYj+kmkzT+xhf3pJ0F49fX +rtRVe8YgBGj0+qRnWYyRVT1TYRUg2fTTV58G27uJHdvVCmf7DmfMQkbUhfVi10LChfuFb+xCM6yA +ifyasN+nmxkiGjXWE1bJtQmycURrUUETNNb/b9sJ/0iwKiEPcFdZ2yPmD0Qa2K61twNkHqekPcWn +JBEeDaOPK0KDxtthprCDqQKyp9LroRUzDnvcRc+5fBJgTCQ9tAeR32TuPvC+E/4RmcgqB8qR8E17 +tFVEb37y9TMH07wY6sOxyvGKfMg/+EirUg49j0j4wYoffdFz2RRKO1k+rJ8eqqwkBtjegyeFtkpp +bwqT6fKUnzMxU0BL7TG3FKD7p8gC47yvQZOU1bUOb3yL6uGlcUMgpRnM3tiR5H4PZTpPT+W3JXAh +43X3MNCHWF22wbQqaykKObeJXKP10Ks+CGadN4bk/wWhborDCmW0KA2yrHsOPpM4CHZQ73XW3wI5 +44yQhl/olff3SPF2oKOE/u8p1rByRNJXDLEbEIkj0VvnAam2yLoPRsgKid6WWnYL6EupE6puG4NZ +bEKY1hJ+7kDg9DyQZRrAftqwMDH0zrlpa1w4O4V/moNHcEsx7qnr1FAfCj97zSHF3+XaeJrxufR6 +XJx/N/MYxUi84mNSPl599M2ykOUSh2Q+nGSCczwb3y5sCobrWmfJ8VUZM2aJhBSdY7Ox6cu5JTzO +kP3VqLXRPjDIAUNKc2VaGtnF6Kpvq169t2UJSYtyVvTCqs4QbBg1DPcTLMJl3jre+4+bXvXGyQ5V +cKL68JTbYFcwmbT5K6vG5Le6dl2Xo3UIZYov9I8w+QeHypaKUimU03izzSTydoy0aChs40LbfOzn +k0/YeP6hM7uCESQhVsnFCGHpwSxuLOLufxdBX7ldpcpfVDWcg1X41ByBUn+ZlO3GijE+KTuz0V/S +3atbpnK0pu41r75Zurvs7E0bPLSBRi2el0PQEJnJLlqs5Wgh8oT4R5qgeOVdULLkf+NMBwujyksz ++T0uRi8jq/Ccq9IA5jUA0xW4UOWP+8H/92rfGKTX1m+iVezZUMZ+sqm12h4Eao4UH8fiWI+0u/tc +ceNYwaSVDmORTudan2AnP5RDxV68MXAeLarVaKzxjD1VvFSyFX5jOMqeiSoRXnrnvNsL8dzG+XQ1 +wtbJlH97nxPJOmZkD7qDAB5FWpU2RDzgjhcfcVkPhRhckZaog2hq9B06kCoZfU7MEXRYnrhJ0n7Q +letoVqWHJIg6KVHYRE6ouuCWnBeMwUvcGSvNEZke1mLe/obbqSK3wAGPNi9PATcGL+5El+qn6zQy +2eCx1Vp3Y85avud2yujMY3JE3iwkqSsByDbhaCZnv+WaQ+sbKaNaa4wSAcmot/gK708mP5bSMiJ6 +j3Hkl6ZmooZFmfQKXY35CePkG0cl3LHPIzFBQiLVJBDSqJRhando+52xlYCAHHa/AjlwjWFow2vu +y40QQ9bsSDLRFImw9p3FKLK8OsvLKpL/y99ElLlX7wTfMCACSTxfSM9n+PTDA9YdS+6NRw3oYoSL +3GPVMnL+NVoGTb8OPwMyf5kV0tRgPwIqiTaeJWzFtOkOfKUgbnrdL9V+Y2QOiYdv6en5zH2pYXQE +y0+2I5At67tU4Gk9Vr3b5NCjWfnfvKGv8LMw7GtpsKVOn0WW4iW8oSo4fs5GNDV8axEQOqE7osUx +TAXDfD7a3TysdSI0xX0mBCO9bwQO9MTR0I6BU9nIy5s+zDY5d8C9C9T/UA1CSumSgdiIUED7dN6n +9rYm1G9W3qqeVwgU9fYsLQpL3yKJZirJofBmkRDH6ZG7+XdPFCBLeryU0veZ0bA+mPAb+fwoIXIi +oYRic2fOoHfqS3LIsol94JCNuUrRI5DySatrsiI4uXLmGZVYoJqtS+17bivq+nsvtMzRqTH6C4ST +9nwqR8RwWNkpWnt6rh/iR9NLrVbtId7MeyrJx8J8U2L1GTrlW3TW0Kqux+GA9v2IvV7poOQiBSGq +DuVCdSfyckhF0wQVxutxwfFUWbMLkOmo4dZKwYXKEnafFpq2a9sYuvf1F3g6eMsHtKntI+uIVONG +HMFGmL5NK23SrCC9vpPDElH5oGVoN7rXNchrvq5i4M9O71xLhFpurcWUjCqXZIVR2EWlo3PKNmFx +bVawby2CO8wNW/0aAGN+hjO3V7c6i/SyEQZCGwGZ9jyjGTejkQEmNjd4vY5AEwWPElHiMvQ/Yt5B +EElZRsOxiZXOoLQR+XEsUNXxAyhV0sjuzXxLzereLSmaZ7hKi6lY2HbVGgIsU7mRiJAZ181EBTmI +G6D6r2Ffku7OzWAQl9NF7eVVkVSzrrKGw7Ifj/HL0cJYobndz/fYZ4sLkCnYUmJy0OTagNlfipUc +PAtt96ELCvAI2Kb1vdOeqDqwSsi3l7buJ/H8iWMAlLA8Yr7oho3kVO6pt1gai1B36rHu3Mhe4EvA +91yk1TY8eslx3M4iiXM7lO0Dg7UykhT8dxPj8p3skCNWHEBLORSvO8UAV43pb+G3OUHEuRM1I3u5 +HTFJJChqApj4BkH0zipelxyLtCm24cONSwc9RY7VKJDKsTVeFPqvMpfaZwx1YEmrLIRzl5CciuaB +xGecLEjTVC27oWDaVZhVYWXnUjbATwgGJfs93Q29Y2LkraucrDaz1rtcUTTjXHGaqxc41pg1Tps9 +0Lw9UaQgRhe8i5yCEBJMfp2OS9w/UPg81jekA+DVpStoW1G2penrePXCWavZEy5hXFiP4wNURHFS +xUqrVtKcRiO+IECW+amDjGvbAegSqotCpCLYQkDbB5xR2Qmy2iHzhQwNtcblxfNnvQNXI+KEoKlW +1jjiWk2SLuC7XsTOAn8s1OUrsUlYdzKyDHv9UT+Jifegkf8X89a+8hfT54/dTuwFBn5oiKdNi9tV +ugshilVf2yGSDNccqszmPYiRX1FXRvjQzq62whFDsT0t33F4bHpzkRa1l5hbqBqJjABLmjw4pqdg +woo7viox3Ub0I4VMDMLOfy19u0PriXVp2Idnjs/ZIiauZoPUXLit2XyLr/BlqzwW8h+YAsOCwCKq +HiALH3qiBV1srjPwsN4lhjOFpGIKtS2ip6+3MV6zDpkptWlW851YylbEldRfeM23L6p3fyM1BPmG +kfzFwkUBKLV/XMgYog0yxapx6Zfg77nmldJKaGkiGB9mboT+35YjxNwYwpXvsyMZiLhU8r0/UyIT +qmDIL7Fy51Ho8YidH2pgmwFwT3woR2jciSR742wnO6Gn0vhA2Uxa3a6XRmIrXK4p0QNmh6YwhPO9 ++1ICqwZ8PjkufL4hv4nwWdd1gLC+yeYiOZ33gIqByzoJyb2Yq74kU3qSeNAZUkPhNPajk19lIzom +gHeHA6QRti3WUw2P+jF54PyUahiPrDbYwp8kgyIXb0oXJ+N0Wwoo2zgruTNF26tfFIFMnJ+FGnF3 +4YUyd9AghjVmmEqzls/0nrc+lEzlZV1+BpCtEq6YUTSWX94VCMnp+zA+XwElEeiwKI8pjzgIV5Wq +1JBydzqSryz4iFpcb6pdFqMjoRMa8sfUfoFe2BwMPGAjBtc1Y+JF0D+omUXFKjU+lkgRRCiJcZVd +X5AIBGtBFK0yWV9Zp4E8Qh+W4X5UDGJjWOXad5x5Clay7pYoOIjmvzCoIaPfNaFpi6mtV4N3bS7q +jN5B1Exz7/DV00gOj2FqD0PjkaXJVaTw/DGAW2sfMYcUV8LNuryY38L6pZywAe8BWBsDL395MoEd +8jPpXCqKBGMqNkvlIoSW7MzszAvXQfEX5yuFUypA4p6SJfLe+qFtLirlyvotLqyQtvZw4Nrv4jDZ +WUI4+TJiQCk2DUhMJWNDic1y9u50jsFrBi9viYtewafD9h9Jw3JvhjSuMqoasKerEho6SsQewV4T +27yEMqLRLHg8U6/0fOjKjSwEW4OvfUz9xh6ALY/kJ1q4pBUFXo6nufcMlmQo3eBqEV5uitxVZrvV +glEYcq3abYMAJMYUiyiDFt32k65RBb9rALs0pp7VUEmHRzbQTrSqekHcQTQzCgVqqkntCZqPNkzP +C+s711U70uXDhXa3RGmRDxJvttRSKGVRKSQd4L1xiCuPReo/Ont/p4RLp1pOKjDqXcMwiy3vGRLv +rCaXM21wjZ51zp94EYbyDk5E/yrlKA2buvuH7DULKNRstXWp2Wa8ESVwVPSu5zfdWjFyDK84Nhj9 +RMaGqUiMYRoIZBZTLamlzTfcHJ5LOxnc6VU3PSfJ8QxgL/bokQcsKCDFlISLiiyl/gHneHRrAd/2 +RMfReLhWP/xUh8aNeUtctISCPeIMqukhD7wlCq0jInz220nUmbm+3/W41LzVmiocJC3YB9pQf5CO +B2sIJdFPrwR+FdQW/9+ZAkc5YhfIBK/h0iupKlt3ER05ihss1CluxsNjmovNGEWmKeoNQcpMntEY +7hDkIGoB5UsN1U9/8J6fJ6y4Ufy+4Y/CDj5KbYKXNZhhUzQhaahV2b+AHLKJSafvYr2e2JWCxRYF +pUYZkN8oFGWqAtJVtytLeM4v/2q/al6WLvbzD68/7sd8lj4u4uVTWnnlj0cvuOZuCa5eabC44m2j +k91Q7K1i7JcXkq3BAhahrV1OpEF5mWmsS1/UELHlQeUqKihDGbkFt1vlnhdBy+IEG/bWrN4Gxyab +UiyDVZk20B5V9fPj/e1v9NRQ/fDLYMC4F8CLK+rTrgro2MMLusQ9+HAT8S1vWSFbFf355m+Mqx1D +zrtjGKv3j0sDGagw8uj6Q1vEFd+Ae/5XIynSJmNYb/Gd/K8QhadEJAARqF01YX85GSPWOPAgSAG9 +qMPj8ODn36cnp8Erlb6OrvZJqXQ8qbecZVUZOgHeh+5si9qi051pLLofQ9Y31htbrTjoVGXBYG3j +9uhnbpgfWK6KaBDNArnqThfS6tVUIFxZh9Q7U5KI9EldL2DyI08WAVcF9grkamp3DiJmITmhnuuh +pNQ0pDfipGtfLtO7lZo/6h/qC6MxacRa9psTbT/yJy3Vk5kWv1zkLOyrow/pDix2UwYFzUBAFLFk +iR3y6IQMMMb4ab2DlamVmBu0TSnF+KZT0krTrjBYziVJkauyYNrd3419VxdvUCxAL/cUPFQWJI+r +tt/gCkDJk3fnfFS5x53p2rimOlTntA0jhx+knTDecQQ3E6JDsg3HxCUb2mWtAx7rEfRQhXDoLhFj +JF5Bdg4EeTpD7kLWYp2zuoHoEfgYGHTjxGoLq7i11ZwNcKhPqk1H5uxw9IKP+nio3F8SaNiNL41Y +7KTHHJnWf2EStij1Ev9TxukOHvcnKsLIAroe+X4T3FmFn5Jzq+4gr+6NSV902XZvC7KIkJvfvT5j +VO5L9vjmw2zUYyMXHX4o0lEGgTe/iVIQgWlkC5OgSZEbd17aDFyGE+rTqLikUUa66YFQmSfAMw81 +U+L5DOvzw21iSSgZMUiroP3KFbVLEiHEXeN0ywf+tqz8y4yQAUC/8TRgZKavpGTLmGJu03lXZOgd +XipeYzMpKEvHF/PWcvjbVR/9jsM2hz79HcU078u73UQTsRxvCst3OiSpjUzjKTLgwmGxCoTE/Utg +WOrxhmyL+DNGizLCGY9AnFQYTdsfQFa/QHgmlMiQ7MjX+gQkl1HC8IQU1Tmb12tNAVdocPXMlGk6 +ItR3FSOIZotv9QKM/CkXQnuH++Vhb9pleB6ORf1GuKvysOlJ/dAKCMxS39P4FLDbtAmHeBKLGB+o ++AgdmljrUOV1As2Kg4zIDqodyJc/nva4MR2iSlfLtDd40L8HFtHucRJVQnbX3ySQdQoB72Tmx8ZD +ChhVeaPipTTvmcKKYXbr7AA0Wd5s124sYo13wQ7XNtPAB29IOFax7ne5wDEvSTO12zYNDEEUatF3 +lDOBqEHe15N1X8UdnU5WsCzx0fwyzB4VdTIc+rZuH+VCzqzGA0EbnsFZR+7dMazXYcQcxnPo/FVI +f/sX7AS78fCgInPPPIk0+CQVB8Z6GRSV6wpowRQbFwNmYBy1OXv1zDipGlePoTMaDRWJeAr128h+ +3SbD4EF7/8a7MZdvp9Pr8WNd+M53IU2T+qFU+FE+Oe9UQNBYvg2Q6uC5L4ai93dTrLBe8KXBRS/y +ovWPjNvsvsjPXW+87coZEkhQ8sUQk5/BJHOC58g3G4F5ekO8qzJK0FXEAAacbg0GMJlo6X5w2YaL +OB0giKEgNzhmuv3WCoIEF1Q3jsnDrD47svlwmmDgOOTzfr2kwJdBTv+Fx6wqtjmKwISO/6atxVTk +FqsfTVs1B4HBOdQ4t/uaVJ/21WuYF5H9MWIA+lAjjmWt1UJb7LRtwx4SxIhpW6XRv4S2uH3KoNhO +k77o0yfd7AYPTJ0dTtPgXP3v13fm26EAkWPwrenzHcAvj+uUhk++qhLXAFtDP5X2+ujl23h+WrE4 +qoVULNrKWSoURRGN2r6TDrloZOguaglclpukzdgT5QYdfOG/bPoGto3yB8QPg1UDFRi02i0dC1VK +2pijDg5uIXBlqvV6OcT6DE2C7haRCEudZ0HHLK3fqsFOfXYTK4YcLv9R+eMbsplTsn1pjjVZ5Cwk +4MLPQX0wCmn/PTbLcvJm7adlMtPrzh5+WqFJO4TTwnyHS8stPnBTZ35lt/lEW/WpTPVHdO36w8mQ +FPE7iP6IjyU8AZXgYN6wf2klCB0FHMKJrnz8fLNWlFghgBivkFTZ4xcqG26iO/yaArfkieTG1Zc1 +9pOlXT9IvTHjXiwAVQXifYerjsZ+S4VNbMqW+deFinvf+M4+dgD1KnwN7TXwzPTQU5XfHUrO59XQ +7O3Y35he2z/4cSSNhL+LFVSxFhhSXH0IdW3zrnTxaXggLS4Sqcp6JM5+KTf3OzCzb3Fg/VuW9jdx +8YU0G2+uRPWnSoPmsjZu0ju3Q4cmy9Zt47a/K/lMGImdJVqhDmNXspMmO9IMFFVf0C8rBKF3tZ3z +80eQWw0KeKQXsESYxmi8V+hYi6cTd7Prp+3Oenj/j2uwnOHAOntvMk3+zLFJ0ORXueX+vh7FLFHU +bT98zPF0hGDboE6TH6N8yXzpsOvfu6j3hLOfbZKNg/r4kUNmuhn4POlv4VohLxhrco0w4Zru7BJD +mIQyVrNspu7EmfAE8F9Q7QQjaTMJ/SXrFd/+VHU8iuepNbCEdAPZOfNwdG7XwD/Iw2iWG1HRp82v +QSb0WCMKg9u4C1dcLy/QxGHBZ7H/hLEUQDiyAkpF7kW3/izMH89fMoPvXLPsqT9kEAET/wK/Q6+K +WpshdKkvp/vPKpCmvEhJoCtpWJHLoIQFdCrbNg1zIjsyn1OmlJLXGL97sfntgq+6M3ldZ65hTYNx +7R+yQWfXrocz6PHmvg4TNNGxJ9DZlXlsWAn6b8vkT/jisoZ97D7WqhNVi9V4YZU21p5lgftHXM4p +uVJ4VEfD+jf8Sv5RKLfbbP9TE03e72E5bAs9bRwaRzpixe8wji7rhGf5+DX74vhweftRS1gT/H55 +PWYHNVIxSSB03fu7OxKRPIhQidvKqxj3hLzZqJgUoDw/HpYEny6sK4PXjsrPEmleF9dUhf28WaOE +PHzHcflrcfakEHnTjwaQYDbsW1raNe1Y+ThgJElDRBHGu9XEg16T/x9eFkNeyKPUbBosEX7RPXyx +SCGJNSTOUPHRTLmnev5uALA6LiUbDIMlGou0Q7LlMrGF5KiXrQFYd2GKpjzpyB4cMefCxXRuEyWG +qjPJFjtDn5y8myc7AQjszdhDTFH1aVBQufrDE/loqNyaZCe5hQG6uthY0fi7nD8UgT6woirN3n8j +6diuCaO46nF0BQaPXQQ1w8EbANJ1buAK8L7SCNOOKjO/kJrBhmazeU6YWiD9Esx1DpoDYGP4I+0z +an06/ztUQl62PZMT9z18PLjWoxrAe3sSzti2xTMRtTMd/HNBPRB9+u2PPvakRplqR3bZmJx1v9Ot +8fLbiKKm1ymrgzFB5TGmpkcgy8aqQ7gimabq70+VLDTHxIjqfc5bW3IPhi0i1/XgKJ6OXbRoASgF +lbO41gzN5/QtO5cL8eeAk/fIDyUeV5qaHYvbsSy0aBChVTzRiqtsob7l0+Fj4sgQQ/fqCWl0Nuuq +2MkaJ3jyvmhTlngDz1CPWQV6lEesqGy22LXDS4Fmjc7BZPU0ixDVroZCCqbQPZyjUkhh2agbmSc7 +xGuBysMF53v2NTdnN7HM7FDcAadifhMJPJG/5/5VUNvxrNksBa/kjk2WsLnipfudUolzhXLnKC3/ +1SnTm9G0z54wjv+XCX5YI2iZ41pnfMrMo8E9xXV12DuW4TVnbc8bRWtzqSKZtzvYAtpp1dG+OeHh +0yzvJZ3s21j8WZcysoTla4KV6yKWEi+bE4BjqtgaMevlQ6vcWXiOx/gtZqVtlgaP3cEH54MTrueU +s99P4WFP6w1BlQ2a7f5HyDg6XGCk3QBB/DiwQvin6G06PtM6r7Ib85tPpoyUOMlCYQwCJcOjbY0B +Pni04D7IE2zD+xGnXF/Sz0xGgtasDQF3dU0xE42MIeb5GnXX5HTPA2Nyqf25prYBemS/1bAc1KjK +IElrB3JfRbUp8d664XDk150cy77dSimS1Fd3RNUwl7kRgqzJa6xYOevDmOPTp0+tUMOiS8CdzidC +WJieFjOYEvxS7jdzfNLEIuIiUuDeyrpYkdoGYB6XXMe0S/WEAK3rum43TUQxWI+e/H9Uv7nxYBdj +KxJGCF+uAwzQ25bCWkVxwhL07hYj1ng16DhVu2gJYILb2b4vYiBEoequJasWYAQm9ICCnUZleBCQ +ZQ6lXgqmANlt8LyV/0yGkDDGlKyzOwbCgz3zaffFnYYF2vn67XYH2f2JzdUcrHA8vzXUG+UE+wUa +jbgejmOZ4M3waJbRrK+Deew0J0RRW0Tz/sD5IYFnSrRoJer8jwsLdmT1BiIFh2fsAZjM34EQiMMx +gRDsu14RWBpuPVZ4+pL0O2u9fyyS2qtTiUKdu0jiG5L7abTov0URryasI/y0VubXFTa/kqR6Jtqh +xiSWF0q/LyigpEdN741W1FPJgRelsAmyFIJFqX9EVc//ks/WLZHF5ALQwFaOl8vW5EwAoucLqqNB +3wxno266HBs35S3qoBjSQbAtwds2k8eN6GqHq2QMBN6ZcYiGt+K0X1y5u5gm7V+YxdTTI1+NGX9y +OeooCK7/S5PjqizjUcLOB8nj4am4rf6NMV5+uZ3qZIDsmjsMKuU8qlpQOJQ4wLgSOn6txS/IbZwA +U89FlBwN6HAd9DdavmsAUMK3HA+Sti5fBC5ajz8ICP/qLO9f5tsuKizAKOnMdcnRsUpVSW0QAt88 +z9K7NEowJS5ZAL+U+laJGbgjjspbYR+tUiXEzx5I7c/A0rE+WWwYMMz+yZrJf3ZU9IaQybp3ChHq +5zXPZmuXjXkr5HaVxBl/vl8zhFcBkrmdFEhZ2U7vaSuUsmnk9MJf5XypUzAgS/B2qpJXqObRPWBY +IjlGvZGctC6EKDFhfOcvksl5shgEwAi07fEtYcF/MOhz4FwQ9Tvwv8PsHBnGFkKOgJfNbqnAIEyO +gBO3wQKWaOYCoZz0Yp6pkku7DDYO5Qs3+9B0trWoJDVgGDyptzpgvuGKp3QTh3Z2qa5b0xwrnZVv +RnoPTfrlFuCFlGO5bA7mfnYLfAvwAwRzcXAnsI9ju93BOawj50RCozGs3dCr0icSKcDWX+Eh4vnN +lXZBMqYjq2BrPRpwvqcebghsgiUTTSXSIoq/tCqJQVAkBoybYobsNX2qc/D6+wF/iftfZr4mh+gC +1TS9CuDZ2jCBejlK0o3xfWjyVf8jK8Za7279IUWC+OaPdehqCQOamcTuKcxFtVsVf9aGqicbQFIa +SE1gS/EMG0szU7mrfXwgbcNypiGbumOEkiZWDxx6FJJcZ7/R1A6YRNmswHn/wSTywLdoaMhravV/ +ZXgJhgqlJmZnpuIc4gOqgmg0TprfkLUF9fD/tfGmSbKXYwY4kbcuapCO3dHMHSI77hm9jxoe7BC3 +4YukhaOMpQslPonh0sJN2HN61Z9ZbgK7VDEDJyc7+XShQfXGmKFxLiKRSdQY1LFaWGiiZWdIk+DJ +eUQswYuA8rtG9+PimcguoYemc1SX4Ne70vcui32nvmQKICtX6RZhmS7W6TefMdnZH8a0TFE2A+fB +j4vVX8ZoX4JkKjbzOcAtq+C2mZ3hHqN4vd3evos3OrDARxC2oTFjouclQqot1wPrZL7fLRUdjhBu +kFbL++ojzzVXz9o8pJj9qw2u5kVyPopax2jsOMq1PArZSEF+f2upiO92Qn9f2zgRY0rrkSs5lykU +Z+YND2n6g+S9zFPAjCqVCPP6+KpB6O8JngvXcBRaBmmQA+Gr6HTUePPynO7giQaIU/aK4q3XQK3F +GwyNHAOQkxut7LCP9R66FgkyIIZA7gmv8CleqLe1scrwxldjL0OgIixW+gMf+uJ7b866p6jYRyHw +HpREiYn5W1UrqOmbaOFJvN4KAk0iAOo5mWQcDWKLhLb1Au8SV3Cq63dcJtUmOPfzekeAq1DRe+0g +TdllyHHUnO907XO/AnLPkrhK1VDCBlVQEzTVZsOjFZo9TEU8t8FqofvgxGzkzbGwLX/2LbCi41Ns +AlSLrfI88Ppa99SVXL5LHv/9PfHvXYleB4h7nLJEjFnlNY6kKASnNGWE7mhcJSjISiy+7EGUsPlg +iUFPp7TaPYkaFk87mnjhu0BFa55BgwlzuPT7Btb06F8+51QKPOPZa9fY/k0VSP27wiQH18PmXbgZ +L6ouhpzvcckVtWu/8996w5ZYOQjqCTi8gTI0/QY8hlesgLXwfyt64sV6RY1QKR7po+7MmSOCjVlc +ytVZivJPhNBcWQj/KdPM5DyJxpwdoF/o4P/aj526FLdQpjYYD05P4ZC+ZtWpwjglevBlwDnFdHE/ +E1+h6rHUJUb2gn5jL3U/LR894KnJOz8A+yuuMip8B3jqTXjBdjA2dFD7gKJXoGx+bzbjmfVudKWF +9ETemCjhgmSDf2nNXvCT7AyhDul32AAY4gAgw3yxpK3uhOhf8Q5DV8pohDYbfh7e++sOnADP8Mno +KFfGe6AMoqCXFKRlo+Y7BUGaVLpSEJ8oeAUrm1aBqcaI1lDlkAVBFRGf7eUakKw6y4UhD4+8G96d +WinBP1I/0sU/PT8SpdCS+mXyg5p13byyBYJI/tCPgbn8DdFopZ/IQ7kqtVBIkPe0r9/e34N3eJcQ +OmGUHEuIirmnj265dXtP035W9W4Rz2ngm7GW4pXg+Pnr8EjfXVkiOPxgcvJRZ41Ki56N6c2+BOLF +ZElLesMP+N22NAOzqn4GDV75cvtYDqulVxcz5k72gmvbPuQNXxkMPCAfQRrCaNyfwVy9icGsEkuJ +iVcHtlieFe/d/s55ERC9JNODyjeBcDIc5fyDmL01q9g6CLbRR9GwsdrLMAZKVEtfLVpNLZdY0tPo +020XilUoH9wuXyXkULqLPEPsRkKYnxGu2GCLyKn8eP6k5A6S/ejr/S6/WQE/zC6JuXihkCGxNcb6 +cAe5z/t76KAuEY0Lqrb+ilUwSEwtn4TOHUU+L3fTEARwEuJrukCXjaCpTpR8ftmZCh7cPu4tbu95 +EQJbl/RM75TEJY9mcedF0N9opE2Uc1+IHrpjHxaHpG1+ElCFTg/aUZoyiVXgDgYt1E3r6/nqRUDg +oe4h+iTKAv7PzayLee5qrWc5KyLVYwFG/YZUcZIq2AnXxKPaBFsA6e2VtVgeW++aJE2/BCnhQV8T +RcK6LIwHY4H/24fA4CJaiui9T/xvM5w6NGGsHrFEQETB22i0P4EB3sSH1Sbp4FJumF87p37WxW3v +brUOO78nlcShDCuO8uj+DPGSlpACntO/rwoa83hWW0sVtGe5ClAkvkeryv+TNygRSpCT1z5L/oeW +dpzX78yb9jZcDNivXa9Ts3HmPnYCa2pyRldQi7iaI1CT7E9N5WAJNvkfyK0UKXrjlNear6RW0TXQ +10g/V2gla5PJNb5dqIvsGUL1JFSKIvW3KxCulUaJirAbQxyd9UbvH3BcNpkPTcxKWr2IRNRIawNL +AmkNfu0dS9MKenTRvl7wmH2k5c89sOO75xNr7/E8pUD3jJVTE33vD4SK2a4MrYehyOrhJObfXxw+ +u4B5ZImvYi2PFAZOrXBzdMZpMd3qlkvb1+NKYt+cCDk6+WSri0JRw3wZjmELh5GuabV6HTYGPqOS +kFq9HGKF1IpwRVjoGi5O5VfCFoaA/wjTb9wOCFD5+6IrWsb84DNgc6LMRkUdJLACz5+m4lz6yOrp +FgQsxF029zlgepT4sOvYK+tWm6CcRe6YI6CdO7Q4/9GHPKq/C0KkXqXIOwU8NPyyT9ZxPtsev7xA +2pY7Pg1VOBfHjb0KN4i4Isd2cHVkYeeRLz5mOGR0n200Vz3lKGKbxCCqSBgAPaaphe9Tin1xTIq/ +nBq1/eC1bhh+XnFHcqQoHJbhz5a5TIu/bPQ24+a5smIexai2/RoPPlajm3o8v6ztZKdKMr+dr9ta +LLZqVfsSAmVMUBAnLkJPt7dIH06vOnNpH/taBO99MS9e2SX/CXSJeSTYvTs14ARcltOyRbqFJ3RE +flU8sBPOnNNpXy/wVkXpV69IKy2JcVMQgSdr4L5QwI7xSrYtUWTGgx4e7y1p9DSlm1NUpNkO7OzU +QV7NiQxe9XLR8gI4GW8ya2NjLHNb56n9Sh4VooL7fBIlOZAtesulYEaWf1DyOUtT2WLbrMoMfpLJ +bshmRSsOZFR1otqQAIXElNu+YejDZ2jUKhkW/9crkdXzQJMwHhiC9H3w61u8LJ6ro59ghCxwXW98 +n0gDRVOP0DYKpERnv9ytRa0q01A+3oHx9KCPbCPdj7NOXBKdJZG1yF9cdlFs7YIxmTweOS9RW6C3 +1dBRn4B42BCfgUqv3D8YBoWQTZQIudc9N5kR/DvlUBRWhjSkZS4HY7k3zcE5z+29fV2F5K7s6udy +lJKDokYIGkddUWr39WGRyOKWI5udv1Oe6gHxrRJSYVGcy1JHI4qkoMLc9OytIPLj5kHSydXdrleh +R4WpG2usNtt8FX4tCM0N7/6b1/k6Iyi0klK83cwfgKfrrLm7PXdrtfUw0wKMY/5HjR9xgLBoeFp4 +wG681P2wJOGIRN585JO8nEaQGyq7Uu4HGqsY6Bv7OQwYA0szDk44nyXOsIHpvxJJd0WU1xhbY9gM +Zmr40hubnZ1C55xKJ4fDyc7W6B/NQpdTv8DT7jpqcXib+OX9eUqdU0/aNyUy4MzHwWaL6CW880+O +6/4t+RxF821oiOPNUPMlUzZ9h+ElXnqqcY+7EETl116mXgb/US+Fw6aRnTcqcgFTG2D4uX0/3QhS +9NK+lyEQirM7vCKPqLDXiyUUZNsZ544nOrXLLRh3UIq4p+2vlsK2Ufv4ru49sFw5yA9FGu0f/9o2 +ZkF4Jmih8VSr+TCPQOCE2bGQI9URlfH1kaXukBBWjG8SVYFb9YYsQipKz42d8zdj/aadB/6JqCpN +fyKEZyZkdn9Idu/soJXXQ5jO5kuSzkQjJAZfTmlTztrjSJoOIh55YUWsQ2JnscTMiUMHbHmCWg5f +giCUTW57U8gUq4u//Jj5DAu5hPwyBi9zeXPgJuyGiYQO1SnpjETQo6M62MGZV4M0qzN7+7IFZkwm +tIE0gTsYaSA1PZ1ujgqi4vo5xNRRbrVLkiT31m8BhRm9WQKHghXabdvQ4o3tURtiXVmXzSYAVftt +kHymBj/hj80SODhda/V/jJXEuGeJ+eQprXvU4Ft76YU5/FdSywudSRIGMOPrL51dECqDI3YqQh2N +hgTvO08qFX5ZrdXOjffIualg2HJ46Md3/MItzjtwDp+4A1v9Md1OasSIR+gSPEYkgja131/VecOQ +KWDfZ2goDhKBQM/XU7AiTI3lE3IZHKkbAOgkhtcAlRp3dUbq7/Vb9HDR3xcLPwhkb7xEr/vzGRjz +LPBXYY9Gp2aufeiFJRtddodvDGFJafMiBhJ50jd+NX5gvlw11DD+T/rzXtSno1YpFgQ2SJxbh+px +rbyG9WLOHDNa/5LpMkIdrQmURQRL/Oju6gWyOKcmJIvwJcBu62gaPcXPpQSem+CxUieMU3ly8Syj +qDci4Uc1iQAPZnsPALaVMUXh+ZGMWz+nBNy+NCFcO05WC6dBFy8YJn24QZVN9zcMNxzy9ur4HsvV +gAnDPE1QEWtqC7VEvi+g2mgOB3XJisp1y/V314/6u6RV5fIFsct5OlYbCnaS9plCDCAfPvBhe3Pd +O0oIniz49ocR70xlv5iRGVqy3xpe1YbDTuiCuFFfFVBKCZSoxHjdI6ZcQf3pYoFOXJbee7qZnXOW +dXRDRf2Uok9kmG+SGk9ytFAjSM3/wEzbvPBVKJGgrTvtpmqsbKbXKWOeUdad2gixhQc2DK8FIdop +IzjP40RkoyjPDEVQOITv+5mSgl7wm3VJD5sEC0WCHuXea48AahBB+V3C9KzDqR+vitHApHh+i468 +WxWUYCACBKMJPaqc/9ExHsnnEl+xyQqJtyPOVyEIGCbz5YFp5QmprjoxNaGxD9cI0Y3Cj2mnqbgp +GI6ZKVsmaLq9Id9odbEErgtEKpkqSrdjdk3Xxg5L+fA5NYSBwuqTscrlaUel9gx4f3GngX8dq+nC +buKN2rcK77FE13e85uiyAa39IhRRATzi6/0dWByJSC54ebllMiUU1Ao7JWkXNX6lHw+047Z7ockw +W195PDq912mAqNYRPoEoxAmygS+9tCMPz3DNZZByp32b6NXyqaPq35xK+YYZnxzmngufZ/ZCKf4m +Y1AOKASlsqyIou3aszF9oFvN/w4euPELocpPNLJXuOyrrrc3LOgivdZzDMLJpRdaN8vMLstBMKNt +0mrJhmL/RhFp81anEWssUr2PtI7OGAEQYJo//9s7eFMfNT1u2D2YUc4319F+dvbqwTNY4InGni40 +WFvmN/84izlVu8ZgStL2WVWtoyYPp3zQvFNJRlNDXDBwLOjdEScUSiVEdKPb12BjPy5PxZq0yBoG +RRIyycJES11GJaZxktW/Fj97yXShUaZ2Nh3wGSY5XRntS543LEE/VdWftVpEk893q1d50RUsCWv+ +u08o3eiAzyG+Rah24PcgB/ykbfQzXNkr54x5MN2+8v4VXaLdJByv9ixp+WADlhnv9uttOk9YeBMs +JaN8epA/0/0pP6Yka0tdcBRhDpb4hGZAKqKFLduEZijPHB15AMndrRkXDR7GF25iFJNf8Ra0evis +LfxRBr2Le++dBfMWpp4Yask67z7lx+IeGmancwWBv8W4AtyhXtMAafZy2U1rIebSqJOZJloc9FDd +Bs8NwFlZqloGTL7ngEGoR8nbtBtv4cwKf19W3kfKYcahr9YbZVWA5SAmcVXeBxyWXk3Rra/BdHpi +QteNOUrinBe5dco1uG8MyVBVCA8kaEnE+CYpHPlA0vorNl4ycGXaERgjVyxEaFt+X2ND2M+LGAR9 +GkAaqYOhbN1JJFm25YIhnqLhG0lgjksF7mg0VVMD62dRrWgx+XqJ4CnshCMGGS5uwmUKfAFQH4no +JIo6oM4XGlBwuKIIF/NczX3Z23hfvcp0KGM/efUMIHGaSeIPD+IZFEtu71HSFLkHz+m0Q1jTBl/m +qIWwqzGkRbldNnxaRsR8cojFJZC96YnS/gR3VnMHAlxbU9Yj68Zg2WzRo6yHlJ3299YGHDdcYHIA +dlNmv5l4VGxuvomt9mMcKeunolJm7ISk4gUnwV4FjEi+CIoky1gVdm4dDJFIMwC4PH4jc53Wn/Hn +6SWQNG4VJc+LC3U7j0XSPNwMW93vGmry/mF0UoggHx3SYtDI/1mR+ceJ5GKi0DY4xp6zh4LZCj4B +8+mCnRtAVjg7sOkOXLAlhBwFIsGx2NJkX0M9dfVYzg5UstTJZ1xdpT5T51gOdnAOpfVf9aeeG+aT +m5X+o8oFQEz6LpNH6g3Me2MeKiU19UnrZkWr+f9q+vbz4y3jnQQDXE5yiPSTTKS0gMKqi2KqJoUV +NRkBl5oeiyf7/sykCR4Rhoo1A6jCSJVldESw3R+KuXRlcRddszYeFBSrmErycRNo4bQPT7FWR9V3 ++Hl9zJ9Bz0YjsjSl3LEMSq4Hlcbh/P2Fhyl6RTVveZzUGIli7CAz5iLdz3b282yVL+fmZ0joOpID +FrlPvJCu6fKRIQ/T1f6eXKyljr3Q9NrZOvlUrMxXO5ijixOt0P6tHgLrkULde2gnZyXM5/H+Ao7y +5T0We6pGiiBdJUD+Emvuf3Xxzeafs9wELzNkqWLMoZFKM5mHheHu0TTq9LxA2LCETqhksPTLo6Uj +RwPDvHCkMX7sPL/tf3KQUYVZKq7MHyx+1Vj8/0I6wtyHqOOrVMAVGamevjnQBLCqkK2qOXq9ohIx +hS+tnDDH4/zLJ24wX9yaGZnGernctbCczr9JANP/TCOBIrUIjhGYpejt9ahDQEIdoS1dq3wPmyIE +3dIQTaog7mV4fOltLP13ge/SbpbQXVLMhiWJ1uX8zlshSvqox4ZT1I48kpnu1y7qXL0j5OozBZW6 +9Wu8RdXCtyTEaeybNLuPv4bOWC+5ZNepX8MQvLCa310S8LzYYRwp2vFj3i5UzRsG7OSr6IzXeaKp +fNSOf+0L1ZwFJjxuzUp0dZNXRzM/CrVCox7d1VPhDX1Fx3Lfx9NRNrlpUnQOgPZcxWbtCh5LvoLT +8xHVEzN1apYnspOu1ee1sB4+vlzuw2cJTmzZO3pQ6Wsd9q1+O82+Wtn7To+h0ZVZCv3+nvQ+2R/5 +QrHrqTxy1/H7ntk6g+E+YF/PRFUH8lPxD/HQB6TpNg/lhuuNFIbhH37oQTme947yhFhb4DCZss8N +6m3S3ufwrof+1npOU5MGLickfNqaJMqIARjE0oQBPT9HbG9E9Jk0/DQyxQq17XXAHVwYeKDOcoZ+ +gj0DUV1c8o50q0MQQPjZL5oH0o8VWos0GrzgI+QoYZjqi0gHtHbXBd7rNTufHxtt+15zPm6AJ2kD +5wsOnpCshljlV9q4VkOF2JdhQt7ISE7nScLzTyatM3Z08yqLfkcFzDp8on1kC6+0mX/0u86pNwuj +hEqNklCZxtby98/0UgGn5SrcMyYQW4snBG760J/paZ+I8dRP3lRT8/svKlfpJgPu5NkkLPcglEOy +/L569kUqxuK1UF+5RYOupW7loWHTWYHnOU4ChpUdoDu/ioyaRoSnDeLjOk9cQX4H3+fUzOXeo6to +GAKBMPvEz54Yfq59CRJupa4ypVtfatIZ2QmMjtyZJ7hOOQg51HDTBH7zue3Mv31AHJdf9037OOJ7 +7HuDFxEL49fYYsk8Lws3qqDpsxF3XNjgH5nHIok6ixqhVBBDKo7iFh8l6HmQXR958fSFnwpRvNdT +ZSjGyB041vTKjxefvop34XIshVNqLQqH5YNa4yFkTLXAMNnMJ7f1EBx0hukF0NrY0BGaDwOxt/Tz +k8APvx7OSGD3ivCt5aROVq5kI/ZbToTYxTwCpyiyc+jEyc43XQsEpKhYb5NLDKW4XR95Hhh2Vnqn +C3XRvqzShBD3wjs9a7SJlJRN2I2G0amAF0sokQUsbLg/XLtUlOZ2uMBM8DNJmhvF7n2mdAEHgOzl +5HHxTHpEtF9a3s90uquzuljwoJzibTgRsCOfi9bdjm/BX79GTYyCuDeNx+z05rGHe6rar+6Fy8vG +IiMo+xFIIaB88KXk2JqiPjRzsD6FZ2Ia+sbg9lX4mKO3o0QDhXZHwJ8c+b0ibNflk9JpHlKEp7w+ +6Rwh42n8cT0qgxHj+ynB2sU6or0sYLQNX+8EWmlABD8//IzwxJamtmWH7fD4XFVptIrjhepbQcAx +ohgDLEw+ehsJLDD4wRUNclVrS1muJBuY5BsZqXTwUjz3wrcwLMDKDtjrP0XHObBjIHz/MAl6atGA +GV6zDiMdMNUunE+sGjbHw8fJ/p6mbwBtgquvV30fIjvvdsYb3rikqy1hBXvVYiUAgl+hnvs7jxQq +/Gmf0BTBzarEm0tR+Y0anQRHsdvaWbOxSWZ6VzDgWxlm9mW3n/oeBeH01GLCapuJ9/7AjsbQ1LWK +SIr0ZT2xq9KLdm3t0otZ7Da8oKHF1xAFJ6ldAoROdJnWVT2XaRW9L8/d9qjlTxmZoiGKmFGvfuIZ +XSsHKI/j6Rh8Qbbaq8oTETv1vp4iWdz0xNjNE2Eic4naBEvTsCiLcxIJOL3G7eT0MfJ5RRfjydys +6K/sSYQA1JLgeI4o/iGT0nfljHxed7OUUUztmpx+XlaR7pw6JjhoRw43f0Ui+SYx5z1pRAPKPhVB +BFFa0fTJ93PLZOCloN/aPeELBsHGzoY5YNPTnze13VVnolXqEDfa+C+APoxOrfX5nVTumDKOEiuB +gseoIDCpaQBEpGtFzE/k9/vYld84Tv97+w7vHC20nw704B30DOzRvseZTuZ5kJsiGInGTczSNMTv +yhRdXeWtES2dZ79TF1TI1tUBvJdZjAdTu06daQSO+hNo1ELX8HiLwBsJBdrP2MgMSAfKi1HcXA95 +W81rg4YtLD8lCSJwZzFuty9Y2MIOImmXPfGPA1VoNjGSUTRoo08dRp2dONMiWxWkK10njD5e/pKD +N4F18fchG4gmDX9KLKQdZIPhqtmrR1jnApAH3Hq6WobftOQ5J60KCWcG6klsnkCPWDEKsjBPStHD +ueX4AknTp+/L0k397gUTkEYQpcVqWrSZ6op3Ln+Q80LkoviD4+y9B2JKy39knN8cYFiEhzR4DBCK +3WZQRdvvTdREd3EWKcqeJBJjwqOlQ41czymtM4riKNWn4gG7vO3F7YUYXCdZH9l1xzEeu9atW9AQ +z8Nr1up64o/hePUEcqcDYB8Gekwgl6+CmDP6QZMcPjjhNB1j20E16egH+Bw3/H8IV+bRF0xk2a3b +muFZ7jGdJ7BcS0uhWaKDpSZSdLs8sTeL8uiOqYoI1Itr5pbTa/uXl4zSX++rarMqkySLj3CkVMzY +fl7GfgkfPCZwy2VSQoEPIiwT4ED6nAgYwySBvnAX+Xp5jDpn2ax6zAhnEqMLAnRXLQieF/4RfsmU +qsOOqHTZ7WXfteFNxuk7Hsej88XdIxc2MVg35TNOtck7qUV6DgPhV+Ith+qmXwjTS2C9idWE+393 +qm+wUKoAReuTpvZ5TMfHCK81fcmYLj4owqaw9/PQlUIeVuoiHYrNNUJm90kU7TVFfom87xEIyr/d +eZyo654BURZWq2KTASlQ65LyzjIY2qqx80YhNUoUdnCwUdvucTrhMubkq2u2vSnBaP7RTOt3N6fM +/YNpadC6AYk5MADZqbFs3Gse1hEsKBXob5m/VNhXcT+bQX3NvFHjWtdrrjInoEFdtGJATPZUrCiz +oeyQY0BtfYtQj6+xkTUVAMmfPEURJf2yiXxhuy1r+sXiN+rei7WeT4Fz7M3BLk7jNmq9YdTAZdJE +zbFLnMU5mfyaMb9tugqQr7ivzKfk7noBCZYltjqJc0U3fG1IF00rymd2GPJgIhyU0UjO9ljCZYGk +YsTCEMQv7yeB7a/KofDVlUeaTtfdKPuIgb26IFyMTfjnLrjrL5pEKRwreVqbu1JUyZqAeZknLbQS +6m/KzcI5YHczf34IC4oCr+qfgiE6jKcXYKZsbQDG/mNWuwikYBFIsPBEs6Y+/z3/zyy+ptSLOY0b +yzinGWFAceJpbsL4evEHArsrLVFpgb1dQZol4IeJ2iMhJW0QEkwqB+OwKOLdKK9rvAGdnXRZKg5J +gV1u8+ia6oivugiw2qNJe9V0+AAFtur1bAp5X4sAzpj5hxJr6roN+GeNWLWVcQVeD6bdPS9lMTFz +4GbTZ5x8o2eKRNZq1vdKWZ/pMrTd8mnW+Z2oQHWp2c45bVP11tliwnUKyKydaBsluz/NPnrvd3yN +TTuADmvq1f/ZnhFfDWCy8MWrhBCHcNTPJtNMEFrzmp79zln8qLODiqOsEHd5SJajDxKJ9wteBMZS +4sPDmZ3lRdIOwNY/Ki+e0S3we6UaG9IXP331J0INDDcB5zni89WL+MW8+jWo52nT9BIpVtqRu0Rv +yBjuD3RNotH8GzyyN0QFSiSNHNN9UoFCaDEZx6OfRJjhfm5UixaqXqYGxfg0ZFQPQhuAw42TJajm +ctsvxgP0GSlOc6lWMzfa18iAm4KoXFfy46N7fii7Nn6VaSqSpIGt3D1BbHldSSbuv+Tm5BwVdrdD +Q2LbdbR5l/g8mCAmqKoIa+mntpT6z1aI7J/jxCSVJKSA7X+1A+4Vb1w4qcjSsornhNrdkpE5/G8i +7St+B6mJRWY6nxDn1W17a5VYZo1qEX3ln2NU7Q8GQcd0XN+M/E5/H3fcnj/YrNUYMzTV8iZX+xCL +X5ngFpTbstC2XqfPbIE6TkvEta8A7Xuh9/iWjq16G4+CEoWnWklxNDxFwVzpocVp/9n5QOMvbhWq +RrUZRBzPuafARfdMcs0w7I169FCIYyKC6KXpIzwgYd96htaTr85cwXjJEvJtUBJ/hAy9XkTDScSp +IJyZcL8zuKaCziQZs0yvIK40IY8cYXBjdNwp41WMCo9KvPNsoI9NCI3/wPihhGJQ9ads4EflMsKZ +uHUvLqTQo0qoLcB2RHWVy2WsOflmvAmbFsrPssGUpT/vwLeaOuekjhms5W4ysy0eJtdnpxE6beGS +AQE5s0q+j+4GhOd9ihD7KO4xPu4XnJMqIew4kA5TIikyuwwsqSmw8BQrFAB/OWBA8jfjm5JGCQg8 +mlF2yL3zVHapDnCxmKmT9YVe/TkaVK9kGucrPRTY+ZMFFZ8NJUtmQhz9QGW1ZEyvf6AJxo5OWNC6 +BmHJXwn7McUDpqhkPwlp0foBQ1uH+FhjSrmzuZtwyT5mFhHG/e4PKcepSZoO5TM7P1vF68t8xp39 +AY2kHNG+6UhSKYfkxVtwV6FjB/nnYSRKtauyzzVpyPXXorXh2shrQN7kc6jHUyPifyD5zIwVD1yy +ld+e1D4UXFGER1WE2yRMFog7waoY8aLlzyb+B1VoyjxMG3ymVyAggftaMtIhoQjnYdCs2xotqUao +tL7wrKNs+dY/wM+xPuFK5S1fFAZY5fwGIJ9OIW8ltufA3ELr6FgG0wsHEn9uDr4QvZKsiN62q48c +vY+hc3CdcRFxwu+rF99FLcVM8LBVZQOI1HM68prOjWpxeOtsxlEkpOxvudzq653IwieruW569EBk +Ku3/Gmcf33VhwCGYRLGyHp3V+mp6eNg8+zHxausbj2FiXmbCvXhZC51lqHMhaoXmeheo0w+K2bt4 +cX3YZ+G0QHrycFCiVEMp95mVvtqVbQKNAaq5y0qGF6Ax7Jj4DjM5vCKDOtaRvtxlwS9gHP8zi07r +BNdT4NE3rwiLIjpDrXfCodXojG5/GhqzggzC0MAugJ6hgBqOnZEoROQ0gQeAY8S3ZDwnl5xAb2Q7 ++lxGBZYSCKpMAxafP9YB8IHid1CHkHJVAM9LK2I7epIHBuhVlKh5eiZsxXu4gxj2d9o6tYBXCrws +K2x3WmTZqmP2uoW6WhqSE0DQ/J1ZvqZm23+uoFoxmZphK9WfJK44AV0IPTp6g/tDqT4nbG/SATKT +fLddLDzLKmUIvaAAOBdGLGEI3x7AyjyeAH2Rz/NovUeeA8KodpKHQ3kUwL/loDeEcBrAmwBhzb7o +RpJoStVAFIa14ZkzgkscsT/o/p7wXJ4FTuer04kmDvcHt4vMRYYtqHyyUmmtsE/OzHcSELKZ5af3 +fGusPswnTjyhNZMpLrqoqoVHGk2ug8DdAwFCpCDU+Rw6S0vUvIYt+cFsMqEJ6CZH9lDuAfLod3pQ +4D19Fr7EPPvs+R0aQKCNDIoWBIfUvvlJmz3VtqiwmlOioVibmEUwyajV522M/v0czOf1ETi5IfG3 +VXhfJBWOceImg0zw+7tgGOsj0pCul6iHu1tzlWuLNc5kzUA3USYdhbe1mZjsaY9U7Wk1W39IdpbW +xByrqsUYVu4L4wDWRaLCqbk9Up+BAM3Ws/7kM7EtWpZoplGSBZPFE2qgRxWf2mzcfFQmVCmzLAu4 +0cvMlnp5F57r6cFOvDbm2jndwFPmKAOlNAtQsalKWfp5rMvPongnHMyFrnZUrhqFSrMkWpmW8HOm +QHD/YokCpVc564C0Rh5Bu8PEPchZGXtr+cMdoj1MytGxQKIi9D45zdbnOlRL9+tBYP2kP1OQ1nDB +fnZYEdCzCxHievqL8JecdQ3Jt/p03Sz+6MNltK/IFYWtv7tFRQJj+vPGzK1hTvIR+dWkf3hH9iLP +o/ZeeqDAe8Dq4RyORs4t6XkWwjIuDm6YNzFptkNlWwLZiZ9pdzkYM3zd8cSAaoM+pa+PVbqEV6Xj +I4vLDkyrngnu7xYQjDELFJNjnaZQlUEz14gBT56nCvEQojbOPdyiK7A5qjhIBE44l8L29GQv5J8B +/dnZrn+WrmJCzrmxnB61zJJVDDuaQQxB5iQFrm2QnOoqQYKJvshZB0zNvIkccVz88wXQJoCU4uH+ +7i5aNaQ1dbzpgmPQDXlYOkaSr+F9vQIUFZnC0Fsd082JKzTwGqeaCYnVlywCXj462hwCRXmLs+ms +7W36QsHunGZZVo4iujlATLn3q7tH1+PtpfIZTAu+g6UnmattVQbzTIchIf9F1cJNMa9EtdWUHyZv +HwmNmxvVVGdhdRwhaSLyRqFql7H353aPbglD6fNZUKV3VFODxXdaCg7IJvrsaYrw0oZxh/7hL4GT +YCP5O2g5knUAROKEkzDj3wExt6Rl/334YnLUDzI9jkC20oPXdJJV8wBmJb0/dkFyrVuoGbxjVokJ +paG+9XGFpeEwNqsQVWlNuOKce/lH4bqNK0QkkJ39ECEJzZ7w9pPER558Btd+dxxWanoZy6p5VNM4 +CToDnHphrvTTTDUhntZ8SuNsHwXO6ZnnoD+NmDD7FAfF6/deXbNSVT1hv0jqCiPux+Cp/wpkbsgE +YU8UA4trZK+VeBhkmuDwPdqdgX4ujp4/vGOcuAiIzIq+8EYTb7pa/IoapJn8knTwaW4tWT5kJhyj +991NX8ys67OCjsJa4pksjoE7A8ib8i8IHC8Jb1vl2z2ML/HILnVg9WsBnWQrc+CcUgyoAA+riXvQ +Ivc9F3oup0oa6Wz5+eOYMZPRsknbbS5lBJfRapfcMmJoqeOF3Lj9zWlVpTtYID871tEA3mksgsXB +5Z8XJPOBjbtynRWjlgc5sGiOM2cs/TcXl73mNL+xWEQgJeQb1aSeW5reqP0x4qyOLEnVTsaKNnBs +Ls7XUO2izkz1JN7nR143js3/7TUNf99kwjmBwjC7YzUSb3AEyNUbExLAav0vYH2l6BZxN48OZSA+ +4tlA+XsYPHauQp4uVP4/CvgsGPC97Nk0Me0Oj1797aC10r51W0kk9m22oKvT5a9qODO0ANIgxnZ0 +t/mh2CsdEkhI7ejNSv8R6ayaVcEJeuVQGoFVYc6bhPC8T4VMAq77STcsvl/nbe+inCEA2MTOVfoz +b2aJoULwcF8Irz6OJbCWge3/OeXrikxnD8nFk2gzKPsjzan0QB7HM7D3/F+6cVlOU9HZUThsbGC+ +n/jlG+Dce6edAki8x4MJ/gp4A98K61SDWbHo4PLl5KB0tgJ7vGKVBYx/3GlZo4LPcPyw2yTUWndL +m2cXjvCjaXBdQghwbqK7pTUNH+msNYfR33GM2m1k9JGWUQSyIfEDlpuPqIQJdvVdjG54H/V9VjTG +VOD4cz8MqKlAdDqi0JsCRsnZpYFK/r0oSurCR3a5r8Lj+uoorihmBr1k+zIY34KUM1uOyRmGm3SX +2moSeua54ysmws+VPBMLeUFUjdJ0ut+u9kvMcoQyObCb6XOcE5TdKS004GQ6stZP/qARJgAvDt4o +eMrb7mOKfe2TfM3yxU5Ekknm1njjs1BvwoY2yUytFuvBoYtmcPJvaRKZndf0e4NkEx08Qsv2JjD6 +RaM+9+ehkV4SynbgQE6VRqfl9hfwINOI3f1jUZ7eFkL00Q4zG2qfTO+MyF/WwT93VUTvB6848fbu +NfxfT2tCtfSDxf1f50YxVHsWKzmHcRRG0uf7UZVBcYGHEBU7tj7/0Gdho74h/MyherUy7V2/TUEq +3Sio85Wk/vj6HJhHG4G5iqvY9VazatNJjNTj+5sD/5lkk4+cXcHZtYwhk9ARwMFG+mELqgvXrBNz +eVjjBCIbIxZFZp0JGp3qGTEl8slcLlxYS0A1E2TEmNesO8LAtWMOI2vt4R3DRek0BgrlrBnWbrUl +RlvOZ5R9izgXLfDfHBB0JUG1iN4pp4khD5zJTiL5s33ZgfuNxhyNwg0yO5VISxAJwaIFMwLB890l +5GclE1QJKuHxGYhzmFwLC2+0NfNiHgY0vzic/uybIRMfuEPlmMIhmJ4fVGkw7e0dIJ+4WsK/IEN0 +yn8wbp/hIqM1zAlnFDPhfPX+J+du+sCJ0UOVNQd1qWJBlswS7Ysm6IfMsmfEfFn/tuHHNg95KWgU +hD1WHTjXFyF0aZh+GCbGbORaVldj+ribX8EMKekYjBrBZoKEl49dVgMkB4vLHChjScMdcfB7Hhm9 +jM3W/8Z2bb9CtR7Q5MzzInCuOvXrgH9ODKfd9R4keX7aXncS7wbhF1KovJKRH4JAX/+e9fkvfUsK +4jWVX2yfJjLE0gTi9O8Sh3huugMqvJtjVZoRfiBdSsY267Y6hKHH0qf1xoWrdlFjO0jyrxG2ctYa +6nIpBmmLhKl4vdj1ECIUiM58UidAcOk3RhpMXGxHubYL+/205ahOjL4/77hGPk59iqnZpzLrBnmY +n4q/LAVbcQyez9POl7DIGl4fqm/Ww2LMtMoXbTjMY/2gyfiY113D2aZciKH2VbPUQSywkLJEbl5q +6TFs7LJNIhwOs5xXi1uyuFOIZ1f5VcgIotFAMkGHrdEdg1BNRmOYxcBALCERK7mPg8jh8M4N2Hth +54oFME3+jUZuv8lxFnPdpnC9ZHaojuj7F+XfdipaDt5iiGCnkpw5FJM+yT/vHtRYSBHzomlNKlJs +o1etRQ8keKdn9NdJwB6i2EuowwRvFWjjOdoVSDGujiWHJ2MQuLMhpSQLyfOBOMqE5+gJ+iM6z1Oa +PjmjrRXOpFynpMb/lpT1CbOXXUMNynHXzxXrxkPSq0MkpsGQZW8GlwoKdra4GkWsMnnip9TpRWVQ +QNOipSHCUPIgjx4SEIWi7InKr6NwMp6QRfVj8hoJE7HzIiH1h9kH/S85VAWdULLF3DFJ9FslRoRW +WpFGJUr8DXsghEbKbh7QsQWWkodw7ceb5rEHieLjAUpAwpCnse4AJ7iTtUr8MDdS1r5StjN8UyIy +EiyObjIN3IIQzEI/epW9gaVQceFkw2MWtZDTQez+L+WqddwmbOI+m4ddk5LN6BTb1uw9fcQxnq7x +A9CYCTKSncc/SJx3mWvU+Q5jXy56tR0hv+pNEGH0cB1s184ozMzEdd6/iAXyKU1TsFsL/o+/eBGC +v29EJ5ZPWPC8WZnGuRPrtfvG8wpgwz4QimAIZl4DKrkHd5xGI9fExmPLmqd2EUw7jFnqbigcCVi7 +WlLStv5FvHSMYL0mh1eWWWz0omYR9IKtcatzj80XBKg5SCqAQ6e71jftp98FWB1Nj5oyb8xlCbUb +ukA3AMy1ozYoT8rJcvqNxac+CUAcayMuC3QYGng4zHXlPozxZwM+GE+Ag6FdaWdZ3RGP9SSUkZJw +bJ7r7ENn1SVJomwlys5IRhInfvhWMLsZRT1+hp5rrt2S+ETOHg2UoX2m+17zZbl2bJAMhMFkzazO +DHp8yqGGCQWr71/pGCD79IneEDSfNr5TAN3jYMFTYA3FvZZYqG8TmqJV3D6p25Jy1d18vGy9PKrR +SYDRnifBPcGlQB7iwKnLrJ3t/4oDekv/5h/uYZnNP5HLU/OCWYY+7Ui17zEXokeFL1GUd4bervZB +rS9PXbgAXkUtLGZ3jiZk35tfFasDoLqK0bnLEep9r34NgfGTPdwBX2yvF9gObdmcYZoOPbiPHdHn +FnBNh4MY25ZlhfVyQnBr4tus70OakcyjeikZf5ySAfhFaoDggcxP1GSWvvepvTkNay9CUbl6XueW +KfaY0ybxRElbSnMUGXUrWS+ZQEJf+3dXD+dP7jChsO8lFkGYLhUmYFm0DrYYiq8o6iWhH2FGB0Mv +akbzFMW/lhUpAvuweasSoxDML1YJuU2Bk+EHWrzL1xGcC3w6iFujy4A1obZmrIimUgI/zLTdyyJ7 +pIgma6QroszUXmzK0qaACo3qDohKU108Xy+6lfShN51aNgLeR5o/ivjhvxfCIKlx5+f/Xk6yI7jI +wUrH4FHoSk1Bhxl68yocOn4WzTdRVmlXJeiNiL3/QW3u4zgeOpcQ/JpTWEbEfC2hwScq79hg722k +ykOYPnsp8P3k3SJ2CxbqQn7s4VZmYQsP8Ho1zUKkbpFeiDDK0QFJzNqXVwnFQscmPh+LGeTzl8ER +zVnJNWa77dshndVX7/zM5OXpe9iLcuW8K+ChsgYXEunN8t8ilICU9V19os3g0KFv6aeyl0+Uhz4S +IcSrDvLx6RiBOK/NZDkA2irpDQ4rOcyTE3ZoofDGZpq1gjINg6gjfA/pX6QECmua4B7VP9kMndR8 +ycfya2eDy0g3FI5ZZPy3Zvda8obMmRSZY9CeN9HVMupb2uJ1KkF20d+Epe/YQP+h90cIfImT9vAA +LOTxMM7quaDwqTrXx/OobDM3HRAEZuuyZzXbnzawMO3st+wEy37A81dOJ1ngIob5sSALxzY2jL4J +Bv+9eaxPCmUai0tbFr9mQBk+4DHAoinPxNaxR7UiAnfvsNdUuHhSkgDDrR/1ij3crReRICnrWdnw +7E0e+80lxjrMyq8mldZyk9DIReKirY0N3Ug7mTT8n9iCD04i758SaZ7IsEXU+W0zNPh5s0lbAo7d +E0A+sem/K4YcHIK7bLtnnHWrCi/iyy1VsDDtC9PoZeYykBMxho2dk6kKCBw8Lz76p6pDWWKKdQRD +llno8AwXCLhIZZDxvDwXPIOv40wox7Fi5vTXpCVfYAJo32g3ytx0ZWn/xGiS88AGi/6Dh1ByJo0G +rmxBFN5R4b/5wtJSB8Ppyj48Ibjn4i/J4FQRuW+BrVwi/KnSMTU+Xpc2sQLG+/v/0QCFpAaV6quZ +/Bpr7OMkld8LK8JAkhw4xRyk2ZWK2rFh69jnftZtFgq9I5Em1THSECzOjF+kIqRXnMkfu+JDzMEe +unPS5r40gX4VNcfqCrzhTJNQ80BbnrqBel7MTECQTiKKwmsmw85cTKms89d8PEkpYB9L6lHUzfnq +OvMh/G4VAQNVGOvOx3GirCPHEYfKFMoYPl42w6dbHIHQaWPRVBtXKRIlxTpErRg07a/RC5mnawF/ +14C95PFvJaLMqirs/acfsOWMOogvyUGUp6k1aJkY6XPkzf17Z1vIl+edRAQjdHskc32bA8nrQPJS +Fv6EYwBhdbgBc5ChJsLEKgPQuxnBlYXMj96UWBH3h+L6VG6eXzXwCzmPN3R0BfWoee9OIbz00HUX +yVi6e2LHd86F40baI6vzUPKUxGSkRUq6dCn6kALcKcF503/FjR3GL6Fwp/rPu7NTebTgVB8ySv5o +G2+S4oi8VfcMMdG8NbZnz+3LaKJ7VfC00gDu0aa5vmCzfISViKoc9QRmzpvWwik3zY1KjcWe0w3R +8blVb+AD236lDPQtMcB1kNELn0P5TJiZRzUMKhuP1iGP20q5bLG4g28/AIM506jMdrzvO9R4kw5G +55U78yr0jKbslOYtS4CINtw2vP7mrlJzYaiJbmugI0nXs9eaCGP+B8+m4Mo491QxX2bELXlCc2IP +i58RVW+Z9JI823iYdHW9zYWxwT2TyJKtpkliBL/FyaDCj0pfSHY8HWF1aG6+jMcosJzwqCCGDfre +8nH1NFKyo7Y17sy2XJJFo0dS6zPNnVThGb/FC8ZZgdd2cVd7CxfzKo+Jx3uSS/VbNE2rfsHwAOd7 +zB8GPUcVigNG4nqwy68B359QCRJmgtY8WGE+EVjzGNpNN/NGq5ZSQG7ML9xNIkILzkFFKCq40azg +LbFBZLB361SoFweccpPBsJyYE7iyv+bBncWtWxL7nrQbM5t8+ymq6ZUQi18EtAyLSxNpEJrjNZn7 +j43SUIPJl1uY/GdAVShG2eKFBYZ8+BMlF2nMMmiMIKzoZP4QXLzJCA3ypY+6+Dew77Cyp7ffeT+J +4iVdGhr8PfsYW9YJLd719f2vUydI/wxYnc/YNTM7dzmf5uvFkbdzifqlnBkmqmNMF4/6EX3iQLmH +84vbiA6q2X1FKyLrbFrtov6HSTD5fnSfg4nX/5tWiYyhuf/eR+W343XvCRGcODYW+BWCygdf1huO +b9yclZIOfZVqJxiNC4DUGHAdGO8kZlPfNQHiujDmBjBC1Ik8tyujzHYlmA62F42dYNCOIH/HQXGv +kc+H3GWYNHN5x5sVJ6uaXgESdTmHJw/8frjkFNhuNBEtp5gANKtwReODB5PtGHnidynva0rnx5zr ++3QImzAlkLS4r5fQ5addnK1ybzbzJb8ctKskwRkHrCZgI67DbDiEGITDpBCmsdCvxvpxygX9jJsk +TUB4PYuq0MrwvgmAYvn74SyVD3VbVP21pJRMnz48JdXvoCDcRoQkCR4hke0A9bEk7yJGIVhGXImt +H25dcBw2LnTd9QIIbUT+pFU448MJRPurPOX9Wc3sItahog79csylqDcUqMJIjwWnItFVlNzXpTx6 +d6Fs+vXPLVYFAN/YjnTLJL7aRdBm7NO6s5mcuyeFOsdzvTVS/rzYR/VhWR9gCUlL8Fge8Z6H1y0V +Szq4ko4qtDt5iwVskA1+cb/kaAiVH/zy5OhAHMJ4mx8muBOoepvaCnt0pgSrSWtyM+frpypp7K1K +hrnaiFZIQvvB9UvE1cFqWHK+S277aoFDEUupV5GGFG2lr0zQurEJT4nOL3QXejSX8S9XVHSdR3WD +49eI1bXs/baSy9k77UGeY+7NKV8lxGxj/ANAjC99YnmRLgpVrdyPqQSNjk3yb6E9aJFpCo2C2Ko2 +GK9Z1FpSsf3AtORnT8e6H/wiJQOTyrTlFIFuVRWTsGu6ncMQQNBPSTZmO5iIBaiUqo9DNXDBQtby +1NFtS5X3xIrEj/8O03JvSTeZNLAilWots289hYaFjJI+SGLpVZ8cy9eUoOJ5ZyLCL1thg/SE6hz3 +dkBoBAj2M/Mh5xfwjIdk5BGj7ZmJrfmZ/k1do7LEDzhMf5lhpByVFaXmg3JWuv1dSrGYoys2lERP +B3XlEOHx4L7TMlpqMAa4Bmp6IsDxY0Cmp49RKbnS7Q9K8v/kuIYJYF0LitKEw3c6eocA7tuIWNL7 +mahhPrKIDQJ6m5AX0gf+AkWT8vsL+becgKilgtlU/8afSLJWojpG7iVv0DcPwZuxM67/ONKYgwwc +pUToDgIn2R9ZtXuJh1+Vp3q9MnR3+zVJa5rw8Enr+GOj+eSMrUNKPiqK8cnNkZHiwRl19UbMXlby +BNdsYbQC7cqR/Re2k0fO3QcQ/cPrGL/+rNnXy4cY27Sfv5Ehp5YpnHLvUdO7FC27JEt1Dq9iBw6O +rL71EDW+SkoC4UQSsx0/NAlUGDhAk9iJG35yqUCXnWJYo/Wgvqdz9PG1Zt8dVGYQNFJoy9TvKCOR +D9gOxHtGJHwKn/T3UZNmyzUZjdpGFy+wos6/my+3C7g2e6sv8eqfbTgoUx5o1jtatrSblFSvHfSX +J34mOI+k/tlTbeTQBeJGFzXUDkVFj/JV3o22pfhssIPnrNpNJ+wW15LeIvO+7WW+T7OcgS8lwMXv +Uh7etCbYgO5+/RpQCikwV9dVdYx8g3Nk8ZXG57+1w+flGO247RkAE4SJ+7A/nVsq+6xcMwy0tNEC +4tCBusZccfTix7F7Vn4WEDaeHte5/JraXxtF+mnA46EjkhpDIMSJRz80AshARprOMwbi/n2A7s3l ++muswC2Ti7qpEp5k+p+b8xvvSeqKLdprYAzQDRLUT/Yi3iXsUx/sJ1ntTXV6R70RcoB6xhaz0gr0 +2pIQv+7bE/9sR9yw8x9X5ynsLaWJIe9l4DpnvWMB47H9w2h7yptMNdj2UJdIQkO5GvcCPGaB9XqZ +qJaG3DG9ZxsObg41BRafi03E03rxLm3+OwiURymplWkLxLsoqVRn/+eE3Scn5ZMyxeywHUmaZOMM +r2ChU/70G5TrMP5s9pRZiljbWWpcVtG/dK3zEtr8jnubRiPQISN74lCvYMZ1/r/8lQxyIffHiITI +y807/+boiAWqNHdA3H3wJfjaFnF0OExllH1/mNzXEGADhusiNIHQs52ZAzvcworPtUcFsQv0Ro/k +PdSJu2X77jARlQpetXGJA14LZu95Mc0ig6tBL1ZskbSBJs3pPq0kJoNtRnFBa1A0cbfdxYnh03kM +GclMpYCv4xKiXYYMcg/0BmfRF5ZNS1+at6hCFaImTdmdyG8ewQxpIBXtdfWTkzdN5NPykumKfVJM +RR/t4JSbCfH3SbPFYODbClDZS7UK/cCsgK9v7W8JEFeZd2F/r2hjwBOA8QR0byxyHlYYf2I9ZOiW +1PtuYds+m8VSIEcsejY41ueTgZlOjaxSWz8M6hrx1jp73t6TIwSePUdyItxg+n/PCz5IlmhsULTx +2AiyasR8Wy57czamRa8/lxgcEXjnOuH9n7Oxa/Gj9HZUKloYsyQkwoWtpABVoduIpm7VIsOI9ZJo +l5GKwD4EOdx9ajqQw89JX2fijU4lxCOi78LGJ9mrz/bMxSY7HjQnxBGXgBvY0MREwXTI0Gsrj/51 +6txG/rhr6f/g9E096GGBP1SSP32w0DwC+WUW5PWwQOqHRqiBfN+NgkWcr3FU+F2WRT6KKwNnCEwI +TAuXOuPc0j+3O8qyZqd9c2AwV7Vu790YBUJ8XWAYu7WODM/vk9/wMUWC0ZtqEw7VHOLwo/egbdQE ++FFDxph7YcJDgKWz6xIcdEluhNVJLxMDWD/PfbValGK22VzgWRF3sZ/k01wlzTs3A12TFvFeAM82 +VDiQJYugyeJ65q/fqT8WJ6iHRup2CiYYsOZ+D498ZQRqItqUNbwVl0QJFh/ZvL5Z8TGcQf4TKI8H +tdTEhNFHLrAKy3rHjUtRTTkbMom9GA+zk0j/iQlJ5RRGXw+G949QNQnZVYOS9tEBNEXn678b49iS +gjLCGhRKsXpaU9aBsr5XdFBZuvf48l4ljy7X5uWg7gYXD61QrdDUqX7vX+WokQNc9Xzu99/u2aAE +hqBd0g/WmJNPPYWCAT/1JK9mjS03BNVgAUZLCw6psU3yxyl4l63UbV6chgYPCmH7XsFQJuHKwigm +lh9wFm8Svf6UdpRyud/HJtwjBqdZpmyEp4sC8Cm1W6rBXU7eNfwe8HOZbP6TTynIgqD7TpvLAShm +1rq3LS7QGET87XNvDs3dNpopKTQY9FmE/99VjokxEfrmM8e9J24r02h6/bAsxnDZYaEt475xJJYU +x02ap06uTaWJgFG/yp7GrOWDuKNNKUSwfY7VbPc8blLsVCk2uBn/QYDKWAqU0mZe1BvGtcpG743q +SpCEwGqejiUQslevqlLkK7uOUa1vSSaH28Q0J0QqYNuYoHvX7PHw+2CZp9MOEpwnw3FC6+b1n+sd +UaS9OJnG8nN6jZY/zX0eq9fE77F3NnSGfeNhWRuPsaxRRsr+/ztop1R8Ylemh7jdsNU0wRongtIV +v4N1aO63XPpe9NQg2Nm2A4MJoP4URJTx319hc2YFReqlphaRFIeNgTiCvdVG24QXwsoScMqOl6Nb +/X+iWM0msmQO2HD2t+FWWSDjs7tYVuN8zwaLyTwAw12h//yMC1hsF8dsQjGE9/Z+kDocWdgr1A6Y +LB0zXQM1l9KkcCmA80K+uz12IW49UL7cR9tcx1u+MUBnzJCcJ0fAc7PekaqqFjh2HZZDvCfuFBB4 +fbmF9wSZH7gzoJNMGv+v5/Tbf5z9zUWUx0nHtmVxQcpsBZF61wi9Ua5Z1ENwBjQ8q06q1mk4Nv+f +Db6V96G0iTIaSzdXsmFAHZA4C2ZkzBZD98tLgawriQ8X7Y1VUhyDpnZTcDflxBxwI4Nynbx01SXx +7yozvvUSvwPRTRp27vxMfVWwP6eUzSbOcp3SvDU7mUhUN8wED1bZsycn+GDCqeReKK69Q3udvMrn +0oFtpQH8kb9BPncy9ejMX+i7tq5EeaP+RGPa7QGRc5BWrO3iHZMKC4Liu+x7CFczokm2jjw+6NSF +k6c0OY/VMZH0kzU9ym2+mftlIJe0YNfJyXqNw6F/hTQn9J2iHbmap7BWUgWr8Ca2L2rtqefKDouS +MQ8kYC6sOnRWpisuGgdL+WCzSPhFrcfl1sQio5Iia8x4C2BMAkB9e7NVT9X23yB9orB3U/D0hBkW +gXts4KbxA/lmYVGoADpoKf1IJgPM02tTPSEMV1VGdPHjIWO1lQRKowvfhTFyiB2nUjN87SLX2yO4 +HuUjUSKP1xtPKzkS0qR/I081rYLdbD1vXd4quvGbhgf9YtnnRhnoYBqBR6WEAmDDo/6SYRnmKWdz +/wkK6arn4pglmBXwSGUVTKP/5i2cIz8BkFOxF6k0UaN1zIVBGIF3J1P4FLWpJtDjiixU03/rtRca +jWBV9X3RQgjmG3ycNTeT0Yl7e5ExzZvAbLS+IG0SJjqGHS35xN7PeOPOpiCMzFqlGGpowpUtlLmR +XS2lTQFo4J0jfv7de8afzhucerL3AdCN1H+4llyQUqbYgxgKynbGZydbgb/2LH38GH3HeOdPMGVn +5Vhegcryg0LCwrzmGFCnJ5OCGfpbjH4u9SMG4lcu4GKg/d19cZ4zQd9jMZ2Ni+0FyTOvggXD2PRr +3WBbydW/jtwKSXgMz2EiZ5pJi6uROex42EBYkNBgrlde53Phrj8V+kKSiZ/T0TDNoBFvbXYlQsTK +ARMiV6KTzPdHf1/COfMBVEQbAU2Np8swcnU2NFzu9UQwLY4sozQ6h4pxUKInE41+YymDGubmcSD9 +fd6g8yuxzRWfPPDBqGcQzgaQl/32pYUBdNZfHjPqppcYSpRqyrI1ApLcKFoDSe9pig/lSEUGrf/r +oCPU3TvFu2rUq3WvNzGyWHEqsLaEMlFKLcGIZuWWXKgMT2WTjKqghp5Tw4eApVPbNCOob6V02xR9 +s/EAeyPW5NzYJtL3GWtUBvUi5x4b8+u/O5+IAgImYWsvDGM1455t2LS/kJbH+3WIBQkaCEofIIkq +NAZftilFfvbdX9wLrMC4Fy8vEinwAI2qZELROs02WGL/LPd2pEYDiPX90F8r3NpMACIkP81hG80F +skGk+cvypUqfcFviA6BkZxyKJwEuZxqKGkGDBXtWa33Sk0OH2x77Yc65cq1oLdA+jze0d1N36TKX +mtE3wUslQGZl42wJva3Gw/vH5OImcs2uogJxVYqBL6Qv81cxg0zNJNQLuV4ynSXwk+Ve40SIiil+ +f0mMmEHeUFUX4h89vhAOrBZDB7lP0jkg0KgV31lKsd6mHu+k8E1nTRPvcqZe1RtcbUVIP3AOPnUl +Z7ILM5t6o3A0/+dXkmJvkiX9LmL6ScCBOiWzLkFmn7kxOV2M3cM+YYEfV2lZE0ARW1+TBJhnFmF5 +5O2sDn+igaQYMljijvAY8FUiFQsXEdW6gVZcdfzoisfeoHzGChOCB0uiacABZfQHUiLc/SOrywA4 +IrG4KTy4Sh5+lD7ISSWsuVuhm0K4AuV61/xearzVZbqrCp5HuvVfGeAHn7beELilXiPO7Zz3LRDX +oEfKIcO2ruju3aw0KrddrrGuXpswjXBvCksq/ULILk4prvC2LVbpubkbYn+o4hLHDof+kK2VvWY0 +xvNe1koKPTiOOrXEVawmIIRfQam1PvxAxJ/uNgkzKveRLciVUjCt99VflfLVlYClj491BrpI79oh +UqlUJ3y2sv3w4vJzE7s7FYdVVS1hy5DJ53XjvPCqGfU1HwekjR4qwnI4efLSfDeBLJwmOrR93idL +KRR1TcQz2vdfrL4mUcTsWz1nn5pBB0LFRchFIS8Ya+8adFdbOzn2g+/FrkONPElv1alRTuSB/jed +ULYI8VXSK/462WuNJS3jRRCyEOhwgLMlTSao/q5rVFY7zlzSSCcIUJtpNk3ZLVRBT9r5df/HJbLI +WTvESwLSuS4lALVc9O0P8kozVuEbnTcpukRrgHBVB+e1JSvrM1dHvVtMlTKdUkNWRiEpgpbN4XQA +VlJyN8ldIlSZAvP1QU9GtrKAaFWJ3VBdYPW+lhlzP5pIZTvcVLL7wgIf39zfhboCksOpdvR4QgMr +ktKjyeZzB0R497l/9mYRMtNDn+q005yJJuLdqiddrUhYE05CLecFqhEilBjnwVVrF2NDViP802lB +izBVBcWhLv4uykKwgb0wVaM9pNyJnmeLZtvGWL99jahRtU0T1Lau5kbPZrX9K6+KBChLABqWYvKA +X4VHX6waIbLgAGB5hN8EgIW8VcZlYhwtTiZCC8ZEDvkB7NuCs572HxxQCvWHe7IDI1ZNlniHDagg +UvBr86tG45/NKUm9XkAbF7GJ7oold732wM1AaIMRmQ622049FIQdIxCchqtC/+dc24qWkIFOeh8G +PyXa4YCngYpxw73dzhDfYAPj4s0l+Kfr+v21DUcVAqW4lprowLHygqaMKEESKAkDr09vXiIvOyIW +6mqy+NL0KDp3eRKUXVBRkknP2ShhCXB3WphjnI01xapo4rfIjLAQsuF+1bONlCGn/jW/MiYfTrg6 +KlYwWLYLLI2QLQ/yDnEg6eOATByqm3HT/XI+OS8OZIWH5vPJ0FfWZjzAU0Z3OsYxsL9ZXIqEyKI7 +2JHGGQD4KZLEoNTSQCtjrl1clW0bj4J0SegJgnYPg7M+lux+eKolOnxLzYFjeUquJqKL3TFeTeyF +Go9JfMJWynoTIWZPW7DayiQ9vEPMk600TcEO3ib0WpA61kZE/BeKKsYRmOHi0s9NQWfq+nRsQE0l +HHv7SXCyfubdO+L8KrDJkU7pe+XykiFUga2RAydI9qmbqIU8VeMHlLVnNq8VkzslbjbSbG6POCsy +hTxALPjUiUMp0042O+CqRY9eGeBlhDe/iHK4YBVSTcrdMgF3x0WPi2aFVtbToLa070ZNJr1Yu8WQ +mDYkibkxTlF+tsELTSsBXfLcuOLoQez6F8nfD1Gh4MluTQyDnjoC+z3ETq/xq2QrJRjRlu67rY5X +BmOQi1gRVuQuS8WS8DXuSOIUCnJzjjN7hg3b7MPcrgPwGQGjIla7cp3QUGkWCZPWRIj5ZZhtEaDQ +HUQj0XwYC2h7RwTh8+pwEZybfinSU7AuaEAhxaCocoC2byQwmIz3Yn+vmdGEXmaauAh4aZOib+iq +alCA0gVAUND/x0tq/sHSagKGfl4slzIJ146H/Sdggs8VF1u+ls0f9aY2TbLUyT1AlKdSJo7/r8Is +YdO7yiEd6Cwe3CgzaflyFCCBPIO7qgwCRLj1HIE1rG8VzmBT1MEW3zMBhZLcOwDDP/xUbxs6NWCA +JjS8lrVcwLskgH6fOVmyFEU2ufCmvl/aEwKTHYPJqzQCxriZZW86MCseq2uj3xB0HoPDlsXDykL+ +5OfXCI4F0T+dSuVmrIQ8/cqIyXr7GGllNCnlwJxjLPeC6aWewdHjTlUg1c4YPHhQhrRhp9gquATw +arkv/OXrnE15Xwmq4jQ4/RTCLQMPokkVFKA8yjQ9vcdeonBeC13M9Ja7ebNcMFmCd0mc/g8deIps +TrLV0SRA7fRgfPcIA/6wARzLNpAUY2kvQNXNJ1PJBOGyamTy+1KmRKTB03biTGqlt04Kx2AgyYIr +iyzwBuBbr3y/3s4QaGVhoG//5J/d1345TfK6TH53oV0AgMvC/XYltVFGoz1hZFpO7mDHe8cqPrfe +8RlZcKihvNw1FtLhmwxvzJxYxAfRBN25YTxa0+gbP6G7nFl+3Z7WbshlJGuf1j4onoH7oXu31Wo/ +ehVvTDFdbAWcOQIarQg7fM5a6ebUW0kXSuve90qIBzRkgmjRD5bpqDYtsynD2ptN+7QPEeIpkX2b +ECNKdjSi7FXhkguWpVJr1RHOyve7jQ1nVRYFqKq2ylzmKZz1Y0KXWRCbueMyE8xohM+LSvaDCeYT +bczBFjfqBZ5Lavw7ga8QfUPayxk0YZ2b8HzCZrYHH+lWnq3e19AX/4Yil8c3dT1apNKsXf9o3OBj +ZP8rQjWRdgLneYppWy5y87+wfRxSRcVbvebuiHoJDNhiGBNazMeGgp7FYT6j6YZ+5M4yf8sFUsto +zkom/D1ROCrKcKV5WrUFPRdrJljSLlUa+UIQYbLOmqEgkGMqWrvczGyGI8OHMDw7LrE41H7+jH2D +vxMkMrX6ZU82RPcxLAQkvHGfQZyYfwnK/2jQajeCnusyZoemtyzbZtIIkBln4kiTFTP6D8N4UUjw +kAaqRaz1QOvG90AxiA6U0d0jAJ5Kp8YWOIQRQuk/vNCYgbU5RcbjuGHVL5+Y34qDGkStrWOzeYCO +V9aFUDWJFPX+UymTlUi97jINIFzaUFoaO7XXofZRJrQSfdcP6O+CWE8wyzwOmEE7owlwyWayZyOs +Wj+AWoki2ACCeUrWgjR4GUzFI3ZRHS0DVab5VzD21zgNJ6o0ceDUrmSyF7eUPa2wVxCpN6k2nJhS +qiSUlW7qfL3yRqJDv7bT/RJru9gFfm4Y3xG4Lb4e0rjaTEnPZBswLBh3IOBHValhbp/Hnc8uCboh +3QPxTkq/saGR/5OmUKQmLPo2DbieQIgnBomQk0pbcbJFa/2MM0AjJFZxAyI131Ne2psOeQSY1gpi +PhEqL3ArdemL7QaGkQYpzTKapZ3bJNo/zD/5oaKg/zC3P+a20cD1ktZq0KbhwnCaxG6v5IOShwD/ +tWVaIkRwZyy5VVoRbpFbF6/Oc2Rb4lNP0HX3JATe0WqGepsXcbsm+cEVdHoshA1hysBIqEvccpqg +iAPyUSi1SuyAwmUDqE3Bs3lZecQl6nLVGsWIffKm7XaYfBStHdnORY6KvIDH0G5zKxLWfsuZCdf3 +1K663XoBOYjnGLa6fXWM8P78/QEzMhqhCc/Uj+3H2Lp1EhyL3NUUCzrKsHlEg4r66vYvPw542kfT +h/llBQvybtT9x0hE+Y8B/fNICHg6ccXTIfyAFoXE1b6A4yscBk0gUKbmuciSMcbKblcTi9m6j3gI +6wEyBl+UK27oR/GJlPG6aO2fjuid/DgTOV0tmM0OukoAVgqSOQTZJn/drRh5hdjuawxXwZmFDa75 +3BAacXzuEDJMS8+/LSEGimN7od58O6+WG6NacB5IMIpNt2tKut9HBxz1Rj8sI7zt2jZbtsmR24hy +TDLRUXv7X2XQ4qg2r+nRq34H+1t7DhwS+dhGFH0YUmuScsdrVBZFX59jbQkNNRjkNOp13ydWSz7+ +SQO/MTctoKKTa8zJkgsSGhQsgmujYl6zpuIncDGGuTYpCXeDlBV/7XrPVDl2oNULI2mEwfHUDhCz +QKxiY0Gj9EK6xzp10puqFP80uoEuDc+hvk7UrzClANUHp+bJbuRiIFyHlMzZuXPLJJTYOmb60lfv +xnL9LVcMOgkjP9tyn9rXRjyr7qHUA7FiSjzXsIe+r7ClAH08GhSCwOdPfWUx4suBSDB/4zF8r1b9 +TXpg5darCOIcz1i13dg4FcHFTsJNQIK+53VEaII8ROQyviFTLfOG9DeW4z0LkyYNzxlLgOmnX42m +DepoKWwwsOHu6FmwayWAjW/bO4w5wB+tfSKmKEAskipBVmq7SOfkDAOgQ2Yi+MNXkRM8INPO2iaG +TFWi3u1N74U6T/t2DXJR7Q7S0fRdr3HNrvR6rxtcj2n0qp3chVxrOxeftbAdOcXdlfH4Dadb73/X +uxEQbOyAX/VhsUsbKMvzh8s5RJ29N2HwrPOaZnuDU2w9OSzSWUxSxvbfnj7jSbG/cig+HJTrujUu +WnCFEfHKcyOxhCnG8hy2E/UxL+PWIPvsAOMO6W+SqCi5q+1WTeAg6EgVjnaf/0EVv+w4d8HhN/Q2 +l4zQ7//Ow5gmirmH6YYmdTEcJsgG6W49Vcim3HnVqq3D/mt0sbjksNgFK5TncukhumVrKxmqyAYl +RGd22f5zQsRKoIQoU28LCaNiELgiNXI9vyrrkx4++DdGk1LhYRUm1RcowAl7ML2M63jojFT0uA8l +6QTFETpxf52q6dBpZfdKbf67mDXFszY37n9apQRUifwkq0jQoqkklWYSxiWwBnC8RFgRhhKdJ2lk +N8wV/z8xsFu7jVjeXX/Xtt7hOLKHx9TUTHYxstUeDyY7k16falnGRehMf19rOOYIwdg5uUX3eKIC +KF3VHvwVo3V1ROU21t6eYOloLUuEyWJAreJ/EJoTwIpf1ki73+ZOxtZBK53rChLHWjrWQ509JYUm +wSqI4mDQL+JXGzWsvEmDBPV/i/XqjnNLHjA2dbJiwyGeExJWdv9Jur/avkSbEMqj+6QM/0xI3tV1 +4JcpGkTsMS9pwylpkRjRJRHINcLCcOVeTxowpseVguez9F2s6ZmQhbgMVMvUO8MEtYwCrBbwIwnj +rB0F61Po8Y31qsgnHhI63YZqankxHxWF8GYo5AjCd5NeU4FaKjY1IP0TWrslZcqrCVgIhSQKjvjf +U2hI/VZmML6cZv7xZZa+K8UWMQr85BUmav6fngGnVYpUpFB+z/HsTVmwI8s/TpKUItFAdduKCWGm +rGScJxU/IX9tUAQdc+Jp1t6khyEZ5vfhZOi5ZkEvT2Nn4dnIfVvqly4YU9O2kmbXg4uXXPA0EKy+ +lrWKsUHUkoeSiEhIoQLnIqF00BqFjnrb/fAVEMPjV3HMu+3R4WHz10fM/qqnHh8JNgu1pp97Nlcx +kZpsY86zLTYdjgSraUSus5LMvDzZEbQncD/3YGwfduiv3kfqUXnE3xaE7EJrDeYyKGxMx3DlcA27 +Hl25KqyK3ls/WtJmM3KYVLhn6/XRpuriLTI0Cul0MA2kox7ljeF7Vo0aUWg61vi31R8W+PlvuRMR +TmvJhg3yfFRdFLj5YsYRdAf7bU4vjhrzNC3NcYMXzX8ANF7nL+g10kSAUIXXgy02ZjleO2j1xNO7 +5/V6ED1Cjga9ED4+0gtePvYJGWa+NZNPxZ0mB7mDASuCX2M/WcUm+tQx5/gwP2U9GD7CISA5CQ95 +ca8n3SXu9pLe4NgUhgPzPrCwRwL1Jt3F7LgCbsptvfQdyyKuisXoanK8AglC0eHGJSZKwK9I9sa6 +F9KXq0XOYyEF4mIsJpJmv/zKJmnU6oTjOjcHTOXlJY3lQ1pAFVxHs4xnN6iSd87bliyAWQnRVuZx +4bgQeJaTNkIcwMC+QoXY7ZWCPdVxyTkFYMakcmeb7QNuX1Vq5ExljV1MvX8xLk9kriMEdWvEQ6SM +t8B5XRgs09tukckuEe33BJ9X658nie8uquzVBZ7KCoiQKMS7Is8BCK5BW7Q327hWYqm6HF/dz/aP +mrYMErSeXnNm8Hb7lawMUaVjV9RVcbAxXOfJlMHOJk5hI1TMBBu8nM5ZeQKnP/0/UgfH8l8/L51v +JN2ibwa+tZKP0boEH4M1x4+UW6v69/YsIZNIZOLB7GTI0jSHagTXtTHO+L180G5yTsV3s/JPvJxT +yT0/ziqGaxhEwuqhkvpL3QtoiBapxCbkq34p9w2ybu1Ul7c5pOpso8yZw/MkOv9A86ignKATGtVv +mi/VEXtYxyI1+PW/qHOQWsrc75Vo0Q68qY4HX61fmwMAD60wlbTQ+KraexyVeonsWE2AB7mPYsih +hJp2mCNhDUhyYRhhe+nhcb1BiO6goKA4EQ6rIWtHIWj2FahDX8wcNEnFwco5f7JgxRTWZPO63ibq +59ISMAtIiIZ43a35VXGZfw9W1Emw76Ckhp0j7kbvffLPBcSkKlLhKVuzuDxrBFCqBXi0oBloDjm8 +WKgYgEwS2FgYzR9Mtk/hNxCs0/Me6JuL4WT5quMl/RTPs5QWhsJuCzuh4El0xXlBLLo8pgRcbqoC +0nuXtngohBEVOyOii5kYXpbpJE6gyQq3ZUARBkF4M9cQE1octRKkE178aAf2wt4Lpfa/A3bhEqHG +e5C8meUCAjPaUjEEq5JI+xddzTjE+NZfsNcPjZwQOi2T6+1j1N3h2ciJgAXlBaqqU7iciGV62Yue +ShvFcMlJ/I0lj/Bj1sa97MkSBVspjpUrwvqhxgDcjras438PSWpnUJYf8PIeMFD+adQToTM9OCJi +D3so/n32+w+OAocXUdvZHPnKOr31nUdhdOfuxlRgXjXvTK3st5V0SoyL/Om2WNPFh2rmDmwozVQB +4/6zUoLENhn7zuB7Q+UB86+OZLCHoch9n0wa9f0ee2aBVMDPODWlYmADYCQOrah0F9lKOoceZd/S +x+iitzI6ZL6z5UGU29lRv4hEbWBTcrYWiGYpDOly32TkZKN9AxDig89K4zrfVJTVzOGRQt/V6mdj +nBZr4hrJgjNf0ihm9xbYAtY69AlLvamoRalc55Q53Uga4UqU3hKE1d9l1RFlyqBeVFTlWAlij4xI +rlo5YCFFaKf0FytQbmrIf/dQ3GDxPkrAIFiFZHzkfkk65QC1LoLxH22jgx7FGaa+3e6EQyc8R7e7 +nkd4+FBy0+PPcbdpHfKXcrUP6Oqh9rSnRMLSNFkeJmGHzpeUGRXMOZmEImIKMp2vMWeloSx5ZWhA +WyWfcPIhZZpm6Sh0240qx9cGIeC++NhQMKXSTMxTIwbhi8cvwX/db2deF6CrRxevnj4RZysvjc74 +k+zAy+X6zWZdpDygyCKr7Y4WlMXgnVbFQE7JXAVHYw+WvP+OoC33ZwQ0Txz1WFlFKOkQuKkPnFaD +q6o9WwWhvEVRD4zPP9cR+NXzifTozA50pKHnL/fi8sfqWUxGg6gigACp2HeOl1m7xvVqRBci6yUn +k1cM2nxdOzz+qfvFgmV5pGzBcK3dXkTZQsXzESmPzEiooP9NPdYlxea300q3pIK1RNPF10oPNIj0 +q7C2sYdFZ0Fx0JxE7opAR942gH0NaVlxYkUiY7+r/u6K/Uq/QO04R/op7Hx2tFsF3KEe9UdSZyhl +/nYgPu8JbOH25FpIZUpY2TSbdb9J7YyeJzhjIMY94rlKT7uUfDJv7oz2NBSPvUkL1zp6K77/qL6O +G2N0Nmae69HduKCSzSKNZdL8A1D5Aw26XFBo3/YbWBFxQmrUM/GfZvoBu8QgDWCHgZB+PbF4hFcJ +/6OKnrDOmtkbpjuBo47Czx45CitiR6lMOpbJYyukUfX0IQA5ZxiVv5PV6FBkxHCreH8nXoGziptQ +zD3IkIJxSKSUnp72s8cjMF8nuC6HjBTMng6calIuH14HVZEU0YBbFAoY+XqYGmKGudg3kOBKMoaX +si1mWOL4lQwZOr1fKExbvGSJRBcHFofv0pKrJiy+w0VLGYVyvkji/Emzbz32a/0ZGusWPBrAGDy9 +iQ77kuphC981McETTHvM4SSDCVeHicwu4+tzDwfRo1vd9ofocKeIXhbRfWFdPi/owRsJi3o/xH17 +mFhN4xgNcLETEV8RsMvkQ31EUcWONImfGj70M7I336Dsp41NJHWeSamSxw0K0OkYhf7kEZ6Vs9C0 +oL2aVE/P4TWAU7fsN4nU6KgQE4kTWzDAZ04peYV69H+QroWOEQPRNmZoky47f2POeQXUQcJUJ3Q5 +SQRyBwU2mm1Ya03/n3wbZTixpj46KErCd/Ewprv23dM/AtIdH8LOTi49mtIFgQWAnPgV/GtzD7rQ +8oKMbnE6LhyFADAw+fd+GjAeDtmpve9hnQ1EwqWARDlSzeMDKiCdHkomLRRzppV8HxRoH4nwoPu7 +fcDS0jKUCBxtWHoSuSioK2Q3VoLugfnrOXor8VSjArxh+E9WiDIDmh3eVT243CBJVZIYodmCZotK +LfkovSbjmhLm7gXLu5Ac5bHyCYT8LhgY/tZnbn1f6PW7EBzmyOHz53IHDXbnlSBw/ODRPCC2X9WG +7cOnnscif+QdyNWb2Vk1GhH0d/SwdweIpaTDIbJAbHR0KfvOWjWDC2GWTFjjFWWFWlV68n/XdsTC +2w20x0l3N08YQxLtYnyj7G9Js+sCnfKhrgibqVZKs3N4J0Dg5d6nSMoOJ+NPGfW4NUrmh8Cz87xg +dimmfDSdlNrn78thGfw7wD4B5CKH+vLerXCLwtK6txkndm38orOfvxROiBwvG0MzONC86CH14mWS +fDUuGsT3wGhZDm6Lx2TYoDB8HGCMY2McSyMR5neHFLfbt1IHPYMsd+puqd3e5WjrnEQnHpIUFDe4 +03ad9m/ecsRlimYkjTdXoshVX0pPT9atHCoKNOZgRVmmd+HjW0zN/lPk32npWxMgzzkznPntRigI +1MjAzJXenCxm6aoCL6+I7cJVURuxddJdoNhT2geCBcEM8oFN4nvKi5aXBoxh2zcSBVDI1N91MSGP +VCUwF2ZfGx0YVZ3Yvda8w2cKWs1dSxmpFAnV+ia1xiiHuHDFQ7etOOLx3h+2ArOV8pdUeQ2r65NI +nUAHyIqec7wK+v/7x7DC1nBhKp16mfU5cH/xxa0Ex61etD+2Kr4AKKB54/+Kiqg+KMd4JWndxBX2 +xNtn4MYvEWrD1S4T2SsnL0FyY/6X0m3uKDt0ldLzSxjyN3HiPI2+XcceYQc99ky1E4qSaHRdo4fJ +Ds0MZsXEu5IyRdE9FucgTGTG/zK9Pwn1RaKpdlfXW0cJAjoZwlLBavNOOAtkg3UOU9rLlMfKDegZ +BR0A80TtHHiq/Kww6VGZerF99mUIJSv2VomC/HDW8A2Mdt7zRqyE96Vt0xsKQtivmgC8xD33eIu1 +cTTyElLbGR2hX5gne1mE0+Ab+fk0bYuz/AK9XLJt0SIlXZfL+SMCH/CElIRb+J9OrMTAAo5zFp5y +R3x9cvv53RGTY6V92kdW+SParxnxDasLvEH9R+dQXlCZv2kMmPKb6Z495xgwq8vJoKLWyIqFp27m +e/YPPf9VUKo/QyMxwvjuerS44m+oAZfgKU1VH+1K0PEkC9YjZ3SG92kvUnGlORfGiCNEt7KUTjYA +JcrCp+v0cTfpJVX2Lxa0MPJf3yoSui7LVRi6CxZhvXjX+z4Ajw9dQmNMusAWJuy9QztFX0X+Dvhk +tirvll7XKrCmmQTTkPQ4djhwvNzP6/+I0IEKq+UntwMwBfREIdUa3T+sLcfLFikroQ6fufAGvwoT +99i16rDH6hipEewiVDUxKGh1xm73s3++LY/I/kpL5mCeAogf4Wcq+BHwWdJE+pINwfKElUOIRbuh +IopINaSMm0/5erbmecCvqEDmrirJE2T58EnzoDAzeTgKoRqd8aU+tmlfFxeoSnpgurcN9z/tiHre +hn2MYljfgKDSEa0D1/OytdBYm0MOqSa36p4SzrwE9tjBhQC77ZlqOCfdAPr3VnBYsQLE9N/sRzH7 +UfVxKMlciiskTMmbu/vTjwahJiompbyILRbx/ZvGH0gSFf99SaDOivcRuu2p/KfFlvwBD0cUudpx +acpAEsKNDTfY09t9eGD2JtSYwTGgtxOXwvuQ8u+dRW0gD7gZgvuEFobz9pJDw+PMnmrS+R429S8e +AY60+ykBZaFdtnby49oD63u8RsV0OG43IlJHC35PtM4rYmw0+GGZrtRa7ZkS+7mAVlcW0no99wAS +c2CZ19048GOgDqOQJnMOj+lPJzIsLNXs4eOphD6/4kv/Tvdu7I8F2xXBJuGSM+X+ZwhmqLggpBI/ +ByL0S0HXyQW1nXIbO3mxfRgwe4Fk47EgDOF8/dJ1oC996f5y47s1OuGkRYnOBZT8mLTQXMunrK2X +zSfPUrlSBspU3CTSUiP0wZTmHcq3fPKVpqJA/GOXgHrBfg00oX53Q72WF9PkeCeBKZqyDbj5hzY0 +gpYnI7OsNkBem0/HDXS9bHHaYTaKzrCuUqfVqr0yaD3yBdF1vPwlGkHi68C9Bz0YmAKM/U2PYBe1 +du8cZRMrFbntpxG9AE8+FFmTNvis3DuznUlx/+hrQBbeQlsML25A88uo9LRa8m2RWgPgV+ZSiTJu +K9yvv3JJjui9BaZPH9sPBTpO+9xfKQql5o/bNlA0kYXW7iKqa1aNIPAaEG6tXTFj12bWuDdgaxlT ++A7r25eh/GHMvpEqtiYjwzQo4w3Cc9W2QLs7RujvblenOZJ6oZMiHwzEqFY7ZbuJR/zUYVUjlFfR +jvpYBE55cZ9uSzfag7f0B8LAtwXcIf1EGBC/K9xOceRM6c+A5v9jtaYBJuUzduFbK1f2hgBGS0GG +1Wrv9dx802uyDLgqbHr4JTMfbnqQAagf5jP2LzFUYGb4B8/2qMLHmBtm642zTeGJ5AByz6v3tYhv +itSjhAHdppL0HqxkbCerlvSV7A6i6m9A+dXgCX+rxcCu26MxKuFGFvRzWtE4bK/Ia5+VXlBOB1EG +KYRkXLLkX8goeMkssURJSx9OcxETGAw3bmgcLf/pSMj9ElItOpRf3MM/pMV3XRl5+k4OI4u2my7H +rqHKvfPEuEUFdRgSWbBY3FYjohqwpGMClD2eLiXmoAO4s04LoRa1YB9teis+44HUWyvBqImWblOZ +r4G5k2sW5w/8EABDNW1KB/2JFWg2QCmIdT/9VcC1XjARqHcjqQstkQGwZYEmQOwpjTlgKLVeCosz +P1RgibWzGaioBgHBQOz/E2mLZhiVa7oS4iKxrnFlNa//d+KtctdANMqqm0vvIJeyqKXjYfyes0bW +aU9HvXZiQB/zgn42C0/sKblC2CS82zcod7WlbAqD2FdxqzEkGU/LJq3JuIrkiTs+s+wHzqxNaNEo +EDhP+K4g7l1n6mhX22sgHIPw5Fws+ol8fckXXeC3sedx6sLXGQd9aNAPsgWp7aD5K/0GFmQnLvS0 +57lnwZ9zKVUBhpucZPgnItd7eINJvRhEOxQcVwpWcvWDPySDnbAThCr4b+2gch645+KpxCwwGeDX +yqnZ4kWI543pTKkiwdxJbvR3VZmCup7bwdOJPbPAGUMZO4KvRTWvhwRt4DljPp8+/Wcl2wG7l4wP +sfj8W5dv+2tp+eE+1Di7NHgCREpVDFMaWljz194kcDQ0ereE2GOd8pW043GhwxZpW5RV638RXLRG +3SMK8qer7ca9B1XSsro2Vyn8IOTVVru6wgkC741/xFmSlV3UXaRumL4D9y6g+QRZKzbNtQVsh3hQ +0p1U/1Obu1vLIYeaHlZ3jg+qt+9Rz4E/8uqe7S/A4PSNBtmYJFowkzaloskIz4oE5OqJXNlurgbG +JADSoAFDvnW9JUAEmEi01AeocWJnSBfQdcCCdlwQIPBtGNV6JVhJPExz5eHw+RPqY3GHbJucfZWy +9ZSCdlVuTOdeM9AVurRveUw1uYpammhwLxwOd7YCiLfKwUfMNkwc5Fu0OHCdrYc93+SXbxw4peFz +B9mgIcCFjecmgNj+5bYQc4bRV0iI2B27b46BV1ByQivBCuyeAyRBrjvp/OjBobEQXEKD4ORGwah1 +kTZRefFK1jEUWqNOv8c0kjSZbjasjyOATZXmCMf40MwffrD25llZVLIGMBMWD3++164puPPiDxkW +H5woyz3o7JwDhEjxU36xuNjoqYIMxREyCyHBT2yA+gw9jkLiGpP2esxVMhWq5ea/GjrPI0/28YfW +YNY4s9whA9MKX6I9K1rX8c3a055LsVnp+uIuuxBJeF2NoPXqO/TTn2FSWJ0NoNluJGdDpEwP779e +zmQ1yy9gruuNkvYl7TGvlswJuNw2xorWRC/a7DBHmiKWazpAVSbBxrOirJ1U+WT6QZOdzuzRiiVT +Zoq1sDXQpatwAO2f4/u39eJTkOHCr2rUOKxhqX8u6DcnHQEyT24Gv7cuKGkiXZ61/y45JCYJbJ/O +f7iG/4WTputT0ifG5benyZU4NeyhMNfsvQwtm3W6mcYiZfIOLJ8UDFuK8ptDuTHMTZHUpwO4Zljp +fq3RW9hrI2RJK83QYzW1VSPPF3Q3gM5WBn5PfHw3wL+Wr7M7WGwTh+UQuc8mBFMIKP0zTC/9zV48 +dhvZkUIVZ4M3hnrwFTgtNK27ZTU7fOcE0cAEXLeu9yQwL86438ZF3qHs7wS7WfEa6a8B860hZ3CC +HxcAxssZnKS2ix3zJIjLEgCw8gu1KStG/klHn5b6vgndITqQuSSSceMi3t3aRijyORfCa2V6IwsC +qwgnGiCFDs7ECjnHmDWq3D44C0viQp3X0XgzqVug+HxA7dMYHTx2UsTW0l8KEkDlofGItyvsT6NG +h4IhAAn7y7CrZrqaAovsgvts31upJ3RS5l3JiuznXg96BTQwSJE/EpRB7wE307yWZWcp3/nvwnyT +7KrEXN16nbLXhr49ExzhgOGjxUVjMSXsLh69uWkEwwdZCnvNjAJw6RwPhESFHtk7dh55jdiPncx6 +hkH1eEiwXbvaD7sDtTfVPBBhEyp5lM0BJH9MDTwon4/kLGFvJyeEnDDUF4GNwuGEKacXv18wZlN3 +oey5Zj4dcQgWmGg2t64Rhe1s+Tt3TlyXgu5tABy6AtahHdIFP0HsyzUtswHZt+pfH9MOz5MPrUkQ +suU3zdrMPcsLPNnqbXFZgvf2Fog4AStb72Y8HvlAP0+7iwHWApB3Jww7REd3BDhVPBOLh1oPPEQL +E6jUwKjK5P2iqwseEXsNqk+0U7fl+h+TmW/cEUULxRG9LSZbM0VKJJ/kSlbAr7xoSb8pEdG4f2+6 +dPHDeQ13pNyJ10ZeEgjqEzp1myZL2v9r7XT/WXYI2erse0fRYzwgSDB/Z4tRE9NjiWb/AJedkrPk +tVY3WKlJcz1i1Avo+tgWhNYGeErczLUROgjQZLz524tWh3owukVsFl2TClYGlbUZwDBZ+6qwfIYa +cno74mWxOkuSF7Fttsao52fDXM5q+vFDRwDquanEr0Fro5eYlvnIGt1B+xbDHn5P2RjQR2XYK0wh +OzFSPJH/+1s6bR9CYr9wZ92KLHmmqUf4Kfghuc2JSyefDhNZtdhyxsQSwJCf2QQn2sjQXwCsVuQT +kXr0wnpsjE5cOiX87HpVMJMeojtclkr0xIehYefO950MZeRH5pTGOGeUe8m4V0KIbG/28ZiZriwE +eWMYKO1ZLtGZxPPMJMIUPbbAThbHw2trm3UvSDi/JEO3Mwk4AHSWyR/2Vyzd47OTZqKqyNlRMhH1 +1LkaF5R5Mjf7il4+jE2OU0kmDEGNmxAH3OLn/c+taJN/J2HxwC6BSM+wr3kEJERPo6NG5V92SDT/ +NqCLh9iMfne2eggmq3UnrcZTWUHPRePK2AqIUMhx91uYYyAd8s8U0c6klATIJCxVQ33TF7X+4evz +PcXN/Q0JDGqIvSBaMmdpCBKtJeciy1F2+W0OcxH8XuZkmVANP7AxIaEC7XEDNow8FT4vCMZY1aLz +wdJy8hXOL08GzwBC/ZLoqWZHb4RwxtDEgdi2sAFcRi9ETCIzdw8dPASTSaJvgGEeMQIb9bFSSk7B +8lGhNZvKA0+7dHP0QpVjTcoyOpvq3wdKhgUB1JCzqzmHXK/xp4beBJ1hhNRIloe0L5SrZlIQy0ip +q5+/IC+6iMbSYTE8gyszBy90+0Y8eVkfkbVk4AyxsGLd4NPPFM7B/Cv/o9IUqBWupE0EsSIbHp79 +05vuJEMdWOVShaLUFbJdF+rlfSDcKjQ5N5eNG/2lgbPenhOZZvcjWaNS9pHMiuR7Aa9H7DwaCq9h +XM7dQ/vRjFAZJbuApVNWrprI+LNoTYtz6naeXGDVnjbO7fMmuCcK1w0MuxkDeHmN1Neti4BhjDed +fAJ6Y8eoC6aSv1LWa4Z8NLeyP56ZBSTm4y9jr2ZisNQignyrgt5mfTtGwn7xoz7yf9e9SaZ4rxxm +mAowysyiL21NA7oLAUxbfFyP2XmT5aTfyprCSeoit+Cic7IsiuI6neuJLH+KEgBqMvvTjUcCWTbt +aUIrBZu8RRGpFQwYAN+RlrfhKfKDsXsXEqrEHwWubW1hEyU0negFzMB7uLXFyesrPQ/HnyozfP42 +Cs1ieb9f475zFPCAcGumXL+TPunk6RkChawjXKhX1sRTiYlPruxhmViayBrFikyVMvW3xWZcb1wZ +cFG/Dw/lsPTXEk7f9UtnyQOACVEAekLyYz3IxKOpL6ygAjVX0D1HOfKFPBErCStu3XRgedBLrF1s +/TZUWBtmx3mZJI3X6PhShrD/uFZSIEad81onJj646a/qApHhqqRmQKoUJ8fIVLMotXEGccGVNiuu +DVqzKlAjQODdVDLAzr4QhX4h9Xe4Sskkkb/tV3kiZPb03vidDzwZNitj8ajJwko+WGxAMyRaESih +ziWPUPbkUNfnxG0KCt/dNfSBovh/bkv4cx4fXXRoojzDbJhzfCCMSaLcX4B0w00XnQIuT6yCuTOY +rreIwfWVHO946tTrsjPan7bY5iKNVwmJGcRx69ahiHPGrXlTrVLblD0O2W3LD6uGVgfwtCEE3N6+ +49J7ywuBY5noJjUXmpTSOakLP0hCkhArTpyjTrmw2m8blL62XpruyEmAEkysOba68X2oZh0XpH4z +6YrWoNhyZNAzqnrMq2hbc9JylRUB9ZRj8K8/BhubqUDMUrreVBIC9d9dY66T1DqIeN9L2ihHZtkK +lp16XDc/kMozrDBAVwN1lMXyO3qfQlSc+JOTbAI+aVMmJbV1xFbIudr1SqeSnazArjw2aPLwQE0a +HkAyeE6Qb8oFVfCJeEbTVu20RI4Qn/FC5s+JlhkDKApkzex+3ATqeiZpaMELY3nit9VAY09+cDXX +Z8R/g6xBBH/5f4F0gL1pVOrnOiRT5ozY7G26LKV7YUEnnBlC/Qdul+ApRjuXbI0Fk/sY1N7+M9JH +a+FngNxXfyDHUqOsYJ9RG0AmOPFXXEH2mBISonuIXoElwBK/ft/hI+PHQ2+qJC0jVCcW2rjXjB14 +8LdLKYAiY+NfUXSPdFGwxP+es6f5Ez7V8pKQJfQMwkVZNYuhqR6w8wNo3POmETHqE5U5obSSSG0L +7gwJEFBMol62WMw4M5Kc+YWxcQ+Yj97X4zvZaWiwfr2Had+SQpt2Lx4NSf9meySfE+svqt9UT9bU +dt66hM5AqJ8XqhbCnWwpab1oYBdKxzBHW5iD1E4N8Oorsfvr4JSyAAItYg6BzeUioOYEAM1ki9Wg +QMk7t2pHNbI9hBfYp/1WX2ZWZdwhT0zAIOy/PvkdKQu4WhTiy7soXXqldJw6rLDz/V0cSxFPH9HW +4HME0kUNr7dz+4V2LsYDUWQJ8+ByTmXIK7FhLE/ESIHmtSaxPQBcSVdZtf1D/0cuBKwd/LfWMYPE +T/kQyYJG6ojXOxtAgPRZSFJR4NJNESRBz2ikIW1eL+TVC72GMhr4BasQfrSfVI0dR5DL9xhpModD +wUJfvT1ohlcoAUUP4DVv3POXm4pL7i8gydoC/WClE22nDBl+D51ncZvDRMvadJyLzewafUFklVeh +KDBNVDyAYr4Wu2ziiJRmasnkINKivckaLRJRve3oCUZ0w6jUD/K7HoVYQXrk27ZylAOdWsJcfhXe +L3SLtvkkoMLsXbOVujSyrS6ZoQVbyKZgkBYqB1ckUTUgm0uMHvccP7wH0TEXxZ5JJ3GfcIbLUR+0 +w530brTHtomE9m45P5l+i0b8SOCjuA/Fb3HxVL7RcPCxELZ18U8TbIm6LG9B5Fj+JJPR+rFhETpR +S3WbZzcFKT7lF4Ak5xz0eIzwpnAbD6zGdofHRGOXuAA85GKzq4xWqGe8octoj+BAztvpfQlYVsOx +hz65sUYBh93ObIiEo7nOVNhJwIoHvGB/OmCPyTC4KPQmMtQyx1sb2ZZYCXTw17xas4qsfI49fVEJ +ieAmsGrskO4qZllA8vfgxGh8ukUJ/Iw66+LrIyMHfoS1vBPpvuZOKoXYK0H15C+ybUDab8quw/wd +QBpddpodj0uquoyekHNGKZyp+17066dEUnwnbkgkJPrLw6VhKx+FBYQLq24BctFDCaK4qvMrgjzy +CQSB/VxcsEguPOYQ+S8la5uniQaqBYVt341GA5rG5fLpkGhJ0VJFnNsKd9ckoDQHHxeAxqHhvlD0 +Jn/lQMHAEgim/SuxdaPNYcijAHc0JwGtVDkDUkkMjjGsXA0F3hnfWmB9pSGMGDkapd38O6Td29JX +IkNL/KLhUzFND8lwposFyYYJE52Qlp6gYGkeUsPqWDYgA08s/EBmHF1zRzY+vBj5+IXxzcSleFGW +VhhL4dFkSJmdDk1SZkoxX6HfYO77ZS1EQorRJWFmAwKbpNVRGjcbHSmMzRubrWMiiQ9VZd9H5TN1 +gfCtzLxGGBhvdD/q6ZqDmkH2ymKRyCxiqH1s3V6uHet7iUVOTzNO6TLaNhH3u6TirA1IjJDMTZPt +r3qiKcLWwNCk8QxMlImHz4P+QtKj7d/SsA6wOhRLwiAuATO4B5C1OLxGeLAEL3tRjKXIyS90h4pp +ThIbeijuWYsOWZEY/WWnpHTGqpd6WAMux7qOO0vY3zkvSuIy7iltXpMIksD0UeW0L4UuQIr2hCuM +uez2A9hS0IBM6xPVPUVBJn1Nn9vMZmdmaXfhEda1DgqB41ahFt34ja+epAJYm+pqr1o4Q6fQyy1B +G05fqHETsOuDsCpfnN6qEgOI5lqQkHiFBHjcdmTKDxITVoGSEM5+glQmwmwx9c/Xdxn9kXeJvG93 +sUbU9M+ZyVgPrmNaSWltlq1uKUf01o4PAsZFbqWlPQBO7GSmK7RLaa82zpjV4xtNIUZPIJC/+Rd5 +HdrZyrhULypsd5MA8euvqj0QuurH26MtNdaxbe2+5K7ZtzgwS4/n3zy8P229DxVPw9LrT38I8Kiy +xhr7wdUVNnV8MbMjDNIBnrt+9RRLvt0Omm9VzWKtVech1LcegONdlA9JwKT//H+akXfSr7DL2RkN +sVpRp14KKnVH0Yy50Lgf9hORk99+R9ZNzN/pfpfXmVRps/+9fuvo6lAupfzy0aUVh4iGS+wVE00F +pK1bG7V7Z7OJkEgRZam+0BFKcPrlZ6UPv9C31fGSx2ocamgudxxUOpeM0Sk6BegUONV+k1//dnbT +4gc5QENy1AS72oGoeD9n7NS12teH42LlvRWALjkv6ISUXHyIYK72+sAg1rN7LhJc+eqeu736BTwM +ZTnaFPK0osBmv2OpUKWTsGo4SklZwMGOpsa6XEXCQMt2dRpgIEq4QNb+4RbmsczD0COdKUBRNFuE +DUirc/xHV4tWSpUFVU+gqEZQqdxvqlAUNzaFj1nWIbnkxeeEFv1723zCUoblXgFvX97skNcbfZwf +P//PF9cp3NmpdaQCDuuaj3e7jut1YHY9dxhwQgRpeLe1RXKJdT1U6HWhfOsjEOeT8a7SuFYQkqWt +cP1xgrAHSFssZDlQtemcWTNexhFfHq7h8J0ogBp93ZzRTU0l5HdwMog0kW/hztHvRez5jrtMm+N9 +vGChksdnUpQmnC1fL/uw67cxaZATqzop1QO5D6IcNtofMk3+0dEKuIOkTpmYebOl1nv0LiqYcrRK +NrpYVWcGG7yH66eRCb8pNWdsWsUu5oLjvv7AMTjdhbUwfKwssrUL080i4yqISe/9qKakj7tGVS8i +6VmXm7ibSjG5syBJr/KRw9w3sChBIE2wMMezJoqANoW8Z9oUG1eAC/v0AO4sv9x1Fe8BKKAiWZuE +o3vuP+edhXZ8Pz1wXy0EHfL6ooTA+dzmyb4o/wC86wexw/yep6uQjdgVf+8fXyP7LW2igZleLlm9 +GlVx96aY5D9hpwCCStbs/dFMbieGcLBgfB2W+IgGNLUWz6CTqhqSKzkrBhpIwsyPJeypwTvyunYs +1UDG3U2uUwitCoIZksdCbKLsxoGcYpOzKqA4OvWY1PK/4vWeA8FSlme6eEq/NcHn4mIYjsjK12y/ +pq7N4l0hyvmxoc6G96oU9JxRHBcPSvQoJFa2dWx77bA4jy0+M30C04fOsOs8kN8RLXcKdGJOiXoD +T5h4broxHSMzETdWCaNHHLshD0kew3WlOX13tnGqXtPgjp/4jbX23cbWcDZjM3PmYNw1JLU1rB0F +t/iWeYDYDwxDJ6EzFDXARJY0qd+r6HTPC2CBdzr+U7AuSDCbcl48Ui5WfbQKLsk9eDMVj15Tw6Io +poyONZnMZsczNNRnHFvmbl5SusedgUHeARB8p6dBm+ceU6LgCvTwh/na4YkfMjaf8O/CjF+MoxH3 +2k0K2rXJQ43Q1Zbvf65mGWhnsT9VZZ4C8r5jyUn80if4oBk/vTaK3j4+8cY9gMn/KiIVtqTKH3w8 +dEdpBjUZ7w8uEUhiiZn/Wc2GM1eu90VzGTYIpvTQwEHmUrnQaty5ev8KXOfGfcFgeE4gPa1EXivI +vgiPP7Yy2agsFLraYmMWfR/5L8ZQQcZzKWH6Kr0Qw38rwXXpzXH4OeQ6NwHIpLL9P/X4077I7UAl +4LLrrZaIdD6DjIonQGwu9TwdoSj6elR8uGO3EfmB9Wvek2asjcbWEDvKyoJsDeVAakXjbHzqfUhh +86zJZeFy7R4P7vOoZQx87tjvftecdMMg1EJt+xb1bdyxJywa6o7MurqJY7U7Q+utLnpbpj8BfoXd ++aIzlHZOGPWpYI9lrh1WwcydqOuJVIEZF94Fho06AuVCrWNvhjtQg02hasVKMKI+ZRGqmNNBSHKU +td2dIPhzSc33pFro0gyF6trxleqt4A9KAjVo1ghNAi16+UGTK8rUvU3M9+NAFsDOlDQvJjeYaTSs +qgZ7BYV5os7Y0faSa1qr2cpTeNmTaL6pk00uZAUE1yZKeT+MsINHym3hakz7lxu4NE7B03ke5KfD +OLXbqthnM/mbyMEEjK+ZgWmJAZ+v/84xDcjDdyN5xrC6GH12ukx7wbUTsA2j4++iRGcfXi4AH5UI +T+rzRCf02IB0NzjwDxxrznYE1j1BPWc/C5xG6X1Ww3u8OIYjn+zVSlZuDajnWzNM3+MWZuE7GmAv +qoCk5ebEffU1RjzW1+iSfriPzl6Ok0sPBuBRQr3k6qlGP3mmH1I2nI9WXr2W8OA3tJChWgjMgSGJ +H/nnEyfgccg49NGXO9awgQpd+XCYpNPtfA0wowGrchHZjO137LUrj6gYMsAzAfu4pMGmmdOENqf2 +NuSk4Yd+IWrPvJdBIJFP4jMxk6nRbpUh7KbNKTuLK84moxvD9cn5CtTOQ0hMMX+iAazJ1vbFB0aG +BjNjXcFotmYd+4ivLL0CPyC7c8AQjj8kzlfzRsz8/V8Q6vSQu/a0SfMtgCEXwFNtIRHqiLCMYbAS +FPt4hSau1gYTjHSKGcSVGMXvvEq1R/oJXOQVG3HjzKEfYBUQs/dnRUTlDlsolzg5URQyKRXbe3Py +r7oesfJhNyg4AFc01SBUVvXhWEiTKmiuKkPJWm5skKmdL0+84c3yn6V6GBGtn1b1gODI+OJF4pgx +5mUkcH/LQ1fmzh9YXv8lUrayFHCjuLofa8TwYtprL7BvDfC+PGKIju7eb/fbNN7i2v1cTpUF7jWP +1Ed98dpCOx/0yj9wgkmUowt2lr1qe7hmQHN63GC8Oh35QndheSnPGJG1WglG7z4Y15dluAcbxRZe +yXgfnwgTSKgjARSLKJqMtcJujHlUuIg7DwSDbxc2kXt5nxNqRKAQskaY66E1lOEdv17V0Hibmp5p +dtvH8CUv35Lx68dx9xuBnX8LHOQJH9bY2072+XOA1vlZsnZPmuu2IbIONSK3mXxDOQA318kY35NG +TL1xYQEniGtfG6q7nVixr74W/ONk568A4+Lw1G3Yyz1kYcvkyMKoJINLV+gYaCmHHInPxDi2bxRO +NdpTXqRtA5naV/cdn4x0aYeYMTHIySdGpZdPxFk1uqrce5buGob7kkNM0oY5kKS//yY4FOunqj3k +DSlY43g40iEAQ1AMErGv8c9vk+S6h60FW41E5syhrLQ+dTZb/U8tAnedKlRt4Gyjn5JXlu+aXegd +3Gbnv9XKko/Wjr5n2X95OPWhh8QNOlCldZX+tIS5fhXl4IJ5wZ40QxUSPVvuJU7rCHyJyRz5+RrE +o18wiqyt9jWGtWxRbyHNrSeeY2HUDezWap9qgDsHjv5H1+foBgoFxwUPXAkLydhFLuP8cxJX6lb3 +1IC60Wl06PYfiduVCVW22GhipEh/fCSm5zpJteBWS7g0bli2RinOMLooOmWFl0E0EQ9pzPG3PwVw +dyOJesOJMiWKBr3ezIyBAqpP7XDKK1glkSHbFY0pxuKK/yQUaGqea4PZ7BNSJpJ1cSzjCOjG3wsM +8X161SWeXzBQj7qzZ+DuFw01yXPxaWq8KOEMenVQcrukbBnvaFN3X0Lg/NIio4km3mvWIcX8PJuN +GLQrPHBUkC4t3lVykbqRDHkRXWzFDKxTmeZlXxMzUeD3oESBDbQj+1Wxlh6oYRznxIRr9199FQ9Q +WdwXOFMpfPZJCjEZOyzclQMJ6wWi6Ig8YtCqJAn24kUAzd8H0Of86KybtPQsAM5BC3o9oNLAoHrb +/1U3vY1EE8yptpMw7yRjH18qUyGDbQNYwd1OiHywF2EwvxLCZMx4g/nzhQ7DgthrG4eYhfjcvrqp +JzLnejWetsRWbrYrtQcHFkMQZ6hjs8z5pJqHzJIUp7u8St03Ae4RQop08XP66g74ZKyThKfJ5Ucm +1LlKFM8v0deGe/Is1q886TMVILK2C9ctLRcri3kLPnAvj1IonZm0Yuby/rN6qRkztvWNV4hDb9yU +pqM7/EI1ib0uwyi/I2G0pVs8DkibgWnsw7dYeDzrG03H+OqoAFwKpcmzqVu6970pjFuZHLlKOKuc +pfqpwtjU7rVXes46FS8D+wvKrpniLAnLi4bLNHDU7spF0Uwm96Ef9ffSs9KHTQEWrbW+hxWn67w5 +2NuaL9zU1oFmW5hqZnDBa6YEyNYDR4U3W8Gj2N1sx3WUzX8gIHvvay1bRMO/BD/KvHN8bkEao9lR +9IouEUGYy7WmfmcQJt+RGyz3BRlso0s9YEXSgPbXlMhTTqX3pHjvtcqFM6eUBJD3Xt7ZhwZk4HmH +pMwMOQRUacJrkOn5kKCSHiJy716WdbwILbxDDrDkXayWlkTySsHJiTDtagLGUmV3P3q2xKnQjPBh +ylCrPuErJxlqj5FweBxaQLkKDu88NFnCc7SQob8OtfHXxkMh6HJ0cxvm0wrtI+wE5S8H7ZHal4Kk +sSMfZOb2KayJUeN9iC12FQVq4wzOpcSyn7g6vGyivigG2LGCWftgnNwLEb4tUzPjqzxoD4eBuDMd +FG/u0DHDjY6VcaRIHKzyW5EKQ6845nKJCfyXIYRSWep9ikZS96DVOGPaVjIH+DEiZf1PUPsZd0Pb +lYCzs3EnqoYD0u1+D+0NsALQl08Mj1NrutqCIS4eJypmJ/wl+AVROWh4YQsUPYwJab4v5sNEGCFk +w4cNhwiuBbkxbQsn/R8pQRurFjLFhxGdYfVhgsHhi6+AYZMecYvu58SPgX8mWuDyflwes2xO7ZQd +20+XQkuoOfQgGPlu02cWJjN/j/QFPhB4CPGKkuDX0p8eZiqImkID+0SWnHvi2868gjp+6dga3fVx +O7/V5t0mXd+OGkh2Hp2AEe6nCn0EQyz/jUr5AxbRyEKPbqwqDcnEqn7blUtE+8b7L1XwmNqjD6gi +7hwJm5ybcnqRBJpUIcQkcKwkwpYiuvRiWLqJYJ4FJbfU1Wl6ZESZtjoceL74HHTJ8qPMA7qsHUe6 +hnGhAPYbCZMeP/I1sNchxfbu3icwrnDL2UqnQqBDhyiT8mC4XtbH/x6mO02b/tbyMN/heE+O/D2U +qPQNWiRIiXf1YkeOMrXk2p4KVeU6QYh3gwl6SCuDiPu6/0Jcof5Ympa7p/SVMeQYlK7SFT5nQ3fW +Q4LwxURwAw8ltKo7Q1tLE8YKOmdBWYq3bByeDIX5p6Iwga21UGGp6NfYQw/3Vp5BIPhMheMtIDq4 +FXiR5Vfy8/0+W0pFQ3GFnMzgaTF7ccrKWnRZ/3Wc5nJePlMAy9hVQvTAhHyyKXVAcizegI8F8aRJ +zPML/XftvUTV23Q4LPmzrovuHwfy430HyCMyaSrSH5NyWyp3uSNROpubrZHx7bESmrYfPD0J7YLx +StsFSleKLJy0j9CUWU7T0/WnfEAwDCbQPcLs6Rr0z7YbmczmBw3BbxOWbBUZWxYmhWiVJ6vEvbll +UeXEGPS08ib0zzt/aTUGgzCXP7i3qEYvchFtjDfqLNfsyrSy02eQjbuFxu3F0u5Gi5C6M3cx/GAg +t9rfNlx7JiDfioWP+sG5py84JmzTqtT9wvGJV8bNKz4X8nf+Stg+VGNbwtIH2MxQ1ZxhQhpZdfs2 +ndSjuZEU6btFut4e8H/eLQPabs6gF5kXhNRF4BL479eHduyPkYobxDRW03yNvNoSs81TfgNzueMF +ZeARCvTx3WZQPz2kOeJ34nUMCaGNuBMGYRLxrWLoXMgy+EhVZxMSRRPWsQkXjWjulk6zBYVAV/rA +0uMhClh6Q0sZ4CJyncI3evKWXCgnqKurbrviK0P7SHcvXNiedCYEXurusMa3IRqC9u1AfVOKZ8iw +4T4VeNX/FESxVEDHim0xJmoNQih+35S75d2aSzK+zlrYQy+t8QBXc8V7kz6DoqspJkV7IU9s+sZo +IJn6ArF8bIk9Giy6JI9mtuEFsJKGT+6IqB/hpRZ184CUzdhjoORvT3uRaETygAe9uNRb3cDStHCF +tfYSAyx81niBzrCcOTL1/OVKJj+8TH+KR72CjaglXlm57SuN/yMXSd8GfVzDsM1MP2huXt1fiknr +DyNCN05Y6ZZzNoceK9mrxK2tV1wAHrIh5qAdGpc3VCZbFn1LqsSpmiABtOMMD7LfEc4GxE/Y197e +KzjM+8NfMiiacb5c2uCwPF0FNTpQ5Gn6yjw9nNFJe6Q4wdfqnlNKGpsqpaw5+hHqls6yzB9KR796 +Nh3StMm5RfMEDm3b2F6Q9k7z4NaMo7dE1+TSu4SzLiDlsRg42unj13CzSLwJvA8ERH3qbcwZRGo/ +Z99YJJD+IhRpaBlORHGXG6VjDHprRDa2tXRgD7ztabdH3N0fujzXuCN6JTwGktOSmU2ANT2G0zkm +3WIRy3eBpLBWl3OlClAwmOBatNParW7Va9V+GerCzS+8diWCctSEqiON3Akx3FT5UxXcVwab+w+t +4EXlkMoEVI6aXUlcu94Tj9Amkvt1BaD8NchNlJ7Lt+Z9+fSR090HpKP7RtG6hO2Hk4C650oPZwcs +nroMD6DQ+p1wumL+Bv8680PQohemr+1Y2V6pBp54p9li+GmjDqDtc4iRFm8aIwbGpNekv1MvDxoq +hxA29I7lTJJzdba3srGvBjE4BCWKgbttx9XBdzAdfzSyLHmz8YZHmJELeFGVR1ZlDpijx/WQVlCh +S+FjAO8W9Gc6my5W7rS84PAhnVz7eJYzO5S1DjrtAlF/H+2ihDDiy5Cw+wyL6VqjNj+iaI1GUnOP +0zpNbKMSvI5loYIWwZiem43WVQCZeKPrmk/webEdoDSG5TAdk1xgVsUk7d9tQhRPQiG+OuroxsFu +uHVh3BUBfpOJyfwgv3wrxy0EVPm8nrm/EykZST2f/VHRyqkH2TB+cBLNzpJmUd4G+OUSw0LePoTm +nqjLRDa2bnOGmK3RbdjhRHdiYP1k/+oiIlwXRfGNuO7t+6C+WrQbDi3AQ9Mp79IvXOyxtOKlYbhx +LOobX7zoDpsVE/VFGygoO5woxq5Fmjy50/RfUbFvtjhKZA4NrbdKt2Zog+wEWXdXA68gwfatawc7 +5uSlKatlv6Cp1fNP2OXMGT4+cvqBVfU1AEYGxqteyjQFZ5fSbpNdBeh927hGbphsreFcu5THo2n+ +Lx9uTxz+TwxExj/tbv68es1IuH28EgulCO2YImXw6WsBChHNWPYfl7ps0AqSArq47dzcz+dz1PlC +7PuGVN6BOaXXPHe2qDouUTx8SqTmLkZF5vju1z/5r3aJUMUyYEHUMjeY70FyOihBKweTnVJWzuGm ++51kSvp+MgJB4i1NLpb3QgB4sbpQZWsOyqVStullyNsi7I4yz6MhduCnv8uOZBlKd2GM0DvHjfFD +nRW7/5/wGpul9gPiXVPdu9QSSqug4IUzwf+zZZHlMxtq7LH8zOmfXg1aDRk3uE7FrzC0DIEVdBVn +k4PnJUlDVM4gnCxKUluHxvWnM9Af+WPkLUUoKsrtvtPxY8ruRi8xEC+H1qpwE18y7WgKoLb97q9j +VeQcrgS2kIer/jKu0y90rxRB9b6/+U9ou/+t10OrJWvmbNPU0qsMLKvdTJ5ZNn3zC6GYUvJP+Kfo +3H268mTs6GSxo/0CAn53oZ8MAmH6/p8xjibCfttf+9OaBlCcbhMz3dkdM84XricG0+Yt0S6hcTS2 +o+M4eS5PasdfUu+O1jgVR7BgU0hyeDx/68z0GR0fu0o/zOLhr4bX6a56/l6fc4mOrY+8DwWctOWU +UDa1VdBSFnbRhnO5JkRRD/PfISTH5PJeixwM6uRrFxC9YqMqGRPovK3iWnMMATIYzK+UhrFNjIvh +RK39rtwD8epQ5TvV94/RAN6kUDAynglQDLAg48VsIJCzwEA3geKuI9uLOnRB+itFk67a7NE4cZdP +visrKWDr3hHX9A2DOAyHxYlH2cgJeQcLt+8STk4wyoDv/ch2ClSMVFe84kIWTI6o9VSGWV3OAH1+ +VOsN+sYFE9n1Lfeanv+z/7Ul1XTvKksku+QKEPklfKuyDA16TfelOl/XgAVn94T/mCUWWhnhKkyr +r7n/ENKmsg+u/hN63TGWk3pVwuQW6M/jODXgxastYqAJGKUp1nLhDsaBEYxGDi0ayNpPWX7H9DgA +tsG7SyfGb+ze/JRi0S+mOoHk1KdUu/eYeXJ12by6EdbDMdaYTuidObXRVag+aYJGwlfrKzAx1JvM +hyy8xz8i1f1VbOBdyc0P3Wesi+Sk0vG+I9Xobl9D2czG+nOZiN+moXMIMH+YeqS3gqXIF7IUp6hV +XrdOtVYGECAM+I1iZTgMjNbQmWEPFdIyBZM+CaovFxVOj2N9qKMjCfjhfLHK7DaBzbj87HHV5lRv +z7R1GYNuMrudQj1mS/NrvMOisAZrrHmXNJ2k+3PXScGbPalozQeO3El73LA2QoTbQ7WBrdxG37Ol +7q6jF8LUnhmE4YHIrpipy1wCfdoq/Khct1Su4NfQd/s02jclDub3qHWGI8OJZ561qgSCg9+N3DWW +xZ0+Gbzg4i5qcRgA9uyaQF3KDJBKfOUi/A7UsMAQ+gQOjVPQkQDYBgonWqQP4WSm+exspL7uC6xH +PsAbHFp/MUi8ppugmljBk8gY9+33MSvpUVamb2xe8kMG5vqN0nh/0j5ejlp4RsgG7H1s3VUNz227 +xGNOjOTreukB+sFtECvRbi0uODdWpqDxXVko8eORFJ9gQijDwDo1yk8/hfLIoNa7Cb7+sWcYs0Q2 +5qtA6jBWKwOjg0vnEsP/oMRV497voMv7Hsq1mMbPhb81rBap1pcGPAYGQy5ecz5ZKZ2ftHlP+ZY/ +53JGKKHXnbxSV/IM3CwN83QKKjd9fJ10o5f+mvJE9FQL0VdA4IA0TDC9tpYNGosaWeB+0zRu9C3+ +id5RLnPYwdmGD2O4r9P2n7MAYDxAtSjr7JDLzvHKuyIRd2t6H1MqKfINARm2J+Tqs7rdDZHp8lZ8 +h8uFCWW2w0nRUpbWoLyzY6FUBYv+3UZlxJ7DA0q7wcTd0s3GxQLEhltr3O470oQCBiRwjBMQy9hS +RtQD6iS5d+V3naF1d5CUxLeJH+zTaCt+pDtpE40oG2WFTajS32GsllRoJFbRYFSkm4H0HbuRQJ21 +XtQZXa4DKRejwE9MprrEl+R3RI49+5+tBc5YSGgRcwKdnzOplhfEhSs0CXuOJ26AA3NAmxHkqd/i +A8rZWcAY0DC/s3jSe0uuXmyYEXuIuaCMQ3is57ZSL2RAWKplTUIwjoRvAqomAstvEJURkGarHPPo +SNZs7yo29Lez60gNyLnpe5y6il0KBECfHsMU88HO7N3p0xby/LmoWi+0usILIDokdAOKoFqiT1li +cIU1e/bC8tlAbxOOQr0obyYhTTHyoz9jESCqeJlIFUhvD64pNUWmWlfi8565GZrc/O1ztvP7QPWt +Ke54fgM5RcGG0mbJw+plWL7NVF7pxL/Ke0UyNh7d5XXvAd4NLo9pAXIIv1VE5PIdjRl7+WHr0VXD +yYshIeorGyyR6xhvU6Z+eUgf+lj+n0lKpa0DkNCzBP0vZ7bD9mb53DckKYVIVrNH/NyuEqQ9BXRm +BkXnmwMK2X2Z57wvSGyPUkZ76eTFJlNqeFVRoEYEonnqUissrGtCH9xsMKAvISbkZ+JfuwI8nl6Q +YCs+j6wb3SUn0i90wZ2kAu7Ta57gB7+vINW3NatyMprDuDH5PtMJv2685QESxQE/DAWKyZ8LA8KD +4IUWPJbR/5rzepLf65bid7W1li/QT8B+E7S9DRfEm9WEBhQjX8+a/La+H+amnc3/FRakcJQ8mvd/ +D0dNy4iI+9aokkbRmMKWA21TxXltGATUmJ5YIo8latcS7qInH2cXjRXDZMXsu8UJ0WeKAyg8dJnW +CuRXB8CjfBG4jbayVhzDfFZ8Zhu14ZfDaxFz4dTEkDer4d/gbtup7dHU4xX+kpIjJW8isO53TuNp +MX3Lm20VHr0EATSVlUcazo3aVjQXAXaCrFeWsFZ1PUAm8ZO5/jwe1MVU15ic54fB14QR6sIQ2S1Q +xgOS2yxpm6fHpKmtQkrfSoDiQXZ1yWu1rCNDwAjCOhlTTkk4QnTxaw6Yzn+SYlcKniOvh1upJmYW +UJr2P8piuj/sZVJcxkT9c6OKAUJp+8zraMzw0bQyY4Y1P4X+R6LIsUTnglthtc/1qK+xWjJiJbdD +Y2qV5jLDZjF5VMFaCAgcxDqlC4wSKyAdmWp8JDEtrDxuOF1tiUw9qh6FvilvG7nX87Pxx2vRDGI6 +yn46U/dDK+f/DiLKFzei/rX9DokMCM12JlRr6bSgYenrMLJOKIqfmdszyLZ0mcpLQsHZBYnu0VI7 +XSm5Em+Mo1vb3Paio2nV67FOyetdKB2NhxKrTotRoKU3iBmLzMj6ZbFkt5Ov6aBWhUeOQ63kJfy5 +BJbEufHtXeIf4C+2GTUMPpSTCnEl+HtuAuul17Ef0moNmctxmtUazU1g5VDAYiyJL9yTiW+CgfEw +E3UCAE33zfgqKW4L+MyU6wgID+Ri/LvbuuAYJ1jZRkatoI6fyq1lIRYr1v7aomTwIZJj/wluTAJN +MfRw+18m65MvIGD8ECUY5deez9IWsZG6wzfomB3PsT9jYfT6DWN8Am4OCXU+aDSMhszMGRmVWTYh +2D6Rm0SRcyBGaoCT8PYdClYyNkppfd1kCj+mwtPsZNT5HUfdZ7Z+gGIuJoLIZIeX1PId/7dCOaCK +qJAPWWIKzEItk6+gzwm2YC1lVCXqWHI3vdeQOFyAfENaycJhHDDlvkqqBDAB1s7jpdbic9FC71ug +lBaHA+4syL9yyQ7cesDHKiCV3HCAwhFpN+ymA3Xi7O2j4DNpP80QsTIqSu+uL36Lz/ut4ogKsaa5 +XIvfDOlaJJgeXsMUGMsOBvF5xDbLIuq5cLAOrG1RdXZoZr7hdy0cNlaqqPHzp7KIJHncLzcFJGYP +6j3F6+8ug/ckTGicQs4Is/hiL2urF9sEx02VMiS7P5unKTq6K4h5mFn5UqLWRStPEwyhagRP/X70 +Rvb+YjcWv/sudbaxgasYcW5spHjBNfVKGi3FKJOb8J4Hjmj0RJLeKAe7jY+S8qDr9zEW39ORRLlM +Oo34HSp0+osQ8St9iah1wH7Yac65yVLcgHkNFMxjyxOt5wSTS7Mscg/iwNnc/95mbljwznVeSZK2 +3eYeIHfLBMARw+g0tvZvdZ3Sd/jCArVVaZtPp8b0KzX/XZkXISNu6hho5KZ6nBb2LbM+OWJx2l28 +pRWqkb0LAzyYVIvLcKp/UZRKqMD8WyviCQwQjYdEnTIU097nmB/xvaFcE8vE/JMqY5EPzbcq4Jio +9xKxzpxDzbBn+YV2sPucEI7710G9F4cY0YJdctKrmKAp6pKD3YxlJm2EDsHwqW0V5kcQvE2yN9xK +SyCGYua2jrjYb42zTNktRmsgpe7oEV3ude13k2aKWjoRKzI8b5bUhZoH+vdorXMMuN8vqNBsUpiP +hhSY8c95fVRDTivTVpW20IiNDNmAikpptW0Oazc6eIf60Okz888QZOahNXyczJL8oqrCGM+MY4YW +wCCSNNC4IZ+w7ra69v7njX0UTIRG0rwMB30k3F2EGrqSO5U3KsPLbD+A4NX6AbWNZ/rkcmPP90Se +9vxz9J9xEIFh4wjMophY6RxiXEZ/Dbxc6XQ5/TzSgssjK4UyRrcKuryXDe5G9fJCSHyY2UJdPYqH +1/Cae3GvEgl7kStB4DQnYQs+61XkMe7dWyeVu8n0DVgDyjOKo51UEDt0NW1odetWQignwQhCw5ej +hgE0dW/nPZNW+C+Gcnm6US7Kd4bSDk6rWLvD9HH7TstyzfPkCehURXOBe4ObGlGC3GGWv+bnkgf3 +pqspdIfoiuk+qUN0wAt6uZ73dIe7+jN8Ljgec3pa2NhNqWOKe3MesoYptDb8YIC6g1xNiEXYhkfw +nr71r6tPXgCsrxQRJ9iP92B282WNhYBJ2JvAgx76aoaQM3K4WU0LPu0AYyg025P+hy7l+ShmB9fa +hDgtdtN/PS8wfWQISIZDmsYE1FGZK/AFiXpEN8k883UBi22hf4Qi2EpOqtyunDDlVJ6+EM/K1KRR +LK4uskMXZa0PBqlMI+5USMX6Z41tfhHTELfdoeTBEGoFhmFtLt3Tj57/f8XHoakBjGOd6VU1FGVl +B5/GWuu2qbcHs4yfx5ZgUDnWARvZZgjUhiyFlPgKans2/8uBuavjDJTqx+V+SwNqvSgmgLJ40Clz +l/jblGVvH53L0+w6b24o/q09seDUWYIMBfGTNBw87vOD8MFwdLcHgCOcdG4DpRGh866DWu6AAbk7 +2YyBi/V7BgDuDzBWcZDAb4Pr++NAHYEoijMfz+2cCyXkX46ehyDHUBM5M8GwiZ0lAhSoS9Hdxxnl +Jc/lbbQU3p7oeRb4Z2qpQwaOIyJufyuTzmGkUUnqfM8IdH84HK5e1KwkLXB4AKr+oYuE70hdBplK +Uo47aVSVrtXTk2uyuaNyLu5luekIWmQ4mKq++l9UFRIlsgpvhrpa6vG1oOKPnhvhEVp7JW+DTe3X +HN2FRXRxdH9a8XJnXElxq6WfhYT8jW8In9Jgros3/fUnUsIkZJBP4DApz8XyG9LoshS/bpgghLq2 +B5+yPvI3rQ2GKYDoDndTLfAa2/PC1Kx+U9susJZjFMWg3A6Ko2OJX6xak3kQ0jmY0WrHKu+N7sYt +Ml/VSEvMqXz+gEsu/cAshUnw/S6GEK7LRCpg+uvveY1Xp6XviZYu/ONshZnsvwkwzMl4wP0+9OVe +8Kj4JHrCs/H1ZgwnipvX7qleU/U4dBOpvFhyakvRqPGjV5ozFbJ2nVeuZAV+UTWvif59lZmfGAAG +EU7K+J9kec2IpQ1p4CXtlZOf9wyuPMbzDRor1X9CwtbvkajwlPZuCP35O2lqvvWS4zIV5mFZErT4 +TTOEXHsg08gRnUNiIfGUO5saZWGMobS/f/K+9GApaPcMPBkugF0eYnI+CZbHaW8JXMLynN+rSyaZ +054WsJxQICoxvE89Yu4IS+eUGY4k+4calfn3cgLhDFGTz6zVDDi8Y2zEicIhUuCxNEpc7Gj2bHMM +pOLsf72k0LKdt2uGZVyCYguqTu+JAWhmFrPIVgks31A/U85vkpnEpnxDxGfzvL6Z9NVnoLtOc6HO +SSO/NewlmrGwqYyz4mx9EVX5SzDgPonsXyorknx51lOVUx7ach2FnixNvpI7M/9PYnUzmiKzEpLL +JITOSCpTBpUaSdiSJEXrMXy1ogf9KG0GCD4dGJ+7YaOsgy34rA88Rw8ce4aWlx6js1YomPScfl8N +qJ+UeW3NKLlvNlQhs4FOQD8EAPXkorSDLJWGm+N8RrmQS1XZ1QTplPX6PpigcM+VC5TZN4q/ajwP +6Ap3LusE6tXT3xo77LPYoERpyGSjAsxgPe3+V6kVGUSUigBD0FoJy7682tMYk30Zzxew2wqARl+Y +aNB+POpZF86ozu8IPvggHrUqvqs2pO31VDJ0jGo7YZQ6Zovlp1kTouUb/mZIMbm3wQLtThBxrtMe +vAxMvNFpwL3L+di/equfnsmzrgvnMBx2Fj7ivBO5hhzaBePCGCXwB+ulWz+7S/wQiGBAIjQVDNer +02YoO5tXWxabmsOTYAZONE58fui7J1An5rZOx5W8tdpUOOxlWL4H1yQi/FmBz8tb558biQyxoRc1 +3KJVhjtqpkD8XbjkNo1RA24ROF3d2AlHaUoIHvbC2GxCEU9w4KUsbVV6+zJkBCj2Mbn/E906O86k +E9ox0+LkIuybbu66yPxcU0tg6EQMLlpgvu9DTGyWpN5er9xCN+slDPvg1Ba3a8YS/vq9+aaBUMrE +jNiD+K9JMN4QqeAdX9fX7Ak5TZBwgfyHPnC48lMo6lEGl5Y+vXq5L/tH5s1PU4WKkiieHtAA2GjD +krztoVn1lj2IFdsBhBuNUdMzjwUUD8N8F6w4jg2QzqmT3A8Exg8InwYb/heBSTiG0zmJAsNP93TY +B+cqn0vMIokd2BChMRO4XzhLl9lFGlt1Ci24ut20BDOuVm7hKTjGDoRR7txkh0IOVMaHyNA3XuM9 +YmrM7LT7/oBLa91rPsYy4jyctwS4JkQGGLgLntVe2J9fo4LIHvPAQ0yansS+p+/GYjwnHLIgEsU+ +nNZoOcdNp/371L1jopRvie7r6h9sjNqFOCBd+V60ooTX0kWjSNCP4dx06N62oMUPlFxW+QqmFbav +9p983Gbn1aKVOuGJnmuKiXTnyzYEidHHmi+RuIKy9ZQmFBrEL5sGVqSoaaTPEoXaf2ERZCu+nD9s +ai/q9q9vnsfi092nqSYfVVYH/v33q6CXigmgdxKC8k1Mdxcl36kbbg2VXa94jlb29eTkF6PCUhfw +Hb+EojpwD8ltFWQxhGQs5uNZ7OPGqwx0G6TmUZdnbAy8oDafDn3NKQ+fza1VySk2X2m3pcdzYiBT +m8aJUPymHwJWwY4B5nLkybXaIOVFkEUFJcjG9S+hrOEWMBmXAHwDaQq2pcCScKSfdFHAms4og8ZZ +2QkDZQLO+dJ1GQvwJrPxU757cpYtNSAkTd3xRwSwGkXv5Mn4eeseA6HK40aespaQHx6gAAQ6IUvc +v0tABhMUyPC8ydOclGaa6oOupz787+Dy1mEITzUL/iUrw8pCzMrjUDyIbkEHr88jUZX2vsaWKxka +Ce1g8XbtTJEk4hxG74t3cHTMAdEMpQUOKaoPD+gN2/+lw1VACEGRKKvWSdX0qoZC+Fnm6VYloruS +Ajf7fkylbnWLDm0RznPL9NRmw1xOMZcftSPTnpPsz//xe41HenFbgsRv+8l+VAdSOXqtlkDCL3pi +MwP6l+vVyU4bqXUnxl1dsXqp+fevx+hrFuDukzfZs6siGxzCrMj3H8CwojBk+17WBhPv0SFkh0v6 +hu3f8oG9IfX/62cXSx+B1mZx7RVl/mjBdePgWurKw4PP+3ma8Fo4H+2UoROUPUtsMyiw1QK5zUCY +OWe0kNfjSHNQ7GXAm4XAcUYEMAEi/YJMuN9yjpIkqrA+ILoGAp4gkdnimnVbq7I2C4kxahp8kEdb +YAEzSyamnXml+QfTYqg7n7jhJIu/ksSF7EedPhkE5tjoGZi6invIGLcMhqYJHeYE82eL57Ym6i5R +r+SyRJpv2v3s/4IoN1mOt2fDvJnu/FpGpw/ve0D3ApFrwQl2/WK83hPB6O1wVdBrXNe2w8Y3cVu4 +1iB7aTaLcewTMa8JJYdm5so1VYfPe6ZMyUwsG1qqb7ufmkhdKyrHlweFWJDBd1sO9h9aXXWPlICE +ulRBm8mKRBw42zeSLzJjDG6UM8JbOPr+z2my9Lk4AQfO6JXytlFlxCsURIywRPWV9nnZ0xuKpIhG +5Rf8gjpvPQNnjPAr8pgHlvq9HjBiq1Ain1bL1j/MazQ2vQiHL0NSd+oDIVrw92mqsvcNWUEbT5GD +7e61YWCoYzYmkpGK8JrF/FGIluX1bRtfoDRDkZ2+jYrZPxvx8Vv6sD7wGSiuslKuEjTN/QrZQJUV +trfMkT9vwtAX51SLkLN9UAZRaytx0UGyrKlR4kShmIOWcr2BHhEQTPh7R2mcj6BPAdRHWEuPIz4R +QW+/tSNRjupQwh82d9UT71HjnV5Fp929lZ9shdHuGlNCffo8iLOaaUJjrHMsB8f01CByH6HQQtuG +0eme9fqpjTTcpd9YnUwosbPbGl+oWTkcLJF3MaK2/jsAFMTgFrJ0UZCDlquSMVw0QZ/wGUiT8mHP +7MFgWG6EXCYRdg2Bh/yzOQuUjrvb7SzJIv2NzoVNrL+LIJivfr1mvLswYrAGGCJwHWkPISyFboZ2 +8rQJPMdt3KLa9Bvwqob0EKDeQ0N2Kgc7sftN7nvUOh8eSd/sg/cjDj1OQV1I1F6DOJyRCnvwml+E +mGarRbSuI9ywimfa55nORVgudUx28ebBCosCHfI/AaxUtlIEs5Lfa3pt+PFPVgVlzJmMQtIr4SlL +BlQeXl5vUqPv/YEpKntfRNIqQSCCDKdnYjlM7NK8lQfsSasMdBS9pVxjFue83XQKJtFUPD5oDjl6 +X4tiM15YjhD1eEzshBzTdKvd25dcTyTk9OGomTa5HWC4crXDpUHDXqNbF76GIW0T+OGBr8qljjW0 +nUUxprBEsend9HuIsjMdO1zsrdlUoY42KpJb+B2iXXArQbJSJRrkyZWMmC56w2hoY/pYv9DmvIKE +1NQnba5M3lQF8BpgP4ktR01Br6hbu5jzKBinJPr1bl9XKgYuR/pgt2s/3DCEJ7sUaaPyLEsIDS1i +eQmM6qBx6XK571TkCM7auAPmTtg1n1yOQ4tia5vfUdqhqMOM1eiPV1KwtT4mjoQYTbUj1OXPbGun +oWFFegeTWGd5fvyL8ZaPVy6Q3yTtA8Vd+74/MxT1Skg/8haEQms8wOFAtcGwd3SUN+saO9A5Lqb8 +Ii805Pkq5FDJTjk0jLH4RLNMvd2afr7ffxAPNDRa07ei1lqJIp5JNWxh9dnLh4cvFNi/i0ds1f4p +NKKPeZPWvrRky7Du1jlQET5FWeHEFYM2lKC0FlUYCIFs8UR9YOSPt/qSYCzpHC+mqaDfaDfBPxee +SK3I5M+PIKWGYfF+cWK0LAgBD2qSP7VwlGK8ffOh04PBUTW8pOuqVY68FTap49bA2U7oCGg/aUV5 +aile7pjnh++2SOvtII+ASvDuCm63Vb4WX25YNrpg+X1i8EX2zbi9ld/pJXd7wUZMVv9lnayqUj48 +ua9kRIVE9CMMhD2KyKxlbnw93bSvD/uUqmRlrZEE67A7hEazMTwxtN6Tf6OBqLaWo1BOMph96ZRO +vu78+4fTDvQNNY5uxY0wzsK9IV91SnGgKpSXs4Uj+PmlEZCL0OTnNfMWZmClNxp+YVNWb8eNsehU +2WANtmR6rGKb4xTAoUVg4Y8G3FbLQ1q4/YPxhGwKbY93mi0xYBO+lM10zLxDjt2si6ukE4TYPcEC +mbu/UnrPrsmhrGzoUdWrEWcqJFdYSSjx1OtRI166Z3IpU5l9gCC3QJKH0NKxa0de8Gd6+oXXp+JL +2+2z7LRSovxVj51WbB0mY6YWEFEN/L3x6+aE3fHXBoXpM79+uoTIGpj2k2LQKGBRragpswH+2+sK +pyjIRLG92z7dfFppTVDRp2vGScfiMjkvVW2yokLKmUohWWu2dtgQC4mgNb6eO0O/GY7OogSmNWqF +wvPdKc+JuE3VJFbOS/Pi/T5K0wje2B5sdv2L9M8NJO8NiH5rzAHehzaTLyjoq0nSm05imejt0zxJ +7Tk9zixahG4qoGSrXqz5h/btudQIo5RJAGl5TV8Z3W0sP8ue/DoH52yfEyTSwct3um3SFXa/JkTD +hWAwKYWlD7aisXj0vA0vVgv0UjINv7t9wirs+YQZHLbQzNoGVfkY7b3mxHuav+OVxelznWRpLb5j +yiAdd7QLY6UvS/Sbo8r9Ajrj3KBJyoy9gNKT8hezgB55al/xxwUmhN16KEKM3CV7o5ONu51dijdt +/os6Y1hukDQXJG8blrvV1B1B1vIuATlcjiHN6JXEXHJ7YZ+MtmribHX1dlfS17MjacZj8gHemSgo +m5Kxk9tv2+nwlpKNoXJ4ATDk3/s2LTJbaNDottY7lq9+90yIEYQhLh24irr/ho47qZMgBoW0gWmC +JR0JyZbp8yS6T1Ght/Zf2jS55ARJsZyTjvuhi6JYf7snduCv9pUj401rlBUIHc+Kmwxv/BBbto2e +vv9YZOQL5fWc6jQiA7tZV0rvA/U64XMc5Y4MidcdndMxbQIB9rbYsqFPiwWEhdfwpLaotxbNHOXL +mOHCSL8jqeMmbv3Ab2oI41N4t2DA5hrr9tnDVYNwb02gHtNQ+x3amEeaOtA9yE68zIa2yifr9i0w +2u7kaXUg9LOK2OgXfMIPDr5fj2099UWB8pjFdaOD0WizXeLlq5ijEyK9+v+nrdxVbf0nKJAK8gQA +xFn5LIIgt7VHgTQmfdKRgVzayHRD+EushnASl6hK4yYY9jc17XWWbfbXTkXIFcnbnhVXKmGMaavD +nXglW0dXzlb34tr7LGaYwO4UQDTjPiWSOKX3VHVErxibiCsyKfYfjCGyH+C8cO6ZEvb2IzIpyyhL +7hEriq2/4eLugMHKeRUaskWuf1IH1ZFzXk+EzLPO2Xf+4C8+VAkSojOBt+dpWOmDqKLgNmtlTEa4 +qxLgwEMmGUV72+9aoNmUYi5SlIvjb5Ks8DrMDAjnso/u19NajHjg3tCY9I8zb7JRVQfawGuAaOdJ +UkNk+BqC6C9fjtEsMYoFub2t9DpRwm10r/RImeE8qNXfkWJzmKV2A84ssMXz+bEUAFa27kiF6U6a +v7kRfCNRdbzmN0aLofchw+IrS5ueAaENL/QFHMBL8UWjsE2K77PemGDC6Dh8S9bxSuw+DKgDFX8y +SRatAqmONflLI4ZTfcCPaRK8rsCp8K/JneofDvgA70dDmoRL1p49w1Twu/GWz5ZYaml6qa/OKu+D +62Ko9Xf5EqimmBLq8DCIKDvGpjk5pB7t13dCrb0PxmJw9Int+2yEc4beqo9zyj/Utar8xK6n6419 +tsHiDh1ZEb9vKnlZvnfQgmmYb2ckaxLK+aLa2p8ip+nKqM0vCi14jBfhPVbs8i3/8YPrxzQrB6ym +xf9AjNpgLSINMESav4xGO1VTNoUXBoFm+N13A4n6lA/8fWfE28j2lIppDboalprBZ/ic687eI/v7 +FNVW6o0y9Ggl5d23Oe88T3zs00hifizyztcAfoLC+fnEWo0kB8MBmaPoh/BmuX3tOd0wO146VpFj +tWo51VRyhkK4BEHpTHWNnqiZBsZgmkLKaHyNSuQL3uH4EQcLP4K21KDNswr4phyr1iRrfosRD+C6 +frmbfOcmUzLiAGIQFOtd+XXpfWuq2H8Nnj86+CStR1WCmOXQoHpv9aCEWtZJkczXqP0tHCAAkcJw +QygZR73p2O1/opbMU0GGPcP/8CNQhJZCEex2XDzuc2KMeYICGYyW4talrCNYRfKArkzEaSFvXqzK +Mhv2uKMJaCqtbcee69FLPNxnf1pqhTnHG0K9O7+a1dzTW6C4FfXSvu2lAJN0Bdw7lYvVuLgtQCaA +zdJkG1WZ9tMM3uWJGSmA+JProNNKSj5MuQSqHzCzPFzV3lj5BkyljBQEgRY/lp68VokLs1geebFl +u5F2Kct24lzoo+1jEwS8a3qtngY8Uzj7DxVf8b+9Zt946JoRDqoCDwAE7t7bsxjPmF+2D/RzLZjn ++dBIfGYXf8TEMtEvtR5uCxxIcPhlG6SYd2Y8EBAs5nJ14R2hXwzkkiFkqCaOEHRMZcoPZX6vzHlt +rxroRta9IZsUl3T9VUcWqjpEOWS9NmhijJ900s+iTfCdj1S6RgL7/qE6Rlkacnuj3kjZTgdU03qj +UEKCb/twOEmE1k72cCIFRkEdY33JHwIwTK8m0GUe/nn+uBWZx0MVExdymbai/AosEhxUY6UfNman +I88ScF3/bzHoenRahhoOBmM7alkS/oFSya5gJhD5rNTqyNXopmkDobjrI9Rrn0BYMhamxzE+R7oQ +sNYhChONJbEhlhvO+0mwBCXj46hpedxE5pAAwcKjRrzmTV6pvMk5EUmVY67PrMGqFkLt9N8sVb7K +5EZh0bDXKFEK635FxcTx+aaSHgLgEsRjfKA0sTZaKDZFoL4JPJAMxGrwHtJe2tyyn5rjrg6PO3cW +EDLQX/b3af9TgeJ1IiWa4hBqkRbOnnM9wp8CTmhitHekSTsnuOzdL3f8r3NkZXaVe/K2ym5tJywU +ZucD3FYH8FRv17xyqqZROQ9oAWvWTsShO+lVv476O2gdb0jrJ1FOiL/Lh99+x6gACiOeikvPeiYE +4y/QFbkSiw+RFT2MGVJmFc9ynSinuqKSSkF1hm4rfRQTiI0O3JwoXfgohUcyjIJKbp6rUiSsSfQY +2D5H1SCw5tjpl1PpIzGvegW8i8y6mXL+fLbhLuuISst7LPGThIgK/YkVH/uo2NiVbQka1zOrOsO4 +hhLStWhFbn97PuIMCzJ3dDIXi2+F5CU9EMZKRgLTQGx7jVZpfcvDAgejyuGwDdUS2lASb5yzJAV5 +zkXe8KjEoR5x/9g5oN5qIrY1dfafljABoEGEMDZvZC1G4jXreiWGsgfP/ICifNmZU5XPbzACBowb +PlyoTU2Ra1C5cbVc9jNmt3buEzm4eCojOItQtNIlY0IGIELz+BB+I5mmJPUnIcBNBbpXAANyDinh +JGnDdP4Sw34atZzEK8XxN4PhnmZbp7pjMqkflH+CFFzABAkqzqRkmOwyNnSxsan/pwOYY+/g029l +TwtBKzq7Pm8cyjG4FcUS+Bfwu4zbL2Iqd5HKr93AhFMls83tq75kXi6G7I40SgBNdaxAv/YrGJ0a ++JO0ppvN02HNmaaXXbhAgkNbD6KeQRdRp4PkDO6KzGpFFwp+cnO0fhvzvE6AgK/7jnN02mN6hvtD +nC++n6ARKhGW49EQjqY6xx9l0o2W3XZijDag7j9BffF/XAy8/Y3Ac+OWJEWLBuUBlLOlyh2zPIDE +sTq9KQ1Gwe5DMtXKmOvu83YjX83J8RjRzLf9wR44tZJN7H6wAhSlDbqZwx8hYaSHUyBSzXfvuiIC +OtKOYnfZr9bwFJyEsAnpUC9oeOZyhRkAriInjjILfDr5Sz1mgbjgtUyp+Hn/vZOhZyL6K8x+b5Cp +S2/YmV5MeacAURfgyKf4hy1Sz4YvPjb1BqgqI/lMQAOwt1bPZ+g7dz/d1jvo993h7HltSxgQZBEO +Rq1FKP/BO7I3eU9udyW8NgjP4Lo0RxXngooVgpjBBMKHKHT1DJTk/49nkX65gQ7xeTciS2et7n8w +5DabyQYOjJ6wfWG0Wihx/Om4nM13LWJSda+0+OORjhVMUmYWmxLbOpsgiFCkQDKNvclQNpUeKKGS +5WEcRQDrWK4ucngv+bDWka4lSoHGXTJ5fjqrB/H2bNUQD/8nl2sOELUSRk2VbYWGD31hXgNNW01p +mlbgG+EHeJ9stoxo3wDeAAaZzhmDJXHuNLLCMCt2QSceLoxftHrt6B+5AbVseaWuwa7jH1I4bA7U +XwGw4NEI7meFBtLspQ7kYEAdEV/B8gqGc2rHa5ML9dCBhnGrBmmegy9xwK4HsiYZPNTnYKXtyuSV +rNsACnyQYZhNb1HgCuWxz8mVAfptUE+YnRGiCfWqoirVnn8A1c9DDhYRJG3jUq1/96sR6kOuLYz9 +IWHt+eRc02nSLO+LtSZ0AKYFmahQexQ/mIU0q3wI7UZBhNXnm5GRcchZyZykaBf6NcbjB+Y6+7Yj +z+5R7P8U3KmEJnQmpTN5WLuMT/DaNws52FV3N443ah75NHdRD4HxcHuulhw9EMV1Zun0SeE0Ecgl +wIxvNBsE5ZIWT4UpdtxKJlHIZV0dnxpeIWyOg39uVXBDQEJOyZYP+i65tLGiFBS/mHDiSDFlO4SK +yEIoyj0p55DN4Hr2N1EdlkjY0KLmlQbB7Vj6GwtRrMsEB/7+Tf8XisW+4mPUudCUxayY16Qbm4jy +MrSwUWR79oj7B7xDHTjVR1LyYl3FQJ/vODC4SFKZmHYGU5Am8EPd4NJ1P3DaGFplH58AO4rv4Mdy +XDc1RdYE8xKJbwumqAsG1+zN/L7UdQGk6/VfOedVoXKTEM1FBJwyuMu62JXDkKb38DnSYIgrvbar +LRFPavsZddmqZ3qvi2w4x3FiFLmII+TCY15yTVaEEKaN7GG79zCN+Z7R8wzcUrAvNFJb3KlXft0D +mkRlNQfjuL+vhj+aWS3GpBAdoak6wTXjvIZAoGcXcb+afWV1bjTq8DDkks0X/0J/L94/jvHm/De4 +1+Z52rDbi+CKUI1yD4v6LZE6t/fDmPeeo1LKWBNv2caagX/k4/Ap9QpgUjqyj/cTUgtURVZOJTum +13FfLlhOQSmFZdYbhaU6o+v44kQK7wlfqExalpUzGAhnQL3rDrM0a8g1kWw4RuEYFH0k25QRPZiX +nPh/fCB245bwsjRA8zomVqhGiGDb3h24G1wne2yIWcHt3TSXQGxeoBy+UWTVCSG8oT0f9MHCD5iZ +hwT8SdRYAaN94XOZSGfqtzBqIRucnQxFLoo2z4VMwimOX/p+e9nEbp4oSaR1rVRH9oLdj2eHtcmt +Tg5HdhdHARDmWcbY7Jv97/NauFJJKYaSZz5nKxxhRJXH+MHRr8Gk+AJNXZoS1MKLy9Lxpcl1xzuL +GgJRJFttXERly9TE3u6J3g1Ep41kpGgwivIHk0U49WFGl/xj22VHwDBYu17MXwwXl/KmnwTB0Lb6 +wLhh0dyV9eKA2yoKp2klglJO0G5p+w+7ATlVtO5hMQx2ijxMi1J7oMDy74U8zS2pE0SHBCdJY8U6 +dcjHkF7tNaoo9JnNFT4keYemwSV65j9zgUhlS1f3ZK9AjqYSdu+ykxd1Rb4VX3TozeX9+a1z8M8M +dJWdA6cSmVOVwsHiKT9EC7A+c7mPEPn+cL8dsm/GVW4HcEX5p2v/pDl3KUKcjzrbnwMs+o7eWNib +sDo3/neR4GCGP6OuB727hgE9Cp5LTuiJURk15B19mLQLLDA0s5ccQirv4ANIsy/0sq/lUHvnziTk +wfuYSzFRvsjrbb3EELYye7hz7MOhwCnZ9WUfHRWOKT/6+IR0IlBw5ICnlFMtWOa8c4S0nc1rtADm +LEVv68vx5+LTO1GQIxb/lFqDL2ANcF4aLckunYyZTL4A/l3rvmfcmf35FeRzUIVoVEZrppfEZV10 +9X+i6GfOWi4obaq4/3y9a6LNzHJdsIEvDVr7sViuUzGs7rqYlDD5teI8LiVllXo9QJ7FuAcFVYNC +GjZAHHa6pmKkl3rvDtg3HDJbRN+FR4RfLWwb5aZZittmo+K0ktuqx0mwdu1PPgEPmCwYnfSXZ1ta +0sEdKT5SnxcaNeTJsdNhzTd4uiEwQf3hV1Xmb6oUSC3j3DrWaPe6DGMFheNUXZ+mnSUOLQ6E1oxN +tiVw0GmtMJI4beimjLU0mPh7SKe466GtXB4cLoz7XP5nSviwb3FaOzHG1fBJDgh6DIAXiSPLLK5y +QbagoLNhGNsO0xftEM52fAGn+h9ydi9cIB5pdvKWgGO8EwZG6tPrXrzz6KC9X3vPdr9rlR6/8urW +4eYzWIKlIvlaUgJgMulbSxAt34w5NzAfFaWFu2UOUQEiaRE6KtiWYDeyXU/ZEjIvfWBYrXySREYG +NLDCc8tjpYJh3Ri4aZPaAo/MtxqXJSIxP5HO3fTGVMBA+StYldgdLn/CkikmQeaMZbDj3h0Ggyep +Hnc5vd038OpVc8uJgcD4+qXGmUMgzlKHgKhcqmBwUn47EQa7a4orivrMBoUVRYF0PX64SL4hRIVr +5/fccK+PQwOj5poZZrOxlXNXd4J6lDWBZx7dPEO6jot37xIZykP32MRmjxNwCnhl1MpuLA9tAdDV +fYpFtkMGtqAjgMPPP7wwAcyP0zcZhvp+gIMsy3vA0fUY06u26u4mpCM2K+eRN5iON72lTuGTzkKF +Os+Wn/dwUFbFvfW1CBfUDpjYtmUN3vOSyOGCg5cj8WZ67+WLDCja2OMskSZgos4GgLMzcaYhrHhK +RoZIBpCEnTLFvX2Ah0SoBjXW/F4bjRIKptOvRAxveeLPUJubfDjKQOCXYtTBQ7x7bDRiOBCgc0ed +E/keAdluAoR4iwF1KZJXWvVadVU7DBtMSwoe4Koa5y0e6jswxgTYImTWLZVTNb3D3RbS6hJJpK62 +DW0WzUirK0ROfYFoEFwylCBb+PsmRfxIvWb94y2xPHXqPqqSzJtfSApol0WNTU4ydlsujUSOm5ct +pOD2dJGWFTrGgrSSvMj7fzWGk9PkEZF8YCU1g+x6yKgcxkA5x5CIT8L4ofLUJVsDTDXR7HXdhdot +o09oMJp/f3cE7W+HtaDoJrnDulctkmKKMpf/oUI/foF+RvYvKSklUqHXK+zr0Mf0MXG55ovVVdPq +dEFbRlkmXNbEouhlfQmzYobsu6m8KqNYCIp+M88tPVvgaQd0UhBKHVmn8Xw6UO6Zbdk2Nqr9PjX/ +RqUtFJNk8sTRTPsAFxxauCs6ETEIZvWNkkWzHBb7DcJFTEvK/c8EJv2AdNuID+GWVQ+eBuu8eD40 +L0HlgDigvC760MOvB84ZuWChglM5H8kFXne2cl2Ih7kECAwKppRHaO0C/2P2D3jrV5ILq+wP3iUK +Xz7+Q8rXxNUPRkKC8v7ES/O+z0ek71YyDfTzCLMYZnIomvBOJeZK5NaN/gsoH/1C9ncC/pN1VNu+ +dbBkus2mnOhO/7Sh3Bb9zgXwjZ01b+L6djC7C1uyWobQ5U16HAEc7PhRra0OSLpp9aXbrcNcnNZ0 +xQ3f1UEesIuPiy3lt+ErcHFsex0BLMBI/bQCB7j7oXNlxraOlQEgKNTt9ZyICNrGfQLwrTKye/wv +EtGqiquYSQkptYXxz6GO2ajlb7sd/WVe6PG9XNd1KcyqxHcm2zzHau1TnLgtCzUlliLcBuTx+uNz +7s9vWB9QRuaaR0k/sdTQB2A5uklf1SGi53zb2bucZmNZUKF+8d/A7loUUNC28aKWF4+Ss7nenrr6 +Ye5AV0xAPKAeMY4Pd1SowE0fse+sLjltbP0oNBAf2fsdcPKVZzLbPt9W14oNz/au8PdJ+hQVUxgH +tnQT87XZZ2qcCVIYOs1FpI+usTYAD8aKVeERQAzh3wYs3uQgbmrXaYAm3c5oxIf3Dvzh3bPZpfxY +inDGJUiZJKdaANSPl6XjyJ1g0eAD/90tDfRw1Pb+CsZGr3fn6c7IUJQznPmj8qbIzfkVCU3e9RLo +KlcmyrRLyz27fhaDVNDXYIBck7+F++Mu4Uh02/rhLQWR8h7+Um9iaIrn3rtEij4CZWml6JMYxlFg +H9Ymjt+6hkYI7rgfg8kc1jXU1xgq8cf3wncsATUlaIaH5999+uJ+jnL2aFP6VeSUDBiNvdqlX967 +q3Hf07VWoDEpDFGxBIRvzd8DspO1/SxKUsoGROEhNGiMjXYCu1ADui6zre9iyrvv7JS7osDgZkjA +j6ir/Nw3DegrNzqDupuwPp5NY1ILcW0DinbAqXdhk7Y6yKEBgnEXSlurhzWj87p5S3Mh1y97rRNL +kqDcZ3B3vsch+meKEo3+2drdo18c15COlgOvG6BHSmFxFfyD9mRjAPg4ICA08M5zCxaJQDWFjxtC +H0G6HzjhWqQXvZ3DssksiJ+EItEdTxe8IflS8XOtcP0H+9QjgKTvjxAVuksEOF73q56Z0iBxDGKi +XQ6IhEgd6c4otIUe25D64l2CvtawS9RZAxju37l6cUiRt6bMrjkNGxOEXabIiatACy8cK6i0WlTe +ZJdVWpBvD2x2m0uy3AmzL8/dEmTPUZDJ0ZgYKgJQro6ct+G5WAKd+mmboquhtg+jcFnSXZZmL7EG +wakDRSV3s0UFi/vsbdXZZrFF5RVymcKRkKzjcTefbI+zR9izTYNcDoPZsfoYnBrjOmN9QLPWWIlX +/ndlr5VOhJtdfy/4Nh/l7QicJg5Jmf2C6Fj+WuY4onVH8O4l6yjojQ4R6uQmYF6rH8yImiK3zEfR +DBIsL4S7jK0FEt+uzmt8swDhOd0VnCOLh9wy5F3P+rtAqT03iw8J2Wi7C2w96ZlFZ5Ar7l47QjwW +uX19RVDX1GNEyjNwdx6+f2UhGbZPG/4mA0vV7Js9Z6edrYym6V2iO2Zm0KM5P/51LI+6dj4pBCe5 +ZQFRVkoQVRc3QZDccWhBeeZRhkgPUac+nkbXIdVS1FLlo399U+1qpdEsIrg5/rl46Hb7DvkOiS6i +rvrymQdXMRwIulk7ZjpvQBmIpR3LVTFpM9g1VSDPnq4DkOQTzcRnHsLhMpX+sNDKsy+qLUhcSdXt +EedwLoU8c63zFcmxwky1Ie5tl6sLEnyhNDGfU0rjGWLRUQIjlOH/O2ZbMSvd8PJ4g1k5u0NqpHD9 +0SWJYz9dhZO5rmOUubH93c4YH8IIr8grDO0auxVQJwkebC8oESPCgjA51hYeiWb1dupWzQOIUvdl +VNul+sX5itVqLjiE1EY5CrHkJGDZFaEIm9hQp75UIelfXsnRwoqkNfuCPN6OC5dUgTePUH8XyUGq +bjMvPwq9QWTm8Z/fjnYwApW7uw1cZA4tGE273757xSXNi2yOc+nMAnYaqVa1+A1Y5t6ebXhspe3G +FQc8oORvc6+PlKrMYQDNSeqINpgpt/4+UYkK+6rwyKTYhQnoWfjecRm98lPaN/PVeD9pNtzGnuc0 +Nagm5Ftc5hgzYwdihaLiCPJ5VEzhO6jKAjqP1UDSfGv+jkWCdRiLDxPuo+ZIw3ldLMFPb09i8ORM +CkFWYXPajXA+9Z0Wg/SHLXuubsRTL2aZWqCdj5kBRibZP5C7xxwbVyMckLzGg1Y4P6a1q5t8qws4 +h9ee8OxCaYqxkSPKr681bAwvCz3aFSgbPQGfuqGXqn4LF/IJLfw+43nk/SxHPHogqxt8l30o1LNR +2/YzTYWEenJKSby4BdyHiQBRh8NyE7UBzgPdMhVzUixUQxg2oex2Qo8PVKD9t3pKeaGkuSSyQI0Z +D2+nswEUocF8lL+wYOICdmU7Ur191oUXjLVnEeBP3duniv4tFrbMher6jEjvM6hwgj+AgPLOzmkD +n/dGGqFyBj2+Zz6GJyxN+jMjXgbkrclvvk6kxHQxxg78mlMksZ9YNxMBJMtJJbQxdgNn4JXPD2PB +Ih/2cMlppQhz/ESbFycnt2WJPIj50i5jfYg1LDSC2B+GrDX4cDANG+5hGIrr8UUwVo3fzPIUlPYo +dmXihLq0cqSkz3iMoAaFeDuV/W+DRnpyeA2yCRab/BbGAdYjkzZkByJxqdmnK3bEetv70EcObbSI +PnA8kCmQ2I3tVTfb7nAypOY4evsZNpz7c6oSIWWwKHc7C83rzNJtROsAKGAVIDI7lIT6KsKxsJp6 +JxJ41dyOZj0mXL1xp98GzUsqr/385IBzIj92hSQUnSGhqbaDU3VnN+uwdGrMsCV9fHOycQ3kqIRb +b1ba4ckjUnpecqtdCE2gsZzzZFn1ILOKdykiipbowZwO/Oa8ZTLXTrNhttYHBPc37wZsouaN7GQc +wc8fyECCN7fRqOfcvweTqF0BpR0PmBSRLh38k5MJAZREXLIEK4WYZbtMt7cMsqMcSxWnH77XpVc4 +wJmEAjoJp55P8up5/fbjdBE/sN17/JoJVKynJO0hHPhG4OsyXnEEwYkZLmfaxQvso6gldpk917Cd +kg672VCLK20aY7TJ1wZypZgFc74WlQd3gDD491XBXvsIQ8Dfk277cNdrLrfmEWLSGcq76wEvbZLx +FZmvQhSYJKE/5+Z4kJHN6u8yPzFFlt9sbFjOPb4OB5tVMRHzxB+8vMnqRYC+y+lOcRQBj/BvtwI5 +5LQgqrc6yDXhXz7RDIXepVjoRI6zUAmr10zmYbauQI5FbuVWK3aisD9gKoFzWtBP6/eeaCw8WzNA +xUW1xCsqXUU0RiTroLg3MIz87pVFcZnNA050pt232KQqU8M8vbhOkyaycIuPSV8+rYE47TxV+H5C +9dHciC0Uxc2pCyCf67c8etRTeRZrZP7qFOk9egqjc6YeDCVSFPVxqbqfHAa28cLrxOtg5CSMNGj/ +trQj/znR0ciI9OF8SUMurli/KSWYx4n9z0fqwlGhlcIrTklzFLjV4bRE7iFi+z5f2k8wDi6wWEYH +I8O8BeHfIaGaXnhCQNx8D9JPDuEs5dxy84zRhacR9xsi1y4u7v+yvnoGnuu3BjevoWN3lkQGf37b +x5HYPc6UDQppyznhIQ9g1GxRr+O8pod2t/9o2Zkjt1XJKo2SUWG2CuYP5ehupHG56+BKNoUSK7il +PWWxz75mB2WN7FL9mufD7TtSX67ORsG87HJoAeDpHMi7RqghokTWH3AFNVjBERrgzNx5HJelrBu/ +ZxvZcjX16aooCRyEHNfJG28TLXeobV5nyHQo3hUjsE8O6imAVZq1G2GTQGIdmQoAcXbn7xizyFRX +qLytlwFhFo7PyHV8pIFyBUCnuA6Std16IfzOr9AV1v3JkHYYyXVm/kKwit5oqNWbLnmDVndN0xNv ++yRcbSAiMSTnBLEh3OF1YGKPVOzLekUSN0Fg+qHWmkRmJIoqwrB3J9v/ePQdi1LGFPYCPZdM05M6 +Yv9jWqwm//joPU+5lq29jvvNpmRngTyS51J/bSTRqb9crrnepBe0l2VGNGWtp+tUBFtG6lcTDS3u +TRCwHkXCh5yvTyRASwL9IGWt33dnxZeMkKHr3lZ1269qMUotstPsDfndHSexbvtwiMCiM7MtQpZx +A3VEYV5mQjlOrRXayWYktk+qjSiXg8YrZcOpe/PAVFrk0aphFFq6dfLd+W2AIgvbwrkkmRMLjywF +7qYTxLrq+VBeV5LoNx8IYh+U6T2gTsjpAZY3F7ezaxRh0Zgei3cKLF33hJ0rOO/45NPU/Wx9YKJz +3XcOZ9cSHaBz5Rp0PPx2ME/WeKOSxThYM5XLfQLlsql+9wrQMVBpdLm5N1ZI/yTHb9IJFlgLVpfp +Wb20CyJGA40iwVUL1Ac0aYXZ3E0HLFjNEYQObAkjRFu6DDAilCsqY7gZDOqKvONboSqXro8kSaU6 +qBmstM7eRBjcPk8K0QCEi/D5+e5QXTcoAbQwucj2lTBPekh28gG1FF1AZlW+Le2jiy7kdjLdTV37 +4pZDVzRHObemFgC0ldJ8Ig22AglQvS47tudjzGf2ysDccTf2Qu1Dv9W4ezy15yg/VTL0z7jj3Rbb +1LhjOMxuWEXVICwwWhLDCPFrDQOabAsHXfguP7SgKETxRPGdjpwss4sctTw4yesWirC58fg9XMHH +I0BTbGYCZyEdYj0WGPZBeMU1CSI4azZX54jxEYUGPw5tjc4BvO0kTiA9X8zTIZGi4OZiQAjc6jiP +8ig+A5+VHdTuhZmK5jN3cmrxyytflMOFgQZSbcxQfEe0+Mk/wtEsJq4Ojw6wd0TYCxK/E89lX+uG +409XYddT8EU+jPwTqJ4khNZSvIiLsWsY98KBv8m5Vj6l+XFp9uflZpWdJb1HBSFpzZ39oSIzLeG8 +Wp7/d3Dep+U8rUU0smbJd9Gn0jl2KnFHfvJOYbCDaVEtfvZehp6YuvnuR13gwniSIxej4/e2Z904 +QauSoplL38OtRb4qaf8nzng2fv+6hXrkAl6f/3ApcjbssoPE4Wq+0mz5N+04YD0aOErmwezrvxJd +s+TYr9NZp0bnhfFxExB9XkdMuOR/vedgS8OVhhzdNYwhpEakiAiON7PIzkw9/tfpevsQWld+SwpL +Hg1YNHkRJXNoducJF6xzVyIUs2hAHVPTd4QlbZYTb5TfHtLjYMT54yXxEDj3igiEXzchIgHptVBK +zpxKobCGn6eFlxOAjnx2dOJBDB7wcNlBwMj4XdOs8UyCYSo1+hKjP9hj1as1FP5JTHwWVU/9sVPE +cf3Ap8UL/dIjunJ3vb74gnPOGU55UeSGE0JrMlGMW27nayCStLAPZ+jep4LpKOd9X68pO7h7cCgo +nzG16av6tZ/l8181l5KfxXL8MDPcaySjOmCh47e4l2N6VNwcjPUYR5SsCeKP5QcToC3pftmbyXmN +28NhztCChhgRIBSJdOQZazeD/Wvfd+QsRFVTOTIzArkwHR/2F9Pw3ssshcpuxjSqJ64oSSxP/04t +sxGpSr5/nec8gXH5ynqo5q04BALqlrTw1JquyZFck/5gPGu3p/jzTAhFkoVxPfmPgBqeGiq+THk3 +4JI2f5PcUG71aJ38Bc5Z+UhBI9sUxv0zexcHME/cJkWdZwEzX0h2RzX5AIZTTLipQUPi4pTqWJRf +lXeLzcuIZ0Uz4x6vS8vJpImEzbR5SgNva3GwzBnjHf2QUh8aO5onfhIBG22EZ2VmGdBbBzYP7ugy +Hg6CLgmGPQ5lja9sn0aD2hQ7vY7LN5KZ8sv+6wT9lT2Du9BLg/LyhXOIvXRsRne5Of4IPQsbricX +U8k76vK06ITHyGwltahA9W4qp06Si4XPP4YMoANXCjGQ5emi0QcL9szpcENoODXUF1E9VopVDjie +fej44V714KETXKJgzOL8dggn4hZIPLsiTuO5ZCq534bw6807eS20vDzKj5SulPukASibfwTmdb0v +i8Urz1iQZhPUzenn/lmWmYbtY9/8QqTIljGwoXyYIF3cUWhxXK+pGvX0ql94JUar1G41e0mCPbxk +5GRq2rTrvDrcbKPcrO5EyWXSBIBLODjxFOh9hgeKFDKIdLjzz7nHoiVZeVK4gB/8GCLy5Jls0gWx +gqSzbBufbbcgIhNBHCvh0DvbsfeB0yfAiA/hXSpIolzGIu3VvIheK8i11UKOk37VnqBmNzDOwDV2 +RHcvJGMl/tBz27/bP0r7visdeNsK5+2CIbfD1nIU10kZ8yYul4xhoSRsp7a7XLytqXYZGAu12qbs +Uf22V0x0e3keRnvyj39KHdMNU5Wo6ppfrsN/SNrm7iwSt0dS5CT/jGpFh3+uWifaIb+f8mTdFp6c +2ZMCNR4RHjPDxHxV6xAQzF1M07uXiaHC/6OYcQ8Gq5R8W/09hdhCg4b5zG5Tl83bBn21C9dpheSa +5CD95kNW00QDU5LM1RaPQPp91gJ8o6RgK/n9X+0pY/aAz+aBCzbbqv/Q4vJBfbrvabXqKpcB5y+R ++HL10JvADcHerhFL1YmTsESMkME3jDHbWe1VGnzP8FFg/4MH9J4Z4nznqYkjFlSE29AY1OutAl2L +HzaAlZRSy05p6FHkKjarO36ZWF+ct/llFHdDGiTuFvJM4z/zYv12w/3MDJo0AyA0HFeK9G1HVpq2 +GBAUeRmnQN+hRH4p75hentXPyLPUR4n87JS0mbLEpmbnZVL+zDEL5dJSS0WLFHuK53s1cxozxcr9 +rAJ4vi0g64Suju6hQ8oL1Fllor4Qw/NfOySFxc6ssKYj9Hr+T+KwlWdMECYHSW5PoxQoqWQ7Bhdt +Ulrd9l4ZDKihqC/u6cQM0x8rdLLVku60ExLgi6sSHapddxRgkMaACqU8qeA1CfbwgJEZN/VYZKJD +c4hGQjWO134Jk65FxjMAABrAxR8LuMxoZyoUwI163jd2+QcRUeArjAOjG+5jlreJV9jiAfelEjuH +o0Fq0uuYhWXu0IVbowukwZm1gpOy98nxw6PvTxRF3BnRIfwpuO35s/fp7GmHUqusL9WG37aAT2xo +FasJhS4ytHfDeINKqA55WzMkJUCzI4gXfSbuQD/VL4BXDTpnub/71mxBzzJoQ08d/f8TgMCJN2iD +RThazco5t6ZeJ1dgR4BsgLc4s7vGV2Fft7wnhG09qAsYAcWnPDviBmg1VCIZRUix8bJMemokK5Ry +ciW6QAOaZq0/l+qBaRk/utrElgpdEsvNhNuzgCPEcvrINdY7jn0F7CnpCPHfAmIIXn7+L7J+1EVb +ZfwZNvt/b1Dhy2vh7cqhhyPjN1xp6wqXhLoZMu9cpZhBpPtOzPSooBpJOlU2c97hvRwhecbS+HYA +MVp5beH4ZClfWc7SpNEj/+lw0O13rrqcp3wSDx9U262ZlmOoN9RspiOnjVlE6AbFt8PRAA8tpXaP +RooaBe/mhGq/T1lyxgc0TLI3xkbdZ1lkbkrRzXFJqHgV8B0W1sWs6fDpAj4WPtzAH82F5rlKUv5j +3RXZXUdX5x1IOUenwnj4SYnjitL6Cyf7KI7vaOrZBHOvNjMUu6tem+v/mZIncl0WeZgiAR8FxGpQ +7pOyepcJ5B6o9dOG2npEqyoWOVP1GpL8nNXlaUCaHLPwmmyH+UwBJ0hb8kuz/6AUO1cuo2XvUWQD +xrmBAJlUwiMyyFPQRu3Rg1MkYtltZB5+idxoBWAY9thWQOb7rPVXVjCP+VD0ASDChMrGYxasWL7/ +Bj29lN59xuEq3FwThfyhbnvhMQTeHpoBW4l7OaRhe3ZsHRCwUyLXX43ufBU/kwP+cNAvkQC5A0pI +Lz085FWeDDudC9yIdRbp6pJtmvWpiAboiOI0aDR625e1jtx61pDEwJBb4n27T58TJTY88Ip03coK +usdIUH5XoXFjeLgPoq+4ClsP+DerwGNGzY+P01EC94ExXq2G5WvJ6YTUEYWBdOwGgicgS4UhCaIX +mKIm2lYrww+eckrNTqxjaUM+VVGMgjGiUiWogUtv2tx1vmWciGMbrmE0oW/1SBM17x0AF8tFk7he +kjSwrg9tcByv+ZaFIPZ0D/Q+kN/+y4R9th/HqYn1+KIU0pg4aykj+HsfHZJUmPPgHUWHqZk/xQYe +I/+kKa5xQ5DfdkR4R/RgBt88u4NOMmQ/krWiqHmZG08ihnNXPCK44tOb4lCjSu4IOChIRlDP4Hxj +fQsANJ7oDBY8gcvqMvDET4DXO/Z2UlaSYYQmc6uPF/oG81O5CIT/owmXWTH4LEbYavSwr4Ad0aVD +/eL2voekFCvyTsuKekZCYntRNt5iLRConx/ejHfEwfkTQhlD35WvYibSmMubcgoOeOra0wBSA4vR +88fWxyxtZnaFzMndyqhChVI/Fa4iCAjmaHHT3TN80SZesZZZaSh4RjclMOPbdEZeTwNMVw2s3/xB +zgE9KjdV2uFiQCJQEtFq4zm4lXN7wjJOaaI6CeoqiTczaWuZ1XWyH/gQVu+DzOtOcKk+HW7QL9IB +30qqqYFSxxmXChTBvVQ78euMeCvoo4kSyCefJ0/R9sJxiE+onSfVhmnEgVyBPxJ5i0/MVlRlR52D ++T0GyJ5RI3TSrOl94WHeTBLss833Rv7coJDwPoL5RNWVjJjeA4qera42EguI3gd7qqw7mJjFHnwd +4bceev5B5BHbNMpWSv9SOh4eZMLyxGhMqx7nsT+qzAc1UhMBcYPCFdZI4XH4HTZDM98QsJsdj5Gv +Kdk8QdAPTF3tmr4nMTY5P8Qot+VyeHJL5QhsXP7/31UjC7spK7rg5yUK7J6zBuEhF8xOZpYJhWUK +fmzSk5KHIOa+evuecOpt5N7teRObzhPaKQGyQ8jsxBHXkXlOgxIUaL8mYlCoQ0Q9Uz2Fd5Cb/vXQ +RrIfYded3wf3K1ohvS3K/W7nEtZemKOOQnZjdAieumm2sI70suIGfiQ8FpJof9ZmlswzNwL4N04V +J+yizLTsY3IMQy0DAF2NavRELtLDfo2TUg0zQInKqIH5bJ9tMHFs8Hb5b5cbps3Xpb3+tEegMaDo +15KcxC8/xvZMsi0CXoW+aK09ayqHkSbTGwTyYm+41wWf+Rzr2b2SsN3NtAePbYFw5yBi0yZuchQZ +qfPir5P72x834pSe5APpOoNEb23aWHUcYGHnbdnhvs3bosKHDeYukcfwBBZomVua99rt0LznHRt0 +8yRjVFyf+eOFCeuRyiNjC9L30B/T1rwjMos42wzVBKYEfzQhh3AgiqtDB143Fx3cK6p6E8ManZc1 +5KqjcdaSDYPZprkiTRcRMUFdx/CxLjxdDn5f9YPF4uKn63CQqqwUTEr3VS5BZNH+y7KVD6ZfgMsh +WrONydvsCW/t5mlSXwsQ5Fl+IzIjzV1hREX/qQ9wXLsSoWJC2isxwS9cKb6ao0+zIyoEbQEGzhC6 +tmQEt8nt7nYBx0U85xlU9nUy9T7W/3g6Ib7ddoiLC+ZGn8jHySH1Sa/oKkAEGTV7mVPvcaJ313m6 +eXRn0c8Vxs4KePwaeKj58Gb0EpyE2x8dLUI05d4Empp1/hRrCBzwt5HjQJjtu5DCJcDvluwxlVe5 +RZwHWp7jx9vSO91gbCdNsa9EzO2+eBB0Z+uCwr2fE2uLZGuc27gj+PCqxVhrJuNyDyX0WCi2FAKb +p2keNAQk5sU9Q5j60xcnRE2FWmR0rCmU+p9rPh58/+h0w6k/C2bMC7C2xMCXY/lDtmGXc7SkPFfE +5Xa4OeMfB04vNIvHPJHtz+SXqj2XE+CVX2RwjeOEotQsUlO7Q7p81wT9P7Q2H3iuBLXk3LYYntz8 +L7qj764xnuFCeBi3MYisZ2Z2F3BY/PBHGCIGoducVJnXw/R2GHh7+vI+K3aDNieo19Ys8/FIbL3Y +rNdlveEV0FBiKMEA7BnjNvIuD0c5TfdK3xXZ3xcgfQ7AASdZFCn8Am8432DuwFtV6ewpZ0eO83H3 +wo88I7pkQq/sJbKl1ZNiaI0P33hVLqk1KP0Yv3Xw7ptK4E2hN2PnMBImbS44WMhVUWc43skO1otK +GXWzhX472FSPSLpqCbUgvbLwTSH/sXhC+z2GAsJzvxvVfkrtM5ICHawe7zUlMYzLFzc58kgxBVXy +XiXncu0eyCY7D9Lu/txpaWQ3hVrfgzDvrCOMn9XULTcdTaEXe652uAAxxu74Wrrh50GKwSFqRtkV +REKAwl/lDjcN8d3j5lNL55nbe4FyvTirLZywqsCxZqmpQSTytXkoLppIexzd8xqZTN17jmb+Ymmg +RRdnWZuYmwYeP2Qh4IqG2oLGPj6Xg+Obsib4XXLdVND11KGLNQG4AaP7PgoSGDFfFVIGuZdV2g1O +AnY7Ua2w6LwSw07oGTDTfsgJ42IwS++e8zZuIc2mIA98OaD5TCYU0M79ZSM1hZCQyyhl/zj0qk3k +dFUSDqxVf1x++1FSX4Y27iLie+tCHkFbvwas9OJ91TlJlR3imH/iFwPLk+s+ngDgRKQfcZcJ2Oxr +ObrfFiYuRDFyiAcDsPukCY9XdUFPJqbu+zGjTHq2McKVXx11YjblhlIjWgF/UzhCndHA3Akbfx0X +W5ylcoDGj1cHzl2fYnQyVVXoQXmnWzsPS7OgDbGbdgpxG8ZvRKqwTq437xLEG1IhtRKCCOiN/B3M +7O/9UxmuSU48bnWfNIPZtKj2N7P8X7ZeNQ8UogrANXo6wpJyxv7MBT3xdmkXMfPkSwh30Krx3oEh +5Uke8Te1MOKUhffXyFw2iKRJstq2cICgNpjrZxUelS4rfa9CzIPXXfwTFQiTHbQ+FicdqKYco/lW +JWX6TyJDfL0/jyMhWSxVfy0XxHyINmjPxZUhPzeL3yc8sqMk2SKJTbrCCqoSFtPcL1kmz9M4w1sr +Rq5ouazfGUIpZxefxyZSWU61ZPBfpbpJQAQ+45yhpNQEmiKEET3AeB5qgsRk+VdByFB5qxUGpN+r +Omg8WcsHtNATJVE6zK9E16QDUh0PudDkR880DVwpTjkHapWx7x8WcQF3AvQrOg11Fv1KReQA4ba7 +EEvq/2UbDV86bZsJKnHxydn2q/2k4T9NZ3f9pv3VJ6dYp1dMC+isN8cOpEQN7M6qqdqEg19f/+eT +2bDFh7SiDnhxdIx6oarKqcFDUpfYeG0uWjNdDW5seMlYattmOgRvfn+ni+H1ya5RHC2ekws3cmZ4 +A+4BN1pEEqya4bWzX+exdKCmW4pBpyxM1eoX7prhndoK7NlQ+LQXdnOGOuv7fnvEIHNB/M74xonB +rHxWzEqZbIzguVA6MMJNFmzhY5+65vBrwhg5Ql34a6FW1sl1XBtEV35RhaHEXg0IqjpTFXWgfn6W +BjKputJwpSMHWYIdXUP5LkhAV/LVwSNpr8zqXdON6s606djGW/uMzBTmAXwxNJlABSHzE0RL7CWJ +H09gijJ6P3eTQhIWHXNqWgYDXVxUJEYo/oC2v/RRfO9MsS8voNp1GF0rFHBsMMrxqKsb4MJ1bCOr +rLdjlPLf2rlsoIgK+ahL4FQSwnfRH4mWQRhFWcXVB80y09iug1za+O8iugAzDblZ+6TdVm7M+c80 +1CttG4v6JaRYxaZFVyZzq0B3rfg0FIUZKTe0J8rN4uHWZpnJ/T5FEVC8B8+IY4SjMrha0u5x5ok8 ++aLi1AreDWzVIaViuqNN3sQXoovEmfQDFGjh3Q897Wjv8CjNMOUfTWbOf6V2FUiAnf8oa15z5g3I +zoNCOTbw4tSdMNLAwhGsqTzaw3dkqOzDpwuUDI9P+iuv2kmSlx6uPj5RmcQd60gD8ivpLAx4JivR +Zao6ZaEaoaHIfM8oAjhnrjUtGOnJxPjVRt5IdHAp9qORHv+4eFRi3CvEciJcM4D3q+tORPabVJUG +PZuKxeYS/4VfaOZFXv7ngAO1+fBsYmAagqxw5Xoy8x61TL532YupGjzaFhcz66T3LhYg+teM6601 +gTC71gmNUNlEgjdy76v1WSkrZImekWgObYGqsOlqeVCZrr4TsU1EV7Y07doWJG6lVWRUbqS6RYWa +iugPOcRa2wWz9+bYKewEGlQeGYnekxzz6YZ/F0Rsf+P0mN8pAbg2Uay4TqQ/L88JaYGoMOJV2Vct +l4R1aUkvAU8xHyQ9Xu35SoMJpZN63iZyPtYMOK4ZxnKPZH2prZuWBVYbLJadTVtfJOg+Q9VIZeE+ +cqws9mjlwcKiLoedm4jDeeJxN6XBJAMUcbgzbbxvBmsJUsnGA62cXW9U9ApMjpesNV0HVKmt7eUf +3tKWSApkacti6R3xh1VCnMKIjWX2FZwKMLVOG5yfj/JG9cyQcB1VGfjMakPPKo44rOKLku08oql0 +eF7jmJMv1XZ8QBBiWLM8jcs/T231IHVgQ6qi4Vbtkia7Dj57oQv6pgkp5H4PGSX4tSFnNqsXS1YA +oD5wq+/fT71MM0ovMxmuo7XJ7485Nke/OymqEq16sEERsmpaEPnHqRVFh6CAY0hxeZzuhB7V38Qo +ZqxDt/luhdPeqnRF2ZagGBoKp0QSMSYnBwftIeaA1PsVwZCxVuOrC7Pcf9zzfDmHqHfJShW2zlix +blevlRx2AbL4VkemJBtCTYxWvw/c2u0RXtPowdgQGUCjS3OO1wZTj78H5BiLHrhkI4sjhbyhvlHS +yh6BRKOrB6rmKJe7GzVp3iCbOnqXLKt4xYqnNFEpNTIc5BBvWZJJecq9e70UFkrwgaDqfC/CBOU4 +JDV/zHfeYEpuf1oU3/oqS82a0eKdsU/tBi7PmwXmLea6F3nbBK31D33VihwLQaiAPosnFydTHiTh +eFGnAOtUWp3mo3vkZq/G6yO3BthUnYidElF3c2iOK47idH8Sy7wDjZqhfOPIVSHmoj/q2yuPEGq0 +bnRzLt7ZRQJxPjoTtRbkv8uu3qTSm5qThe45VmmXrCGp50Qg2CF6/X9NkTqjqcjF+Rw5/TpWNvgp +Lw/WgMOTRKKS2yF7V7BxW43i3VvsPF8GbucHGt7BA//Vut1EVa7LIUB45JVn6bIBLad4Ao9zIIC5 +kNVmFBA0bMS5cQ+pL4cjU2II49sWI7yKF7tzkF7ZMzZRG3BUUwQCmvlQB/4bNIguMFWQNGkw8ZW5 +HpIGZb2AfoyNCCJcbCzWG4WiDqBeg+tE85OqUfWdtOG+076SHHjw1qgkyK31l843x4CQUg8n1gjG +kYemDTrwrqoiDgrd7wGmEe7cli/TdXn7BQH5pmALXmIjpRBGIz/FTU+pilTyF/A0bbWxebRk7ewI +Tc/EemugUiknOhIQvoznDT2Q/tkQXeylYJ+EaPSefOqeE0387lsp3aaAEHQ0HDSc6oP7SOqEgE34 +3S8nof4Lt4T7+x2YtwXcnOTTmtEzhA7PHuRYxP43m8m30MWL9u2+MWmjYGmjUmmjrluW2lJaCAxy +HG9thZZmJglzKMVKN2oo4zCWJR8OH9Sy5VEdUkx/wvKERcHES6iY+R8m6+lft686dT3MVUc80Kpz +fy3taj0Tz6RMMXHSadGG30hXWrC5RsFPjS9eZjwLJvVx1n7y1Lg44JIrfhfudb7GOsjIzy7lS+Pq +2c6e1GnPs8l34MxOzaYwSvJAK+AoC4r81GlS314fatEWsGZej6IfIngGS1CkERqs36dCuBs9BjCp +yDuIK/S/LWwnk8MQD0+DmQ6HHVvdDmesn3kVSN1yRcSOblBCGC+i5gMTG2RQv2LYeisILojTCB+p +9nlJ6UEeRsxI9lDguZPGOiBUXGJY33TZMZXgCl2BcbpqW+I8KJltNizsnuKnifKt7rFfQzoqlFEX +c8Ty7Rz4MkXE2FoJNYIxIiRp3bL0HCtZEhb1x399FjYOy6p7dl94unBSDjYaO7eKBSQxY7Hiwaff +9SevDp8Xhf+/NLw8bl9yJCX2rVnYf0NRGFqLGf4S98rpenJEcteotzd1scnuz3o/sO+pqK6pPv+t +AuMkMSh1CcjshXVqPhSX00gFh4DYdu5xAlTZrwYQ81KqL3spTVl+3PpzD02pwy/B0F0tlIDpYVlq +C5/nPn4hR/wWUJ4O2LYgo/kLJglQdeiI8bf4DcIASrdsdSBsx0zxbcavbSqNN4xMRcJdQUxcyGeP +B5z/uyBW+EbYPO41Oe/qj6eB0S5FxdxL7acFB9CHI19h9B3fp7uRGOj+zzSxRQt7gE+XhDRgWueY +7yq/veuHVHlYxUCW2RgJhkYU0dHNQCug7bLomBTBStoR5D0XhIWFMqxZUenKH8PCRQqyUUXH5tQS +bl79E98Cs+qdAmf3URgBn2GbxntiLPJJUDTfh3J+A6L3pHQPgMaL2itu6TjT4fv9ZzELpYW6ZJCs +v3+yQihAWRU6LjoQWNT/fUMipU/odRR0hUzevOZOwRBXYyCZ6xIjIVS1aWmkjYM5zpRiiNH5wHZn +RS8suxv3UP0r4c48swXD9yq8rHGxlcFS6aNXIAQENYSGNsTyNkMYGKBSUiCWExBViqu1/RmdYJ4u +ZGZZJj0xcIrqAwFqEt/cVNNChH0SkUXU/MCIx90tscqGScYLFE74Xg4neU2wSIK0IFLs8JpsvQiI +s0iZK7DRnFuHDLZTpREg8dvuVGYlXchtSI0tosnfEL8XKcQTB75TEbftbE3zHT1LEzRN3X+rvZ1f +nhpxhRdTdwMxfhTIPtZw3ch3r7j//wiLpSkagb01hSVJ1TZ+2RddPmwwPmvtl733eSnd3hAXIKbY +7ScjQ12yCFQf2GK9He+KG79qMFynoJUd936nR99Mcvq4AI9OeuuuHTdeH1uH/2ddnfAYcGdmFxsR +k+iO1ieGdfGK1eqj3sP1AoWl2bS3rdysa8sIAX0vDrV3WrkOKEmxBa9Z8rDTpN5aIriLqIjE0vo9 +4uRnuwQHgpJgc9ixwync6JeuAavCDsiJHAkF+MJj483avg3a0TrRr/H5jNnZMtZqjaL44Ix6q+tn +C2UemNqT7bqEcxqSF9Lo00mh+9vztB9JdxU+SAQC1ieFAZsdWyQrgP3+3rcKCFzOpK9awBfHlpIj ++6RwXX3TrUlLQYfFCdOesownOMxH5h5eOGD18fMrrYx4zNY959RjfgX1OSXZSDbgWOhOuQqiYwuO +28gaMUyywuE1tqrWRt3QhfAoi5vDCab0qurUkGTXkabYuDYSUWg9AYtN1y6h6Ukur1gFUpTbrbqK +ti+oE3P3u2mgVE0OJyK1vgNom8IfUvkEZ26G6iaFXxELQjOdYlQYiNfY6lUNlx2gZCnwt8JflDjK +NqJJ7070NcGfywW5Ok4QC7tU8dNrEvXARi2eumlr0d/1/2NDNOCNb/qjQNGNyuDz8K3VSWb6k+fe +jpD46MSo+4WA/xZPSy1rZ73qXLYW3nBOfHRQmEeqmA9z9/woLnacX8a3c7vxFMn1030IF4iIItkd +zqn5q0b4EsP4iECYOsUMuvZYwANV3O3jvw7cfyIfTTzhVX8ROqha53rdHNlF6Q5xdla5T7VkOuWp +DsYyzpXRJmPepaGFSYcy/xVxZFnaQ/lTOhcbix8sP0o+Le0BvD9Jykusu12ozRzrYyA/w42fe4ao +/sXvafdSJNYZO7djo3SFmR7nRetIGBqP7mYJ6b7RmPxsthLBPv2YKoN8jCmYNTmTssJ0ub4qRLqE +Ddp9IvSe5fgwLrC/fQn3qfIhI1wYRewQNcOBl9fwmrwblt2y0KO87c091CErjGnasDnV0VqNPvxR +APHn932EeI4ij/5HebiogOl3BvJJg55ktZEwSlPbPpJUtt199eXNFpcOS+NyO5I4T/kuio3tnPIx +bW9/5tywGmGG/V1Cnljo/3eHi1U5n2NE4FXtz4WXRBFK/CSBRV0DxO218W7jyE352oE+qpkZ+MYa +BAq5wAH8oA9nBXxolDRoUB2HhrHM2Ojl/1ab8wfrEkn1CQvpwRZ6gszmBo0Oq+Q4U8eHq2WvwETE +Ca5XQ/W6ZxBv5WlDeqF1oG8visb55J+TlcBXkTnKQtvgvpCb/bHNFAGNq0YIvnOjzCslZjiatBq/ ++At3hGIYVaxSDjzLGpJ+N3D4FDLYDK1F3MUyc1hZDuslDsAWKtY+QSaZlH9cI3vIsAY9ln0X6lxz +DcMIwXU60dQ30KKEo8TwhuW8gNd7tAmrwdUld8f2qy4ippd4rZLvE4ZEH8TUoceu5KhTSfQx/LxA +0KREpIfPpIguQWRuy8gnKqLQbh7XPeFewb1SfW+nM25nb38IpIRA7FQuN6UFYVzOzfcCaoeEt86F +f14iasfcWi7Wt6bdpsY0cwEHrXiNXkSgjrG7EkLuVKSaPOBHeluGjG1DTACVsKYLolSYYEdzkBZX +/xLh9JxeVx7KupFc/reTtDu3MFxdyDgC0pv61PQfISQk63lr6SleP9twvgCvXQlZynzLgGcMLma3 +sY/F4+p4p36p+zm7ROYalgvzQOM6lIhgqT3/4ypJC1ypX699RYRe88hbX9bzo0iDVrndhB6Zh1cG +F4eWqBYI3/++0DraImk4yhnwCZkcEqoLt9xiEPE6Se2iakG9e4CWqeU1c6+UPEytvci71Wwdx8DV +SnSvfoaOYprDG83pInm/SNjDrDQKEIwTPhxj3ySYLBflFQMDYcZQYfBdftirxpiFcR3LGjTgESVk +4MCGQPGzkrtvaqABLn+o9UInoAQe0QLANfmqlQj+ni6pLfU0sj/U/jQELykTn1Ttb9mgUILk4xDj +1Pqwp5DsPMaImdq1QxB44OB4wrIEmlJhPBIYExszMkjuyUjpvPhG4MToH+c14Y/FRUrA8gLxtrH+ +fGhwmCnRJkH4HrFyU9mi8wzdVD4OdhPrg/W0uETqVaL8c2xddwDniiOMGAo5DinJ7gRnl8V0hGaX +/h7pltNF+Eq4NSFh5XjJHqcK53L8MM5ZV52SgQyddUFtpuqKZZMsRRAM6rlm2v8w1V5H4HlT1heI +4jhahVhZp7VXci0HsaCaHtGQ/mUCSlE7WdXViPnr9Mca9g37ZIUCQGn87jKZXS8na3TGgIvt9a9Y +txwqamQiElrNwve6Dia4l8BkPaCuG+LgO0kTYhkZl8237qy+oiC43PQ+LjDz9TIm6GenGUgyZifR +klEtZ3rW6oO0Qo9WocJVeB1kw4TuTRJrWYQSgqnRIJoK1IOPfAKaSmAWUPCapRJNQIOS/tNrKDQD +0ZaF5W4ni87cX6bshvWEMeaEg8pdXWLGTjC54fykWKpS5gCfNCtfuca1AZpssu7DDalYfa2hA6Mp +sz/NmBfEqXMJVLNjMOmKC/JiQls8/ZPn+XPtv+T3DMdv9hb0zUWRBJaWet8K6rNbJ+NP8kUHXfob +gJTdr3V4aU+HUlwVdwtYOM/MCIqTjZwuhECTTScDxrvh+41/KvwmI2v9XRNonfrytFbPB++uzfr3 +xGsaEZVi32RfQ8nwEsk/licCEV4VgEuDda7B6Ci1k6gHO+U6Lg9TwLRlbKiwoKVXbccq/qxUbR4O +jpyJRpTcTWj4EOB1eFzTpBtlXYHkVmDzUZci3VOi1i+YWKVoEhnZAYGGjiSg49BGCyHRQJ7RZ8SB +P4n96eDUD4UWinvODimKGMtBu6+A9mMceRPiRchuaBAtovCJYr49aiokr6kvI/cAGHUwBRpow4xv +lNQLzT7gKXb0V+tJyvq+ft7VFYXBx6VjeQyOX3jx1HCsteBkLTDOgnBKp31hQFKuFm6mu7gLXk4W +WATqiqn86dxH8fmawz0Ij6r4OMGBtkTXgJketSH/UaL3cjCOAhsR29t/wluFcAE78wsXxarh6jDJ +dLtDMJ+y7PtcBKd9JcqKIlN0HeILGbIHCGxifSaU5Feu53NnIXEQ8lwr+yM0u5pU6VcfgQtQEqcz +FDgd/WvNUmGR1EIFMPvYOgUZbF+PcEFQ2tAAVlg3OezahxxBJhrh0mhHvxj9+cFmWiS7yatEeyMz +bfWXD04YMNlBtiH01Seaf4cwoPLKmEuvr/BX0siu4cemt4hYltiLDPF1wDIZuLyfZ9L4lWmWBO1D +gEwi4Winsv9fytBGjK6TVX2AoyXJV0LcdXTLkrqNZJNFzjROcRs0VU1222QRStwZyEQHiodEz+hR +1kRSacC4ew+AKQ7mdlwIHX/wsCu6ovQkRafMYnpm+M4EBFbHdEzcnYiylE6aQA5zJ8uKghP4r0hm +UtCeTEgFhl3ko0UaS0JOI7BlPBqOZZF6OCZPOKSH1oUvHIO6orBDf92vgC0tgr8RWc/io9HAVm/l +tTT63y20agl7Lh2JfO6KJgICeFS9rHjfeMDLtyKvJAJCivgTiETtJjfjgqmWiIbiOglpfYADojtO +ASxsoLG2Z8Um2qkeF6lb2crvG8DGoa7f/c/oy0I9WQZ72+F+gounERaGnrocEPz7oiuDtdd026VX +znnISf6OERiYK2KgD/uXwrRO0eAIglPFW+FFl6YwcfRF0nB9QwqC4olAwlMzwqzMeS91HmDRRDAr +biyxJXBatF64LQhgoKkVZl7nLiqKNA6Wg9gfgc/updb+KROPu2jYRbpDnN7XMM90lrPW3uLHs1pV +jridK5pXcchF9+d/5Bg+7lYVb8bgWXNnVBvDjD1w3CNFKRq77Q2uRZuGxfv11sGGHw2fJlZJQWMS +/VowRlWBUNWNVbxuC9O5QmSUWMd9Dn44VU5dhy8NxfbANRsu6Vr51wbQXa7XY8I16oSwMWOze3O9 +5M97zfrYShpfC7+Lx+ujAqOKAFE2aGVCdLWXNTYWmkhDD06Q8zk6E2VwzNCSDTQwdalFe6WumHqX +Dw+gmN8x9Cv3K/F1wAx+ThziGqMm1WcpmiClUbdOcw5XC16AMbpRkJD6PrM9OZOtICLnEZuyZFHl +rqmqRb5B65rQzuzO0JI1gIR+cvDZRGL6jKAVFHKIseEATZnNlLwMcfgTRIKV6B1Qtqlt3W603A53 +/DWiIj1mfwaYXJrpcmouo48QRKofNG2uB6S2aP/vRGxE5U/M7Mg6hnC5+KVbEYuJCB/NypqGCqat +ZP45r3i2PuNZj+VcHNzuIAS+C+Ef6hU2wg2CKSeD8IdQB2KDZKhk2uioc/yYRy/8HesTt2S4i7Ne +InhEijesa2fQij5N7wjh10gL4yVe8n4DhX7WnRqITyGtpogwHLBYfSIpHP62JQCKCqJn+/1GIwRK +9exHkLILGNy4DSX0gK9fBLlguybL/VoTskHu16goHg0n8apTifRNNBa1OxpzGuteFvdSl8bCmcY8 +ZTvPelVSrc9nB2M69qR/mUs61jnTk+DVcA/O6DcjPuWVzoTyuYGwPgADhiUFJBGPy/mlYWkrgFgO +eRPqlujOEgmNU6oRzvUXdlAqOiETzvZOK1oNRDT+CcXmDVfKmLRjOHwtU0OHC5Orl5Zq8SogrrVE +4gGIRc1ERL4jYUgZ21UXaK4K9Blgncdqwib3upWQlZDhbD5EPLS1OXRhlMWUzI4Nhup/6+rETEhd +JDSz4t8l5TwFG32lB9hj0JXruda9IeMGo/ZDjidXPWIoKEX3Wm7aG3QY1ojvsNELI9h9bzh72IOT +korMxqUZIsa36UKcp2v5JnLEnaqwA0gzqZ4P8T3jkLwqTob5GDCU4EChcWhClIqSjEIfv1Asp26O +dS9E6vGXlc/fy6dInazXEl2OTs7LtUBZ5MrYFbk1tWfA2ngB/81LGhbXxZBcWO4qEz+o88x0+RlC +SxXyzpiRD5Q7r3vKhrn9WVQaJ2SJ4cqL/zPn0XtP05MhJ2ZMeoOVqtUgAcVOCtqfW/BDHcRWWzc9 +i++ydH2Jq+2OPgREFcYsWCjb3tt8SIgyjGtAf/5rtvWTvzbwDCQZx0E+r45IeTAiYCJ79I5pCmv0 +Aw7/CBMPVFMxs6Nj8h5gC+C2ETYB9zaDsFzr6f8GyKBYs5gtJDHwuoLVShFwCAVbDdanE8pH89r8 +8NNcDhuCryUuPti6vD+p/P1Yg9eSLCtHgGeTfD3cHleb8UsfYj7P2GRAdC0htvuwKe1MAZ3kZseh +Yj0z2AXfVlGo1e63L6GPSRH7b/j26Aiam2otHwN5WeFA8yZy1/drz2OE5DP5F2Zfk/WjmGgK/in3 +8CWSGL59LmnhAfQADM7Cuno9eYKXSlnXAkDi/5Ize4YUE7Ex5Qpxus5hNHDFdbC4VROaWcDMyO4I +2tEnPJR3Iq1nfxmD1qNGAux4yZGP37Pb7PQUGXleWlGRUpTK9KYu02PYEMPr48D2eUwb+r1TOG4t +01mFV53Qj+3zrFVZsPnovqZ11JziRoe1Cjz1NvGt92QUB9fsiPrwWxLc2thV9PImDnKek0H4T1oI +ntoth/NPshophERP+XL5VtKI4mqXvMUL7q/BVVJn1u/D7MqKrdc/kz3bcinSjuNHiJBd5P/BqLfS +th8UEO9Ji0aU1e704hIsGOzpIlFZozOtXo5yGxDV2iEzSFyWEMWmX+fJLsg+8Ooi26d2HIbhJCtk +hlIkx/wxjYmv/uKPOr/oVlINfMA/wwzfI6KhsqSzeNy9qtIlvPbocqaIPw8pSmINxmgX+yFOo92v +RTV/az4t6x4QhxHkio1reAHx7XEauSEKhiN7Sr58AoUA3UvS7ydaHxiD0L+IxA0A8h2Qp0qPnYrq +Lg1nSIaJOstCtRpV6nNEUE30OD+i278rQynpDomVUvfWxMU9WlaKfV8CyTqXY9udYnvBRhE2lJTK +N3ZosQv31RobhHRhjryFxQ0diFDqFujaorYtz2bjROS3W8YxETBmxALqkZAytbmr0v4BEozVINsY +G3MyZczkP5nASYTF/nFqTgEdhtm/kNoHmbBtAIk5VsL87vWIR59f4jHde+kfZ5J7/OAVC//U1K4Y +JZk6hcq9NPn901OvVjytneO2f2ypO0GfTRZ4/yrP5MgWkJBa33zCi8R3CYw6l/nW5cOvbZNqxdVu +4YAQGXrvrSIxmz12gSmySb6jVxzGqEhd0XDqwnyt9NVGsp9Mnct1ZtcExX/jyjKREwP/vV5Glyd3 +yUsyKK9ypmiNSrWFUNISbnirRlWi4WPgl9RiKZKayBUpsatxhCZzLWkd3V7fF5gjOxrXxa7jP9F+ +RjrNYWyaryrXoN/zyaTFsZJ+fwWYgHv8AgY9BKlwCEebX+dQQGYwpDVw3SqBm2rgSIQTnQraZL9R +UNh+ik/QImPLaltfpCY3SuVCrnafF23K4wNgEMEO3asUtIHE5jDwvaM/mbZMASqyt1z2mgmD3c8P +UrbwQVYvfztsDdomfSq6kROFenOOmTWYdQZsvpAnzJ6um/DpA9sLmdbyTILkV2rlvdmD+tBpuPDq +AKZe80oHFZpX+BvaNBj8f/6S6Vx728kvj/8ZP7JrFc2k4q788xUOdXZ8BReSdgmMws8rhuBZpUS2 +XRjxgcQzP1ACPZfGKTdf2+/PjSoxsZX8rS4q1Bd3+28JJc890I/3/zBEHdLkdH00igWvC3KMvcTN +sT0Ud2jYKkZFKhtwfFhSxpFqllyG5FDfWBXFz1Wa7Qbu3cv10cjVFF2pNPRUsTUtb+QZCTqXcdQz +jvKdMQLpyp3Y0Rfp93J+jAnRrTIt+ckDEMmw5HZbJ2XpHw9ZMDUYFXwB03foqekxK9er79y0/vBq +j+Dx8d8YH0R3cP4dNAha3TOaVRgkXE1YPgqzEBioSCAh4A4TxonM43t4Vmt7HvoJABmGpehMWSI7 +/xeofIC6HFASb26PagCS2QiWpE9ar7AACPZxVJWAZDkKTrzSf1uumLgdNF+yPLzHZiuVKolURoud +3hcEk8Oic0eJ4WJckBHkW7HcOOPu5qae6gxys2U5GeMbY5rR212tWaukd/CME1eg02CuhH+vNDW1 +iVWLOaV6ASAbqR4RgIL8yITW+Xc1EnMcBjlY+3Wg/ufwca8QpP4jGoE/2RaXSdfNCzgtFHg5AgFp +DY9soDjUk5JOUHdwJx+KZ87Yb+H5F37z17KbfOKhoe2jzGAl9iLh8o0fwtuQlxzgiOtrv5YaHKao +cM9Hu1exuyFcsoSXzfJwAd06l83pJrsCOiufIcZvr9R9dy6m2xkxgVCJeGoKVwv7SZpmeVf4Ur03 +h2po+9AV1x5fs+Dbz52eZUp13A3/sFUraqJNC/53ZXsr55jzIXfspWscFGv5pCR5ufuXKzAsAXIJ +XA/tan8GUAcjwew4KWou+klvrRE0/RwPvRV4jaP5Y1FyHqJ8LVL81rkA5Wn72GCOml94yc3epoma +bowmBQXWt0XuKwatTR04QILJschF+lEtiCkipKQQyyL2l7OKMK46oGSzqJ6Leoa1u+gIeIRQ3+A0 +XrRawl+YtZRbdIpicZkpl5LFfMhFnPh88N4Js3lQYuGJGSay0nX5kI8MCjgCEPJg69dEFpU74DrQ +lXtVdkHu7bk1+hwuzunAjgUXKMfpoOiUe/CEJGF98CSL3TikugVoXhDqML0YZkvpLTDeP+0XCBJE +B9SVpjCQ+h8lAKwXE2LLm1Mo2X4qfk2DOMR14XC5iC/g2l57Wc8X+cMSIo6J8EJcKt5RXYI0QTAN +I3RgUAr+BC1I/KwS+xWpqpPZOO+n9CBcpOxjaXD+J8NctGX6KxnaFlRqO647YwHVF6Xm1uYlgqtD +x74HGdY30ho7KVm+UuZLiqYRy4tYYdaV+LYQNPtVNiXL1BFWw9BQpkNtV76YV/rO69D/81/JXihL +Hdl+DLznu2NfE7ZX54XEJs1Uu/YBrR15TIRAgArBLAGCjcCq+4jCNtYM+r0rEDl6iuJcBP49iJKG +8tAWL7tnF+KqyGgk+Fayqvhaa3pGsimhQc2CATricz/KybSmLsCOXliA1O+qyLUSgpI5+8P46zhp +/2b6frXFvKQw705ba6KJQeefj9bF76eFzB5dbOkA0VIHq4EiNyxbmI/7SfCKaE/LdbwiZL5kvCvo +RxU3bu7QtUC6CIAGLdbyhsGp2haiJ0wqtSZX6jAHlq0Vpc4/754xqgNPG495bBs/gq/LB4KWsGK8 +atc+grsPgwS/+fySC/vw2knoCvqCBqSEobSEcvhPU/OnN5ebxwIT6sAO36263cienfHZznFFTX4l +foKCwHWNiFotRzG/Lcda30hvPPlD7fqzQGExHUFWOR10f+QikuPg8W2Sxsp16I4jpOQ+HKL7moay +W/SLeCakWKMSBV6nZk6rllNfHqfNsubfE8deU5/X5fRmK6Dsxe1iu+5rCJfOmcuUMjfVOu44IR1I +lEqzRCyqnb1W7j02S9y3RPqDLrBGkUK2U/18o6AcZ6Pyx/Wj3DMPtgcXvzMSxRgz3sCuqB33h7UB +tgnk4OQeNz9I8TIlxj1BiGXGPdvyvr8PW2HpodoJtUtQj19h9yoa9j+3aDypFvRpciOaf4EFDQKK +tBwAxpNFHeyn+pn+V07FzQ4DS6oLF1GyICBZWedBP8s7kX+jBA0Kc8fLSG060nmKDe7www1pZ3mE +58gYR7xcEwHLENQdY6QHVIYccrutsIAzNffMHZfnU+ne49IBZAttccn91er/LHFvCg+8L/p8xAc1 +BjtBCV5bgz+vp74mYAX5whbA3zvBzsJC3VqJO40R1NKJXp1KNKy68pvPOFOodI+90SS7SPDpkgS6 +kR20nA2RxsN2fHxPc6s/+GMmI1X0BrI9IhqCpxvYObcrikUVShjbxSq5B/ecVFtFhX8YASaVisKe +c6T0ztl6lM6rJCoye1MPrTJR2GcPeuRNPj6UwmAbdxlc/ZCJvEz1plM+YkMfa8ywzPEhY5MWeRrO +wCz3oVHMS7jk5huazBHWLoI6+0iy0/ec2yL2kM2jUbYANuJFCZALJw8zj+amJ/z4Ex4xOK1FlmQc +s/KUOabezxHFsFQvZRiGRyKdi6sDcclVaRajD/5LnecQ1rcFK2qrDdNr20znCQxEmtUaKS9gxhqU +MVNksiJP3B8RyMWeYdjro/V7nJmSr62tjt0h0h0F1iAAEhH5VzyPgTtGQTT/BtZMUzTJH3h4jDjX +z69fuRhgWzxm0KlHC/vjReIODxMELc/NoQTXXUJs2fPcdw8/8a/bWqPKmaY/d7vZvMxUjEVXJH/S +4NTYfGojfGR7kSpw6cch6/XXDkNy8jEv2NXzB65X2Lww1YPkhqQMecRjrW3Cr83zSnLWHXPAHDdU +aGhfzHyqHL7ezVT/qThx6NUoTNmhan8vWLC4uJQNPWXwn41oitYGnljVEZ+WY6mGBKLHlehrKXiP +VTHeC/KURFMUqEYE/K1djNtsxZQXv2qtQQWE7baDVbgNKzRdKhsErWOV+jruI1ngVf7PMc6iONH3 +Mz/vbDsb+NpJ7e6npN9H6N/iCDz51Iq2BPXkq+spvShE/FEoMTkmazPnkE4pbeDve7XM2GKc86HM ++WZ0d5Zak8+T+/iHnfm/GB3PTjTgnObeESjwhsBs/guQLIBMRZT1DrUXXevSCCFgricnGGWJkGMV +zgyQHZz4TRdoAq+ZOace3tQnfrwGluwQBsEg9Osd9ZGIcWBYJEkfFNIxMyIdlY2+CLz3jvDTaQXn +CC+NUMN5YwegYiz83AOstIU7TtsVVaRbEAxIA/DceaHscXNIsGOar+sI9D12ExEogf/QdTVOovtB +vrM7WSNsnFvP5LH1RFPOksTq+yU845jTlQl+qx/0UvM7eT64JuRZc9ZshTerU7rhfqgFfrR34+Jz +QMme3RWC1UWKuw9pA7lNK4SY9w7G3hNIjh00vTPusc0ezzYeqCR8hzaMob3r4Jex4Q+T4VPTpQTi +EAI43wgWQP2/7THrdrNC1d8xoGy+fG1VLkE6N5litBC04aNwzfY7AfFlnkilzJleqCUrqu1bJ/hM +Fc7M77euTXHnq8sBb6wNrdLp9ErbrWkR5s43zYXEs39lJn/RpBUECfOx4pM9ae548WOMXtQ/b3dw +xjjQnHANBTbCpCAdTje/GzPppfXw0laz6j3tQBAnjS3uClDm3s6tX5/jI5CrOZOoduiw6VQOtF7Q +UIvtLTN8vONf0HbtwVX9iXH+7r/gxTSikSaEFN7MInvQapiXIplK4RJJmmw+N4OO9xw1l/2/NV3k +oCPAJm87whRQ0kt4a97VGVD+qeRrZaKegj6xfosv+pjEAQOsunA8DNYwZK/KbY/RKDRb2n1nUIUG +0NX5jtaZEsEaa6so8cEO/bdeDlfs2U9ahnZRLmAMEU2fv5nGx1JBCWdbQlJQQWOwsG8t/2a7hGa+ +9crRY9AQx81/kOSmDbZYDKlDNgQ8854+65MHRpJdJAgbadQFl12K9I0U3y6+LJ8iibHYLKvej1Rx +DtnTvbm9CmNo+FGdNTrRj525PY4JeluSOd0OIozMpCCarA4zrs264HmlDg/J7LcAXhFC95ZJk4GQ +fjfkSuA79VeYlEnFn7FK+/2z6qaSnIdtSweSleReAWgJ24i1R5mxoU1GXmiO3jCFoiWFzqYOIWif +5Z3cv51r8QTS8QgLEWAB2Iz9hEtnfI3RLt8EdQtYQyvaTvJb6rbfhzIh+90jzlrEUaeyieARtjOl +qUD+Xd6iG3RvwFWsJK0HofVGkdg8H7N/mZ/PWe2NHXv2WVP++Sdi3tl/NvO1oC/u7pTHw5l+3tmj +jEZ9D4os5eiwSbGpUhKv+I3/L+kz9sfZp8YObpGjtjEuM5OEudBEnPJx59qYggArRt4wV0sUKcvb +JUd7I5Sjc5zb1ixZUkYWQ+RcC8ZNr2pqtZ6fD8AhKJBBavdJQu+7vO8kfDFzV+mkRbrRvGccCqfZ +Mgw6buILQc79+R7RXaNy0SbMAqAqPAMum6AwKL+fkO4wEGnNFatX95wbBdPsVBkWpn+rxeJp8PMr +pHAA8Z/7FTFuTKM7XSAH0ETXltulRiuDvrs5fW46TGC5dlNDwuM8DIGtbDnkxz8E5pD6M5wpDsNk +q8y5J/sbR6oqELdlO/lKZmqvGfy+mw76QMat5L5MDYAVR3gbCb7OR55uiCoU2tX6gndpq2QcnkNb +j9jaAvaDn0hFn40kBbjh+Vuicj3Pl/eEsCQaI8yTz3EULEBmjGu+2biyl+TYLMM2IOpINAkZar/4 +TZ6GAuPnFrbxybu+/cUCJXOJR1R2TOcgOdAKgaqBp4w5HwdoYMo9W0zC7Z2BY9/E+0/8Qvm+fMR+ +HlTodsmTaInA24IKZdlUM3CgeEbs17/Fmqq+WZYjwYWWLhLIdNUne3ea2LvhwUG5j+i/4bLMLyEE +obqXXp+ADvKK2a7rKlZp/SQKxTsoC32VeMr9LpH+foAKfDpsDakoUob1JqrZkMtpYIhxekYRHREZ +nuCekXKtKVi3qxODZVeCxvhkS8ba4gQxWl8yNeFdoywRXXxfstcQhV6MRy43MmMVQawgIxy8nPsw +ZfU/SU1OxjhH/kURsX8d048sz8PTXu+xiF9aq4m0Ng0zYEHI7lE6M0mawi1IyCZNiWTp7uFhkXdm +8O1qpgw0nv1e6+P9+dSqGccizdDJaf9jlmbJO5vSjRODwdGRafBy+xWM/DCZrDCyKcKpWwngqCBf +TGpC8fYMF/ky6GYK0M6B9ZDfXxeq0n42r2WdNEJBEs/DjzlmPtDF78LZcUWwOKpl9gMrSai1fGMv +sMKax2inIEoLtRCttRykYBPjXZPdXM0Svlk/Jao2WqzOonLx2Z6flw17XGv1X+ywq9naYMJbvu3M +vMol3qQQspBn+SUUaRe9iG+SVBsMTzO8iDl3TvsUKwsnLodANrEQmnJzgnDPnuH6OzVzR3yEcxp3 +tiKNqeK9lbnovfiu2O3+SMwbj3Zxp7xkCyODLTWaFvFcABKXUg22krJFGn7Z1M3ThY6S3VSjP1lz +G3MnWOtMClr/dckBwrbNkYYKu/jUr//dx05JixN1ka4DKq3/e26UA5JGvHXiXbueKjAmD5uoxuIy +E88G5Ae45we0yeux7yGJASPyqpdPT+kcaZBkDi2sFa2AH39xrs/6gajhqp98TqJMASm4vV9lZneN +mhogOlDMupxuH/j1BdwxOUUwkV2UGM47vjlYP4R/9M1w6zq9oOKqoapAU0dEBND+eogAK+cltz8G +JbGBwouGnyuIW1gAk4+RFsX+TXNpiZlfkl0kjFywAD+9HH7b/lpO9raqLAQWVknDwPM0Q9DKHWM7 +IdIwLpQytAq23Rt/fEfrhOLXKBRtUSynSKBhX1BCY7CXtS4VHMeOO3Lh3Luqusb02lq6DrEChRwd +AoRW3QhuLaP5lj6lOAhMEltIeIpwKwyQKPT/wNvfou7Tmk42866Nl8NHUVywdIbxuxpvhJ/dl5XG +TJZ5yaofC5vmMA+RRTFngXn39HXNy9p7WSl1PaXsPFD0DzNeRoimz9rogb9+2KuLsMzdI64iDSq/ +8YEajJocWJEPL4sbHT2igsRfbHqQEA5BXim+Fn1layWhEBRez8S/1Xlblr5xLZYI6w+/+hD2Wkb9 +OwyLsHQsG8EbEmOCQaFauq/kk+89xvKHPfQTvnTF5cptFAqg9NmDAA2g5sieaIlPor1oRoAo3rC2 +WiKV9M/qNLAEGmHuD7sAKA2jw4M2215clPrdmAwpcCkWzA2YpBTyKSwrjYPhkwU0NxPVup+nzfPI +Z4/l93QDMOeZM6GbUcoXuIjm1Qw4AZQp0jjQdQbMi6bAeNpWQ3PiEiYJghmySt5pvZQG+HwFbmIx +UxkC8FoietWRledtgvL4Asz1KZAcKuJbqz6vJ+RDpHdehIu57WNVA6yiTbg9QoUbEme2VQzDCdRN +dhCtWnL0LZQBcZoJ3HFLIdCebO09w/dkv4lSA3kGEiUJyF9i8UBue5uUoGvSTyIqtwaH+Uvwp6o8 +wPzFdaKZfb4PoC5IHEA8xARkH0Xk8bP7ASvgbwjwy2H7Tw0JhoJ5fgEP1WKh/L/78ngPxIhC3vb1 +hc6gnOvI3YoGiIv+CrA5OIrJLoYfXO/cUbHu5Y8kmV2rf8HGS49CiYE+vZ0b1tEPCDK4d8+CXEhF +iTyeKit7KHPLJRbU8EoWZmSymCN8CDjc8TEDJ+++DK5GaYWMn14dgUae/2eoyksR6sXZY1gmJT8S +PLEVSlhw80CGlF+7rzCSaPvusWpNDOfaqJqXQHDSICqpOq0D0q+eWDgof/yn+ANaqAhodyaxDUZ3 +edhbv3LOTFVVs9+XKSMny9ZWO4mGWh35vdLvb9d6tG1d6AwzT/uLplmcRc1T3LVOC1UINe7JpL2L +rtQtjPqp3NAgx4xtlTRFqQQAszSaYApu3xm4omJS8QrlFHrdTitlWCPYHrQURJzOhANzjGicDJNm +rFqYl+Nh3xAegZKzsfMq+IfJkE1CaOAV1Qc0C8GqHDw7+TOLeTFKBJAUAlkXDjCBIt5vUdq5SeLI +Na0+dWi6okSH9Ey3qM5G3jcsCdPFifJ9l/65npsVtGXDB1r6hqaM01ZvbufwN3EXuPH/7rm4+RUQ +dyoXwJ2Eb9zyk9MLmBWvM1qgPBOHoZ8T8nB0e5emNDHbyRR7VRxEotJc4qIOEgC2q0xECqI1wV0z +PCcVvDTL7tqaaOnv9cZX4ReB5EC3aFSoQwb82E7Ln1X1jeTONRY2CI8VhGwF0ZH8FigDx9Y9dHVc +zYpj+RmHFJ9O4DBcBB4tKpXvtvlrWSC7BQaX/+GtCPHN18wvRhxzJuaImkS76vKfYcgcTPcN0JHB +ZJnkpJGQBdf327DBIWPL7f10ruJcNR9tU+jXe9QJB9BO1l9J9KK97Y79kGv/9TEKK0VSk31wU8KM +IbtGooT1CQlS6xgiNWlCzIVl+h4n0e05CDWAZbYh8+guKxVQPesgTvwMaV1OSuO+xLn6ogpc7Km2 +xz9fydKmEQcY6tdvpzNHvqCZRw7PxFzPDUn1xfKrSId6Hap4r6FCTRlAp5Zn9CGNMn9IvBdLZ63C +jKkR56hO+FyMq9mUDLADZqzWfX2+Cj/wpXAPyp+0Z2pP4F/Pptww1cGAUBBHyJ9U7ljS3855XR/8 +RElLMkThOF7+rax58W76S+xWmjvTspcVpEaRRqN/9zNxcIRVPYbzqCNLRYwVNs04q6AE/VYeUqu2 +8CgYEW5VUKcdRqtnEUv8l2A13SMECEhqLd0GajRwR0QOSNR99mja7Y4hnT+nad4rSBqoNhn2yAzP +BXYa1XgcFyDKgMg69aH0mYlbMMkwJvUZSj1zbnz5pXcqp8EUwk5rYr85OpxcDYe5lwpodW0+Vwlw +4r+Mjmv4dvpn2yyPIPn3FbjRG3S3mwOMNoFcRAnzBTZwURYTudjjyMN0Ph49qUDOt3sRWVjE+sZm +Zug+FyQpgTvx7hErRmRn/VgZbERU569UZqBtkJh8eUedIgSw3TVmR1wBaKkNewN4pdVSfW3PZRYi +nY7Sc4Pz2LE3IpCQjVvT5+iCzYt+Egp2MhSAiZq3M6/NdYudYg2qLaty/O8iCyrxefVGWlrBzqiL +TG36DCHQQjw8seMUc9kyp4gWmP0aqIBlGDUCMWhSAstzkefof9WWINFp412czQVDuEuZhLZvJG36 +2ErRAIRvlkz6eFWQz1BnJViCfwpzw3o4VL6r5AxuRZBj9gkVlSnzuf4cRIqdcRw+jowLJs3bxouD +7bzfjvP9H4Octpzk6KvEt1v/QsV0iO4CxuLRZtA0LpcQjFNLVGHMQfZxApAQCQKDc7SCTF0byzfo +MUJ0/ynUDVNNIeKQMaW+WdENZxXvyB6nRwCpfyMR5rvJGG7zciTHR47jBeOCMtbV6ogTzFdh1dpS +avJyt93d0YXEKdKGBmcvXaK59sVsbOnfWxq6cs2i0VaVomwagsIqnbeFtqtdx7wD3KWj4CGMmkMG +CFQqTi9UETMJ0sHU3A6FcuMGNnVgbvA11ppljsWXyQlpv5PsJGxCDvCNOXRbW7AWnRQ5mIrxqVcF +PI5MBBAbU5PDxia6HTQWIYUzB/MiKm0waf/ISfk2eGcmxA2C1PsdHS+Uog7agPKMwthNpd6+oXkn +sV+/04yfakrpy5/W5rDToeprYgOV1SKMY1w+SOBzRAmu2ftVhnNIVbL7I4Q0hEQ9cPRyzRVegz2s +cpxaMMCXYFnxGsulePqynSZJQqsUcUxphfAyCpX31YI2yuQKvNDONURhVFt1n+hItlEn5Kq108e8 +aQpqnkYfSt6TQaEUqfSlVX9yrP0y+xnlSy71bzlROF4Rl+BpcVthqG9J5fBxk5Tl1tpMU88iQt89 +h5cX3faR4TqhrJuzYL+Ct4cM2J+pt3CTAYTwSAPQao4NclL7MEdIDUu2y8gZDql95uMlqdCb7cxP +b2UMh9LmhXpUdXqQd/AVRM/SCUZrp0rQMBT4UItwPfC1c1GFLeEYCMaa1dEld5PYh+17VhFnmyi7 ++KVG6UP94oT72F9NDn48wbJGa3omTfOmW6eVAWSLMoDiFYgP0htjS6zQt8tfCmGZU3y+SMMKaXbA +sa1kfkezrKEtD7ayMO7WauyYHMZWPIDHk5xg9d9fqINV8qk9WBAEUBPvmYpxl0EyIweEFvyk2Ajh +Z1JEdUDH9e9o+fZhJlcWzN20JI6i/IHy1TjieBESQ7bz0qYECx/VrzbOSniTts4FY34C3OQUX1KV +1M4FvLx3+Dbc8B5S69DbCqG/Sb9nnseMFLxPk4pL4bISZYwjup9FR5WYzsf/V0aF8xtdwu/1nI6B +zPPCAwx8jGOm7+XrfLUGiwDF8G2R3Wz0vdOIQixVEHZEwPCPuUjcviyfC8vwO9DtzCNigMyAkr1x +urbOJL6iGBi3PvsbwSWrofQ319Lktwk61fyoRe8LS45jAB2LjEhkCCAccOcqnUUMBMs1Fm+u4R25 +49DO0Fb6UaEo86wU3sSeE5kA9XfHdSuUDoEpqiEHb5iCit9LqGdBwV7E9riGx7JeR2X0EF2hhmwV +m/Ed6At/pLWyaaq1egl3Ll/juJ0ZQs92YtIFhiXT8Jah+eU6BIqi5dBN02eKTobUJyzbkqiIIP9v +XKO5c+pXnW9tY8xZWrUM2BLys3XEi3c90AqZSy7h8Kgyahgkvqu3zp9vmwEbFiFRN8mvGNnvNTbi +8is5Rgky+3TFkgS5ZkHDjPB0mcfG2SFaaeszwvBICJxjoEAIWDbOBSXMnALOt3UqRgJ/2GbkxOKO +cb4uhv9VIZS6LiBnq5n0QQnUz/c7W4eDtwpKLadvrl8uvMKMRrfcW8iaSIUibZOpikQUAkGA9j0Y +9DEZlRoFDVhqPKGtA8Uq9GulCAZQLxiyOcFkg+XUT/x/tTyBbqC/1cZo04rDdDGgORqfitIlQRUt +H0iXNFMVXZ7Gaaoj+2KP0AiMYTXV/XfebG0avjcE1CdqkpCpicDYs4+XcrzZUMr/RA/E4N/0KaPO +fjEeWHh0ZfldqjxlhnVsMyaodsSpVIcFth97O7anmAD/KwLp8+g5p+l/GRnZSLXEHdTXQuttlDSu +IAj9XexFCQ8GWkc62RiS26jw2NV+MhZqsDEOnO610sc2sRLzYlQMC049+jFoXTjuOPXcvGPSmdTn +BbrLLq845qI+OcUK/CUZCfdbc7OZ7KIqXpx61+RYFhBm9tsYkX5w55WIh411FS2dDg7VKK/jqa13 +vu74pDhQXphkJyCeUG0xZyK0ehHiBAMgM5cizuEN1+3xLt8bGdmEG+1GcdBIq2iz2n2DEzNtOVYt +eNfy57+r4yMHj6fmMNDmp1ZoW4/pO2RAemilbtyuT8SQWanUV8LbbhkRh9TKxKX7kKGm0EkkNy6P +41F2U0rTy7kHq/ZWzDNKE2PnlN68ZqRtey5UJrz/jsPb/oxfC5N1I5yQhxbr2oTip/ytY1murWPy +BrOe+y1Tsd/9vSi64/J0a+UUeDcgoi2BCUdqqFA6vrOZmTKbjDBNiJ0qimrgpalWxVjPwL+6jA80 +l9u0AMlW/4p+7ESZfso0FTF5h1rDUorvihBa+3GO166Tvgos4pF0mZ5OZ/7D9ak0cwcJEbp4FYnb +CanexmA6rOnk0IlrFC83CjAkhshcaZHkSjKwAvx0i6lvdw9eyY4LcnjapvWWyHtTMEDJBLkwho1z +pQ3qLNHRAOj1+hmMYPYL10X3LpWGzO3+lexxU9xieT7W9WA/ooNxhsjbW+Bp92Fp5mU7AnCJ13nU +HKAGUSZg7p05g+dw880lAU4k7WXVp4tdAuVxTVviVHO0Hg8oV3xwARGVyIs0VPFHv2gI7qOoj77z +V2MDo43T6stANQ4m8mOb9IOlc1Clri2jwXbXKMT0HewZjgo2JsW1jaXaRHHHhL5mqV34v+RKE2oB +vm+sbc9cvHm6Ccwl92rqhmspsjHcFkLebvngLV/nl62QYufecC4YgVx4wQMO/BZ7sEe9I3KX1ypR +OsbCtiKhkdgZroJTUh26OUvw4BwvTy3hqqonvXQb49G1XGeaUjPmRRDjg4/4FsIeOZAoyArwmYSY +YuS6ldpYEk2YesUPMY4prLNd3VzN7jyvBCYL0dIKOUgGR11Czj13XAx8BxVPSCYwSMC+Wv/ogSB7 +eIoqX6R4WTvAYA0L9CeunwfcAq8dAClhcvIZzAfo2Uqbj3bqZzJQzLmtrPPYSQqZX8ienpYlii4s +O4+xcSX4Qfvz4q7bnap7EXzHZtZwdP6upV9I+mr99yVRQYAbbTitxOC4Gi7BzKZ0ltvWBnjYMos/ +zfyAaodwh+hHHDxcPxlIhyZ0Vih1HTCpIwWeHD6t9q5uYVbwlS51ihiCgSTct6eOJA+fZAoM5rgO +vIkSWBzhwihQxFDUIgkLGe6VW6ZIo0pKsFoxBI2VhM9UER8O9USLzq6wgd5TbCLZMXdEClvqdlkc +G4hFHjXxnCOv21xvUY97Mf4qwnYZmiG26suyDItnRfZfLQdpWvvVLcE2mWG2GBf9dd7Hs2hVFYgT +Rvroi1gO8LBabX2eiaeNVM9EPQTBceVTZP8OTeaZl+pQJoi3HMCq5syhwp3fxFTYOkKlShegQ6tz +q7JbKSSmOm7dHnznZUm2tVktiFWpUg== +`pragma protect end_protected +module DDR3_Memory_Interface_Top ( + clk, + pll_stop, + memory_clk, + pll_lock, + rst_n, + clk_out, + ddr_rst, + init_calib_complete, + cmd_ready, + cmd, + cmd_en, + addr, + wr_data_rdy, + wr_data, + wr_data_en, + wr_data_end, + wr_data_mask, + rd_data, + rd_data_valid, + rd_data_end, + sr_req, + ref_req, + sr_ack, + ref_ack, + burst, + O_ddr_addr, + O_ddr_ba, + O_ddr_cs_n, + O_ddr_ras_n, + O_ddr_cas_n, + O_ddr_we_n, + O_ddr_clk, + O_ddr_clk_n, + O_ddr_cke, + O_ddr_odt, + O_ddr_reset_n, + O_ddr_dqm, + IO_ddr_dq, + IO_ddr_dqs, + IO_ddr_dqs_n +) +; +input clk; +output pll_stop; +input memory_clk; +input pll_lock; +input rst_n; +output clk_out; +output ddr_rst; +output init_calib_complete; +output cmd_ready; +input [2:0] cmd; +input cmd_en; +input [27:0] addr; +output wr_data_rdy; +input [127:0] wr_data; +input wr_data_en; +input wr_data_end; +input [15:0] wr_data_mask; +output [127:0] rd_data; +output rd_data_valid; +output rd_data_end; +input sr_req; +input ref_req; +output sr_ack; +output ref_ack; +input burst; +output [13:0] O_ddr_addr; +output [2:0] O_ddr_ba; +output O_ddr_cs_n; +output O_ddr_ras_n; +output O_ddr_cas_n; +output O_ddr_we_n; +output O_ddr_clk; +output O_ddr_clk_n; +output O_ddr_cke; +output O_ddr_odt; +output O_ddr_reset_n; +output [1:0] O_ddr_dqm; +inout [15:0] IO_ddr_dq; +inout [1:0] IO_ddr_dqs; +inout [1:0] IO_ddr_dqs_n; +wire VCC; +wire GND; + \~GW_DDR3_PHY_MC.DDR3_Memory_Interface_Top gw3_top ( + .memory_clk(memory_clk), + .clk(clk), + .rst_n(rst_n), + .pll_lock(pll_lock), + .cmd_en(cmd_en), + .ref_req(ref_req), + .sr_req(sr_req), + .wr_data_end(wr_data_end), + .wr_data_en(wr_data_en), + .cmd(cmd[2:0]), + .addr(addr[26:0]), + .wr_data(wr_data[127:0]), + .wr_data_mask(wr_data_mask[15:0]), + .clk_out(clk_out), + .ddr_rst(ddr_rst), + .pll_stop(pll_stop), + .O_ddr_clk(O_ddr_clk), + .O_ddr_clk_n(O_ddr_clk_n), + .O_ddr_reset_n(O_ddr_reset_n), + .O_ddr_cs_n(O_ddr_cs_n), + .O_ddr_ras_n(O_ddr_ras_n), + .O_ddr_cas_n(O_ddr_cas_n), + .O_ddr_we_n(O_ddr_we_n), + .O_ddr_cke(O_ddr_cke), + .O_ddr_odt(O_ddr_odt), + .init_calib_complete(init_calib_complete), + .rd_data_valid(rd_data_valid), + .cmd_ready(cmd_ready), + .ref_ack(ref_ack), + .sr_ack(sr_ack), + .wr_data_rdy(wr_data_rdy), + .O_ddr_dqm(O_ddr_dqm[1:0]), + .O_ddr_addr(O_ddr_addr[13:0]), + .O_ddr_ba(O_ddr_ba[2:0]), + .rd_data(rd_data[127:0]), + .IO_ddr_dqs(IO_ddr_dqs[1:0]), + .IO_ddr_dqs_n(IO_ddr_dqs_n[1:0]), + .IO_ddr_dq(IO_ddr_dq[15:0]) +); + VCC VCC_cZ ( + .V(VCC) +); + GND GND_cZ ( + .G(GND) +); + GSR GSR ( + .GSRI(VCC) +); +assign rd_data_end = rd_data_valid; +endmodule /* DDR3_Memory_Interface_Top */ diff --git a/src/ddr3_memory_interface/ddr3_memory_interface.vo b/src/ddr3_memory_interface/ddr3_memory_interface.vo new file mode 100644 index 0000000..56d2d6a --- /dev/null +++ b/src/ddr3_memory_interface/ddr3_memory_interface.vo @@ -0,0 +1,50995 @@ +//Copyright (C)2014-2025 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Post-PnR Verilog Simulation Model file +//Tool Version: V1.9.12 (64-bit) +//Created Time: Sat Dec 20 22:07:41 2025 + +`timescale 100 ps/100 ps +module DDR3_Memory_Interface_Top( + clk, + memory_clk, + pll_lock, + rst_n, + cmd, + cmd_en, + addr, + wr_data, + wr_data_en, + wr_data_end, + wr_data_mask, + sr_req, + ref_req, + burst, + pll_stop, + clk_out, + ddr_rst, + init_calib_complete, + cmd_ready, + wr_data_rdy, + rd_data, + rd_data_valid, + rd_data_end, + sr_ack, + ref_ack, + O_ddr_addr, + O_ddr_ba, + O_ddr_cs_n, + O_ddr_ras_n, + O_ddr_cas_n, + O_ddr_we_n, + O_ddr_clk, + O_ddr_clk_n, + O_ddr_cke, + O_ddr_odt, + O_ddr_reset_n, + O_ddr_dqm, + IO_ddr_dq, + IO_ddr_dqs, + IO_ddr_dqs_n +); +input clk; +input memory_clk; +input pll_lock; +input rst_n; +input [2:0] cmd; +input cmd_en; +input [27:0] addr; +input [127:0] wr_data; +input wr_data_en; +input wr_data_end; +input [15:0] wr_data_mask; +input sr_req; +input ref_req; +input burst; +output pll_stop; +output clk_out; +output ddr_rst; +output init_calib_complete; +output cmd_ready; +output wr_data_rdy; +output [127:0] rd_data; +output rd_data_valid; +output rd_data_end; +output sr_ack; +output ref_ack; +output [13:0] O_ddr_addr; +output [2:0] O_ddr_ba; +output O_ddr_cs_n; +output O_ddr_ras_n; +output O_ddr_cas_n; +output O_ddr_we_n; +output O_ddr_clk; +output O_ddr_clk_n; +output O_ddr_cke; +output O_ddr_odt; +output O_ddr_reset_n; +output [1:0] O_ddr_dqm; +inout [15:0] IO_ddr_dq; +inout [1:0] IO_ddr_dqs; +inout [1:0] IO_ddr_dqs_n; +wire GND; +wire [15:0] IO_ddr_dq; +wire [1:0] IO_ddr_dqs; +wire [1:0] IO_ddr_dqs_n; +wire [13:0] O_ddr_addr; +wire [2:0] O_ddr_ba; +wire O_ddr_cas_n; +wire O_ddr_cke; +wire O_ddr_clk; +wire O_ddr_clk_n; +wire O_ddr_cs_n; +wire [1:0] O_ddr_dqm; +wire O_ddr_odt; +wire O_ddr_ras_n; +wire O_ddr_reset_n; +wire O_ddr_we_n; +wire VCC; +wire [27:0] addr; +wire burst; +wire clk; +wire clk_out; +wire [2:0] cmd; +wire cmd_en; +wire cmd_ready; +wire ddr_rst; +wire init_calib_complete; +wire memory_clk; +wire pll_lock; +wire pll_stop; +wire [127:0] rd_data; +wire rd_data_end; +wire rd_data_valid; +wire ref_ack; +wire ref_req; +wire rst_n; +wire sr_ack; +wire sr_req; +wire [127:0] wr_data; +wire wr_data_en; +wire wr_data_end; +wire [15:0] wr_data_mask; +wire wr_data_rdy; +wire \gw3_top/full ; +wire \gw3_top/init_complete_r ; +wire \gw3_top/phy_rd_data_valid ; +wire \gw3_top/eye_app_cmd_en_Z ; +wire \gw3_top/eye_app_wdf_wren_Z ; +wire \gw3_top/eye_calib_start_Z ; +wire \gw3_top/ddr_init_internal ; +wire [3:2] \gw3_top/mc_ras_n_Z ; +wire [3:2] \gw3_top/mc_cas_n_Z ; +wire [3:2] \gw3_top/mc_we_n_Z ; +wire [51:28] \gw3_top/mc_address_Z ; +wire [11:6] \gw3_top/mc_bank_Z ; +wire [3:2] \gw3_top/mc_cs_n_Z ; +wire [1:1] \gw3_top/mc_odt_Z ; +wire [3:3] \gw3_top/mc_cke_Z ; +wire [127:0] \gw3_top/mc_wrdata ; +wire [15:0] \gw3_top/mc_wrdata_mask_Z ; +wire [127:0] \gw3_top/eye_calib_rdata ; +wire [127:67] \gw3_top/eye_app_wdf_wdata_Z ; +wire [0:0] \gw3_top/eye_app_cmd_Z ; +wire [12:3] \gw3_top/eye_app_addr_Z ; +wire \gw3_top/u_gwmc_top/n8_4 ; +wire \gw3_top/u_gwmc_top/n11_3 ; +wire \gw3_top/u_gwmc_top/n34_3 ; +wire \gw3_top/u_gwmc_top/n35_3 ; +wire \gw3_top/u_gwmc_top/n36_3 ; +wire \gw3_top/u_gwmc_top/n40_3 ; +wire \gw3_top/u_gwmc_top/n41_3 ; +wire \gw3_top/u_gwmc_top/n42_3 ; +wire \gw3_top/u_gwmc_top/n43_3 ; +wire \gw3_top/u_gwmc_top/n110_3 ; +wire \gw3_top/u_gwmc_top/n39_7 ; +wire \gw3_top/u_gwmc_top/n38_7 ; +wire \gw3_top/u_gwmc_top/n37_7 ; +wire \gw3_top/u_gwmc_top/n33_7 ; +wire \gw3_top/u_gwmc_top/n32_7 ; +wire \gw3_top/u_gwmc_top/n31_7 ; +wire \gw3_top/u_gwmc_top/n30_7 ; +wire \gw3_top/u_gwmc_top/n29_7 ; +wire \gw3_top/u_gwmc_top/n28_7 ; +wire \gw3_top/u_gwmc_top/n27_7 ; +wire \gw3_top/u_gwmc_top/n26_7 ; +wire \gw3_top/u_gwmc_top/n25_7 ; +wire \gw3_top/u_gwmc_top/n24_7 ; +wire \gw3_top/u_gwmc_top/n23_7 ; +wire \gw3_top/u_gwmc_top/n22_7 ; +wire \gw3_top/u_gwmc_top/n21_7 ; +wire \gw3_top/u_gwmc_top/n20_7 ; +wire \gw3_top/u_gwmc_top/n9_7 ; +wire \gw3_top/u_gwmc_top/n10_7 ; +wire \gw3_top/u_gwmc_top/n44_7 ; +wire \gw3_top/u_gwmc_top/n45_7 ; +wire \gw3_top/u_gwmc_top/n46_7 ; +wire \gw3_top/u_gwmc_top/eye_calib_start_addr ; +wire \gw3_top/u_gwmc_top/app_en ; +wire \gw3_top/u_gwmc_top/eye_calib_start_cmd ; +wire \gw3_top/u_gwmc_top/cmd_empty_Z ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_168_G[0]_2 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_175_G[0]_2 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_182_G[0]_2 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_0_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_7_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_14_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_21_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_28_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_35_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_42_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_49_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_56_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_63_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_70_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_77_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_84_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_91_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_98_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_105_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_112_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_119_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_126_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_133_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_140_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_147_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_154_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_161_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_189_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_196_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_203_G[0]_4 ; +wire \gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ; +wire \gw3_top/u_gwmc_top/cmd_write_Z ; +wire \gw3_top/u_gwmc_top/cmd_read_Z ; +wire \gw3_top/u_gwmc_top/send_zqcs_Z ; +wire \gw3_top/u_gwmc_top/idle1 ; +wire \gw3_top/u_gwmc_top/idle2 ; +wire \gw3_top/u_gwmc_top/idle3 ; +wire \gw3_top/u_gwmc_top/send_act_Z ; +wire \gw3_top/u_gwmc_top/send_wr ; +wire \gw3_top/u_gwmc_top/send_rd ; +wire \gw3_top/u_gwmc_top/send_pre_Z ; +wire \gw3_top/u_gwmc_top/pre_all_Z ; +wire \gw3_top/u_gwmc_top/send_ref_Z ; +wire \gw3_top/u_gwmc_top/send_sre_Z ; +wire \gw3_top/u_gwmc_top/cke_set_Z ; +wire \gw3_top/u_gwmc_top/n221_3 ; +wire \gw3_top/u_gwmc_top/cmd_accept_ref_Z_3 ; +wire \gw3_top/u_gwmc_top/cmd_accept_sre_Z ; +wire \gw3_top/u_gwmc_top/cmd_accept_ref_Z ; +wire \gw3_top/u_gwmc_top/cmd_accept_Z ; +wire \gw3_top/u_gwmc_top/refresh_request_Z ; +wire \gw3_top/u_gwmc_top/sre_request_Z ; +wire [2:0] \gw3_top/u_gwmc_top/app_cmd ; +wire [26:0] \gw3_top/u_gwmc_top/app_addr ; +wire [3:2] \gw3_top/u_gwmc_top/mc_ras_n_dly ; +wire [3:2] \gw3_top/u_gwmc_top/mc_cas_n_dly ; +wire [3:2] \gw3_top/u_gwmc_top/mc_we_n_dly ; +wire [51:28] \gw3_top/u_gwmc_top/mc_address_dly ; +wire [11:6] \gw3_top/u_gwmc_top/mc_bank_dly ; +wire [3:2] \gw3_top/u_gwmc_top/mc_cs_n_dly ; +wire [1:1] \gw3_top/u_gwmc_top/mc_odt_dly ; +wire [3:3] \gw3_top/u_gwmc_top/mc_cke_dly ; +wire [2:0] \gw3_top/u_gwmc_top/bank_d ; +wire [13:0] \gw3_top/u_gwmc_top/row_d ; +wire [9:0] \gw3_top/u_gwmc_top/col_d ; +wire [127:0] \gw3_top/u_gwmc_top/mc_wrdata_dly ; +wire [15:0] \gw3_top/u_gwmc_top/mc_wrdata_mask_dly ; +wire [11:6] \gw3_top/u_gwmc_top/mc_bank_out ; +wire [51:28] \gw3_top/u_gwmc_top/mc_address_out ; +wire [2:2] \gw3_top/u_gwmc_top/mc_ras_n_out ; +wire [2:2] \gw3_top/u_gwmc_top/mc_cas_n_out ; +wire [3:2] \gw3_top/u_gwmc_top/mc_we_n_out ; +wire [20:20] \gw3_top/u_gwmc_top/cmd3 ; +wire [3:2] \gw3_top/u_gwmc_top/mc_cs_n_out ; +wire [0:0] \gw3_top/u_gwmc_top/mc_odt_out ; +wire [3:3] \gw3_top/u_gwmc_top/mc_cke_out ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_171_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_172_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_173_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_174_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_178_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_179_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_180_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_181_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_185_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_186_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_187_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_188_G[2]_1 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n449_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n449_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n449_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n449_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n450_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n450_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n450_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n450_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n451_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n451_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n451_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n451_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n452_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n452_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n452_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n452_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n453_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n453_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n453_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n453_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n454_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n454_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n454_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n454_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n455_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n455_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n455_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n455_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n456_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n456_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n456_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n456_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n457_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n457_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n457_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n457_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n458_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n458_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n458_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n458_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n459_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n459_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n459_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n459_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n460_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n460_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n460_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n460_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n461_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n461_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n461_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n461_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n462_20 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n462_21 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n462_22 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n462_23 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_9 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_11 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n41_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n180_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n213_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n246_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n280_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n313_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n347_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n381_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n416_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/write ; +wire \gw3_top/u_gwmc_top/gw_cmd0/read ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_327 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_329 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_331 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_333 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_335 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_337 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_339 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_341 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n34_6 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n75_7 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n41_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n246_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_6 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_7 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_8 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/write_6 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n347_6 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n213_6 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n180_6 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/full_invalid_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/next_waddr_0_15 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n489_5 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_1_10 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_0_12 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/full_rr ; +wire \gw3_top/u_gwmc_top/gw_cmd0/full_r ; +wire \gw3_top/u_gwmc_top/gw_cmd0/full_invalid ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[0]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[1]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[2]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[3]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[4]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[5]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[6]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[7]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[8]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[9]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[10]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[11]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[12]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[13]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[14]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[15]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[16]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[17]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[18]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[19]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[20]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[21]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[22]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[23]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[24]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[25]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[26]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[27]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[28]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[29]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[30]_4 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n29_0_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n29_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n28_0_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n28_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n27_2 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n27_0_COUT ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n17_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n17_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n18_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n18_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n19_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n19_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n445_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n445_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n446_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n446_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n447_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/bank_change ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n463_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n463_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n464_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n464_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n465_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n465_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n466_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n466_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n467_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n467_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n468_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n468_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n469_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n469_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n470_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n470_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n471_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n471_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n472_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n472_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n473_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n473_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n474_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n474_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n475_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n475_3 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n476_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_cmd0/row_change ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_169_G[1]_2 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_170_G[1]_2 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_176_G[1]_2 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_177_G[1]_2 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_183_G[1]_2 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_184_G[1]_2 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n449_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n449_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n450_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n450_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n451_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n451_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n452_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n452_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n453_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n453_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n454_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n454_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n455_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n455_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n456_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n456_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n457_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n457_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n458_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n458_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n459_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n459_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n460_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n460_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n461_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n461_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n462_25 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n462_27 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_14 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_16 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n449_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n450_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n451_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n452_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n453_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n454_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n455_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n456_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n457_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n458_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n459_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n460_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n461_29 ; +wire \gw3_top/u_gwmc_top/gw_cmd0/n462_29 ; +wire [2:1] \gw3_top/u_gwmc_top/gw_cmd0/next_waddr ; +wire [2:2] \gw3_top/u_gwmc_top/gw_cmd0/next_raddr ; +wire [2:0] \gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] ; +wire [13:0] \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] ; +wire [2:0] \gw3_top/u_gwmc_top/gw_cmd0/waddr ; +wire [2:0] \gw3_top/u_gwmc_top/gw_cmd0/raddr ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_20 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_21 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_22 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_23 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_1_75 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_4_72 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_12_72 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_6_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_5_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_4_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_2_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_1_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_0_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_67 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_67 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_67 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_16_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_11_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_73 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_9_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_8_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_7_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_6_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_3_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_73 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_67 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n324_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n320_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n135_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n133_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n131_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n129_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n76_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n74_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n71_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n34_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n32_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n30_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1997_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1995_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1993_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1828_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_3 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_4 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_68 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_69 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_68 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_69 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_71 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_71 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_71 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_75 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_76 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_77 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_74 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_75 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_76 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_77 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_68 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_69 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_15 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_6 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_5 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_79 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_74 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_75 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_79 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_70 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_71 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_72 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_16 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_17 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_18 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_19 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_20 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_21 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_22 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_14 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_15 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_16 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_17 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_76 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_24 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_81 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_82 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_78 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_26 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_15 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_80 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_15 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_82 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_76 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_84 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_83 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_17 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_15 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1894_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_21 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_15 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_7 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_23 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1998_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n323_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n326_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_25_72 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1861_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1907_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2081_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1876_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2076_8 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1922_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n78_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n79_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_14 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_11 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n137_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n138_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n327_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1829_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_13 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1860_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_1_14 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1874_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_18 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1875_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1893_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_16 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1906_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_1_12 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1920_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_16 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1921_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1964_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_21 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1965_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1966_9 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1991_10 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_21_72 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/init_done_r ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_read ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_write ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_satisfied ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_25 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_27 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_29 ; +wire \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n294_5 ; +wire [7:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt ; +wire [2:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank ; +wire [13:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row ; +wire [9:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col ; +wire [9:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt ; +wire [9:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt ; +wire [7:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt ; +wire [7:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted ; +wire [3:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt ; +wire [1:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt ; +wire [2:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt ; +wire [1:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt ; +wire [1:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt ; +wire [2:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt ; +wire [7:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt ; +wire [2:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt ; +wire [25:0] \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate ; +wire \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n48_3 ; +wire \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accepted_5 ; +wire \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n44_7 ; +wire \gw3_top/u_gwmc_top/gwmc_timing_ctrl/refresh_request_7 ; +wire \gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt_0_10 ; +wire \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n69_6 ; +wire \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accept ; +wire [0:0] \gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n458_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n459_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n460_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n461_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n462_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n463_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n464_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n465_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n466_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n467_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n468_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n469_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n470_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n471_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n472_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n473_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n491_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n492_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n494_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n495_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n496_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n498_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n499_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n500_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n501_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n503_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n504_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n505_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n524_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n525_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n526_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n527_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n528_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n529_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n530_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n531_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n532_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n533_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n534_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n535_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n536_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n537_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n538_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n539_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n557_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n558_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n560_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n561_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n564_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n565_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n567_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n569_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n571_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n572_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n590_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n591_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n592_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n593_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n594_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n595_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n596_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n597_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n598_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n599_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n600_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n601_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n602_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n603_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n604_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n605_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n623_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n624_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n626_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n627_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n628_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n630_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n631_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n632_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n633_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n635_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n636_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n637_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n656_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n657_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n658_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n659_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n660_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n661_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n662_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n663_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n664_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n665_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n666_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n667_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n668_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n669_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n670_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n671_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n689_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n690_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n692_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n693_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n696_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n697_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n699_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n701_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n703_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n704_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n756_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n722_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n723_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n724_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n725_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n726_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n727_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n728_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n729_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n730_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n731_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n732_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n733_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n734_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n735_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n736_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n737_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n691_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n559_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n694_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n562_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n695_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n563_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n698_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n566_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n700_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n568_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n702_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n570_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n625_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n493_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n629_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n497_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n634_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n502_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n638_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n506_7 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_wen ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_wrbuf ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full_r ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/n760_6 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full ; +wire [8:0] \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq ; +wire [143:0] \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/awfull_val ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_0_8 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_5 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_6 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_8 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n641_8 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_8 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_10 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_0_10 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rempty_val ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Full ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_0_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_1_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_2_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_3_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_4_0_COUT ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n652_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n652_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n653_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n653_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n654_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n654_3 ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n655_1_SUM ; +wire \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n655_3 ; +wire [4:1] \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next ; +wire [4:1] \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next ; +wire [3:0] \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f ; +wire [3:0] \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly ; +wire [4:0] \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin ; +wire [4:0] \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin ; +wire [4:0] \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n284_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n204_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n205_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n206_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n208_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n209_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n210_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n211_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n212_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n213_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n214_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n215_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n216_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n217_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n219_8 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n220_7 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_8 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_10 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n218_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n266_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n267_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n268_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n273_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n274_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n275_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n276_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n277_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n278_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n279_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n280_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n281_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n282_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_col ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n394_10 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_10 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n203_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n202_9 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_12 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_row ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en2 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en3 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/col_cmd_we ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/slot_0_read_5 ; +wire \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n285_8 ; +wire [0:0] \gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r ; +wire [0:0] \gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r_1 ; +wire [20:20] \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2 ; +wire \gw3_top/u_ddr_phy_top/n493_3 ; +wire \gw3_top/u_ddr_phy_top/n494_3 ; +wire \gw3_top/u_ddr_phy_top/n495_3 ; +wire \gw3_top/u_ddr_phy_top/n496_3 ; +wire \gw3_top/u_ddr_phy_top/n1440_3 ; +wire \gw3_top/u_ddr_phy_top/n102_4 ; +wire \gw3_top/u_ddr_phy_top/n104_8 ; +wire \gw3_top/u_ddr_phy_top/n234_9 ; +wire \gw3_top/u_ddr_phy_top/n231_9 ; +wire \gw3_top/u_ddr_phy_top/n230_9 ; +wire \gw3_top/u_ddr_phy_top/n228_9 ; +wire \gw3_top/u_ddr_phy_top/n227_9 ; +wire \gw3_top/u_ddr_phy_top/n226_9 ; +wire \gw3_top/u_ddr_phy_top/n223_9 ; +wire \gw3_top/u_ddr_phy_top/n222_9 ; +wire \gw3_top/u_ddr_phy_top/n220_9 ; +wire \gw3_top/u_ddr_phy_top/n219_9 ; +wire \gw3_top/u_ddr_phy_top/n218_9 ; +wire \gw3_top/u_ddr_phy_top/n217_9 ; +wire \gw3_top/u_ddr_phy_top/n213_9 ; +wire \gw3_top/u_ddr_phy_top/n212_9 ; +wire \gw3_top/u_ddr_phy_top/n211_9 ; +wire \gw3_top/u_ddr_phy_top/n210_9 ; +wire \gw3_top/u_ddr_phy_top/n209_9 ; +wire \gw3_top/u_ddr_phy_top/n205_9 ; +wire \gw3_top/u_ddr_phy_top/n204_9 ; +wire \gw3_top/u_ddr_phy_top/n203_9 ; +wire \gw3_top/u_ddr_phy_top/n201_9 ; +wire \gw3_top/u_ddr_phy_top/n200_9 ; +wire \gw3_top/u_ddr_phy_top/n198_9 ; +wire \gw3_top/u_ddr_phy_top/n196_9 ; +wire \gw3_top/u_ddr_phy_top/n195_9 ; +wire \gw3_top/u_ddr_phy_top/n193_9 ; +wire \gw3_top/u_ddr_phy_top/n192_9 ; +wire \gw3_top/u_ddr_phy_top/n190_9 ; +wire \gw3_top/u_ddr_phy_top/n188_9 ; +wire \gw3_top/u_ddr_phy_top/n187_9 ; +wire \gw3_top/u_ddr_phy_top/n184_9 ; +wire \gw3_top/u_ddr_phy_top/n183_9 ; +wire \gw3_top/u_ddr_phy_top/n181_9 ; +wire \gw3_top/u_ddr_phy_top/n179_9 ; +wire \gw3_top/u_ddr_phy_top/n176_9 ; +wire \gw3_top/u_ddr_phy_top/n175_9 ; +wire \gw3_top/u_ddr_phy_top/n173_9 ; +wire \gw3_top/u_ddr_phy_top/n171_9 ; +wire \gw3_top/u_ddr_phy_top/n168_9 ; +wire \gw3_top/u_ddr_phy_top/n167_9 ; +wire \gw3_top/u_ddr_phy_top/n164_9 ; +wire \gw3_top/u_ddr_phy_top/n163_9 ; +wire \gw3_top/u_ddr_phy_top/n160_9 ; +wire \gw3_top/u_ddr_phy_top/n159_9 ; +wire \gw3_top/u_ddr_phy_top/n156_9 ; +wire \gw3_top/u_ddr_phy_top/n155_9 ; +wire \gw3_top/u_ddr_phy_top/n154_9 ; +wire \gw3_top/u_ddr_phy_top/n153_9 ; +wire \gw3_top/u_ddr_phy_top/n150_9 ; +wire \gw3_top/u_ddr_phy_top/n149_9 ; +wire \gw3_top/u_ddr_phy_top/n146_9 ; +wire \gw3_top/u_ddr_phy_top/n145_9 ; +wire \gw3_top/u_ddr_phy_top/n142_9 ; +wire \gw3_top/u_ddr_phy_top/n141_9 ; +wire \gw3_top/u_ddr_phy_top/n137_9 ; +wire \gw3_top/u_ddr_phy_top/n135_9 ; +wire \gw3_top/u_ddr_phy_top/n133_9 ; +wire \gw3_top/u_ddr_phy_top/n131_9 ; +wire \gw3_top/u_ddr_phy_top/n129_9 ; +wire \gw3_top/u_ddr_phy_top/n127_9 ; +wire \gw3_top/u_ddr_phy_top/n125_9 ; +wire \gw3_top/u_ddr_phy_top/n123_9 ; +wire \gw3_top/u_ddr_phy_top/n122_9 ; +wire \gw3_top/u_ddr_phy_top/n120_9 ; +wire \gw3_top/u_ddr_phy_top/n118_9 ; +wire \gw3_top/u_ddr_phy_top/n116_9 ; +wire \gw3_top/u_ddr_phy_top/n114_9 ; +wire \gw3_top/u_ddr_phy_top/n112_9 ; +wire \gw3_top/u_ddr_phy_top/n110_9 ; +wire \gw3_top/u_ddr_phy_top/n108_9 ; +wire \gw3_top/u_ddr_phy_top/n15_9 ; +wire \gw3_top/u_ddr_phy_top/n19_9 ; +wire \gw3_top/u_ddr_phy_top/n23_9 ; +wire \gw3_top/u_ddr_phy_top/n27_9 ; +wire \gw3_top/u_ddr_phy_top/n16_9 ; +wire \gw3_top/u_ddr_phy_top/n36_8 ; +wire \gw3_top/u_ddr_phy_top/n42_8 ; +wire \gw3_top/u_ddr_phy_top/n46_8 ; +wire \gw3_top/u_ddr_phy_top/n47_8 ; +wire \gw3_top/u_ddr_phy_top/n235_8 ; +wire \gw3_top/u_ddr_phy_top/n236_8 ; +wire \gw3_top/u_ddr_phy_top/n237_8 ; +wire \gw3_top/u_ddr_phy_top/n238_8 ; +wire \gw3_top/u_ddr_phy_top/n239_8 ; +wire \gw3_top/u_ddr_phy_top/n240_8 ; +wire \gw3_top/u_ddr_phy_top/n241_8 ; +wire \gw3_top/u_ddr_phy_top/n242_8 ; +wire \gw3_top/u_ddr_phy_top/n243_8 ; +wire \gw3_top/u_ddr_phy_top/n244_8 ; +wire \gw3_top/u_ddr_phy_top/n245_8 ; +wire \gw3_top/u_ddr_phy_top/n246_8 ; +wire \gw3_top/u_ddr_phy_top/n247_8 ; +wire \gw3_top/u_ddr_phy_top/n248_8 ; +wire \gw3_top/u_ddr_phy_top/n249_8 ; +wire \gw3_top/u_ddr_phy_top/n250_8 ; +wire \gw3_top/u_ddr_phy_top/n233_8 ; +wire \gw3_top/u_ddr_phy_top/n232_8 ; +wire \gw3_top/u_ddr_phy_top/n229_8 ; +wire \gw3_top/u_ddr_phy_top/n225_8 ; +wire \gw3_top/u_ddr_phy_top/n224_8 ; +wire \gw3_top/u_ddr_phy_top/n221_8 ; +wire \gw3_top/u_ddr_phy_top/n216_8 ; +wire \gw3_top/u_ddr_phy_top/n215_8 ; +wire \gw3_top/u_ddr_phy_top/n214_8 ; +wire \gw3_top/u_ddr_phy_top/n208_8 ; +wire \gw3_top/u_ddr_phy_top/n207_8 ; +wire \gw3_top/u_ddr_phy_top/n206_8 ; +wire \gw3_top/u_ddr_phy_top/n202_8 ; +wire \gw3_top/u_ddr_phy_top/n199_8 ; +wire \gw3_top/u_ddr_phy_top/n197_8 ; +wire \gw3_top/u_ddr_phy_top/n194_8 ; +wire \gw3_top/u_ddr_phy_top/n191_8 ; +wire \gw3_top/u_ddr_phy_top/n189_8 ; +wire \gw3_top/u_ddr_phy_top/n186_8 ; +wire \gw3_top/u_ddr_phy_top/n185_8 ; +wire \gw3_top/u_ddr_phy_top/n182_8 ; +wire \gw3_top/u_ddr_phy_top/n180_8 ; +wire \gw3_top/u_ddr_phy_top/n178_8 ; +wire \gw3_top/u_ddr_phy_top/n177_8 ; +wire \gw3_top/u_ddr_phy_top/n174_8 ; +wire \gw3_top/u_ddr_phy_top/n172_8 ; +wire \gw3_top/u_ddr_phy_top/n170_8 ; +wire \gw3_top/u_ddr_phy_top/n169_8 ; +wire \gw3_top/u_ddr_phy_top/n166_8 ; +wire \gw3_top/u_ddr_phy_top/n165_8 ; +wire \gw3_top/u_ddr_phy_top/n162_8 ; +wire \gw3_top/u_ddr_phy_top/n161_8 ; +wire \gw3_top/u_ddr_phy_top/n158_8 ; +wire \gw3_top/u_ddr_phy_top/n157_8 ; +wire \gw3_top/u_ddr_phy_top/n152_8 ; +wire \gw3_top/u_ddr_phy_top/n151_8 ; +wire \gw3_top/u_ddr_phy_top/n148_8 ; +wire \gw3_top/u_ddr_phy_top/n147_8 ; +wire \gw3_top/u_ddr_phy_top/n144_8 ; +wire \gw3_top/u_ddr_phy_top/n143_8 ; +wire \gw3_top/u_ddr_phy_top/n140_8 ; +wire \gw3_top/u_ddr_phy_top/n139_8 ; +wire \gw3_top/u_ddr_phy_top/n138_8 ; +wire \gw3_top/u_ddr_phy_top/n136_8 ; +wire \gw3_top/u_ddr_phy_top/n134_8 ; +wire \gw3_top/u_ddr_phy_top/n132_8 ; +wire \gw3_top/u_ddr_phy_top/n130_8 ; +wire \gw3_top/u_ddr_phy_top/n128_8 ; +wire \gw3_top/u_ddr_phy_top/n126_8 ; +wire \gw3_top/u_ddr_phy_top/n124_8 ; +wire \gw3_top/u_ddr_phy_top/n121_8 ; +wire \gw3_top/u_ddr_phy_top/n119_8 ; +wire \gw3_top/u_ddr_phy_top/n117_8 ; +wire \gw3_top/u_ddr_phy_top/n115_8 ; +wire \gw3_top/u_ddr_phy_top/n113_8 ; +wire \gw3_top/u_ddr_phy_top/n111_8 ; +wire \gw3_top/u_ddr_phy_top/n109_8 ; +wire \gw3_top/u_ddr_phy_top/n107_8 ; +wire \gw3_top/u_ddr_phy_top/n95_8 ; +wire \gw3_top/u_ddr_phy_top/n94_8 ; +wire \gw3_top/u_ddr_phy_top/n93_8 ; +wire \gw3_top/u_ddr_phy_top/n90_8 ; +wire \gw3_top/u_ddr_phy_top/n62_8 ; +wire \gw3_top/u_ddr_phy_top/n61_8 ; +wire \gw3_top/u_ddr_phy_top/n60_8 ; +wire \gw3_top/u_ddr_phy_top/n59_8 ; +wire \gw3_top/u_ddr_phy_top/n58_8 ; +wire \gw3_top/u_ddr_phy_top/n57_8 ; +wire \gw3_top/u_ddr_phy_top/n56_8 ; +wire \gw3_top/u_ddr_phy_top/n55_8 ; +wire \gw3_top/u_ddr_phy_top/n54_8 ; +wire \gw3_top/u_ddr_phy_top/n53_8 ; +wire \gw3_top/u_ddr_phy_top/n52_8 ; +wire \gw3_top/u_ddr_phy_top/n51_8 ; +wire \gw3_top/u_ddr_phy_top/n50_8 ; +wire \gw3_top/u_ddr_phy_top/n49_8 ; +wire \gw3_top/u_ddr_phy_top/n48_8 ; +wire \gw3_top/u_ddr_phy_top/n102_6 ; +wire \gw3_top/u_ddr_phy_top/n92_5 ; +wire \gw3_top/u_ddr_phy_top/n91_5 ; +wire \gw3_top/u_ddr_phy_top/n45_5 ; +wire \gw3_top/u_ddr_phy_top/n44_5 ; +wire \gw3_top/u_ddr_phy_top/n43_5 ; +wire \gw3_top/u_ddr_phy_top/n41_5 ; +wire \gw3_top/u_ddr_phy_top/n40_5 ; +wire \gw3_top/u_ddr_phy_top/n39_5 ; +wire \gw3_top/u_ddr_phy_top/n38_5 ; +wire \gw3_top/u_ddr_phy_top/n37_5 ; +wire \gw3_top/u_ddr_phy_top/n35_5 ; +wire \gw3_top/u_ddr_phy_top/n30_5 ; +wire \gw3_top/u_ddr_phy_top/n26_5 ; +wire \gw3_top/u_ddr_phy_top/n22_5 ; +wire \gw3_top/u_ddr_phy_top/n18_5 ; +wire \gw3_top/u_ddr_phy_top/n17_5 ; +wire \gw3_top/u_ddr_phy_top/n14_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_init_internal_rr ; +wire \gw3_top/u_ddr_phy_top/eye_calib_start_r ; +wire \gw3_top/u_ddr_phy_top/eye_calib_start_rr ; +wire \gw3_top/u_ddr_phy_top/mux_reset_n ; +wire \gw3_top/u_ddr_phy_top/ddr_init_st_r ; +wire \gw3_top/u_ddr_phy_top/ddr_init_st_rr ; +wire \gw3_top/u_ddr_phy_top/ddr_init_st_rrr ; +wire \gw3_top/u_ddr_phy_top/ddr_init_internal_r ; +wire \gw3_top/u_ddr_phy_top/dll_lock ; +wire \gw3_top/u_ddr_phy_top/n7_6 ; +wire \gw3_top/u_ddr_phy_top/n501_6 ; +wire \gw3_top/u_ddr_phy_top/n1423_6 ; +wire \gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ; +wire \gw3_top/u_ddr_phy_top/eye_calib_valid ; +wire \gw3_top/u_ddr_phy_top/read_line3 ; +wire \gw3_top/u_ddr_phy_top/read_line2 ; +wire \gw3_top/u_ddr_phy_top/read_line1 ; +wire \gw3_top/u_ddr_phy_top/init_rmove_done_r ; +wire \gw3_top/u_ddr_phy_top/sys_reset_Z ; +wire \gw3_top/u_ddr_phy_top/if_fifo_rst_Z ; +wire \gw3_top/u_ddr_phy_top/rmove_left_done_Z ; +wire \gw3_top/u_ddr_phy_top/eye_calib_done_Z ; +wire \gw3_top/u_ddr_phy_top/eye_calib_rmove_Z ; +wire \gw3_top/u_ddr_phy_top/eye_calib_done_r ; +wire \gw3_top/u_ddr_phy_top/rmove_left_flag_Z ; +wire \gw3_top/u_ddr_phy_top/phy_reset_n ; +wire \gw3_top/u_ddr_phy_top/wrlvl_start ; +wire \gw3_top/u_ddr_phy_top/init_rmove_start_Z ; +wire \gw3_top/u_ddr_phy_top/wrlvl_complete_Z ; +wire \gw3_top/u_ddr_phy_top/phy_dqs ; +wire \gw3_top/u_ddr_phy_top/read_calibration_logic_gen[0].read_adj ; +wire \gw3_top/u_ddr_phy_top/read_calibration_logic_gen[1].read_adj ; +wire \gw3_top/u_ddr_phy_top/stop_d ; +wire \gw3_top/u_ddr_phy_top/pause ; +wire \gw3_top/u_ddr_phy_top/dll_rsti ; +wire \gw3_top/u_ddr_phy_top/ddr_init_st_Z ; +wire \gw3_top/u_ddr_phy_top/uddcntln ; +wire [3:0] \gw3_top/u_ddr_phy_top/mux_cs_n ; +wire [3:2] \gw3_top/u_ddr_phy_top/mux_ras_n ; +wire [3:2] \gw3_top/u_ddr_phy_top/mux_cas_n ; +wire [3:2] \gw3_top/u_ddr_phy_top/mux_we_n ; +wire [3:3] \gw3_top/u_ddr_phy_top/mux_cke ; +wire [55:27] \gw3_top/u_ddr_phy_top/mux_addr ; +wire [11:6] \gw3_top/u_ddr_phy_top/mux_bank ; +wire [1:1] \gw3_top/u_ddr_phy_top/mux_odt ; +wire [127:0] \gw3_top/u_ddr_phy_top/mux_wrdata ; +wire [15:0] \gw3_top/u_ddr_phy_top/mux_wrdata_mask ; +wire [1:0] \gw3_top/u_ddr_phy_top/rmove ; +wire [1:0] \gw3_top/u_ddr_phy_top/rdir ; +wire [1:1] \gw3_top/u_ddr_phy_top/wloadn ; +wire [2:0] \gw3_top/u_ddr_phy_top/stop_reg ; +wire [1:0] \gw3_top/u_ddr_phy_top/ddr_rsti_reg ; +wire [7:0] \gw3_top/u_ddr_phy_top/dll_step_base ; +wire [7:0] \gw3_top/u_ddr_phy_top/dll_step ; +wire [1:0] \gw3_top/u_ddr_phy_top/phy_rdbk_data_valid ; +wire [1:0] \gw3_top/u_ddr_phy_top/in_dq0_Z ; +wire [0:0] \gw3_top/u_ddr_phy_top/RBURST ; +wire [0:0] \gw3_top/u_ddr_phy_top/rvalid_Z ; +wire [1:1] \gw3_top/u_ddr_phy_top/RBURST_0 ; +wire [1:1] \gw3_top/u_ddr_phy_top/rvalid_Z_0 ; +wire [1:0] \gw3_top/u_ddr_phy_top/init_rmove ; +wire [1:1] \gw3_top/u_ddr_phy_top/init_rdir ; +wire [15:0] \gw3_top/u_ddr_phy_top/realtime_dllcode_Z ; +wire [1:0] \gw3_top/u_ddr_phy_top/rdir_0 ; +wire [1:0] \gw3_top/u_ddr_phy_top/eye_rmove ; +wire [0:0] \gw3_top/u_ddr_phy_top/eye_calib_error_valid_Z ; +wire [1:0] \gw3_top/u_ddr_phy_top/eye_calib_error_Z ; +wire [1:1] \gw3_top/u_ddr_phy_top/phy_odt_d1 ; +wire [1:1] \gw3_top/u_ddr_phy_top/phy_odt_d2 ; +wire [3:3] \gw3_top/u_ddr_phy_top/phy_cas_n ; +wire [3:3] \gw3_top/u_ddr_phy_top/phy_ras_n ; +wire [3:3] \gw3_top/u_ddr_phy_top/phy_cs_n ; +wire [3:0] \gw3_top/u_ddr_phy_top/phy_we_n ; +wire [54:45] \gw3_top/u_ddr_phy_top/phy_addr ; +wire [10:9] \gw3_top/u_ddr_phy_top/phy_bank ; +wire [0:0] \gw3_top/u_ddr_phy_top/phy_cke ; +wire [15:0] \gw3_top/u_ddr_phy_top/wstep_Z ; +wire [5:0] \gw3_top/u_ddr_phy_top/rclk_sel ; +wire [7:0] \gw3_top/u_ddr_phy_top/read ; +wire [1:0] \gw3_top/u_ddr_phy_top/read_calib_rmove_Z ; +wire [1:1] \gw3_top/u_ddr_phy_top/phy_odt_pre ; +wire [1:0] \gw3_top/u_ddr_phy_top/hold_Z ; +wire [3:3] \gw3_top/u_ddr_phy_top/cs_memsync ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n65_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n72_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n79_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n86_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n1040_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n50_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n45_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n30_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n20_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n58_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts0_d ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts_d ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts0_d ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/mux_dqs ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1_d ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[0].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[1].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[2].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[3].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[4].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[5].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[6].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[7].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[8].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[9].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[10].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[11].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[12].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[13].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[14].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[15].dq_iodelay_1_DF ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n250_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n395_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_wdata_en_Z ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/ddr_clk_out ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dqs ; +wire [15:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg ; +wire [15:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p ; +wire [0:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqs ; +wire [0:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqsts ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts ; +wire [0:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dm ; +wire [0:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dmts_Z ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqs_0 ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqsts_0 ; +wire [15:8] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 ; +wire [15:8] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dm_0 ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dmts_Z_0 ; +wire [22:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr ; +wire [0:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty ; +wire [5:3] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 ; +wire [5:3] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n587_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n588_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n589_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n590_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n591_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n592_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n593_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n594_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n595_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n596_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n597_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n598_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n599_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n600_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n601_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n602_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n603_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n604_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n605_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n606_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n607_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n608_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n609_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n610_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n611_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n612_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n613_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n614_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n615_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n616_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n617_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n618_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n619_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n620_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n621_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n622_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n623_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n624_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n625_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n626_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n627_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n628_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n629_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n630_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n631_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n632_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n633_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n634_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n635_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n636_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n637_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n638_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n639_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n640_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n641_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n642_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n643_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n644_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n645_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n646_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n647_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n648_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n649_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n650_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n651_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n652_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n653_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n654_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n655_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n656_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n657_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n658_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/invalid_dqs ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq ; +wire [63:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts0_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts1_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts0_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw270 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_wire ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rflag_wire ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wflag ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr ; +wire [63:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n587_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n588_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n589_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n590_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n591_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n592_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n593_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n594_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n595_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n596_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n597_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n598_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n599_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n600_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n601_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n602_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n603_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n604_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n605_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n606_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n607_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n608_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n609_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n610_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n611_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n612_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n613_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n614_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n615_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n616_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n617_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n618_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n619_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n620_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n621_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n622_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n623_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n624_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n625_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n626_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n627_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n628_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n629_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n630_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n631_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n632_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n633_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n634_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n635_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n636_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n637_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n638_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n639_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n640_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n641_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n642_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n643_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n644_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n645_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n646_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n647_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n648_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n649_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n650_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n651_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n652_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n653_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n654_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n655_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n656_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n657_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n658_8 ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq ; +wire [63:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw270 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_wire ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rflag_wire ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wflag ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r ; +wire [71:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr ; +wire [63:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n240_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n283_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_line0 ; +wire [3:2] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr ; +wire [3:2] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd ; +wire [3:2] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg ; +wire [3:2] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg ; +wire [91:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[0].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[1].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[2].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[3].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[4].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[5].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[6].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[7].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[8].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[9].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[10].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[11].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[12].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[13].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[14].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[15].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[16].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[17].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[18].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[19].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[20].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[21].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[22].u_cmd_gen_1_Q1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/u_ck_gen_1_Q1 ; +wire [91:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r ; +wire [91:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_0_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_1_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rempty_val ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n31_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n31_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n32_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n32_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n33_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n33_3 ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext ; +wire [3:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext ; +wire [3:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr ; +wire [3:3] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr ; +wire [3:3] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_0_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_1_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rempty_val ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n31_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n31_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n32_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n32_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n33_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n33_3 ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext ; +wire [3:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext ; +wire [3:1] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr ; +wire [3:3] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr ; +wire [3:3] \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n109_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n353_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_4 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n327_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n326_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n325_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n324_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n323_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n322_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n321_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n320_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n146_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n145_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n144_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n143_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n142_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n141_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n140_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n139_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n254_8 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n435_8 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n102_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n284_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n465_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_rr ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_start ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_Z ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n131_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n131_1_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_1_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n312_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n312_1_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n66_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n66_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n247_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n247_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n428_1 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n428_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n34_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n34_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n60_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n61_2 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_2_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_2_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_2_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_2_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_2_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_2_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_2_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_5 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n274_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n274_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n275_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n275_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n276_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n276_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n277_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n277_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n278_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n278_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n279_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n279_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n280_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n280_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n455_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n455_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n456_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n456_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n457_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n457_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n458_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n458_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n459_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n459_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n460_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n460_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n461_1_SUM ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n461_3 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n73_6 ; +wire \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n41_7 ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n69_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n119_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n144_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n145_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n231_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n409_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n410_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n496_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_36 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_36 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_38 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_38 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n543_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n541_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n278_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n276_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n274_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n444_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n179_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_37 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_38 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_37 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_38 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_39 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_39 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n280_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n545_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n195_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n196_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n460_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n461_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_left_flag_r ; +wire [4:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_r ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rr ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr ; +wire [1:1] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rrr ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr ; +wire [15:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r ; +wire [4:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt ; +wire [15:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4125_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4136_3 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4316_5 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4317_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_5 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4228_5 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4339_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4102_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4100_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4099_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4429_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4427_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4264_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4263_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4261_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n3771_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n3768_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n3804_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4125_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4318_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n5525_4 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_2_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_1_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4101_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4430_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4262_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_5 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_16 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_12 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_16 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_22 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_23 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_24 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_22 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_22 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_23 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_16 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_22 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_23 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_16 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_16 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_22 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_24 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_15 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_16 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_17 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_22 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_23 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_24 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_25 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_26 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_27 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_23 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_24 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_25 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_24 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_25 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_24 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_22 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_23 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_24 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_21 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_29 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_31 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_27 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_26 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_7 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n3812_19 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n3810_18 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4262_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n3777_16 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4099_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4101_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4098_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4428_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4430_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4154_5 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4264_11 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4316_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n3802_20 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4318_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_26 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_23 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_26 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_29 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4103_8 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4097_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4431_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4432_10 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4428_13 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_14 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_rmove_r ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_rr ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_done_r ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_r ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n4340_9 ; +wire \gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_eye_calib/n_s ; +wire [127:0] \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_eye_calib/c_s ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib/cnt ; +wire [6:0] \gw3_top/u_ddr_phy_top/u_eye_calib/timeout ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_eye_calib/col1 ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_eye_calib/row1 ; +wire [5:2] \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1 ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2 ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3 ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4 ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n303_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n504_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n507_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n620_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1117_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1119_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1610_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1664_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_9_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1975_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2096_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2412_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2828_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_3 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n360_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_28 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_22 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_28 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_22 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_0_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_1_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_18_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_17_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_13_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_5_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_11_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_30 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_5_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_0_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_10_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_4_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2790_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2789_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2787_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2786_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2785_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2784_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2783_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2782_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2781_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2731_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2729_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2728_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2726_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2725_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2623_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2374_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2373_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2371_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2370_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2369_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2368_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2367_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2366_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2365_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2315_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2313_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2312_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2310_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2309_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2207_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2051_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2048_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2047_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1582_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1581_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1580_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1579_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1323_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1322_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1321_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1320_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1319_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1318_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1317_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1316_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n492_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2954_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2953_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2952_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2951_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2688_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2686_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2685_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2538_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2537_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2536_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2535_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2272_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2270_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2269_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1265_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1264_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1263_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1262_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1261_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1260_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1259_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1258_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1257_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1256_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n347_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1346_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2232_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2648_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n225_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n504_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n504_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n507_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n620_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1117_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2183_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2260_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2412_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2599_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2943_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2676_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2828_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_4 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n360_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_29 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_30 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_29 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_30 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_38 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_39 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_40 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_27 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_28 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_29 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_30 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_27 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_38 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_27 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_27 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2788_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2784_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2781_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2732_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2728_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2725_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2626_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2624_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2372_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2368_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2365_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2316_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2312_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2309_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2210_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2208_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2052_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2049_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2047_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1582_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1579_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1321_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1318_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1316_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n492_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1262_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1259_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1256_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n349_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n503_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n504_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n507_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_41 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_40 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_41 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_42 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_38 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_32 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_28 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_43 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_44 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_45 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_38 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_29 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_28 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_29 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_46 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_13 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_14 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_15 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_16 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_17 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_18 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_19 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_20 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_21 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_22 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_27 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_28 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_13 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_14 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_15 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_16 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_17 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_18 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_19 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_20 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_21 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_22 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_23 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_25 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_27 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_28 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_40 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_39 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n621_5 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_31 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2208_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2624_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n501_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n349_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_15_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_40 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_37 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n348_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_48 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_38 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n491_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_39 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n504_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_11_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_38 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_7_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_39 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1258_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1261_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1319_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_35 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2050_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2052_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n225_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2311_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2314_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2316_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2367_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2370_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2372_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2727_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2730_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2732_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2783_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2786_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2788_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_42 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_1_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_6_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_7_26 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_33 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n492_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1975_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2209_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2625_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n510_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n350_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n348_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_27 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_41 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_14_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1599_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1653_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_0_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_1_24 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2023_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2599_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2183_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2049_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2050_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2053_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_7_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1628_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1682_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2233_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2234_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2271_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2260_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2649_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2646_7 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2650_8 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2687_10 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2676_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2054_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2210_14 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2311_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2314_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2317_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2318_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2421_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2422_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2422_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2626_14 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2727_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2730_12 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2733_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2734_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2837_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2838_9 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2838_11 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_36 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd0 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_clr ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_i ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[1].hold_i ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_pos ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_cal_done ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_pos ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t_r ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_cal_done ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_complete ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1627_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1627_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1626_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1626_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1625_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1625_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1624_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1624_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1623_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1623_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1622_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1622_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1621_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1621_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1681_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1681_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1680_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1680_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1679_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1679_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1678_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1678_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1677_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1677_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1676_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1676_2 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1675_1 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1675_0_COUT ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n2156_6 ; +wire \gw3_top/u_ddr_phy_top/u_ddr_init/n1347_6 ; +wire [8:8] \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r ; +wire [127:0] \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r ; +wire [12:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 ; +wire [9:0] \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt ; +wire [2:0] \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos ; +wire [15:0] \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg ; +wire [15:0] \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d ; +wire [3:3] \gw3_top/u_ddr_phy_top/u_ddr_init/read_line ; +wire [0:0] \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg ; +wire [6:0] \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq ; +wire [7:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt ; +wire [19:0] \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt ; +wire [19:0] \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt ; +wire [1:0] \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt ; +wire [19:0] \gw3_top/u_ddr_phy_top/u_ddr_init/init_state ; +wire [11:0] \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state ; +wire [16:0] \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state ; +wire [3:0] \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n19_3 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n92_4 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_14 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_17 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n298_8 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n297_7 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n54_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n52_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n51_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n49_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n47_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n46_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n92_5 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n92_6 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n92_8 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_18 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_17 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_18 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_19 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_20 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_18 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_17 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_18 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_17 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_18 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_19 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_19 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n298_9 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n296_8 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n55_7 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n53_7 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n51_7 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n48_7 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n46_7 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n45_7 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_19 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_21 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n298_10 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n298_11 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n44_9 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n55_9 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_23 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_25 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n296_10 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_19 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n57_11 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n92_10 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_19 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n56_8 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n53_9 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n50_11 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n48_9 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n45_9 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n44_11 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/n43_8 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/lock_d2 ; +wire \gw3_top/u_ddr_phy_top/ddr_sync/ready ; +wire [1:0] \gw3_top/u_ddr_phy_top/ddr_sync/recalib_s ; +wire [1:0] \gw3_top/u_ddr_phy_top/ddr_sync/lock_syn ; +wire [5:5] \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0 ; +wire [2:0] \gw3_top/u_ddr_phy_top/ddr_sync/count ; +wire [14:0] \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt ; +wire [1:0] \gw3_top/u_ddr_phy_top/ddr_sync/flag ; +VCC VCC_cZ ( + .V(VCC) +); +GND GND_cZ ( + .G(GND) +); +GSR GSR ( + .GSRI(VCC) +); +OBUF gowin_buf_0 ( + .I(rd_data_valid), + .O(rd_data_end) +); +ELVDS_IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_iobuf_gen[0].dqs_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqs [0]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqsts [0]), + .IO(IO_ddr_dqs[0]), + .IOB(IO_ddr_dqs_n[0]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dqs [0]) +); +ELVDS_IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_iobuf_gen[1].dqs_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqs_0 [1]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqsts_0 [1]), + .IO(IO_ddr_dqs[1]), + .IOB(IO_ddr_dqs_n[1]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dqs [1]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[0].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [0]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [0]), + .IO(IO_ddr_dq[0]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [0]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[1].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [1]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [1]), + .IO(IO_ddr_dq[1]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [1]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[2].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [2]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [2]), + .IO(IO_ddr_dq[2]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [2]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[3].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [3]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [3]), + .IO(IO_ddr_dq[3]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [3]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[4].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [4]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [4]), + .IO(IO_ddr_dq[4]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [4]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[5].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [5]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [5]), + .IO(IO_ddr_dq[5]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [5]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[6].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [6]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [6]), + .IO(IO_ddr_dq[6]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [6]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[7].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [7]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [7]), + .IO(IO_ddr_dq[7]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [7]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[8].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [8]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [8]), + .IO(IO_ddr_dq[8]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [8]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[9].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [9]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [9]), + .IO(IO_ddr_dq[9]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [9]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[10].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [10]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [10]), + .IO(IO_ddr_dq[10]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [10]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[11].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [11]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [11]), + .IO(IO_ddr_dq[11]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [11]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[12].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [12]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [12]), + .IO(IO_ddr_dq[12]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [12]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[13].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [13]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [13]), + .IO(IO_ddr_dq[13]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [13]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[14].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [14]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [14]), + .IO(IO_ddr_dq[14]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [14]) +); +IOBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[15].dq_iobuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [15]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [15]), + .IO(IO_ddr_dq[15]), + .O(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [15]) +); +TBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dm_obuf_gen[0].dm_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dm [0]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dmts_Z [0]), + .O(O_ddr_dqm[0]) +); +TBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dm_obuf_gen[1].dm_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dm_0 [1]), + .OEN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dmts_Z_0 [1]), + .O(O_ddr_dqm[1]) +); +ELVDS_OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_clk_gen ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/ddr_clk_out ), + .O(O_ddr_clk), + .OB(O_ddr_clk_n) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_reset_obuf ( + .I(\gw3_top/u_ddr_phy_top/mux_reset_n ), + .O(O_ddr_reset_n) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[0].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [0]), + .O(O_ddr_cs_n) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[1].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [1]), + .O(O_ddr_ras_n) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[2].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [2]), + .O(O_ddr_cas_n) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[3].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [3]), + .O(O_ddr_we_n) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[4].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [4]), + .O(O_ddr_cke) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[5].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [5]), + .O(O_ddr_odt) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[6].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [6]), + .O(O_ddr_addr[0]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[7].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [7]), + .O(O_ddr_addr[1]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[8].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [8]), + .O(O_ddr_addr[2]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[9].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [9]), + .O(O_ddr_addr[3]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[10].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [10]), + .O(O_ddr_addr[4]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[11].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [11]), + .O(O_ddr_addr[5]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[12].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [12]), + .O(O_ddr_addr[6]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[13].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [13]), + .O(O_ddr_addr[7]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[14].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [14]), + .O(O_ddr_addr[8]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[15].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [15]), + .O(O_ddr_addr[9]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[16].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [16]), + .O(O_ddr_addr[10]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[17].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [17]), + .O(O_ddr_addr[11]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[18].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [18]), + .O(O_ddr_addr[12]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[19].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [19]), + .O(O_ddr_addr[13]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[20].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [20]), + .O(O_ddr_ba[0]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[21].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [21]), + .O(O_ddr_ba[1]) +); +OBUF \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line_obuf_gen[22].cmd_line_obuf ( + .I(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [22]), + .O(O_ddr_ba[2]) +); +LUT3 \gw3_top/u_gwmc_top/n8_s0 ( + .I0(\gw3_top/eye_app_cmd_en_Z ), + .I1(cmd_en), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_cmd ), + .F(\gw3_top/u_gwmc_top/n8_4 ) +); +defparam \gw3_top/u_gwmc_top/n8_s0 .INIT=8'hAC; +LUT3 \gw3_top/u_gwmc_top/n11_s0 ( + .I0(cmd[0]), + .I1(\gw3_top/eye_app_cmd_Z [0]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_cmd ), + .F(\gw3_top/u_gwmc_top/n11_3 ) +); +defparam \gw3_top/u_gwmc_top/n11_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/n34_s0 ( + .I0(\gw3_top/eye_app_addr_Z [12]), + .I1(addr[12]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .F(\gw3_top/u_gwmc_top/n34_3 ) +); +defparam \gw3_top/u_gwmc_top/n34_s0 .INIT=8'hAC; +LUT3 \gw3_top/u_gwmc_top/n35_s0 ( + .I0(addr[11]), + .I1(\gw3_top/eye_app_addr_Z [11]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .F(\gw3_top/u_gwmc_top/n35_3 ) +); +defparam \gw3_top/u_gwmc_top/n35_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/n36_s0 ( + .I0(addr[10]), + .I1(\gw3_top/eye_app_addr_Z [10]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .F(\gw3_top/u_gwmc_top/n36_3 ) +); +defparam \gw3_top/u_gwmc_top/n36_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/n40_s0 ( + .I0(addr[6]), + .I1(\gw3_top/eye_app_addr_Z [6]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .F(\gw3_top/u_gwmc_top/n40_3 ) +); +defparam \gw3_top/u_gwmc_top/n40_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/n41_s0 ( + .I0(addr[5]), + .I1(\gw3_top/eye_app_addr_Z [5]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .F(\gw3_top/u_gwmc_top/n41_3 ) +); +defparam \gw3_top/u_gwmc_top/n41_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/n42_s0 ( + .I0(addr[4]), + .I1(\gw3_top/eye_app_addr_Z [4]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .F(\gw3_top/u_gwmc_top/n42_3 ) +); +defparam \gw3_top/u_gwmc_top/n42_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/n43_s0 ( + .I0(addr[3]), + .I1(\gw3_top/eye_app_addr_Z [3]), + .I2(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .F(\gw3_top/u_gwmc_top/n43_3 ) +); +defparam \gw3_top/u_gwmc_top/n43_s0 .INIT=8'hCA; +LUT2 \gw3_top/u_gwmc_top/n110_s0 ( + .I0(\gw3_top/ddr_init_internal ), + .I1(\gw3_top/eye_calib_start_Z ), + .F(\gw3_top/u_gwmc_top/n110_3 ) +); +defparam \gw3_top/u_gwmc_top/n110_s0 .INIT=4'hE; +LUT2 \gw3_top/u_gwmc_top/n39_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[7]), + .F(\gw3_top/u_gwmc_top/n39_7 ) +); +defparam \gw3_top/u_gwmc_top/n39_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n38_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[8]), + .F(\gw3_top/u_gwmc_top/n38_7 ) +); +defparam \gw3_top/u_gwmc_top/n38_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n37_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[9]), + .F(\gw3_top/u_gwmc_top/n37_7 ) +); +defparam \gw3_top/u_gwmc_top/n37_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n33_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[13]), + .F(\gw3_top/u_gwmc_top/n33_7 ) +); +defparam \gw3_top/u_gwmc_top/n33_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n32_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[14]), + .F(\gw3_top/u_gwmc_top/n32_7 ) +); +defparam \gw3_top/u_gwmc_top/n32_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n31_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[15]), + .F(\gw3_top/u_gwmc_top/n31_7 ) +); +defparam \gw3_top/u_gwmc_top/n31_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n30_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[16]), + .F(\gw3_top/u_gwmc_top/n30_7 ) +); +defparam \gw3_top/u_gwmc_top/n30_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n29_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[17]), + .F(\gw3_top/u_gwmc_top/n29_7 ) +); +defparam \gw3_top/u_gwmc_top/n29_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n28_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[18]), + .F(\gw3_top/u_gwmc_top/n28_7 ) +); +defparam \gw3_top/u_gwmc_top/n28_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n27_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[19]), + .F(\gw3_top/u_gwmc_top/n27_7 ) +); +defparam \gw3_top/u_gwmc_top/n27_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n26_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[20]), + .F(\gw3_top/u_gwmc_top/n26_7 ) +); +defparam \gw3_top/u_gwmc_top/n26_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n25_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[21]), + .F(\gw3_top/u_gwmc_top/n25_7 ) +); +defparam \gw3_top/u_gwmc_top/n25_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n24_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[22]), + .F(\gw3_top/u_gwmc_top/n24_7 ) +); +defparam \gw3_top/u_gwmc_top/n24_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n23_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[23]), + .F(\gw3_top/u_gwmc_top/n23_7 ) +); +defparam \gw3_top/u_gwmc_top/n23_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n22_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[24]), + .F(\gw3_top/u_gwmc_top/n22_7 ) +); +defparam \gw3_top/u_gwmc_top/n22_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n21_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[25]), + .F(\gw3_top/u_gwmc_top/n21_7 ) +); +defparam \gw3_top/u_gwmc_top/n21_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n20_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[26]), + .F(\gw3_top/u_gwmc_top/n20_7 ) +); +defparam \gw3_top/u_gwmc_top/n20_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n9_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_cmd ), + .I1(cmd[2]), + .F(\gw3_top/u_gwmc_top/n9_7 ) +); +defparam \gw3_top/u_gwmc_top/n9_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n10_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_cmd ), + .I1(cmd[1]), + .F(\gw3_top/u_gwmc_top/n10_7 ) +); +defparam \gw3_top/u_gwmc_top/n10_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n44_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[2]), + .F(\gw3_top/u_gwmc_top/n44_7 ) +); +defparam \gw3_top/u_gwmc_top/n44_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n45_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[1]), + .F(\gw3_top/u_gwmc_top/n45_7 ) +); +defparam \gw3_top/u_gwmc_top/n45_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/n46_s2 ( + .I0(\gw3_top/u_gwmc_top/eye_calib_start_addr ), + .I1(addr[0]), + .F(\gw3_top/u_gwmc_top/n46_7 ) +); +defparam \gw3_top/u_gwmc_top/n46_s2 .INIT=4'h4; +DFFCE \gw3_top/u_gwmc_top/eye_calib_start_addr_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/eye_calib_start_addr ) +); +defparam \gw3_top/u_gwmc_top/eye_calib_start_addr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_en_s0 ( + .D(\gw3_top/u_gwmc_top/n8_4 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_en ) +); +defparam \gw3_top/u_gwmc_top/app_en_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_cmd_2_s0 ( + .D(\gw3_top/u_gwmc_top/n9_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_cmd [2]) +); +defparam \gw3_top/u_gwmc_top/app_cmd_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_cmd_1_s0 ( + .D(\gw3_top/u_gwmc_top/n10_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_cmd [1]) +); +defparam \gw3_top/u_gwmc_top/app_cmd_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_cmd_0_s0 ( + .D(\gw3_top/u_gwmc_top/n11_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_cmd [0]) +); +defparam \gw3_top/u_gwmc_top/app_cmd_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_26_s0 ( + .D(\gw3_top/u_gwmc_top/n20_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [26]) +); +defparam \gw3_top/u_gwmc_top/app_addr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_25_s0 ( + .D(\gw3_top/u_gwmc_top/n21_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [25]) +); +defparam \gw3_top/u_gwmc_top/app_addr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_24_s0 ( + .D(\gw3_top/u_gwmc_top/n22_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [24]) +); +defparam \gw3_top/u_gwmc_top/app_addr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_23_s0 ( + .D(\gw3_top/u_gwmc_top/n23_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [23]) +); +defparam \gw3_top/u_gwmc_top/app_addr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_22_s0 ( + .D(\gw3_top/u_gwmc_top/n24_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [22]) +); +defparam \gw3_top/u_gwmc_top/app_addr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_21_s0 ( + .D(\gw3_top/u_gwmc_top/n25_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [21]) +); +defparam \gw3_top/u_gwmc_top/app_addr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_20_s0 ( + .D(\gw3_top/u_gwmc_top/n26_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [20]) +); +defparam \gw3_top/u_gwmc_top/app_addr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_19_s0 ( + .D(\gw3_top/u_gwmc_top/n27_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [19]) +); +defparam \gw3_top/u_gwmc_top/app_addr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_18_s0 ( + .D(\gw3_top/u_gwmc_top/n28_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [18]) +); +defparam \gw3_top/u_gwmc_top/app_addr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_17_s0 ( + .D(\gw3_top/u_gwmc_top/n29_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [17]) +); +defparam \gw3_top/u_gwmc_top/app_addr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_16_s0 ( + .D(\gw3_top/u_gwmc_top/n30_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [16]) +); +defparam \gw3_top/u_gwmc_top/app_addr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_15_s0 ( + .D(\gw3_top/u_gwmc_top/n31_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [15]) +); +defparam \gw3_top/u_gwmc_top/app_addr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_14_s0 ( + .D(\gw3_top/u_gwmc_top/n32_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [14]) +); +defparam \gw3_top/u_gwmc_top/app_addr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_13_s0 ( + .D(\gw3_top/u_gwmc_top/n33_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [13]) +); +defparam \gw3_top/u_gwmc_top/app_addr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_12_s0 ( + .D(\gw3_top/u_gwmc_top/n34_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [12]) +); +defparam \gw3_top/u_gwmc_top/app_addr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_11_s0 ( + .D(\gw3_top/u_gwmc_top/n35_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [11]) +); +defparam \gw3_top/u_gwmc_top/app_addr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_10_s0 ( + .D(\gw3_top/u_gwmc_top/n36_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [10]) +); +defparam \gw3_top/u_gwmc_top/app_addr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_9_s0 ( + .D(\gw3_top/u_gwmc_top/n37_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [9]) +); +defparam \gw3_top/u_gwmc_top/app_addr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_8_s0 ( + .D(\gw3_top/u_gwmc_top/n38_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [8]) +); +defparam \gw3_top/u_gwmc_top/app_addr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_7_s0 ( + .D(\gw3_top/u_gwmc_top/n39_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [7]) +); +defparam \gw3_top/u_gwmc_top/app_addr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_6_s0 ( + .D(\gw3_top/u_gwmc_top/n40_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [6]) +); +defparam \gw3_top/u_gwmc_top/app_addr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_5_s0 ( + .D(\gw3_top/u_gwmc_top/n41_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [5]) +); +defparam \gw3_top/u_gwmc_top/app_addr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_4_s0 ( + .D(\gw3_top/u_gwmc_top/n42_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [4]) +); +defparam \gw3_top/u_gwmc_top/app_addr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_3_s0 ( + .D(\gw3_top/u_gwmc_top/n43_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [3]) +); +defparam \gw3_top/u_gwmc_top/app_addr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_2_s0 ( + .D(\gw3_top/u_gwmc_top/n44_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [2]) +); +defparam \gw3_top/u_gwmc_top/app_addr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_1_s0 ( + .D(\gw3_top/u_gwmc_top/n45_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [1]) +); +defparam \gw3_top/u_gwmc_top/app_addr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/app_addr_0_s0 ( + .D(\gw3_top/u_gwmc_top/n46_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/app_addr [0]) +); +defparam \gw3_top/u_gwmc_top/app_addr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_ras_n_dly_3_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]) +); +defparam \gw3_top/u_gwmc_top/mc_ras_n_dly_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_ras_n_dly_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_ras_n_out [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_ras_n_dly [2]) +); +defparam \gw3_top/u_gwmc_top/mc_ras_n_dly_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cas_n_dly_3_s0 ( + .D(\gw3_top/u_gwmc_top/cmd3 [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_cas_n_dly [3]) +); +defparam \gw3_top/u_gwmc_top/mc_cas_n_dly_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cas_n_dly_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cas_n_out [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_cas_n_dly [2]) +); +defparam \gw3_top/u_gwmc_top/mc_cas_n_dly_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_we_n_dly_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_we_n_out [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_we_n_dly [3]) +); +defparam \gw3_top/u_gwmc_top/mc_we_n_dly_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_we_n_dly_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_we_n_out [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_we_n_dly [2]) +); +defparam \gw3_top/u_gwmc_top/mc_we_n_dly_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_51_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [51]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_50_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [50]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_49_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [49]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_48_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [48]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_47_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [47]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_46_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [46]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_45_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [45]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_44_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [44]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_43_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [43]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_42_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [42]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_41_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [41]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_40_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [40]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_39_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [39]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_38_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [38]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_37_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [37]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_36_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [36]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_35_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [35]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_34_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [34]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_33_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [33]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_32_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [32]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_31_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [31]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_30_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [30]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_29_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [29]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_dly_28_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_out [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_dly [28]) +); +defparam \gw3_top/u_gwmc_top/mc_address_dly_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_dly_11_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_out [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_dly [11]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_dly_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_dly_10_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_out [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_dly [10]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_dly_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_dly_9_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_out [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_dly [9]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_dly_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_dly_8_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_out [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_dly [8]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_dly_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_dly_7_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_out [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_dly [7]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_dly_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_dly_6_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_out [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_dly [6]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_dly_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cs_n_dly_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cs_n_out [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_cs_n_dly [3]) +); +defparam \gw3_top/u_gwmc_top/mc_cs_n_dly_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cs_n_dly_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cs_n_out [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_cs_n_dly [2]) +); +defparam \gw3_top/u_gwmc_top/mc_cs_n_dly_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_odt_dly_1_s0 ( + .D(\gw3_top/u_gwmc_top/mc_odt_out [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_odt_dly [1]) +); +defparam \gw3_top/u_gwmc_top/mc_odt_dly_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cke_dly_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cke_out [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_cke_dly [3]) +); +defparam \gw3_top/u_gwmc_top/mc_cke_dly_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_ras_n_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_ras_n_Z [3]) +); +defparam \gw3_top/u_gwmc_top/mc_ras_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_ras_n_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_ras_n_dly [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_ras_n_Z [2]) +); +defparam \gw3_top/u_gwmc_top/mc_ras_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cas_n_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cas_n_dly [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_cas_n_Z [3]) +); +defparam \gw3_top/u_gwmc_top/mc_cas_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cas_n_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cas_n_dly [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_cas_n_Z [2]) +); +defparam \gw3_top/u_gwmc_top/mc_cas_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_we_n_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_we_n_dly [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_we_n_Z [3]) +); +defparam \gw3_top/u_gwmc_top/mc_we_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_we_n_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_we_n_dly [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_we_n_Z [2]) +); +defparam \gw3_top/u_gwmc_top/mc_we_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_51_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [51]) +); +defparam \gw3_top/u_gwmc_top/mc_address_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_50_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [50]) +); +defparam \gw3_top/u_gwmc_top/mc_address_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_49_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [49]) +); +defparam \gw3_top/u_gwmc_top/mc_address_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_48_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [48]) +); +defparam \gw3_top/u_gwmc_top/mc_address_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_47_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [47]) +); +defparam \gw3_top/u_gwmc_top/mc_address_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_46_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [46]) +); +defparam \gw3_top/u_gwmc_top/mc_address_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_45_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [45]) +); +defparam \gw3_top/u_gwmc_top/mc_address_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_44_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [44]) +); +defparam \gw3_top/u_gwmc_top/mc_address_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_43_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [43]) +); +defparam \gw3_top/u_gwmc_top/mc_address_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_42_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [42]) +); +defparam \gw3_top/u_gwmc_top/mc_address_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_41_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [41]) +); +defparam \gw3_top/u_gwmc_top/mc_address_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_40_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [40]) +); +defparam \gw3_top/u_gwmc_top/mc_address_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_39_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [39]) +); +defparam \gw3_top/u_gwmc_top/mc_address_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_38_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [38]) +); +defparam \gw3_top/u_gwmc_top/mc_address_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_37_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [37]) +); +defparam \gw3_top/u_gwmc_top/mc_address_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_36_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [36]) +); +defparam \gw3_top/u_gwmc_top/mc_address_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_35_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [35]) +); +defparam \gw3_top/u_gwmc_top/mc_address_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_34_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [34]) +); +defparam \gw3_top/u_gwmc_top/mc_address_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_33_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [33]) +); +defparam \gw3_top/u_gwmc_top/mc_address_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_32_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [32]) +); +defparam \gw3_top/u_gwmc_top/mc_address_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_31_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [31]) +); +defparam \gw3_top/u_gwmc_top/mc_address_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_30_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [30]) +); +defparam \gw3_top/u_gwmc_top/mc_address_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_29_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [29]) +); +defparam \gw3_top/u_gwmc_top/mc_address_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_address_28_s0 ( + .D(\gw3_top/u_gwmc_top/mc_address_dly [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_address_Z [28]) +); +defparam \gw3_top/u_gwmc_top/mc_address_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_11_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_dly [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_bank_Z [11]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_10_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_dly [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_bank_Z [10]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_9_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_dly [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_bank_Z [9]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_8_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_dly [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_bank_Z [8]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_7_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_dly [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_bank_Z [7]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_bank_6_s0 ( + .D(\gw3_top/u_gwmc_top/mc_bank_dly [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_bank_Z [6]) +); +defparam \gw3_top/u_gwmc_top/mc_bank_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cs_n_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cs_n_dly [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_cs_n_Z [3]) +); +defparam \gw3_top/u_gwmc_top/mc_cs_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cs_n_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cs_n_dly [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_cs_n_Z [2]) +); +defparam \gw3_top/u_gwmc_top/mc_cs_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_odt_1_s0 ( + .D(\gw3_top/u_gwmc_top/mc_odt_dly [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_odt_Z [1]) +); +defparam \gw3_top/u_gwmc_top/mc_odt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_cke_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_cke_dly [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_cke_Z [3]) +); +defparam \gw3_top/u_gwmc_top/mc_cke_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_127_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [127]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [127]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_127_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_126_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [126]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [126]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_126_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_125_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [125]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [125]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_125_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_124_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [124]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [124]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_124_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_123_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [123]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [123]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_123_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_122_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [122]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [122]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_122_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_121_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [121]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [121]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_121_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_120_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [120]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [120]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_120_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_119_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [119]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [119]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_119_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_118_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [118]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [118]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_118_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_117_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [117]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [117]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_117_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_116_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [116]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [116]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_116_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_115_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [115]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [115]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_115_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_114_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [114]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [114]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_114_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_113_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [113]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [113]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_113_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_112_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [112]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [112]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_112_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_111_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [111]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [111]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_111_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_110_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [110]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [110]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_110_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_109_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [109]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [109]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_109_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_108_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [108]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [108]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_108_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_107_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [107]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [107]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_107_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_106_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [106]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [106]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_106_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_105_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [105]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [105]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_105_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_104_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [104]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [104]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_104_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_103_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [103]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [103]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_103_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_102_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [102]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [102]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_102_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_101_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [101]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [101]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_101_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_100_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [100]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [100]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_100_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_99_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [99]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [99]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_99_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_98_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [98]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [98]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_98_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_97_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [97]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [97]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_97_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_96_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [96]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [96]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_96_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_95_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [95]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [95]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_95_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_94_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [94]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [94]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_94_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_93_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [93]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [93]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_93_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_92_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [92]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [92]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_92_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_91_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [91]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [91]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_90_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [90]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [90]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_89_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [89]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [89]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_88_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [88]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [88]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_87_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [87]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [87]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_86_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [86]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [86]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_85_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [85]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [85]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_84_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [84]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [84]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_83_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [83]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [83]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_82_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [82]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [82]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_81_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [81]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [81]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_80_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [80]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [80]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_79_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [79]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [79]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_78_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [78]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [78]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_77_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [77]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [77]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_76_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [76]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [76]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_75_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [75]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [75]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_74_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [74]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [74]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_73_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [73]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [73]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_72_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [72]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [72]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_71_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [71]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_70_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [70]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_69_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [69]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_68_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [68]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_67_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [67]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_66_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [66]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_65_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [65]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_64_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [64]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_63_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [63]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_62_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [62]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_61_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [61]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_60_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [60]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_59_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [59]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_58_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [58]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_57_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [57]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_56_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [56]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_55_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [55]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_54_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [54]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_53_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [53]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_52_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [52]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_51_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [51]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_50_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [50]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_49_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [49]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_48_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [48]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_47_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [47]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_46_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [46]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_45_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [45]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_44_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [44]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_43_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [43]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_42_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [42]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_41_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [41]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_40_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [40]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_39_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [39]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_38_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [38]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_37_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [37]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_36_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [36]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_35_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [35]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_34_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [34]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_33_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [33]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_32_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [32]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_31_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [31]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_30_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [30]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_29_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [29]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_28_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [28]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_27_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [27]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_26_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [26]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_25_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [25]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_24_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [24]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_23_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [23]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_22_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [22]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_21_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [21]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_20_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [20]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_19_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [19]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_18_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [18]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_17_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [17]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_16_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [16]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_15_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [15]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_14_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [14]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_13_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [13]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_12_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [12]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_11_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [11]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_10_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [10]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_9_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [9]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_8_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [8]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_7_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [7]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_6_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [6]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_5_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [5]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_4_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [4]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [3]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [2]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_1_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [1]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_dly_1_0_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_dly [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata [0]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_dly_1_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_15_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [15]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_14_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [14]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_13_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [13]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_12_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [12]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_11_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [11]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_10_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [10]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_9_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [9]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_8_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [8]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_7_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [7]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_6_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [6]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_5_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [5]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_4_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [4]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_3_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [3]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_2_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [2]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_1_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [1]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/mc_wrdata_mask_0_s0 ( + .D(\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/mc_wrdata_mask_Z [0]) +); +defparam \gw3_top/u_gwmc_top/mc_wrdata_mask_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_127_s0 ( + .D(\gw3_top/eye_calib_rdata [127]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[127]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_127_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_126_s0 ( + .D(\gw3_top/eye_calib_rdata [126]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[126]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_126_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_125_s0 ( + .D(\gw3_top/eye_calib_rdata [125]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[125]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_125_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_124_s0 ( + .D(\gw3_top/eye_calib_rdata [124]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[124]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_124_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_123_s0 ( + .D(\gw3_top/eye_calib_rdata [123]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[123]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_123_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_122_s0 ( + .D(\gw3_top/eye_calib_rdata [122]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[122]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_122_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_121_s0 ( + .D(\gw3_top/eye_calib_rdata [121]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[121]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_121_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_120_s0 ( + .D(\gw3_top/eye_calib_rdata [120]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[120]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_120_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_119_s0 ( + .D(\gw3_top/eye_calib_rdata [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[119]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_119_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_118_s0 ( + .D(\gw3_top/eye_calib_rdata [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[118]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_118_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_117_s0 ( + .D(\gw3_top/eye_calib_rdata [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[117]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_117_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_116_s0 ( + .D(\gw3_top/eye_calib_rdata [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[116]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_116_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_115_s0 ( + .D(\gw3_top/eye_calib_rdata [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[115]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_115_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_114_s0 ( + .D(\gw3_top/eye_calib_rdata [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[114]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_114_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_113_s0 ( + .D(\gw3_top/eye_calib_rdata [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[113]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_113_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_112_s0 ( + .D(\gw3_top/eye_calib_rdata [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[112]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_112_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_111_s0 ( + .D(\gw3_top/eye_calib_rdata [119]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[111]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_111_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_110_s0 ( + .D(\gw3_top/eye_calib_rdata [118]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[110]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_110_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_109_s0 ( + .D(\gw3_top/eye_calib_rdata [117]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[109]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_109_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_108_s0 ( + .D(\gw3_top/eye_calib_rdata [116]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[108]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_108_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_107_s0 ( + .D(\gw3_top/eye_calib_rdata [115]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[107]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_107_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_106_s0 ( + .D(\gw3_top/eye_calib_rdata [114]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[106]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_106_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_105_s0 ( + .D(\gw3_top/eye_calib_rdata [113]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[105]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_105_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_104_s0 ( + .D(\gw3_top/eye_calib_rdata [112]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[104]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_104_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_103_s0 ( + .D(\gw3_top/eye_calib_rdata [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[103]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_103_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_102_s0 ( + .D(\gw3_top/eye_calib_rdata [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[102]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_102_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_101_s0 ( + .D(\gw3_top/eye_calib_rdata [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[101]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_101_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_100_s0 ( + .D(\gw3_top/eye_calib_rdata [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[100]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_100_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_99_s0 ( + .D(\gw3_top/eye_calib_rdata [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[99]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_99_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_98_s0 ( + .D(\gw3_top/eye_calib_rdata [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[98]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_98_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_97_s0 ( + .D(\gw3_top/eye_calib_rdata [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[97]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_97_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_96_s0 ( + .D(\gw3_top/eye_calib_rdata [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[96]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_96_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_95_s0 ( + .D(\gw3_top/eye_calib_rdata [111]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[95]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_95_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_94_s0 ( + .D(\gw3_top/eye_calib_rdata [110]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[94]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_94_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_93_s0 ( + .D(\gw3_top/eye_calib_rdata [109]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[93]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_93_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_92_s0 ( + .D(\gw3_top/eye_calib_rdata [108]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[92]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_92_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_91_s0 ( + .D(\gw3_top/eye_calib_rdata [107]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[91]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_90_s0 ( + .D(\gw3_top/eye_calib_rdata [106]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[90]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_89_s0 ( + .D(\gw3_top/eye_calib_rdata [105]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[89]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_88_s0 ( + .D(\gw3_top/eye_calib_rdata [104]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[88]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_87_s0 ( + .D(\gw3_top/eye_calib_rdata [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[87]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_86_s0 ( + .D(\gw3_top/eye_calib_rdata [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[86]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_85_s0 ( + .D(\gw3_top/eye_calib_rdata [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[85]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_84_s0 ( + .D(\gw3_top/eye_calib_rdata [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[84]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_83_s0 ( + .D(\gw3_top/eye_calib_rdata [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[83]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_82_s0 ( + .D(\gw3_top/eye_calib_rdata [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[82]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_81_s0 ( + .D(\gw3_top/eye_calib_rdata [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[81]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_80_s0 ( + .D(\gw3_top/eye_calib_rdata [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[80]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_79_s0 ( + .D(\gw3_top/eye_calib_rdata [103]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[79]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_78_s0 ( + .D(\gw3_top/eye_calib_rdata [102]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[78]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_77_s0 ( + .D(\gw3_top/eye_calib_rdata [101]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[77]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_76_s0 ( + .D(\gw3_top/eye_calib_rdata [100]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[76]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_75_s0 ( + .D(\gw3_top/eye_calib_rdata [99]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[75]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_74_s0 ( + .D(\gw3_top/eye_calib_rdata [98]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[74]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_73_s0 ( + .D(\gw3_top/eye_calib_rdata [97]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[73]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_72_s0 ( + .D(\gw3_top/eye_calib_rdata [96]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[72]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_71_s0 ( + .D(\gw3_top/eye_calib_rdata [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[71]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_70_s0 ( + .D(\gw3_top/eye_calib_rdata [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[70]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_69_s0 ( + .D(\gw3_top/eye_calib_rdata [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[69]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_68_s0 ( + .D(\gw3_top/eye_calib_rdata [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[68]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_67_s0 ( + .D(\gw3_top/eye_calib_rdata [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[67]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_66_s0 ( + .D(\gw3_top/eye_calib_rdata [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[66]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_65_s0 ( + .D(\gw3_top/eye_calib_rdata [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[65]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_64_s0 ( + .D(\gw3_top/eye_calib_rdata [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[64]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_63_s0 ( + .D(\gw3_top/eye_calib_rdata [95]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[63]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_62_s0 ( + .D(\gw3_top/eye_calib_rdata [94]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[62]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_61_s0 ( + .D(\gw3_top/eye_calib_rdata [93]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[61]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_60_s0 ( + .D(\gw3_top/eye_calib_rdata [92]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[60]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_59_s0 ( + .D(\gw3_top/eye_calib_rdata [91]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[59]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_58_s0 ( + .D(\gw3_top/eye_calib_rdata [90]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[58]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_57_s0 ( + .D(\gw3_top/eye_calib_rdata [89]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[57]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_56_s0 ( + .D(\gw3_top/eye_calib_rdata [88]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[56]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_55_s0 ( + .D(\gw3_top/eye_calib_rdata [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[55]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_54_s0 ( + .D(\gw3_top/eye_calib_rdata [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[54]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_53_s0 ( + .D(\gw3_top/eye_calib_rdata [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[53]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_52_s0 ( + .D(\gw3_top/eye_calib_rdata [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[52]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_51_s0 ( + .D(\gw3_top/eye_calib_rdata [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[51]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_50_s0 ( + .D(\gw3_top/eye_calib_rdata [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[50]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_49_s0 ( + .D(\gw3_top/eye_calib_rdata [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[49]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_48_s0 ( + .D(\gw3_top/eye_calib_rdata [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[48]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_47_s0 ( + .D(\gw3_top/eye_calib_rdata [87]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[47]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_46_s0 ( + .D(\gw3_top/eye_calib_rdata [86]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[46]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_45_s0 ( + .D(\gw3_top/eye_calib_rdata [85]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[45]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_44_s0 ( + .D(\gw3_top/eye_calib_rdata [84]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[44]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_43_s0 ( + .D(\gw3_top/eye_calib_rdata [83]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[43]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_42_s0 ( + .D(\gw3_top/eye_calib_rdata [82]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[42]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_41_s0 ( + .D(\gw3_top/eye_calib_rdata [81]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[41]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_40_s0 ( + .D(\gw3_top/eye_calib_rdata [80]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[40]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_39_s0 ( + .D(\gw3_top/eye_calib_rdata [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[39]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_38_s0 ( + .D(\gw3_top/eye_calib_rdata [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[38]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_37_s0 ( + .D(\gw3_top/eye_calib_rdata [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[37]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_36_s0 ( + .D(\gw3_top/eye_calib_rdata [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[36]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_35_s0 ( + .D(\gw3_top/eye_calib_rdata [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[35]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_34_s0 ( + .D(\gw3_top/eye_calib_rdata [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[34]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_33_s0 ( + .D(\gw3_top/eye_calib_rdata [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[33]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_32_s0 ( + .D(\gw3_top/eye_calib_rdata [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[32]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_31_s0 ( + .D(\gw3_top/eye_calib_rdata [79]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[31]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_30_s0 ( + .D(\gw3_top/eye_calib_rdata [78]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[30]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_29_s0 ( + .D(\gw3_top/eye_calib_rdata [77]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[29]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_28_s0 ( + .D(\gw3_top/eye_calib_rdata [76]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[28]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_27_s0 ( + .D(\gw3_top/eye_calib_rdata [75]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[27]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_26_s0 ( + .D(\gw3_top/eye_calib_rdata [74]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[26]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_25_s0 ( + .D(\gw3_top/eye_calib_rdata [73]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[25]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_24_s0 ( + .D(\gw3_top/eye_calib_rdata [72]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[24]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_23_s0 ( + .D(\gw3_top/eye_calib_rdata [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[23]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_22_s0 ( + .D(\gw3_top/eye_calib_rdata [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[22]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_21_s0 ( + .D(\gw3_top/eye_calib_rdata [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[21]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_20_s0 ( + .D(\gw3_top/eye_calib_rdata [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[20]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_19_s0 ( + .D(\gw3_top/eye_calib_rdata [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[19]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_18_s0 ( + .D(\gw3_top/eye_calib_rdata [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[18]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_17_s0 ( + .D(\gw3_top/eye_calib_rdata [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[17]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_16_s0 ( + .D(\gw3_top/eye_calib_rdata [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[16]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_15_s0 ( + .D(\gw3_top/eye_calib_rdata [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[15]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_14_s0 ( + .D(\gw3_top/eye_calib_rdata [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[14]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_13_s0 ( + .D(\gw3_top/eye_calib_rdata [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[13]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_12_s0 ( + .D(\gw3_top/eye_calib_rdata [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[12]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_11_s0 ( + .D(\gw3_top/eye_calib_rdata [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[11]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_10_s0 ( + .D(\gw3_top/eye_calib_rdata [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[10]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_9_s0 ( + .D(\gw3_top/eye_calib_rdata [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[9]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_8_s0 ( + .D(\gw3_top/eye_calib_rdata [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[8]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_7_s0 ( + .D(\gw3_top/eye_calib_rdata [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[7]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_6_s0 ( + .D(\gw3_top/eye_calib_rdata [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[6]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_5_s0 ( + .D(\gw3_top/eye_calib_rdata [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[5]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_4_s0 ( + .D(\gw3_top/eye_calib_rdata [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[4]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_3_s0 ( + .D(\gw3_top/eye_calib_rdata [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[3]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_2_s0 ( + .D(\gw3_top/eye_calib_rdata [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[2]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_1_s0 ( + .D(\gw3_top/eye_calib_rdata [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[1]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rd_data_dly_0_s0 ( + .D(\gw3_top/eye_calib_rdata [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data[0]) +); +defparam \gw3_top/u_gwmc_top/phy_rd_data_dly_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/phy_rddata_valid_dly_s0 ( + .D(\gw3_top/phy_rd_data_valid ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(rd_data_valid) +); +defparam \gw3_top/u_gwmc_top/phy_rddata_valid_dly_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/eye_calib_start_cmd_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/eye_calib_start_cmd ) +); +defparam \gw3_top/u_gwmc_top/eye_calib_start_cmd_s0 .INIT=1'b0; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[24]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[24]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_171_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[24]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[24]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_172_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[24]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[24]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_173_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[24]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[24]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_174_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[25]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[25]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_178_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[25]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[25]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_179_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[25]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[25]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_180_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[25]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[25]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_181_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[26]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[26]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_185_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[26]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[26]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_186_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[26]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[26]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_187_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[26]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[26]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_188_G[2]_1 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n449_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [13]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [13]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n449_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n449_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n449_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [13]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [13]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n449_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n449_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n449_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [13]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [13]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n449_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n449_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n449_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [13]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [13]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n449_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n449_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n450_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [12]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [12]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n450_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n450_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n450_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [12]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [12]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n450_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n450_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n450_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [12]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [12]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n450_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n450_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n450_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [12]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [12]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n450_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n450_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n451_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [11]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [11]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n451_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n451_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n451_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [11]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [11]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n451_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n451_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n451_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [11]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [11]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n451_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n451_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n451_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [11]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [11]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n451_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n451_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n452_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [10]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [10]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n452_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n452_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n452_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [10]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [10]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n452_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n452_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n452_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [10]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [10]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n452_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n452_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n452_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [10]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [10]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n452_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n452_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n453_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [9]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [9]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n453_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n453_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n453_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [9]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [9]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n453_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n453_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n453_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [9]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [9]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n453_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n453_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n453_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [9]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [9]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n453_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n453_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n454_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [8]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [8]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n454_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n454_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n454_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [8]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [8]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n454_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n454_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n454_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [8]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [8]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n454_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n454_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n454_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [8]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [8]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n454_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n454_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n455_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [7]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [7]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n455_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n455_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n455_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [7]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [7]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n455_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n455_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n455_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [7]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [7]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n455_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n455_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n455_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [7]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [7]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n455_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n455_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n456_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [6]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [6]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n456_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n456_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n456_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [6]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [6]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n456_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n456_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n456_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [6]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [6]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n456_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n456_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n456_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [6]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [6]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n456_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n456_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n457_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [5]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [5]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n457_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n457_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n457_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [5]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [5]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n457_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n457_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n457_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [5]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [5]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n457_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n457_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n457_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [5]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [5]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n457_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n457_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n458_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [4]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [4]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n458_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n458_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n458_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [4]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [4]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n458_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n458_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n458_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [4]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [4]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n458_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n458_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n458_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [4]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [4]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n458_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n458_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n459_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [3]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [3]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n459_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n459_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n459_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [3]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [3]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n459_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n459_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n459_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [3]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [3]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n459_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n459_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n459_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [3]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [3]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n459_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n459_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n460_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [2]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [2]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n460_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n460_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n460_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [2]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [2]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n460_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n460_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n460_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [2]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [2]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n460_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n460_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n460_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [2]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [2]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n460_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n460_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n461_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [1]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n461_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n461_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n461_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [1]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n461_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n461_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n461_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [1]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n461_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n461_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n461_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [1]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n461_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n461_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n462_s24 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [0]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n462_20 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n462_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n462_s25 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [0]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n462_21 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n462_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n462_s26 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [0]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n462_22 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n462_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n462_s27 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [0]), + .I2(\gw3_top/u_gwmc_top/app_addr [24]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n462_23 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n462_s27 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[1]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[1]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[1]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[1]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[1]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[1]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[1]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[1]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[2]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[2]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[2]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[2]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[2]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[2]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[2]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[2]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[3]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[3]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[3]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[3]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[3]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[3]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[3]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[3]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[4]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[4]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[4]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[4]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[4]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[4]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[4]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[4]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[5]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[5]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[5]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[5]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[5]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[5]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[5]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[5]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[6]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[6]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[6]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[6]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[6]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[6]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[6]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[6]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[7]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[7]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[7]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[7]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[7]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[7]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[7]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[7]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[8]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[8]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[8]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[8]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[8]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[8]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[8]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[8]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[9]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[9]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[9]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[9]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[9]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[9]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[9]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[9]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[10]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[10]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[10]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[10]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[10]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[10]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[10]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[10]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[11]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[11]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[11]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[11]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[11]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[11]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[11]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[11]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[12]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[12]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[12]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[12]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[12]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[12]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[12]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[12]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[13]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[13]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[13]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[13]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[13]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[13]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[13]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[13]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[14]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[14]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[14]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[14]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[14]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[14]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[14]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[14]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[15]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[15]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[15]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[15]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[15]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[15]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[15]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[15]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[16]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[16]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[16]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[16]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[16]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[16]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[16]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[16]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[17]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[17]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[17]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[17]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[17]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[17]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[17]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[17]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[18]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[18]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[18]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[18]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[18]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[18]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[18]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[18]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[19]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[19]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[19]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[19]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[19]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[19]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[19]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[19]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[20]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[20]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[20]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[20]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[20]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[20]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[20]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[20]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[21]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[21]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[21]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[21]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[21]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[21]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[21]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[21]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[22]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[22]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[22]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[22]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[22]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[22]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[22]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[22]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[23]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[23]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[23]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[23]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[23]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[23]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[23]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[23]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[27]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[27]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[27]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[27]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[27]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[27]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[27]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[27]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[28]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[28]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[28]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[28]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[28]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[28]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[28]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[28]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[29]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[29]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[29]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[29]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[29]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[29]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[29]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[29]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s6 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[30]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[30]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_9 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s3 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[30]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[30]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s4 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[30]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[30]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_11 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s5 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[30]_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[30]_4 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s6 .INIT=8'hCA; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n41_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n41_4 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/next_waddr [2]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .I3(\gw3_top/u_gwmc_top/app_en ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n41_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n41_s0 .INIT=16'h4100; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/n180_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [26]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n180_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n180_s0 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/n213_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [25]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n213_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n213_s0 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n246_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [24]), + .I1(\gw3_top/u_gwmc_top/app_addr [26]), + .I2(\gw3_top/u_gwmc_top/app_addr [25]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n246_4 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n246_s0 .INIT=16'h1000; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/n280_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [25]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n213_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n280_s0 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/n313_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [26]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n180_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n313_s0 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/n347_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [25]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n347_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n347_s0 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n381_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [24]), + .I1(\gw3_top/u_gwmc_top/app_addr [25]), + .I2(\gw3_top/u_gwmc_top/app_addr [26]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n246_4 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n381_s0 .INIT=16'h4000; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/n416_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [25]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n347_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n416_s0 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/write_s0 ( + .I0(\gw3_top/u_gwmc_top/app_cmd [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/write_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/write ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/write_s0 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/read_s0 ( + .I0(\gw3_top/u_gwmc_top/app_cmd [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/write_6 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/read ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/read_s0 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/cmd_write_Z_s ( + .I0(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_189_G[0]_4 ), + .F(\gw3_top/u_gwmc_top/cmd_write_Z ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/cmd_write_Z_s .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/cmd_read_Z_s ( + .I0(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_196_G[0]_4 ), + .F(\gw3_top/u_gwmc_top/cmd_read_Z ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/cmd_read_Z_s .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s325 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s325 .INIT=16'h0100; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s326 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s326 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s327 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s327 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s328 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s328 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s329 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s329 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s330 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s330 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s331 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s331 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/mem_s332 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_s332 .INIT=16'h8000; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/next_waddr_1_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/next_waddr [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/next_waddr_1_s3 .INIT=4'h6; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/next_waddr_2_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/next_waddr [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/next_waddr_2_s2 .INIT=8'h78; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_2_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/next_raddr [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_2_s2 .INIT=8'h78; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n34_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n19_3 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/full_invalid ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/n27_2 ), + .I3(\gw3_top/u_gwmc_top/cmd_accept_Z ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n34_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n34_s1 .INIT=16'h000E; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n75_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n19_3 ), + .I1(\gw3_top/mc_ras_n_Z [3]), + .I2(\gw3_top/u_gwmc_top/app_en ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n75_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n75_s2 .INIT=8'hBF; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n41_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n41_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n41_s1 .INIT=16'hEB7D; +LUT2 \gw3_top/u_gwmc_top/gw_cmd0/n246_s1 ( + .I0(\gw3_top/u_gwmc_top/app_en ), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n246_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n246_s1 .INIT=4'h8; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s4 ( + .I0(\gw3_top/u_gwmc_top/app_en ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_8 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/next_raddr [2]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s4 .INIT=16'h1001; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n19_3 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/full_invalid ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/n75_7 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s5 .INIT=8'hE0; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_8 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s6 .INIT=16'hBED7; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/write_s2 ( + .I0(\gw3_top/u_gwmc_top/app_cmd [1]), + .I1(\gw3_top/u_gwmc_top/app_cmd [2]), + .I2(\gw3_top/u_gwmc_top/app_en ), + .I3(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/write_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/write_s2 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n347_s2 ( + .I0(\gw3_top/u_gwmc_top/app_addr [24]), + .I1(\gw3_top/u_gwmc_top/app_addr [26]), + .I2(\gw3_top/u_gwmc_top/app_en ), + .I3(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n347_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n347_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n213_s2 ( + .I0(\gw3_top/u_gwmc_top/app_addr [26]), + .I1(\gw3_top/u_gwmc_top/app_addr [24]), + .I2(\gw3_top/u_gwmc_top/app_en ), + .I3(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n213_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n213_s2 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/n180_s2 ( + .I0(\gw3_top/u_gwmc_top/app_addr [25]), + .I1(\gw3_top/u_gwmc_top/app_addr [24]), + .I2(\gw3_top/u_gwmc_top/app_en ), + .I3(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n180_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n180_s2 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s7 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_6 ), + .I1(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I2(\gw3_top/u_gwmc_top/n221_3 ), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_7 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s7 .INIT=16'h20FF; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/full_invalid_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n41_3 ), + .I1(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I2(\gw3_top/u_gwmc_top/n221_3 ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/full_invalid_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/full_invalid_s4 .INIT=8'hBA; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/next_waddr_0_s6 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/full_rr ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/full_r ), + .I2(\gw3_top/u_gwmc_top/app_en ), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/next_waddr_0_15 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/next_waddr_0_s6 .INIT=16'h8F70; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/n489_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/full_r ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/full_rr ), + .I2(\gw3_top/u_gwmc_top/app_en ), + .F(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n489_s1 .INIT=8'h70; +LUT4 \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_1_s5 ( + .I0(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .I2(\gw3_top/u_gwmc_top/n221_3 ), + .I3(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/next_raddr_1_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_1_s5 .INIT=16'hBF40; +LUT3 \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_0_s6 ( + .I0(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I1(\gw3_top/u_gwmc_top/n221_3 ), + .I2(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .F(\gw3_top/u_gwmc_top/gw_cmd0/next_raddr_0_12 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/next_raddr_0_s6 .INIT=8'hB4; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/full_rr_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/full_r ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/full_rr ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/full_rr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/full_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/n34_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/full ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/full_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/app_en ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/app_en ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/app_en ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n180_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[0]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n213_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[1]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n246_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[2]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n280_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[3]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n313_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[4]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n347_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[5]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n381_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[6]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_13_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [13]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_12_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [12]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_11_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [11]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_10_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [10]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_9_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [9]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_8_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [8]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_7_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [7]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_6_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [6]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_5_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [5]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_4_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [4]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_3_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [3]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_2_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_1_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_0_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n416_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7] [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/row_in_tmp[7]_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/waddr_2_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/next_waddr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/waddr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/waddr_1_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/next_waddr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/n489_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/waddr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/raddr_2_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/next_raddr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/cmd_accept_Z ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/raddr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/full_r_s0 ( + .D(\gw3_top/full ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/full_r ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/full_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/full_invalid_s1 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/n41_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/full_invalid_10 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/full_invalid ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/full_invalid_s1 .INIT=1'b0; +DFFPE \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s1 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/n75_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_10 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/cmd_empty_Z ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/cmd_empty_s1 .INIT=1'b1; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_327 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_0_G[30]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_329 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_1_G[30]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_331 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_2_G[30]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_333 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_3_G[30]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_335 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_4_G[30]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_337 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_5_G[30]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_339 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_6_G[30]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[0]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [0]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[0]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[0]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[1]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [1]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[1]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[1]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[2]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [2]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[2]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[2]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[3]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [3]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[3]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[3]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[4]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [4]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[4]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[4]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[5]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [5]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[5]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[5]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[6]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [6]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[6]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[6]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[7]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [7]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[7]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[7]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[8]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [8]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[8]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[8]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[9]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [9]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[9]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[9]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[10]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [10]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[10]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[10]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[11]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [11]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[11]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[11]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[12]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [12]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[12]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[12]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[13]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [13]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[13]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[13]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[14]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [14]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[14]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[14]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[15]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [15]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[15]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[15]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[16]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [16]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[16]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[16]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[17]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [17]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[17]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[17]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[18]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [18]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[18]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[18]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[19]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [19]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[19]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[19]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[20]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [20]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[20]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[20]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[21]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [21]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[21]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[21]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[22]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [22]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[22]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[22]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[23]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [23]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[23]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[23]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[24]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [24]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[24]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[24]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[25]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [25]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[25]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[25]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[26]_s0 ( + .D(\gw3_top/u_gwmc_top/app_addr [26]), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[26]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[26]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[27]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/write ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[27]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[27]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[28]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/read ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[28]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[28]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[29]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[29]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[29]_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[30]_s0 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gw_cmd0/mem_341 ), + .RESET(GND), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[30]_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/mem_mem_RAMREG_7_G[30]_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/waddr_0_s1 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/next_waddr_0_15 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/waddr_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/raddr_1_s1 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/next_raddr_1_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/raddr_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_cmd0/raddr_0_s1 ( + .D(\gw3_top/u_gwmc_top/gw_cmd0/next_raddr_0_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/raddr_0_s1 .INIT=1'b0; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n29_s ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I3(GND), + .CIN(VCC), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n29_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n29_0_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n29_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n28_s ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n29_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n28_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n28_0_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n28_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n27_s ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n28_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n27_0_COUT ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n27_2 ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n27_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n17_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n17_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n17_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n17_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n18_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [1]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n17_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n18_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n18_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n18_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n19_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [2]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/waddr [2]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n18_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n19_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n19_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n19_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n445_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [24]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n445_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n445_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n445_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n446_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [25]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp [1]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n445_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n446_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n446_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n446_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n447_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [26]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/bank_in_tmp [2]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n446_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/bank_change ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n447_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n447_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n463_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [10]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n462_29 ), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n463_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n463_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n463_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n464_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [11]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n461_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n463_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n464_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n464_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n464_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n465_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [12]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n460_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n464_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n465_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n465_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n465_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n466_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [13]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n459_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n465_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n466_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n466_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n466_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n467_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [14]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n458_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n466_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n467_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n467_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n467_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n468_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [15]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n457_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n467_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n468_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n468_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n468_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n469_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [16]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n456_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n468_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n469_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n469_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n469_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n470_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [17]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n455_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n469_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n470_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n470_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n470_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n471_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [18]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n454_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n470_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n471_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n471_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n471_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n472_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [19]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n453_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n471_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n472_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n472_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n472_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n473_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [20]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n452_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n472_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n473_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n473_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n473_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n474_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [21]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n451_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n473_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n474_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n474_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n474_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n475_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [22]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n450_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n474_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/n475_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n475_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n475_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_cmd0/n476_s0 ( + .I0(\gw3_top/u_gwmc_top/app_addr [23]), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n449_29 ), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_cmd0/n475_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_cmd0/row_change ), + .SUM(\gw3_top/u_gwmc_top/gw_cmd0/n476_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_cmd0/n476_s0 .ALU_MODE=3; +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_171_G[2]_1 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_172_G[2]_1 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_169_G[1]_2 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_173_G[2]_1 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_174_G[2]_1 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_170_G[1]_2 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_178_G[2]_1 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_179_G[2]_1 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_176_G[1]_2 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_180_G[2]_1 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_181_G[2]_1 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_177_G[1]_2 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_185_G[2]_1 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_186_G[2]_1 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_183_G[1]_2 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_187_G[2]_1 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_188_G[2]_1 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_184_G[1]_2 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n449_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n449_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n449_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n449_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n449_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n449_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n449_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n449_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n450_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n450_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n450_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n450_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n450_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n450_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n450_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n450_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n451_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n451_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n451_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n451_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n451_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n451_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n451_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n451_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n452_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n452_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n452_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n452_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n452_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n452_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n452_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n452_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n453_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n453_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n453_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n453_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n453_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n453_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n453_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n453_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n454_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n454_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n454_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n454_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n454_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n454_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n454_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n454_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n455_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n455_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n455_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n455_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n455_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n455_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n455_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n455_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n456_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n456_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n456_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n456_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n456_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n456_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n456_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n456_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n457_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n457_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n457_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n457_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n457_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n457_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n457_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n457_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n458_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n458_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n458_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n458_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n458_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n458_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n458_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n458_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n459_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n459_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n459_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n459_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n459_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n459_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n459_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n459_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n460_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n460_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n460_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n460_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n460_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n460_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n460_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n460_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n461_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n461_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n461_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n461_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n461_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n461_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n461_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n461_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n462_s22 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n462_20 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n462_21 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n462_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/n462_s23 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n462_22 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n462_23 ), + .S0(\gw3_top/u_gwmc_top/app_addr [25]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n462_27 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_16 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_9 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_10 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_14 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_11 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_12 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [1]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_16 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_168_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_169_G[1]_2 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_170_G[1]_2 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_168_G[0]_2 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_175_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_176_G[1]_2 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_177_G[1]_2 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_175_G[0]_2 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_182_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_183_G[1]_2 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_184_G[1]_2 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_182_G[0]_2 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n449_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n449_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n449_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n449_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n450_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n450_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n450_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n450_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n451_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n451_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n451_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n451_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n452_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n452_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n452_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n452_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n453_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n453_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n453_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n453_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n454_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n454_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n454_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n454_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n455_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n455_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n455_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n455_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n456_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n456_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n456_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n456_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n457_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n457_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n457_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n457_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n458_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n458_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n458_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n458_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n459_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n459_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n459_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n459_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n460_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n460_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n460_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n460_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n461_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n461_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n461_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n461_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/n462_s21 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/n462_25 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/n462_27 ), + .S0(\gw3_top/u_gwmc_top/app_addr [26]), + .O(\gw3_top/u_gwmc_top/gw_cmd0/n462_29 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_0_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_3_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_0_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_7_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_10_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_7_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_14_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_17_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_14_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_21_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_24_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_21_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_28_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_31_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_28_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_35_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_38_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_35_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_42_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_45_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_42_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_49_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_52_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_49_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_56_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_59_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_56_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_63_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_66_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_63_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_70_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_73_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_70_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_77_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_80_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_77_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_84_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_87_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_84_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_91_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_94_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_91_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_98_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_101_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_98_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_105_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_108_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_105_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_112_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_115_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_112_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_119_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_122_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_119_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_126_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_129_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_126_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_133_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_136_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_133_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_140_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_143_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_140_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_147_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_150_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_147_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_154_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_157_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_154_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_161_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_164_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_161_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_189_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_192_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_189_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_196_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_199_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_196_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_203_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_206_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_203_G[0]_4 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_210_G[0]_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_14 ), + .I1(\gw3_top/u_gwmc_top/gw_cmd0/mem_RAMOUT_213_G[2]_16 ), + .S0(\gw3_top/u_gwmc_top/gw_cmd0/raddr [0]), + .O(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ) +); +INV \gw3_top/u_gwmc_top/gw_cmd0/cmd_ready_d_s0 ( + .I(\gw3_top/full ), + .O(cmd_ready) +); +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s24 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [1]), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_168_G[0]_2 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_20 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s24 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s25 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [3]), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_168_G[0]_2 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_21 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s25 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s26 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [5]), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_168_G[0]_2 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_22 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s26 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s27 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [7]), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_168_G[0]_2 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_23 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s27 .INIT=8'hCA; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_5 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_6 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_s1 .INIT=4'h8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_4 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_s0 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_4 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_s0 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_15 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .F(\gw3_top/u_gwmc_top/n221_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s0 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_s0 .INIT=16'h4000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_6 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_s1 .INIT=8'h80; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_s0 .INIT=4'h8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_5 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_26 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_1_75 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s0 .INIT=16'h80FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_23 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_6 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_4_72 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s0 .INIT=16'hF8FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_15 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_17 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_12_72 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s0 .INIT=16'h40FF; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_5 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s0 .INIT=8'hE0; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_7 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_s0 .INIT=16'h4000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_15 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_5 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_6 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s0 .INIT=8'hF8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s0 .INIT=16'hBEAA; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s0 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s0 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_s0 ( + .I0(\gw3_top/u_gwmc_top/sre_request_Z ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_s0 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_7 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied_s3 .INIT=16'hFF40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref_s3 .INIT=16'h2C00; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_s3 .INIT=16'h80FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_6_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_6_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_6_s3 .INIT=16'h40FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_5_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_5_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_5_s3 .INIT=16'h40FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_4_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_4_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_4_s3 .INIT=16'h10FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_s3 .INIT=16'h80FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_2_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_2_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_2_s3 .INIT=16'h40FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_1_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_1_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_1_s3 .INIT=16'h40FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_0_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_0_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_0_s3 .INIT=16'h10FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_s62 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_12 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_68 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_7 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_21 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_67 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_s62 .INIT=16'h70FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s62 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_69 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_67 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s62 .INIT=16'hF8FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s62 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [16]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_68 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_69 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_67 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s62 .INIT=16'hF8FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [17]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_16_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I1(\gw3_top/u_gwmc_top/refresh_request_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [16]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_16_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_16_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_71 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [15]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_71 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [14]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_71 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_4 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_23 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_s65 .INIT=16'h8F88; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_11_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_5 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_11_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_11_s65 .INIT=4'h8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s68 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_83 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_75 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_76 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_77 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_73 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s68 .INIT=16'hFEFF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_9_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_9_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_9_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_8_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I1(\gw3_top/u_gwmc_top/refresh_request_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_8_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_8_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_7_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_71 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_7_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_7_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_6_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_71 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [6]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_6_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_6_s65 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_80 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_82 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_78 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s65 .INIT=16'hFF80; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_3_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_3_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_3_s65 .INIT=4'h8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s68 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_74 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_75 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_76 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_77 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_73 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s68 .INIT=16'hFEFF; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s62 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/init_done_r ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_68 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_69 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_67 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s62 .INIT=8'h40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_s2 .INIT=16'h6000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n324_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n324_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n324_s2 .INIT=16'h0B04; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_s2 .INIT=16'h0B04; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_8 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [6]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n320_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_8 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n320_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n320_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n135_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n135_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n135_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n133_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n133_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n133_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n131_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n131_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n131_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n129_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [8]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_8 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n129_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n129_s2 .INIT=16'h37C0; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n76_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n76_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n76_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n74_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n74_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n74_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [6]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n71_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [7]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [8]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n71_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n71_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_s2 .INIT=16'h0708; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_s2 .INIT=4'h4; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n34_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n34_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n34_s1 .INIT=8'h60; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n32_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [3]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n32_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n32_s1 .INIT=16'h7800; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_s1 .INIT=8'h60; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n30_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [5]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n30_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n30_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [6]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_s1 .INIT=16'h7800; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [7]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_s1 .INIT=8'h60; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_s2 ( + .I0(\gw3_top/u_gwmc_top/send_ref_Z ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_s2 .INIT=4'h1; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1997_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1997_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1997_s1 .INIT=8'h9F; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1995_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [3]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1995_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1995_s1 .INIT=16'hB4FF; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_s1 .INIT=8'h6F; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1993_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [5]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1993_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1993_s1 .INIT=16'hB4FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [6]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_s1 .INIT=16'h78FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1828_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1828_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1828_s1 .INIT=16'h9FFF; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_s1 .INIT=8'hF7; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [2]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_s2 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [5]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [7]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [6]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_s3 .INIT=16'h0100; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [7]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [8]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_s1 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [4]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [3]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_s2 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [7]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [8]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_s1 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [4]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [3]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_s2 .INIT=16'h1000; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s1 ( + .I0(\gw3_top/u_gwmc_top/idle2 ), + .I1(\gw3_top/u_gwmc_top/idle3 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s1 .INIT=4'h6; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_13 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s4 .INIT=8'h80; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [2]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [3]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_s3 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_s1 .INIT=16'h4000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s1 ( + .I0(\gw3_top/u_gwmc_top/n221_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s1 .INIT=8'h01; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_23 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s2 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_12 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_13 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_24 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_15 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s4 .INIT=16'h0100; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s1 .INIT=4'h1; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_21 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s3 .INIT=8'h40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_13 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_69 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s4 .INIT=16'h0777; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s3 .INIT=8'h80; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_13 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_78 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s4 .INIT=16'h7077; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_ref_Z_s0 ( + .I0(\gw3_top/u_gwmc_top/idle1 ), + .I1(\gw3_top/u_gwmc_top/idle2 ), + .I2(\gw3_top/u_gwmc_top/idle3 ), + .F(\gw3_top/u_gwmc_top/cmd_accept_ref_Z_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_ref_Z_s0 .INIT=8'h01; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_s0 ( + .I0(\gw3_top/u_gwmc_top/refresh_request_Z ), + .I1(\gw3_top/u_gwmc_top/sre_request_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_s0 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s1 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [10]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [2]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_s1 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s2 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_11 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s3 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s1 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [15]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_6 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_4 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s1 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_7 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s2 .INIT=16'h4000; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_s4 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_s4 ( + .I0(\gw3_top/u_gwmc_top/send_act_Z ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [2]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_s4 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [2]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_s4 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_11 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [17]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s4 .INIT=16'h0777; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .I1(\gw3_top/u_gwmc_top/sre_request_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s5 .INIT=8'h07; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s4 .INIT=8'h80; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [2]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s5 .INIT=8'h01; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_5 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s4 .INIT=8'hE0; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s5 .INIT=4'h1; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [2]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_s4 .INIT=8'h01; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [2]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s5 .INIT=8'h01; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_10 ), + .I1(sr_ack), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s5 .INIT=8'hB0; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_s63 ( + .I0(sr_ack), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_68 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_s63 .INIT=4'h1; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s63 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_70 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_69 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s63 .INIT=8'h40; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s64 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_69 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s64 .INIT=8'h40; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s63 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_68 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s63 .INIT=8'h40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s64 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_70 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_9 ), + .I2(\gw3_top/u_gwmc_top/refresh_request_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_69 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s64 .INIT=16'h0777; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_s66 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_12 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_11 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_15 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_71 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_s66 .INIT=16'hB0BB; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_s66 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_21 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_76 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_71 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_s66 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_s66 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_80 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_76 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_71 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_s66 .INIT=4'h8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_s66 ( + .I0(\gw3_top/u_gwmc_top/mem_RAMOUT_196_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/cmd_write_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_8 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_17 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_71 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_s66 .INIT=16'h4000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s70 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [10]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_75 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s70 .INIT=8'h40; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s71 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_5 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_81 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_76 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s71 .INIT=8'h80; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s72 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_79 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_77 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s72 .INIT=16'h0F77; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s69 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_81 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_82 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_74 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s69 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s70 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_write ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_68 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_75 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s70 .INIT=16'h8000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s71 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [15]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_11 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_76 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s71 .INIT=8'h80; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s72 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_79 ), + .I2(\gw3_top/u_gwmc_top/n221_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_84 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_77 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s72 .INIT=16'h0777; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s63 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_70 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_71 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_68 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s63 .INIT=16'h8000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s64 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_72 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_69 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s64 .INIT=8'h80; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_s3 .INIT=4'h1; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_s3 .INIT=4'h1; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_s3 .INIT=4'h1; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_s3 .INIT=4'h8; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [5]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_s3 .INIT=8'h80; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [7]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_s3 .INIT=8'h80; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_s3 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_s3 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [7]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [8]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_s3 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_s2 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_s2 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_s2 .INIT=4'h1; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [2]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_s2 .INIT=16'h0001; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [4]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_s2 .INIT=4'h1; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [0]), + .I1(\gw3_top/u_gwmc_top/idle1 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [6]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s5 .INIT=16'h0001; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [10]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s6 .INIT=8'h01; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s7 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s7 .INIT=4'h1; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s8 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s8 .INIT=8'h01; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s9 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [15]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [16]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [17]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s9 .INIT=8'h01; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s10 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [13]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [14]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s10 .INIT=16'h0001; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_s2 .INIT=8'h01; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s5 ( + .I0(\gw3_top/u_gwmc_top/idle2 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_16 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_17 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s5 .INIT=16'h4000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_68 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_13 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_70 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_69 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s6 .INIT=16'hFE00; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s7 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_18 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_19 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_8 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_6 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s7 .INIT=16'hE000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s8 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s8 .INIT=8'h40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s9 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_6 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_20 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s9 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s10 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_21 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_22 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s10 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s12 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_satisfied ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/init_done_r ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_68 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_15 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s12 .INIT=16'h0777; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s5 .INIT=4'h8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_14 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_15 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s6 .INIT=16'h8000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s7 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [14]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [13]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s7 .INIT=8'h40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s9 ( + .I0(\gw3_top/u_gwmc_top/cmd_read_Z ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_82 ), + .I2(\gw3_top/u_gwmc_top/cmd_write_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s9 .INIT=16'hC500; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s10 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_16 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_17 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s10 .INIT=16'h8000; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s5 ( + .I0(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_203_G[0]_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s5 .INIT=4'h1; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s6 ( + .I0(\gw3_top/u_gwmc_top/mem_RAMOUT_196_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_189_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s6 .INIT=8'h0E; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s8 ( + .I0(\gw3_top/u_gwmc_top/sre_request_Z ), + .I1(\gw3_top/u_gwmc_top/refresh_request_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s8 .INIT=4'h1; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s9 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I1(\gw3_top/u_gwmc_top/idle3 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s9 .INIT=8'h40; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s10 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_80 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s10 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s4 ( + .I0(\gw3_top/u_gwmc_top/idle2 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s4 .INIT=4'h1; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [3]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I2(\gw3_top/u_gwmc_top/idle3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [2]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s5 .INIT=16'h0100; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [3]), + .I2(\gw3_top/u_gwmc_top/idle2 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s6 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s7 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I3(\gw3_top/u_gwmc_top/idle3 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s7 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [7]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s4 .INIT=16'hFEE9; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [0]), + .I1(\gw3_top/u_gwmc_top/idle1 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s5 .INIT=4'h1; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_13 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_13 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s6 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s7 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [14]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [15]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [16]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [17]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s7 .INIT=16'hFEE9; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s8 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [13]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s8 .INIT=8'h40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s9 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s9 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [13]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [14]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_7 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s3 .INIT=16'h1000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_72 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s3 .INIT=8'h80; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s4 .INIT=8'h01; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_s2 .INIT=8'h40; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s6 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]), + .I1(\gw3_top/u_gwmc_top/idle2 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_10 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_14 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s6 .INIT=16'h4000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s6 .INIT=8'h40; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_22 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_70 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_s65 .INIT=16'h8000; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_s65 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s74 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_write ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_read ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_79 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s74 .INIT=16'h4000; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s69 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_74 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s69 .INIT=4'h1; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s70 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_10 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_76 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_75 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s70 .INIT=16'h4000; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s74 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [2]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_79 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s74 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s65 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [7]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_70 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s65 .INIT=16'h0001; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s66 ( + .I0(\gw3_top/u_gwmc_top/idle1 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_71 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s66 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s67 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I1(\gw3_top/u_gwmc_top/idle3 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [13]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [14]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_72 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_s67 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s13 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [10]), + .I1(\gw3_top/u_gwmc_top/idle3 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_13 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_74 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_16 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s13 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s14 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_10 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_17 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s14 .INIT=16'h6000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s15 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_18 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s15 .INIT=8'h60; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s16 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_19 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s16 .INIT=8'h60; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s17 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_15 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_20 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s17 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s18 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_write ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_read ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_21 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s18 .INIT=16'hEEF0; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s19 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .I3(\gw3_top/u_gwmc_top/idle1 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_22 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s19 .INIT=16'h0100; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s11 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I1(\gw3_top/u_gwmc_top/idle3 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_14 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s11 .INIT=4'h1; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s12 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_15 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s12 .INIT=4'h1; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s13 ( + .I0(\gw3_top/u_gwmc_top/idle2 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_16 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s13 .INIT=16'h0001; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s14 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_17 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s14 .INIT=4'h4; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s10 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [10]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I2(\gw3_top/u_gwmc_top/idle3 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s10 .INIT=8'h01; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [16]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [17]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s4 .INIT=4'h6; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s71 ( + .I0(\gw3_top/u_gwmc_top/idle2 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_76 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s71 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s20 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_5 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_12 ), + .I2(sr_ack), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_24 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s20 .INIT=16'h0008; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_s3 .INIT=16'hFE01; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [4]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s6 .INIT=16'h0004; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_s3 .INIT=16'hA9FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s75 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [0]), + .I1(\gw3_top/u_gwmc_top/idle1 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_81 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s75 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [4]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_s3 .INIT=16'h8000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [4]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_s4 .INIT=8'h01; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [4]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [5]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_5 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_6 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/init_done_r ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_s4 .INIT=16'h1500; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s76 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [6]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [7]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_82 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s76 .INIT=16'h0004; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s72 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_75 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_78 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s72 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [3]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_s4 .INIT=16'h8000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_s4 .INIT=8'h6A; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s21 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_5 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_26 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1684_s21 .INIT=16'h4555; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_s3 .INIT=16'h0002; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [3]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_s4 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [2]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_s4 .INIT=16'h4441; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [3]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_s3 .INIT=16'h6A00; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [3]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_s4 .INIT=16'h8000; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_s4 .INIT=8'h6A; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s11 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_15 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_s11 .INIT=8'h02; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s73 ( + .I0(\gw3_top/u_gwmc_top/mem_RAMOUT_189_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_196_G[0]_4 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_80 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s73 .INIT=16'h1000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s11 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_8 ), + .I1(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_196_G[0]_4 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_15 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s11 .INIT=16'hDF00; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_s1 ( + .I0(\gw3_top/u_gwmc_top/idle1 ), + .I1(\gw3_top/u_gwmc_top/idle2 ), + .I2(\gw3_top/u_gwmc_top/idle3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_3 ), + .F(\gw3_top/u_gwmc_top/cmd_accept_sre_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_sre_Z_s1 .INIT=16'hFE00; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_ref_Z_s1 ( + .I0(\gw3_top/u_gwmc_top/idle1 ), + .I1(\gw3_top/u_gwmc_top/idle2 ), + .I2(\gw3_top/u_gwmc_top/idle3 ), + .I3(\gw3_top/u_gwmc_top/refresh_request_Z ), + .F(\gw3_top/u_gwmc_top/cmd_accept_ref_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_ref_Z_s1 .INIT=16'hFE00; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s74 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_29 ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_203_G[0]_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_82 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_s74 .INIT=8'h02; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_s69 ( + .I0(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_203_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_29 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_76 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_s69 .INIT=16'hEEE0; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s77 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_21 ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_29 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_84 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_s77 .INIT=8'h02; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s76 ( + .I0(\gw3_top/u_gwmc_top/n221_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_80 ), + .I2(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_29 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_83 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_s76 .INIT=16'h0008; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s12 ( + .I0(\gw3_top/u_gwmc_top/mem_RAMOUT_210_G[0]_4 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_29 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_17 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_s12 .INIT=16'hEF00; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s11 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [2]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [3]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_15 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s11 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_70 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_72 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_8 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_72 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_s5 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s8 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_11 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_13 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_s8 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1894_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_4 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_5 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1894_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1894_s3 .INIT=16'h0155; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s16 ( + .I0(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I1(\gw3_top/u_gwmc_top/mem_RAMOUT_189_G[0]_4 ), + .I2(\gw3_top/u_gwmc_top/sre_request_Z ), + .I3(\gw3_top/u_gwmc_top/refresh_request_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_21 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s16 .INIT=16'h0004; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s12 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [10]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_s12 .INIT=16'h0002; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s8 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_6 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_15 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s8 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_6 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_15 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_s5 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s17 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_23 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1688_s17 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1998_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_10 ), + .I2(sr_ack), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1998_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1998_s2 .INIT=16'h75FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n323_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [4]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n323_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n323_s2 .INIT=16'h8FF8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n326_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n326_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n326_s3 .INIT=16'h7007; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_25_s66 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_satisfied ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_4 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_25_72 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_25_s66 .INIT=16'hF444; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1861_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_12 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1861_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1861_s2 .INIT=16'h80FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1907_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_12 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1907_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1907_s2 .INIT=16'h80FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2081_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_12 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2081_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2081_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1876_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_11 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1876_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1876_s2 .INIT=16'h80FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2076_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2076_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2076_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1922_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_7 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n221_17 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_11 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1922_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1922_s3 .INIT=16'h1555; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_Z_s0 ( + .I0(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .I1(\gw3_top/u_gwmc_top/n221_3 ), + .F(\gw3_top/u_gwmc_top/cmd_accept_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cmd_accept_Z_s0 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [7]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_s6 .INIT=16'h0708; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_s4 .INIT=4'hE; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_10 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [4]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_s6 .INIT=16'h1FE0; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n78_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n78_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n78_s4 .INIT=16'h1FE0; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n79_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n79_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n79_s4 .INIT=8'h14; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_8 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [8]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_s5 .INIT=16'h0708; +LUT2 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_14 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_s4 .INIT=4'hE; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_8 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [6]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_11 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_s5 .INIT=16'h0708; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_10 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [4]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_s6 .INIT=16'h1FE0; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n137_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n137_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n137_s4 .INIT=16'h1FE0; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n138_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n138_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n138_s4 .INIT=8'h14; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n327_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n327_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n327_s6 .INIT=16'h0770; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_s6 .INIT=8'hF8; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1829_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_10 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1829_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1829_s3 .INIT=16'h9FFF; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s7 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_10 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_4 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_13 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s7 .INIT=8'h7F; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1860_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1860_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1860_s4 .INIT=8'h40; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_1_s6 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_1_14 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_1_s6 .INIT=8'hFE; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1874_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [2]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1874_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1874_s4 .INIT=16'h0E00; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s9 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_18 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s9 .INIT=16'hFFFE; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1875_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1875_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1875_s3 .INIT=16'hFCCE; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1893_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1893_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1893_s3 .INIT=8'hF8; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s8 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [1]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_16 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s8 .INIT=8'hFE; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1906_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1906_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1906_s4 .INIT=8'h40; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_1_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1692_6 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [0]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_1_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_1_s5 .INIT=8'hFE; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1920_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [2]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1920_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1920_s3 .INIT=16'hFFE0; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_s7 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [2]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_16 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_s7 .INIT=16'hFFFE; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1921_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_9 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [1]), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1921_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1921_s4 .INIT=16'h3002; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1964_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [0]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [2]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_15 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1964_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1964_s3 .INIT=16'hE0FF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s10 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_15 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_21 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s10 .INIT=16'hFEFF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1965_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [0]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [1]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_15 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1965_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1965_s4 .INIT=16'hC200; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1966_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [2]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [1]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_15 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1966_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1966_s3 .INIT=16'h0EFF; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1991_s4 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_12 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [7]), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1991_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1991_s4 .INIT=8'h40; +LUT3 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s8 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [7]), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_12 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s8 .INIT=8'hBF; +LUT4 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_21_s66 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_10 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_15 ), + .I2(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_9 ), + .I3(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_5 ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_21_72 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_21_s66 .INIT=16'hF444; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_6_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n29_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_5_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n30_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_4_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n31_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_3_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n32_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_2_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n33_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_1_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n34_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n35_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n8_4 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_satisfied_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n58_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_satisfied_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/init_done_r_s0 ( + .D(\gw3_top/u_gwmc_top/n110_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/init_done_r ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/init_done_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_read_s0 ( + .D(\gw3_top/u_gwmc_top/cmd_read_Z ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_read ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_read_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_write_s0 ( + .D(\gw3_top/u_gwmc_top/cmd_write_Z ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_write ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_cmd_write_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank_2_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_182_G[0]_2 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank_1_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_175_G[0]_2 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank_0_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_168_G[0]_2 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_13_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_161_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [13]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_12_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_154_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [12]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_11_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_147_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [11]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_10_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_140_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [10]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_9_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_133_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_8_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_126_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_7_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_119_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_6_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_112_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_5_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_105_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_4_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_98_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_3_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_91_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_2_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_84_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_1_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_77_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_0_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_70_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_9_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_63_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_8_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_56_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_7_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_49_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_6_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_42_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_5_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_35_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_4_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_28_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_3_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_21_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_2_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_14_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_1_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_7_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_0_s0 ( + .D(\gw3_top/u_gwmc_top/mem_RAMOUT_0_G[0]_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n294_5 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/n221_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_info_valid_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_satisfied_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n347_4 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_satisfied ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_satisfied_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_zqcs_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n353_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/send_zqcs_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_zqcs_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/idle1_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_1_75 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/idle1 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/idle1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/idle2_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_4_72 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/idle2 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/idle2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/idle3_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_12_72 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/idle3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/idle3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_act_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/act_one_bank ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/send_act_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_act_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_wr_int_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2076_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/send_wr ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_wr_int_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_rd_int_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2081_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/send_rd ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_rd_int_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_pre_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2124_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/send_pre_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_pre_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_all_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2145_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/pre_all_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_all_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_ref_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1806_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/send_ref_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_ref_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_sre_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2155_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/send_sre_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_sre_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cke_set_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n2161_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/cke_set_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/cke_set_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_bank_tmp_2_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/bank_d [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_bank_tmp_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_bank_tmp_1_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/bank_d [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_bank_tmp_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_bank_tmp_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_bank [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/bank_d [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_bank_tmp_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_13_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [13]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_12_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [12]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_11_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [11]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_10_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [10]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_9_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_8_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_7_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_6_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_5_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_4_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_3_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_2_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_1_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_row [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/row_d [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_row_tmp_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_9_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_8_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_7_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_6_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_5_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_4_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_3_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_2_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_1_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_col [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/col_d [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/send_col_tmp_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_7_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n28_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/us_cnt_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n70_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_8_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n71_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_8_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_6_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n73_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_5_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n74_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_3_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n76_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_2_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n77_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_9_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n129_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_7_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n131_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_5_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n133_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_3_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n135_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_2_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n136_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_9_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n117_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_satisfied_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n299_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/pre_st_ref_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n320_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_6_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n321_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_5_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n322_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_4_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n323_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_3_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n324_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_2_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n325_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_1_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n326_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_7_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_6_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_6_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_5_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_5_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_4_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_4_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_2_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_2_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_1_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_1_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1730_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_0_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/bank_acted_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1826_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_2_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1827_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_1_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1828_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_3_13 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1861_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_1_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1876_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1894_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1907_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_1_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1922_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_6_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1992_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_5_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1993_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_4_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1994_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_3_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1995_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_2_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1996_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_1_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1997_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1998_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_16 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_7_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n72_13 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_7_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_4_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n75_13 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_4_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_1_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n78_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_1_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_0_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n79_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/ms_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_8_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n130_11 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_8_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_6_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n132_11 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_6_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_4_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n134_13 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [4]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_4_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_1_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n137_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_1_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_0_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n138_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/s_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_0_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n327_13 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tZQCS_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_0_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1829_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRFC_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_1_s5 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1860_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTW_cnt_1_s5 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s8 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1874_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_2_s8 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_1_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1875_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTR_cnt_1_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s7 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1893_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRAS_cnt_1_s7 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_1_s4 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1906_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tRTP_cnt_1_s4 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_s6 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1920_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_2_s6 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_1_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1921_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tWTP_cnt_1_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s9 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1964_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_2_s9 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_1_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1965_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [1]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_1_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_0_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1966_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tCKESR_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s7 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n1991_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/tXSDLL_cnt_7_s7 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_3_s11 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_3_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_3_s11 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_9_s19 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_9_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_9_s19 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_8_s19 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_8_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_8_s19 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_7_s13 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_7_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_7_s13 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_6_s9 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_6_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_6_s9 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_5_s9 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_5_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [5]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_5_s9 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_10_s5 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_10_73 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [10]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_10_s5 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_2_s5 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_2_73 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_2_s5 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_11_s7 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_11_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [11]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_11_s7 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_17_s19 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_17_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [17]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_17_s19 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_16_s19 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_16_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [16]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_16_s19 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_15_s11 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_15_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [15]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_15_s11 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_14_s7 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_14_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [14]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_14_s7 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_13_s7 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_13_70 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [13]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_13_s7 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_21_s5 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_21_72 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [21]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_21_s5 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_22_s3 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_22_67 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [22]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_22_s3 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_20_s5 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_20_67 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [20]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_20_s5 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_18_s5 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_18_67 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [18]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_18_s5 .INIT=1'b0; +DFFPE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_0_s19 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_0_67 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_0_s19 .INIT=1'b1; +DFFCE \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_25_s15 ( + .D(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_nstate_25_72 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate [25]) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/gwmc_pstate_25_s15 .INIT=1'b0; +MUX2_LUT5 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s22 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_20 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_21 ), + .S0(\gw3_top/u_gwmc_top/mem_RAMOUT_175_G[0]_2 ), + .O(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_25 ) +); +MUX2_LUT5 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s23 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_22 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_23 ), + .S0(\gw3_top/u_gwmc_top/mem_RAMOUT_175_G[0]_2 ), + .O(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_27 ) +); +MUX2_LUT6 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_s21 ( + .I0(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_25 ), + .I1(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_27 ), + .S0(\gw3_top/u_gwmc_top/mem_RAMOUT_182_G[0]_2 ), + .O(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n959_29 ) +); +LUT1 \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n294_s2 ( + .I0(\gw3_top/u_gwmc_top/cmd_empty_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_bank_ctrl/n294_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_bank_ctrl/n294_s2 .INIT=2'h1; +LUT2 \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n48_s0 ( + .I0(\gw3_top/init_complete_r ), + .I1(ref_req), + .F(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/n48_3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n48_s0 .INIT=4'h8; +LUT2 \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accepted_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accept ), + .I1(\gw3_top/u_gwmc_top/sre_request_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accepted_5 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accepted_s3 .INIT=4'hB; +LUT2 \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n44_s2 ( + .I0(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt [0]), + .I1(\gw3_top/u_gwmc_top/refresh_request_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/n44_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n44_s2 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gwmc_timing_ctrl/refresh_request_s4 ( + .I0(\gw3_top/u_gwmc_top/cmd_accept_ref_Z_3 ), + .I1(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt [0]), + .I2(\gw3_top/u_gwmc_top/refresh_request_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/n48_3 ), + .F(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/refresh_request_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/refresh_request_s4 .INIT=16'hFF10; +LUT4 \gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt_0_s4 ( + .I0(\gw3_top/u_gwmc_top/refresh_request_Z ), + .I1(\gw3_top/u_gwmc_top/idle1 ), + .I2(\gw3_top/u_gwmc_top/idle2 ), + .I3(\gw3_top/u_gwmc_top/idle3 ), + .F(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt_0_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt_0_s4 .INIT=16'hFFFD; +LUT4 \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n69_s2 ( + .I0(\gw3_top/init_complete_r ), + .I1(sr_ack), + .I2(\gw3_top/u_gwmc_top/sre_request_Z ), + .I3(sr_req), + .F(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/n69_6 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/n69_s2 .INIT=16'hFA30; +DFFCE \gw3_top/u_gwmc_top/gwmc_timing_ctrl/ref_accepted_s0 ( + .D(\gw3_top/u_gwmc_top/cmd_accept_ref_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(ref_ack) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/ref_accepted_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accept_s0 ( + .D(\gw3_top/u_gwmc_top/cmd_accept_sre_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accept ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accept_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_timing_ctrl/init_complete_r_s0 ( + .D(\gw3_top/ddr_init_internal ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/init_complete_r ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/init_complete_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt_0_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/n44_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt_0_10 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/rank_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_timing_ctrl/refresh_request_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/n48_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/refresh_request_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/refresh_request_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/refresh_request_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accepted_s1 ( + .D(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accept ), + .CLK(clk_out), + .CE(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accepted_5 ), + .CLEAR(ddr_rst), + .Q(sr_ack) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_accepted_s1 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_request_s4 ( + .D(\gw3_top/u_gwmc_top/gwmc_timing_ctrl/n69_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/sre_request_Z ) +); +defparam \gw3_top/u_gwmc_top/gwmc_timing_ctrl/sre_request_s4 .INIT=1'b0; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n458_s0 ( + .I0(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I1(wr_data[15]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n458_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n458_s0 .INIT=8'hAC; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n459_s0 ( + .I0(wr_data[14]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n459_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n459_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n460_s0 ( + .I0(wr_data[13]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n460_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n460_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n461_s0 ( + .I0(wr_data[12]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n461_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n461_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n462_s0 ( + .I0(wr_data[11]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [99]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n462_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n462_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n463_s0 ( + .I0(wr_data[10]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n463_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n463_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n464_s0 ( + .I0(wr_data[9]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n464_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n464_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n465_s0 ( + .I0(wr_data[8]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n465_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n465_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n466_s0 ( + .I0(wr_data[7]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [71]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n466_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n466_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n467_s0 ( + .I0(wr_data[6]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n467_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n467_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n468_s0 ( + .I0(wr_data[5]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n468_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n468_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n469_s0 ( + .I0(wr_data[4]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n469_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n469_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n470_s0 ( + .I0(wr_data[3]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [67]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n470_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n470_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n471_s0 ( + .I0(wr_data[2]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n471_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n471_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n472_s0 ( + .I0(wr_data[1]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n472_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n472_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n473_s0 ( + .I0(wr_data[0]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n473_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n473_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n491_s0 ( + .I0(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I1(wr_data[31]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n491_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n491_s0 .INIT=8'hAC; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n492_s0 ( + .I0(wr_data[30]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n492_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n492_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n494_s0 ( + .I0(wr_data[28]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n494_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n494_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n495_s0 ( + .I0(wr_data[27]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n495_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n495_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n496_s0 ( + .I0(wr_data[26]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n496_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n496_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n498_s0 ( + .I0(wr_data[24]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n498_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n498_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n499_s0 ( + .I0(wr_data[23]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n499_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n499_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n500_s0 ( + .I0(wr_data[22]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n500_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n500_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n501_s0 ( + .I0(wr_data[21]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n501_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n501_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n503_s0 ( + .I0(wr_data[19]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n503_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n503_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n504_s0 ( + .I0(wr_data[18]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n504_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n504_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n505_s0 ( + .I0(wr_data[17]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n505_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n505_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n524_s0 ( + .I0(wr_data[47]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n524_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n524_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n525_s0 ( + .I0(wr_data[46]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n525_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n525_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n526_s0 ( + .I0(wr_data[45]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n526_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n526_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n527_s0 ( + .I0(wr_data[44]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n527_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n527_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n528_s0 ( + .I0(wr_data[43]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n528_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n528_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n529_s0 ( + .I0(wr_data[42]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n529_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n529_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n530_s0 ( + .I0(wr_data[41]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n530_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n530_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n531_s0 ( + .I0(wr_data[40]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n531_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n531_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n532_s0 ( + .I0(wr_data[39]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n532_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n532_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n533_s0 ( + .I0(wr_data[38]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n533_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n533_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n534_s0 ( + .I0(wr_data[37]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n534_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n534_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n535_s0 ( + .I0(wr_data[36]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n535_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n535_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n536_s0 ( + .I0(wr_data[35]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [99]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n536_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n536_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n537_s0 ( + .I0(wr_data[34]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n537_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n537_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n538_s0 ( + .I0(wr_data[33]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n538_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n538_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n539_s0 ( + .I0(wr_data[32]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n539_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n539_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n557_s0 ( + .I0(\gw3_top/eye_app_wdf_wdata_Z [127]), + .I1(wr_data[63]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n557_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n557_s0 .INIT=8'hAC; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n558_s0 ( + .I0(wr_data[62]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [126]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n558_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n558_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n560_s0 ( + .I0(wr_data[60]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n560_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n560_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n561_s0 ( + .I0(wr_data[59]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n561_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n561_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n564_s0 ( + .I0(wr_data[56]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n564_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n564_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n565_s0 ( + .I0(wr_data[55]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n565_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n565_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n567_s0 ( + .I0(wr_data[53]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n567_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n567_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n569_s0 ( + .I0(wr_data[51]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [127]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n569_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n569_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n571_s0 ( + .I0(wr_data[49]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n571_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n571_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n572_s0 ( + .I0(wr_data[48]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [126]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n572_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n572_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n590_s0 ( + .I0(wr_data[79]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n590_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n590_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n591_s0 ( + .I0(wr_data[78]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n591_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n591_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n592_s0 ( + .I0(wr_data[77]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n592_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n592_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n593_s0 ( + .I0(wr_data[76]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n593_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n593_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n594_s0 ( + .I0(wr_data[75]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [99]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n594_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n594_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n595_s0 ( + .I0(wr_data[74]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n595_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n595_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n596_s0 ( + .I0(wr_data[73]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n596_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n596_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n597_s0 ( + .I0(wr_data[72]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n597_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n597_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n598_s0 ( + .I0(wr_data[71]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [71]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n598_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n598_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n599_s0 ( + .I0(wr_data[70]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n599_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n599_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n600_s0 ( + .I0(wr_data[69]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n600_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n600_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n601_s0 ( + .I0(wr_data[68]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n601_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n601_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n602_s0 ( + .I0(wr_data[67]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [67]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n602_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n602_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n603_s0 ( + .I0(wr_data[66]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n603_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n603_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n604_s0 ( + .I0(wr_data[65]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n604_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n604_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n605_s0 ( + .I0(wr_data[64]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n605_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n605_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n623_s0 ( + .I0(wr_data[95]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n623_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n623_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n624_s0 ( + .I0(wr_data[94]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n624_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n624_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n626_s0 ( + .I0(wr_data[92]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n626_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n626_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n627_s0 ( + .I0(wr_data[91]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n627_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n627_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n628_s0 ( + .I0(wr_data[90]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n628_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n628_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n630_s0 ( + .I0(wr_data[88]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n630_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n630_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n631_s0 ( + .I0(wr_data[87]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n631_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n631_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n632_s0 ( + .I0(wr_data[86]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n632_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n632_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n633_s0 ( + .I0(wr_data[85]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n633_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n633_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n635_s0 ( + .I0(wr_data[83]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n635_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n635_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n636_s0 ( + .I0(wr_data[82]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n636_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n636_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n637_s0 ( + .I0(wr_data[81]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n637_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n637_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n656_s0 ( + .I0(wr_data[111]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n656_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n656_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n657_s0 ( + .I0(wr_data[110]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n657_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n657_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n658_s0 ( + .I0(wr_data[109]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n658_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n658_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n659_s0 ( + .I0(wr_data[108]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n659_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n659_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n660_s0 ( + .I0(wr_data[107]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n660_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n660_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n661_s0 ( + .I0(wr_data[106]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n661_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n661_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n662_s0 ( + .I0(wr_data[105]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n662_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n662_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n663_s0 ( + .I0(wr_data[104]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n663_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n663_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n664_s0 ( + .I0(wr_data[103]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [111]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n664_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n664_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n665_s0 ( + .I0(wr_data[102]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [108]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n665_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n665_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n666_s0 ( + .I0(wr_data[101]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n666_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n666_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n667_s0 ( + .I0(wr_data[100]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [106]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n667_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n667_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n668_s0 ( + .I0(wr_data[99]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [99]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n668_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n668_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n669_s0 ( + .I0(wr_data[98]), + .I1(\gw3_top/u_gwmc_top/mc_ras_n_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n669_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n669_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n670_s0 ( + .I0(wr_data[97]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n670_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n670_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n671_s0 ( + .I0(wr_data[96]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [110]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n671_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n671_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n689_s0 ( + .I0(wr_data[127]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [127]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n689_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n689_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n690_s0 ( + .I0(wr_data[126]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [126]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n690_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n690_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n692_s0 ( + .I0(wr_data[124]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n692_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n692_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n693_s0 ( + .I0(wr_data[123]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n693_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n693_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n696_s0 ( + .I0(wr_data[120]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n696_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n696_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n697_s0 ( + .I0(wr_data[119]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [123]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n697_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n697_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n699_s0 ( + .I0(wr_data[117]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [120]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n699_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n699_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n701_s0 ( + .I0(wr_data[115]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [127]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n701_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n701_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n703_s0 ( + .I0(wr_data[113]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [124]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n703_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n703_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/n704_s0 ( + .I0(wr_data[112]), + .I1(\gw3_top/eye_app_wdf_wdata_Z [126]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n704_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n704_s0 .INIT=8'hCA; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/n756_s0 ( + .I0(wr_data_end), + .I1(wr_data_en), + .I2(\gw3_top/eye_app_wdf_wren_Z ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_wen ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n756_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n756_s0 .INIT=16'hF088; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n722_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[15]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n722_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n722_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n723_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[14]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n723_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n723_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n724_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[13]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n724_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n724_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n725_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[12]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n725_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n725_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n726_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[11]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n726_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n726_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n727_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[10]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n727_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n727_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n728_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[9]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n728_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n728_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n729_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[8]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n729_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n729_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n730_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[7]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n730_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n730_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n731_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[6]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n731_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n731_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n732_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[5]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n732_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n732_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n733_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n733_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n733_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n734_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n734_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n734_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n735_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n735_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n735_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n736_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n736_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n736_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n737_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]), + .I1(wr_data_mask[0]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n737_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n737_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n691_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .I1(wr_data[125]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n691_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n691_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n559_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .I1(wr_data[61]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n559_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n559_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n694_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .I1(wr_data[122]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n694_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n694_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n562_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .I1(wr_data[58]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n562_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n562_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n695_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .I1(wr_data[121]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n695_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n695_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n563_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .I1(wr_data[57]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n563_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n563_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n698_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .I1(wr_data[118]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n698_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n698_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n566_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .I1(wr_data[54]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n566_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n566_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n700_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .I1(wr_data[116]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n700_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n700_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n568_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .I1(wr_data[52]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n568_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n568_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n702_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]), + .I1(wr_data[114]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n702_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n702_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n570_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]), + .I1(wr_data[50]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n570_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n570_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n625_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .I1(wr_data[93]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n625_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n625_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n493_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .I1(wr_data[29]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n493_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n493_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n629_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .I1(wr_data[89]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n629_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n629_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n497_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .I1(wr_data[25]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n497_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n497_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n634_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .I1(wr_data[84]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n634_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n634_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n502_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .I1(wr_data[20]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n502_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n502_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n638_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]), + .I1(wr_data[80]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n638_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n638_s2 .INIT=4'h4; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/n506_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]), + .I1(wr_data[16]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n506_7 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n506_s2 .INIT=4'h4; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_7_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [7]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_6_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [6]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_5_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [5]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_4_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [4]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_3_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_2_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_1_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_0_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [0]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_wen_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_wen ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_wen_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_15_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n458_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [15]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_14_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n459_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [14]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_13_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n460_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [13]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_12_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n461_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [12]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_11_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n462_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [11]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_10_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n463_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [10]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_9_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n464_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [9]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_8_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n465_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [8]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_7_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n466_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [7]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_6_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n467_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [6]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_5_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n468_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [5]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_4_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n469_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [4]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_3_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n470_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_2_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n471_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_1_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n472_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_0_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n473_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [0]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_31_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n491_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [31]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_30_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n492_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [30]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_29_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n493_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [29]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_28_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n494_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [28]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_27_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n495_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [27]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_26_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n496_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [26]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_25_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n497_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [25]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_24_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n498_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [24]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_23_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n499_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [23]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_22_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n500_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [22]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_21_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n501_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [21]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_20_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n502_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [20]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_19_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n503_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [19]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_18_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n504_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [18]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_17_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n505_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [17]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_16_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n506_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [16]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_47_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n524_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [47]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_46_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n525_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [46]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_45_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n526_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [45]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_44_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n527_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [44]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_43_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n528_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [43]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_42_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n529_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [42]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_41_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n530_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [41]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_40_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n531_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [40]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_39_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n532_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [39]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_38_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n533_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [38]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_37_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n534_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [37]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_36_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n535_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [36]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_35_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n536_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [35]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_34_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n537_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [34]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_33_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n538_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [33]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_32_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n539_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [32]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_63_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n557_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [63]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_62_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n558_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [62]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_61_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n559_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [61]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_60_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n560_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [60]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_59_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n561_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [59]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_58_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n562_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [58]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_57_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n563_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [57]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_56_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n564_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [56]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_55_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n565_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [55]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_54_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n566_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [54]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_53_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n567_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [53]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_52_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n568_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [52]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_51_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n569_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [51]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_50_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n570_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [50]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_49_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n571_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [49]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_48_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n572_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [48]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_79_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n590_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [79]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_78_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n591_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [78]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_77_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n592_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [77]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_76_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n593_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [76]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_75_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n594_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [75]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_74_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n595_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [74]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_73_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n596_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [73]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_72_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n597_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [72]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_71_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n598_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [71]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_70_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n599_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [70]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_69_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n600_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [69]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_68_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n601_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [68]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_67_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n602_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [67]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_66_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n603_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [66]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_65_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n604_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [65]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_64_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n605_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [64]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_95_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n623_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [95]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_95_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_94_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n624_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [94]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_94_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_93_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n625_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [93]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_93_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_92_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n626_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [92]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_92_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_91_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n627_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [91]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_90_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n628_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [90]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_89_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n629_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [89]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_88_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n630_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [88]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_87_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n631_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [87]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_86_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n632_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [86]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_85_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n633_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [85]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_84_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n634_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [84]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_83_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n635_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [83]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_82_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n636_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [82]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_81_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n637_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [81]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_80_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n638_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [80]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_111_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n656_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [111]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_111_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_110_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n657_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [110]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_110_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_109_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n658_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [109]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_109_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_108_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n659_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [108]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_108_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_107_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n660_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [107]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_107_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_106_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n661_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [106]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_106_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_105_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n662_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [105]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_105_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_104_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n663_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [104]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_104_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_103_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n664_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [103]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_103_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_102_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n665_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [102]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_102_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_101_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n666_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [101]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_101_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_100_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n667_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [100]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_100_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_99_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n668_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [99]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_99_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_98_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n669_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [98]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_98_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_97_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n670_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [97]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_97_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_96_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n671_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [96]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_96_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_127_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n689_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [127]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_127_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_126_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n690_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [126]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_126_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_125_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n691_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [125]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_125_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_124_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n692_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [124]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_124_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_123_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n693_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [123]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_123_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_122_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n694_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [122]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_122_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_121_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n695_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [121]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_121_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_120_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n696_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [120]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_120_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_119_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n697_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [119]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_119_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_118_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n698_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [118]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_118_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_117_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n699_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [117]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_117_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_116_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n700_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [116]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_116_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_115_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n701_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [115]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_115_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_114_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n702_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [114]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_114_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_113_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n703_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [113]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_113_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_112_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n704_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [112]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_112_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_143_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n722_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [143]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_143_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_142_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n723_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [142]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_142_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_141_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n724_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [141]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_141_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_140_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n725_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [140]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_140_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_139_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n726_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [139]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_139_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_138_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n727_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [138]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_138_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_137_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n728_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [137]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_137_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_136_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n729_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [136]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_136_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_135_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n730_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [135]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_135_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_134_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n731_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [134]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_134_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_133_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n732_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [133]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_133_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_132_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n733_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [132]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_132_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_131_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n734_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [131]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_131_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_130_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n735_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [130]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_130_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_129_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n736_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [129]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_129_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_128_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n737_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [128]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din_128_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_wrbuf_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n756_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_wrbuf ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_wrbuf_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full_r_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full_r ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_rdy_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/n760_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(wr_data_rdy) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_rdy_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_8_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq [8]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/eye_calib_start_dq_8_s0 .INIT=1'b0; +LUT1 \gw3_top/u_gwmc_top/gw_wr_data0/n760_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/n760_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/n760_s2 .INIT=2'h1; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_3 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_s0 .INIT=4'h4; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full_r ), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full ), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Full ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_wrbuf ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_s1 .INIT=16'h0700; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n641_8 ), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_8 ), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_5 ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_6 ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s0 .INIT=16'h0200; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/awfull_val_s15 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [1]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [2]), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/awfull_val ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/awfull_val_s15 .INIT=16'hFF80; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [2]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_10 ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_s3 .INIT=4'h6; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_3_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [2]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_10 ), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [3]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_3_s3 .INIT=8'h78; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_4_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [3]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [2]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_10 ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [4]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_4_s2 .INIT=16'h7F80; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_0_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_0_8 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_0_s3 .INIT=4'h6; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_1_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_1_s3 .INIT=8'h78; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_2_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [1]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_2_s3 .INIT=16'h7F80; +LUT2 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_8 ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_s3 .INIT=4'h6; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_4_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_8 ), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [4]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_4_s2 .INIT=8'h78; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s2 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [0]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_3 ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_5 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s2 .INIT=16'h6996; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [3]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [2]), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [2]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_6 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s3 .INIT=16'h9009; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [1]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [2]), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_8 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n641_s3 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [4]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_3_8 ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n641_8 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n641_s3 .INIT=16'h956A; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [1]), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_8 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val_s4 .INIT=16'h8778; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_s5 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [1]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [0]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I3(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_2_s5 .INIT=16'h0800; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_1_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I2(\gw3_top/u_gwmc_top/send_wr ), + .I3(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [1]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_1_s4 .INIT=16'hDF20; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_0_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I2(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_0_10 ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_0_s4 .INIT=8'h9A; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_0_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [0]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [0]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I3(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [0]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_0_s4 .INIT=16'hCACC; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_1_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [1]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [1]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I3(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_1_s4 .INIT=16'hCACC; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_2_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [2]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [2]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I3(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_2_s4 .INIT=16'hCACC; +LUT4 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_3_s4 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [3]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [3]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ), + .I3(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f_3_s4 .INIT=16'hCACC; +LUT3 \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rempty_val_s1 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n655_3 ), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [4]), + .I2(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [4]), + .F(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rempty_val ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rempty_val_s1 .INIT=8'h41; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_2_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(GND), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_1_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(GND), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_0_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(GND), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [0]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_4_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [4]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_3_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_2_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_1_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_0_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_0_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin [0]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_4_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [4]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_3_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_2_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_1_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_0_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_0_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [0]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Full_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wfull_val ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Full ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Full_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Almost_Full_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/awfull_val ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_full ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Almost_Full_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_3_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(GND), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_dly_3_s0 .INIT=1'b0; +DFFPE \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Empty_s0 ( + .D(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rempty_val ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wr_buf_empt ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/Empty_s0 .INIT=1'b1; +SDPX9B \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_0_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .CLKB(clk_out), + .CEB(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_3 ), + .OCE(VCC), + .RESET(ddr_rst), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [35:0]}), + .ADA({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3:0], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [3:0], GND, GND, GND, GND, GND}), + .DO({\gw3_top/u_gwmc_top/mc_wrdata_dly [35:0]}) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_0_s .READ_MODE=1'b1; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_0_s .BIT_WIDTH_0=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_0_s .BIT_WIDTH_1=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_0_s .RESET_MODE="ASYNC"; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_0_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_0_s .BLK_SEL_1=3'b000; +SDPX9B \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_1_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .CLKB(clk_out), + .CEB(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_3 ), + .OCE(VCC), + .RESET(ddr_rst), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [71:36]}), + .ADA({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3:0], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [3:0], GND, GND, GND, GND, GND}), + .DO({\gw3_top/u_gwmc_top/mc_wrdata_dly [71:36]}) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_1_s .READ_MODE=1'b1; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_1_s .BIT_WIDTH_0=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_1_s .BIT_WIDTH_1=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_1_s .RESET_MODE="ASYNC"; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_1_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_1_s .BLK_SEL_1=3'b000; +SDPX9B \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_2_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .CLKB(clk_out), + .CEB(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_3 ), + .OCE(VCC), + .RESET(ddr_rst), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [107:72]}), + .ADA({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3:0], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [3:0], GND, GND, GND, GND, GND}), + .DO({\gw3_top/u_gwmc_top/mc_wrdata_dly [107:72]}) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_2_s .READ_MODE=1'b1; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_2_s .BIT_WIDTH_0=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_2_s .BIT_WIDTH_1=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_2_s .RESET_MODE="ASYNC"; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_2_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_2_s .BLK_SEL_1=3'b000; +SDPX9B \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_3_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n24_4 ), + .CLKB(clk_out), + .CEB(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n28_3 ), + .OCE(VCC), + .RESET(ddr_rst), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_gwmc_top/gw_wr_data0/wr_buf_din [143:108]}), + .ADA({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin [3:0], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/raddr_f [3:0], GND, GND, GND, GND, GND}), + .DO({\gw3_top/u_gwmc_top/mc_wrdata_mask_dly [15:0], \gw3_top/u_gwmc_top/mc_wrdata_dly [127:108]}) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_3_s .READ_MODE=1'b1; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_3_s .BIT_WIDTH_0=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_3_s .BIT_WIDTH_1=36; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_3_s .RESET_MODE="ASYNC"; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_3_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/mem_mem_0_3_s .BLK_SEL_1=3'b000; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_0_s ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_0_8 ), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_0_10 ), + .I3(GND), + .CIN(VCC), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_0_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [0]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_0_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_1_s ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [1]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [1]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_0_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_1_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [1]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_1_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_2_s ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [2]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [2]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_1_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_2_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [2]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_2_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_3_s ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [3]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [3]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_2_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_3_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [3]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_3_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_4_s ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n641_8 ), + .I1(GND), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_3_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_4_0_COUT ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub [4]) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wcnt_sub_4_s .ALU_MODE=1; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n652_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next_0_10 ), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next_0_8 ), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n652_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n652_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n652_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n653_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [1]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [1]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n652_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n653_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n653_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n653_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n654_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [2]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [2]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n653_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n654_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n654_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n654_s0 .ALU_MODE=3; +ALU \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n655_s0 ( + .I0(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/rbin_next [3]), + .I1(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/wbin_next [3]), + .I3(GND), + .CIN(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n654_3 ), + .COUT(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n655_3 ), + .SUM(\gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n655_1_SUM ) +); +defparam \gw3_top/u_gwmc_top/gw_wr_data0/wr_fifo/n655_s0 .ALU_MODE=3; +LUT2 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/mc_cs_n_out_2_s ( + .I0(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2 [20]), + .I1(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en2 ), + .F(\gw3_top/u_gwmc_top/mc_cs_n_out [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/mc_cs_n_out_2_s .INIT=4'hB; +LUT2 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/mc_cs_n_out_3_s ( + .I0(\gw3_top/u_gwmc_top/cmd3 [20]), + .I1(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en3 ), + .F(\gw3_top/u_gwmc_top/mc_cs_n_out [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/mc_cs_n_out_3_s .INIT=4'hB; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/mc_odt_out_0_s ( + .I0(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/col_cmd_we ), + .I1(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en3 ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r [0]), + .I3(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r_1 [0]), + .F(\gw3_top/u_gwmc_top/mc_odt_out [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/mc_odt_out_0_s .INIT=16'hFFF8; +LUT2 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n284_s2 ( + .I0(\gw3_top/u_gwmc_top/send_wr ), + .I1(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n284_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n284_s2 .INIT=4'h1; +LUT2 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_s2 ( + .I0(\gw3_top/u_gwmc_top/send_act_Z ), + .I1(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_s2 .INIT=4'h4; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n204_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [13]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n204_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n204_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n205_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [12]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n205_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n205_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n206_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [11]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n206_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n206_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n208_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [9]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n208_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n208_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n209_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [8]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n209_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n209_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n210_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [7]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n210_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n210_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n211_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [6]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n211_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n211_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n212_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [5]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n212_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n212_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n213_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [4]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n213_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n213_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n214_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [3]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n214_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n214_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n215_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [2]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n215_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n215_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n216_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [1]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n216_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n216_s2 .INIT=8'hB0; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n217_s2 ( + .I0(\gw3_top/u_gwmc_top/row_d [0]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n217_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n217_s2 .INIT=8'hB0; +LUT2 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n219_s3 ( + .I0(\gw3_top/u_gwmc_top/send_ref_Z ), + .I1(\gw3_top/u_gwmc_top/send_sre_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n219_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n219_s3 .INIT=4'h1; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n220_s2 ( + .I0(\gw3_top/u_gwmc_top/send_act_Z ), + .I1(\gw3_top/u_gwmc_top/send_pre_Z ), + .I2(\gw3_top/u_gwmc_top/send_zqcs_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n219_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n220_7 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n220_s2 .INIT=16'h0BFF; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_s3 ( + .I0(\gw3_top/u_gwmc_top/row_d [10]), + .I1(\gw3_top/u_gwmc_top/send_act_Z ), + .I2(\gw3_top/u_gwmc_top/pre_all_Z ), + .I3(\gw3_top/u_gwmc_top/send_pre_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_s3 .INIT=16'hF0BB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_s4 ( + .I0(\gw3_top/u_gwmc_top/send_zqcs_Z ), + .I1(\gw3_top/u_gwmc_top/send_ref_Z ), + .I2(\gw3_top/u_gwmc_top/send_sre_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_s4 .INIT=8'h01; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n218_s3 ( + .I0(\gw3_top/u_gwmc_top/send_ref_Z ), + .I1(\gw3_top/u_gwmc_top/send_sre_Z ), + .I2(\gw3_top/u_gwmc_top/send_zqcs_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_7 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n218_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n218_s3 .INIT=16'hFF10; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n266_s3 ( + .I0(\gw3_top/u_gwmc_top/bank_d [2]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n266_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n266_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n267_s3 ( + .I0(\gw3_top/u_gwmc_top/bank_d [1]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n267_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n267_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n268_s3 ( + .I0(\gw3_top/u_gwmc_top/bank_d [0]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n268_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n268_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n273_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [9]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n273_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n273_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n274_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [8]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n274_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n274_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n275_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [7]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n275_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n275_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n276_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [6]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n276_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n276_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n277_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [5]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n277_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n277_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n278_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [4]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n278_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n278_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n279_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [3]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n279_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n279_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n280_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [2]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n280_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n280_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n281_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [1]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n281_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n281_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n282_s3 ( + .I0(\gw3_top/u_gwmc_top/col_d [0]), + .I1(\gw3_top/u_gwmc_top/send_wr ), + .I2(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n282_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n282_s3 .INIT=8'hAB; +LUT2 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_col_s1 ( + .I0(\gw3_top/u_gwmc_top/send_wr ), + .I1(\gw3_top/u_gwmc_top/send_rd ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_col ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_col_s1 .INIT=4'hE; +LUT3 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n394_s4 ( + .I0(\gw3_top/u_gwmc_top/cke_set_Z ), + .I1(\gw3_top/u_gwmc_top/mc_cke_out [3]), + .I2(\gw3_top/u_gwmc_top/send_sre_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n394_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n394_s4 .INIT=8'h0E; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_s4 ( + .I0(\gw3_top/u_gwmc_top/send_zqcs_Z ), + .I1(\gw3_top/u_gwmc_top/send_ref_Z ), + .I2(\gw3_top/u_gwmc_top/send_sre_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_8 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_s4 .INIT=16'h0100; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_s4 ( + .I0(\gw3_top/u_gwmc_top/send_pre_Z ), + .I1(\gw3_top/u_gwmc_top/send_zqcs_Z ), + .I2(\gw3_top/u_gwmc_top/send_ref_Z ), + .I3(\gw3_top/u_gwmc_top/send_sre_Z ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_s4 .INIT=16'h0001; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n203_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_10 ), + .I1(\gw3_top/u_gwmc_top/bank_d [0]), + .I2(\gw3_top/u_gwmc_top/send_act_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n203_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n203_s3 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n202_s3 ( + .I0(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_10 ), + .I1(\gw3_top/u_gwmc_top/bank_d [1]), + .I2(\gw3_top/u_gwmc_top/send_act_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n202_9 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n202_s3 .INIT=16'h8F88; +LUT4 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_s5 ( + .I0(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_10 ), + .I1(\gw3_top/u_gwmc_top/bank_d [2]), + .I2(\gw3_top/u_gwmc_top/send_act_Z ), + .I3(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_12 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_s5 .INIT=16'h8F88; +LUT2 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_row_s1 ( + .I0(\gw3_top/u_gwmc_top/send_act_Z ), + .I1(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_10 ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_row ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_row_s1 .INIT=4'hB; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_19_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n201_12 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_out [8]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_19_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_18_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n202_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_out [7]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_18_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_17_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n203_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_out [6]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_17_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_16_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n204_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [41]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_16_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_15_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n205_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [40]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_15_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_14_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n206_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [39]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_14_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_13_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n207_10 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [38]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_13_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_12_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n208_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [37]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_12_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_11_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n209_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [36]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_11_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_10_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n210_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [35]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_10_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_9_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n211_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [34]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_9_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_8_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n212_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [33]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_8_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_7_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n213_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [32]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_7_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_6_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n214_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [31]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_6_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_5_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n215_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [30]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_5_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_4_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n216_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [29]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_4_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_3_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n217_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [28]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_3_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_2_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n218_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_ras_n_out [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_2_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_1_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n219_8 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_cas_n_out [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_1_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n220_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_we_n_out [2]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_0_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_20_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n284_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/cmd3 [20]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_20_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_19_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n266_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_out [11]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_19_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_18_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n267_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_out [10]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_18_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_17_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n268_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_bank_out [9]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_17_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_12_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n273_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [51]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_12_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_11_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n274_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [50]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_11_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_10_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n275_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [49]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_10_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_9_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n276_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [48]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_9_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_8_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n277_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [47]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_8_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_7_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n278_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [46]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_7_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_6_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n279_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [45]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_6_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_5_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n280_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [44]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_5_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_4_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n281_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [43]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_4_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_3_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n282_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_address_out [42]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_3_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n285_8 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_we_n_out [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd3_0_s0 .INIT=1'b1; +DFFCE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en2_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_row ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en2 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en3_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/sent_col ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en3 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/col_cmd_we_s0 ( + .D(\gw3_top/u_gwmc_top/send_wr ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/col_cmd_we ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/col_cmd_we_s0 .INIT=1'b0; +DFFRE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cs_en3 ), + .CLK(clk_out), + .CE(VCC), + .RESET(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/slot_0_read_5 ), + .Q(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r_1_0_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(GND), + .Q(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r_1 [0]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/auxgen.mc_aux_out_r_1_0_s0 .INIT=1'b0; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_20_s0 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n200_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2 [20]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cmd2_20_s0 .INIT=1'b1; +DFFPE \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cke_s4 ( + .D(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n394_10 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_gwmc_top/mc_cke_out [3]) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/cke_s4 .INIT=1'b1; +INV \gw3_top/u_gwmc_top/gwmc_rank_ctrl/slot_0_read_s2 ( + .I(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/col_cmd_we ), + .O(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/slot_0_read_5 ) +); +LUT1 \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n285_s3 ( + .I0(\gw3_top/u_gwmc_top/send_wr ), + .F(\gw3_top/u_gwmc_top/gwmc_rank_ctrl/n285_8 ) +); +defparam \gw3_top/u_gwmc_top/gwmc_rank_ctrl/n285_s3 .INIT=2'h1; +LUT4 \gw3_top/u_ddr_phy_top/n493_s0 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_rmove [1]), + .I2(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I3(\gw3_top/u_ddr_phy_top/init_rmove_start_Z ), + .F(\gw3_top/u_ddr_phy_top/n493_3 ) +); +defparam \gw3_top/u_ddr_phy_top/n493_s0 .INIT=16'hF088; +LUT4 \gw3_top/u_ddr_phy_top/n494_s0 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_rmove [0]), + .I2(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I3(\gw3_top/u_ddr_phy_top/init_rmove_start_Z ), + .F(\gw3_top/u_ddr_phy_top/n494_3 ) +); +defparam \gw3_top/u_ddr_phy_top/n494_s0 .INIT=16'hF088; +LUT4 \gw3_top/u_ddr_phy_top/n495_s0 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I1(\gw3_top/u_ddr_phy_top/rdir_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .I3(\gw3_top/u_ddr_phy_top/init_rmove_start_Z ), + .F(\gw3_top/u_ddr_phy_top/n495_3 ) +); +defparam \gw3_top/u_ddr_phy_top/n495_s0 .INIT=16'hF088; +LUT4 \gw3_top/u_ddr_phy_top/n496_s0 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I1(\gw3_top/u_ddr_phy_top/rdir_0 [0]), + .I2(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .I3(\gw3_top/u_ddr_phy_top/init_rmove_start_Z ), + .F(\gw3_top/u_ddr_phy_top/n496_3 ) +); +defparam \gw3_top/u_ddr_phy_top/n496_s0 .INIT=16'hF088; +LUT3 \gw3_top/u_ddr_phy_top/n1440_s0 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_st_rrr ), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_st_rr ), + .I2(\gw3_top/u_ddr_phy_top/dll_lock ), + .F(\gw3_top/u_ddr_phy_top/n1440_3 ) +); +defparam \gw3_top/u_ddr_phy_top/n1440_s0 .INIT=8'h40; +LUT3 \gw3_top/u_ddr_phy_top/n102_s1 ( + .I0(\gw3_top/u_ddr_phy_top/phy_odt_d2 [1]), + .I1(\gw3_top/u_ddr_phy_top/phy_odt_d1 [1]), + .I2(\gw3_top/u_ddr_phy_top/phy_odt_pre [1]), + .F(\gw3_top/u_ddr_phy_top/n102_4 ) +); +defparam \gw3_top/u_ddr_phy_top/n102_s1 .INIT=8'h01; +LUT3 \gw3_top/u_ddr_phy_top/n104_s2 ( + .I0(\gw3_top/u_ddr_phy_top/phy_reset_n ), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n104_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n104_s2 .INIT=8'hFE; +LUT3 \gw3_top/u_ddr_phy_top/n234_s3 ( + .I0(\gw3_top/mc_wrdata [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n234_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n234_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n231_s3 ( + .I0(\gw3_top/mc_wrdata [3]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n231_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n231_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n230_s3 ( + .I0(\gw3_top/mc_wrdata [4]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n230_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n230_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n228_s3 ( + .I0(\gw3_top/mc_wrdata [6]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n228_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n228_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n227_s3 ( + .I0(\gw3_top/mc_wrdata [7]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n227_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n227_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n226_s3 ( + .I0(\gw3_top/mc_wrdata [8]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n226_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n226_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n223_s3 ( + .I0(\gw3_top/mc_wrdata [11]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n223_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n223_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n222_s3 ( + .I0(\gw3_top/mc_wrdata [12]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n222_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n222_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n220_s3 ( + .I0(\gw3_top/mc_wrdata [14]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n220_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n220_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n219_s3 ( + .I0(\gw3_top/mc_wrdata [15]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n219_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n219_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n218_s3 ( + .I0(\gw3_top/mc_wrdata [16]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n218_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n218_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n217_s3 ( + .I0(\gw3_top/mc_wrdata [17]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n217_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n217_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n213_s3 ( + .I0(\gw3_top/mc_wrdata [21]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n213_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n213_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n212_s3 ( + .I0(\gw3_top/mc_wrdata [22]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n212_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n212_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n211_s3 ( + .I0(\gw3_top/mc_wrdata [23]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n211_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n211_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n210_s3 ( + .I0(\gw3_top/mc_wrdata [24]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n210_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n210_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n209_s3 ( + .I0(\gw3_top/mc_wrdata [25]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n209_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n209_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n205_s3 ( + .I0(\gw3_top/mc_wrdata [29]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n205_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n205_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n204_s3 ( + .I0(\gw3_top/mc_wrdata [30]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n204_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n204_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n203_s3 ( + .I0(\gw3_top/mc_wrdata [31]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n203_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n203_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n201_s3 ( + .I0(\gw3_top/mc_wrdata [33]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n201_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n201_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n200_s3 ( + .I0(\gw3_top/mc_wrdata [34]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n200_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n200_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n198_s3 ( + .I0(\gw3_top/mc_wrdata [36]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n198_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n198_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n196_s3 ( + .I0(\gw3_top/mc_wrdata [38]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n196_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n196_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n195_s3 ( + .I0(\gw3_top/mc_wrdata [39]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n195_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n195_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n193_s3 ( + .I0(\gw3_top/mc_wrdata [41]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n193_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n193_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n192_s3 ( + .I0(\gw3_top/mc_wrdata [42]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n192_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n192_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n190_s3 ( + .I0(\gw3_top/mc_wrdata [44]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n190_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n190_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n188_s3 ( + .I0(\gw3_top/mc_wrdata [46]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n188_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n188_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n187_s3 ( + .I0(\gw3_top/mc_wrdata [47]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n187_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n187_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n184_s3 ( + .I0(\gw3_top/mc_wrdata [50]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n184_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n184_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n183_s3 ( + .I0(\gw3_top/mc_wrdata [51]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n183_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n183_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n181_s3 ( + .I0(\gw3_top/mc_wrdata [53]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n181_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n181_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n179_s3 ( + .I0(\gw3_top/mc_wrdata [55]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n179_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n179_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n176_s3 ( + .I0(\gw3_top/mc_wrdata [58]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n176_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n176_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n175_s3 ( + .I0(\gw3_top/mc_wrdata [59]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n175_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n175_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n173_s3 ( + .I0(\gw3_top/mc_wrdata [61]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n173_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n173_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n171_s3 ( + .I0(\gw3_top/mc_wrdata [63]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n171_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n171_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n168_s3 ( + .I0(\gw3_top/mc_wrdata [66]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n168_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n168_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n167_s3 ( + .I0(\gw3_top/mc_wrdata [67]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n167_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n167_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n164_s3 ( + .I0(\gw3_top/mc_wrdata [70]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n164_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n164_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n163_s3 ( + .I0(\gw3_top/mc_wrdata [71]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n163_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n163_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n160_s3 ( + .I0(\gw3_top/mc_wrdata [74]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n160_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n160_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n159_s3 ( + .I0(\gw3_top/mc_wrdata [75]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n159_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n159_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n156_s3 ( + .I0(\gw3_top/mc_wrdata [78]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n156_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n156_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n155_s3 ( + .I0(\gw3_top/mc_wrdata [79]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n155_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n155_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n154_s3 ( + .I0(\gw3_top/mc_wrdata [80]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n154_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n154_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n153_s3 ( + .I0(\gw3_top/mc_wrdata [81]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n153_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n153_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n150_s3 ( + .I0(\gw3_top/mc_wrdata [84]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n150_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n150_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n149_s3 ( + .I0(\gw3_top/mc_wrdata [85]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n149_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n149_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n146_s3 ( + .I0(\gw3_top/mc_wrdata [88]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n146_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n146_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n145_s3 ( + .I0(\gw3_top/mc_wrdata [89]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n145_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n145_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n142_s3 ( + .I0(\gw3_top/mc_wrdata [92]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n142_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n142_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n141_s3 ( + .I0(\gw3_top/mc_wrdata [93]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n141_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n141_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n137_s3 ( + .I0(\gw3_top/mc_wrdata [97]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n137_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n137_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n135_s3 ( + .I0(\gw3_top/mc_wrdata [99]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n135_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n135_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n133_s3 ( + .I0(\gw3_top/mc_wrdata [101]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n133_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n133_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n131_s3 ( + .I0(\gw3_top/mc_wrdata [103]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n131_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n131_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n129_s3 ( + .I0(\gw3_top/mc_wrdata [105]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n129_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n129_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n127_s3 ( + .I0(\gw3_top/mc_wrdata [107]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n127_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n127_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n125_s3 ( + .I0(\gw3_top/mc_wrdata [109]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n125_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n125_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n123_s3 ( + .I0(\gw3_top/mc_wrdata [111]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n123_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n123_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n122_s3 ( + .I0(\gw3_top/mc_wrdata [112]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n122_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n122_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n120_s3 ( + .I0(\gw3_top/mc_wrdata [114]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n120_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n120_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n118_s3 ( + .I0(\gw3_top/mc_wrdata [116]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n118_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n118_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n116_s3 ( + .I0(\gw3_top/mc_wrdata [118]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n116_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n116_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n114_s3 ( + .I0(\gw3_top/mc_wrdata [120]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n114_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n114_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n112_s3 ( + .I0(\gw3_top/mc_wrdata [122]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n112_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n112_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n110_s3 ( + .I0(\gw3_top/mc_wrdata [124]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n110_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n110_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n108_s3 ( + .I0(\gw3_top/mc_wrdata [126]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n108_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n108_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n15_s3 ( + .I0(\gw3_top/mc_cs_n_Z [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n15_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n15_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n19_s3 ( + .I0(\gw3_top/mc_ras_n_Z [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n19_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n19_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n23_s3 ( + .I0(\gw3_top/mc_cas_n_Z [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n23_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n23_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n27_s3 ( + .I0(\gw3_top/mc_we_n_Z [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n27_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n27_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n16_s3 ( + .I0(\gw3_top/mc_ras_n_Z [3]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n16_9 ) +); +defparam \gw3_top/u_ddr_phy_top/n16_s3 .INIT=8'hAB; +LUT3 \gw3_top/u_ddr_phy_top/n36_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_cas_n_Z [3]), + .F(\gw3_top/u_ddr_phy_top/n36_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n36_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n42_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [47]), + .F(\gw3_top/u_ddr_phy_top/n42_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n42_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n46_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [43]), + .F(\gw3_top/u_ddr_phy_top/n46_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n46_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n47_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [42]), + .F(\gw3_top/u_ddr_phy_top/n47_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n47_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n235_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [15]), + .F(\gw3_top/u_ddr_phy_top/n235_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n235_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n236_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [14]), + .F(\gw3_top/u_ddr_phy_top/n236_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n236_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n237_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [13]), + .F(\gw3_top/u_ddr_phy_top/n237_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n237_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n238_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [12]), + .F(\gw3_top/u_ddr_phy_top/n238_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n238_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n239_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [11]), + .F(\gw3_top/u_ddr_phy_top/n239_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n239_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n240_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [10]), + .F(\gw3_top/u_ddr_phy_top/n240_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n240_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n241_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [9]), + .F(\gw3_top/u_ddr_phy_top/n241_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n241_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n242_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [8]), + .F(\gw3_top/u_ddr_phy_top/n242_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n242_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n243_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [7]), + .F(\gw3_top/u_ddr_phy_top/n243_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n243_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n244_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [6]), + .F(\gw3_top/u_ddr_phy_top/n244_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n244_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n245_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [5]), + .F(\gw3_top/u_ddr_phy_top/n245_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n245_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n246_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [4]), + .F(\gw3_top/u_ddr_phy_top/n246_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n246_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n247_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [3]), + .F(\gw3_top/u_ddr_phy_top/n247_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n247_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n248_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [2]), + .F(\gw3_top/u_ddr_phy_top/n248_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n248_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n249_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [1]), + .F(\gw3_top/u_ddr_phy_top/n249_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n249_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n250_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata_mask_Z [0]), + .F(\gw3_top/u_ddr_phy_top/n250_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n250_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n233_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [1]), + .F(\gw3_top/u_ddr_phy_top/n233_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n233_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n232_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [2]), + .F(\gw3_top/u_ddr_phy_top/n232_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n232_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n229_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [5]), + .F(\gw3_top/u_ddr_phy_top/n229_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n229_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n225_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [9]), + .F(\gw3_top/u_ddr_phy_top/n225_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n225_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n224_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [10]), + .F(\gw3_top/u_ddr_phy_top/n224_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n224_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n221_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [13]), + .F(\gw3_top/u_ddr_phy_top/n221_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n221_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n216_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [18]), + .F(\gw3_top/u_ddr_phy_top/n216_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n216_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n215_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [19]), + .F(\gw3_top/u_ddr_phy_top/n215_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n215_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n214_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [20]), + .F(\gw3_top/u_ddr_phy_top/n214_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n214_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n208_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [26]), + .F(\gw3_top/u_ddr_phy_top/n208_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n208_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n207_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [27]), + .F(\gw3_top/u_ddr_phy_top/n207_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n207_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n206_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [28]), + .F(\gw3_top/u_ddr_phy_top/n206_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n206_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n202_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [32]), + .F(\gw3_top/u_ddr_phy_top/n202_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n202_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n199_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [35]), + .F(\gw3_top/u_ddr_phy_top/n199_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n199_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n197_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [37]), + .F(\gw3_top/u_ddr_phy_top/n197_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n197_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n194_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [40]), + .F(\gw3_top/u_ddr_phy_top/n194_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n194_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n191_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [43]), + .F(\gw3_top/u_ddr_phy_top/n191_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n191_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n189_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [45]), + .F(\gw3_top/u_ddr_phy_top/n189_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n189_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n186_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [48]), + .F(\gw3_top/u_ddr_phy_top/n186_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n186_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n185_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [49]), + .F(\gw3_top/u_ddr_phy_top/n185_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n185_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n182_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [52]), + .F(\gw3_top/u_ddr_phy_top/n182_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n182_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n180_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [54]), + .F(\gw3_top/u_ddr_phy_top/n180_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n180_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n178_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [56]), + .F(\gw3_top/u_ddr_phy_top/n178_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n178_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n177_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [57]), + .F(\gw3_top/u_ddr_phy_top/n177_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n177_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n174_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [60]), + .F(\gw3_top/u_ddr_phy_top/n174_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n174_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n172_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [62]), + .F(\gw3_top/u_ddr_phy_top/n172_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n172_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n170_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [64]), + .F(\gw3_top/u_ddr_phy_top/n170_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n170_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n169_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [65]), + .F(\gw3_top/u_ddr_phy_top/n169_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n169_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n166_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [68]), + .F(\gw3_top/u_ddr_phy_top/n166_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n166_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n165_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [69]), + .F(\gw3_top/u_ddr_phy_top/n165_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n165_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n162_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [72]), + .F(\gw3_top/u_ddr_phy_top/n162_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n162_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n161_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [73]), + .F(\gw3_top/u_ddr_phy_top/n161_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n161_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n158_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [76]), + .F(\gw3_top/u_ddr_phy_top/n158_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n158_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n157_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [77]), + .F(\gw3_top/u_ddr_phy_top/n157_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n157_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n152_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [82]), + .F(\gw3_top/u_ddr_phy_top/n152_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n152_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n151_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [83]), + .F(\gw3_top/u_ddr_phy_top/n151_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n151_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n148_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [86]), + .F(\gw3_top/u_ddr_phy_top/n148_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n148_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n147_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [87]), + .F(\gw3_top/u_ddr_phy_top/n147_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n147_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n144_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [90]), + .F(\gw3_top/u_ddr_phy_top/n144_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n144_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n143_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [91]), + .F(\gw3_top/u_ddr_phy_top/n143_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n143_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n140_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [94]), + .F(\gw3_top/u_ddr_phy_top/n140_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n140_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n139_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [95]), + .F(\gw3_top/u_ddr_phy_top/n139_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n139_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n138_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [96]), + .F(\gw3_top/u_ddr_phy_top/n138_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n138_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n136_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [98]), + .F(\gw3_top/u_ddr_phy_top/n136_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n136_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n134_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [100]), + .F(\gw3_top/u_ddr_phy_top/n134_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n134_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n132_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [102]), + .F(\gw3_top/u_ddr_phy_top/n132_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n132_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n130_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [104]), + .F(\gw3_top/u_ddr_phy_top/n130_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n130_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n128_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [106]), + .F(\gw3_top/u_ddr_phy_top/n128_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n128_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n126_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [108]), + .F(\gw3_top/u_ddr_phy_top/n126_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n126_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n124_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [110]), + .F(\gw3_top/u_ddr_phy_top/n124_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n124_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n121_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [113]), + .F(\gw3_top/u_ddr_phy_top/n121_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n121_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n119_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [115]), + .F(\gw3_top/u_ddr_phy_top/n119_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n119_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n117_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [117]), + .F(\gw3_top/u_ddr_phy_top/n117_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n117_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n115_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [119]), + .F(\gw3_top/u_ddr_phy_top/n115_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n115_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n113_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [121]), + .F(\gw3_top/u_ddr_phy_top/n113_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n113_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n111_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [123]), + .F(\gw3_top/u_ddr_phy_top/n111_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n111_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n109_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [125]), + .F(\gw3_top/u_ddr_phy_top/n109_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n109_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n107_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_wrdata [127]), + .F(\gw3_top/u_ddr_phy_top/n107_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n107_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n95_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_bank_Z [6]), + .F(\gw3_top/u_ddr_phy_top/n95_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n95_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n94_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_bank_Z [7]), + .F(\gw3_top/u_ddr_phy_top/n94_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n94_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n93_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_bank_Z [8]), + .F(\gw3_top/u_ddr_phy_top/n93_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n93_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n90_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_bank_Z [11]), + .F(\gw3_top/u_ddr_phy_top/n90_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n90_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n62_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_ras_n_Z [3]), + .F(\gw3_top/u_ddr_phy_top/n62_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n62_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n61_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [28]), + .F(\gw3_top/u_ddr_phy_top/n61_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n61_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n60_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [29]), + .F(\gw3_top/u_ddr_phy_top/n60_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n60_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n59_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [30]), + .F(\gw3_top/u_ddr_phy_top/n59_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n59_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n58_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [31]), + .F(\gw3_top/u_ddr_phy_top/n58_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n58_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n57_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [32]), + .F(\gw3_top/u_ddr_phy_top/n57_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n57_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n56_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [33]), + .F(\gw3_top/u_ddr_phy_top/n56_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n56_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n55_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [34]), + .F(\gw3_top/u_ddr_phy_top/n55_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n55_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n54_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [35]), + .F(\gw3_top/u_ddr_phy_top/n54_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n54_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n53_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [36]), + .F(\gw3_top/u_ddr_phy_top/n53_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n53_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n52_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [37]), + .F(\gw3_top/u_ddr_phy_top/n52_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n52_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n51_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [38]), + .F(\gw3_top/u_ddr_phy_top/n51_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n51_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n50_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [39]), + .F(\gw3_top/u_ddr_phy_top/n50_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n50_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n49_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [40]), + .F(\gw3_top/u_ddr_phy_top/n49_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n49_s2 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/n48_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .I2(\gw3_top/mc_address_Z [41]), + .F(\gw3_top/u_ddr_phy_top/n48_8 ) +); +defparam \gw3_top/u_ddr_phy_top/n48_s2 .INIT=8'hE0; +LUT4 \gw3_top/u_ddr_phy_top/n102_s2 ( + .I0(\gw3_top/u_ddr_phy_top/n102_4 ), + .I1(\gw3_top/mc_odt_Z [1]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n102_6 ) +); +defparam \gw3_top/u_ddr_phy_top/n102_s2 .INIT=16'hCCC5; +LUT4 \gw3_top/u_ddr_phy_top/n92_s1 ( + .I0(\gw3_top/mc_bank_Z [9]), + .I1(\gw3_top/u_ddr_phy_top/phy_bank [9]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n92_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n92_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n91_s1 ( + .I0(\gw3_top/mc_bank_Z [10]), + .I1(\gw3_top/u_ddr_phy_top/phy_bank [10]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n91_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n91_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n45_s1 ( + .I0(\gw3_top/mc_address_Z [44]), + .I1(\gw3_top/u_ddr_phy_top/phy_addr [48]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n45_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n45_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n44_s1 ( + .I0(\gw3_top/mc_address_Z [45]), + .I1(\gw3_top/u_ddr_phy_top/phy_addr [45]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n44_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n44_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n43_s1 ( + .I0(\gw3_top/mc_address_Z [46]), + .I1(\gw3_top/u_ddr_phy_top/phy_addr [51]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n43_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n43_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n41_s1 ( + .I0(\gw3_top/mc_address_Z [48]), + .I1(\gw3_top/u_ddr_phy_top/phy_addr [48]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n41_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n41_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n40_s1 ( + .I0(\gw3_top/mc_address_Z [49]), + .I1(\gw3_top/u_ddr_phy_top/phy_addr [49]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n40_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n40_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n39_s1 ( + .I0(\gw3_top/mc_address_Z [50]), + .I1(\gw3_top/u_ddr_phy_top/phy_addr [50]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n39_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n39_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n38_s1 ( + .I0(\gw3_top/mc_address_Z [51]), + .I1(\gw3_top/u_ddr_phy_top/phy_addr [51]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n38_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n38_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n37_s1 ( + .I0(\gw3_top/u_ddr_phy_top/phy_addr [52]), + .I1(\gw3_top/mc_cas_n_Z [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n37_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n37_s1 .INIT=16'hCCCA; +LUT4 \gw3_top/u_ddr_phy_top/n35_s1 ( + .I0(\gw3_top/u_ddr_phy_top/phy_addr [54]), + .I1(\gw3_top/mc_cas_n_Z [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n35_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n35_s1 .INIT=16'hCCCA; +LUT4 \gw3_top/u_ddr_phy_top/n30_s1 ( + .I0(\gw3_top/mc_cke_Z [3]), + .I1(\gw3_top/u_ddr_phy_top/phy_cke [0]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n30_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n30_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n26_s1 ( + .I0(\gw3_top/mc_we_n_Z [3]), + .I1(\gw3_top/u_ddr_phy_top/phy_we_n [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n26_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n26_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n22_s1 ( + .I0(\gw3_top/mc_cas_n_Z [3]), + .I1(\gw3_top/u_ddr_phy_top/phy_cas_n [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n22_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n22_s1 .INIT=16'hAAAC; +LUT4 \gw3_top/u_ddr_phy_top/n18_s1 ( + .I0(\gw3_top/u_ddr_phy_top/phy_ras_n [3]), + .I1(\gw3_top/mc_ras_n_Z [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n18_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n18_s1 .INIT=16'hCCCA; +LUT4 \gw3_top/u_ddr_phy_top/n17_s1 ( + .I0(\gw3_top/u_ddr_phy_top/phy_we_n [0]), + .I1(\gw3_top/mc_ras_n_Z [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n17_5 ) +); +defparam \gw3_top/u_ddr_phy_top/n17_s1 .INIT=16'hCCCA; +LUT4 \gw3_top/u_ddr_phy_top/n14_s2 ( + .I0(\gw3_top/mc_cs_n_Z [3]), + .I1(\gw3_top/u_ddr_phy_top/phy_cs_n [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ), + .F(\gw3_top/u_ddr_phy_top/n14_6 ) +); +defparam \gw3_top/u_ddr_phy_top/n14_s2 .INIT=16'hAAAC; +DFFCE \gw3_top/u_ddr_phy_top/ddr_init_internal_rr_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_init_internal_r ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_init_internal_rr ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_init_internal_rr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/eye_calib_start_r_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_start_r ) +); +defparam \gw3_top/u_ddr_phy_top/eye_calib_start_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/eye_calib_start_rr_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_calib_start_r ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_start_rr ) +); +defparam \gw3_top/u_ddr_phy_top/eye_calib_start_rr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_cs_n_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/n14_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_cs_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/mux_cs_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_cs_n_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/n15_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_cs_n [2]) +); +defparam \gw3_top/u_ddr_phy_top/mux_cs_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_cs_n_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/n16_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_cs_n [1]) +); +defparam \gw3_top/u_ddr_phy_top/mux_cs_n_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_cs_n_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/n17_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_cs_n [0]) +); +defparam \gw3_top/u_ddr_phy_top/mux_cs_n_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_ras_n_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/n18_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_ras_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/mux_ras_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_ras_n_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/n19_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_ras_n [2]) +); +defparam \gw3_top/u_ddr_phy_top/mux_ras_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_cas_n_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/n22_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_cas_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/mux_cas_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_cas_n_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/n23_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_cas_n [2]) +); +defparam \gw3_top/u_ddr_phy_top/mux_cas_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_we_n_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/n26_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_we_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/mux_we_n_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_we_n_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/n27_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_we_n [2]) +); +defparam \gw3_top/u_ddr_phy_top/mux_we_n_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_cke_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/n30_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_cke [3]) +); +defparam \gw3_top/u_ddr_phy_top/mux_cke_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/n36_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [55]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/n35_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [54]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/n37_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [52]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/n38_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [51]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/n39_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [50]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/n40_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [49]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/n41_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [48]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/n42_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [47]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/n43_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [46]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/n44_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [45]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/n45_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [44]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/n46_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [43]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/n47_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [42]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/n48_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [41]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/n49_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [40]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/n50_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [39]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/n51_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [38]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/n52_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [37]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/n53_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [36]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/n54_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [35]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/n55_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [34]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/n56_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [33]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/n57_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [32]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/n58_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [31]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/n59_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [30]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/n60_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [29]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/n61_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [28]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_addr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/n62_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_addr [27]) +); +defparam \gw3_top/u_ddr_phy_top/mux_addr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_bank_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/n90_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_bank [11]) +); +defparam \gw3_top/u_ddr_phy_top/mux_bank_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_bank_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/n91_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_bank [10]) +); +defparam \gw3_top/u_ddr_phy_top/mux_bank_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_bank_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/n92_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_bank [9]) +); +defparam \gw3_top/u_ddr_phy_top/mux_bank_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_bank_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/n93_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_bank [8]) +); +defparam \gw3_top/u_ddr_phy_top/mux_bank_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_bank_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/n94_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_bank [7]) +); +defparam \gw3_top/u_ddr_phy_top/mux_bank_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_bank_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/n95_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_bank [6]) +); +defparam \gw3_top/u_ddr_phy_top/mux_bank_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_odt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/n102_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_odt [1]) +); +defparam \gw3_top/u_ddr_phy_top/mux_odt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_reset_n_s0 ( + .D(\gw3_top/u_ddr_phy_top/n104_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_reset_n ) +); +defparam \gw3_top/u_ddr_phy_top/mux_reset_n_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_127_s0 ( + .D(\gw3_top/u_ddr_phy_top/n107_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [127]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_127_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_126_s0 ( + .D(\gw3_top/u_ddr_phy_top/n108_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [126]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_126_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_125_s0 ( + .D(\gw3_top/u_ddr_phy_top/n109_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [125]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_125_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_124_s0 ( + .D(\gw3_top/u_ddr_phy_top/n110_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [124]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_124_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_123_s0 ( + .D(\gw3_top/u_ddr_phy_top/n111_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [123]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_123_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_122_s0 ( + .D(\gw3_top/u_ddr_phy_top/n112_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [122]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_122_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_121_s0 ( + .D(\gw3_top/u_ddr_phy_top/n113_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [121]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_121_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_120_s0 ( + .D(\gw3_top/u_ddr_phy_top/n114_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [120]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_120_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_119_s0 ( + .D(\gw3_top/u_ddr_phy_top/n115_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [119]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_119_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_118_s0 ( + .D(\gw3_top/u_ddr_phy_top/n116_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [118]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_118_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_117_s0 ( + .D(\gw3_top/u_ddr_phy_top/n117_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [117]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_117_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_116_s0 ( + .D(\gw3_top/u_ddr_phy_top/n118_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [116]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_116_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_115_s0 ( + .D(\gw3_top/u_ddr_phy_top/n119_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [115]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_115_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_114_s0 ( + .D(\gw3_top/u_ddr_phy_top/n120_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [114]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_114_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_113_s0 ( + .D(\gw3_top/u_ddr_phy_top/n121_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [113]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_113_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_112_s0 ( + .D(\gw3_top/u_ddr_phy_top/n122_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [112]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_112_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_111_s0 ( + .D(\gw3_top/u_ddr_phy_top/n123_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [111]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_111_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_110_s0 ( + .D(\gw3_top/u_ddr_phy_top/n124_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [110]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_110_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_109_s0 ( + .D(\gw3_top/u_ddr_phy_top/n125_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [109]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_109_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_108_s0 ( + .D(\gw3_top/u_ddr_phy_top/n126_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [108]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_108_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_107_s0 ( + .D(\gw3_top/u_ddr_phy_top/n127_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [107]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_107_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_106_s0 ( + .D(\gw3_top/u_ddr_phy_top/n128_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [106]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_106_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_105_s0 ( + .D(\gw3_top/u_ddr_phy_top/n129_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [105]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_105_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_104_s0 ( + .D(\gw3_top/u_ddr_phy_top/n130_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [104]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_104_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_103_s0 ( + .D(\gw3_top/u_ddr_phy_top/n131_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [103]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_103_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_102_s0 ( + .D(\gw3_top/u_ddr_phy_top/n132_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [102]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_102_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_101_s0 ( + .D(\gw3_top/u_ddr_phy_top/n133_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [101]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_101_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_100_s0 ( + .D(\gw3_top/u_ddr_phy_top/n134_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [100]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_100_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_99_s0 ( + .D(\gw3_top/u_ddr_phy_top/n135_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [99]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_99_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_98_s0 ( + .D(\gw3_top/u_ddr_phy_top/n136_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [98]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_98_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_97_s0 ( + .D(\gw3_top/u_ddr_phy_top/n137_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [97]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_97_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_96_s0 ( + .D(\gw3_top/u_ddr_phy_top/n138_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [96]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_96_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_95_s0 ( + .D(\gw3_top/u_ddr_phy_top/n139_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [95]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_95_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_94_s0 ( + .D(\gw3_top/u_ddr_phy_top/n140_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [94]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_94_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_93_s0 ( + .D(\gw3_top/u_ddr_phy_top/n141_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [93]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_93_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_92_s0 ( + .D(\gw3_top/u_ddr_phy_top/n142_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [92]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_92_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_91_s0 ( + .D(\gw3_top/u_ddr_phy_top/n143_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [91]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_90_s0 ( + .D(\gw3_top/u_ddr_phy_top/n144_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [90]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_89_s0 ( + .D(\gw3_top/u_ddr_phy_top/n145_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [89]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_88_s0 ( + .D(\gw3_top/u_ddr_phy_top/n146_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [88]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_87_s0 ( + .D(\gw3_top/u_ddr_phy_top/n147_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [87]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_86_s0 ( + .D(\gw3_top/u_ddr_phy_top/n148_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [86]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_85_s0 ( + .D(\gw3_top/u_ddr_phy_top/n149_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [85]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_84_s0 ( + .D(\gw3_top/u_ddr_phy_top/n150_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [84]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_83_s0 ( + .D(\gw3_top/u_ddr_phy_top/n151_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [83]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_82_s0 ( + .D(\gw3_top/u_ddr_phy_top/n152_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [82]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_81_s0 ( + .D(\gw3_top/u_ddr_phy_top/n153_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [81]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_80_s0 ( + .D(\gw3_top/u_ddr_phy_top/n154_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [80]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_79_s0 ( + .D(\gw3_top/u_ddr_phy_top/n155_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [79]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_78_s0 ( + .D(\gw3_top/u_ddr_phy_top/n156_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [78]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_77_s0 ( + .D(\gw3_top/u_ddr_phy_top/n157_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [77]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_76_s0 ( + .D(\gw3_top/u_ddr_phy_top/n158_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [76]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_75_s0 ( + .D(\gw3_top/u_ddr_phy_top/n159_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [75]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_74_s0 ( + .D(\gw3_top/u_ddr_phy_top/n160_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [74]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_73_s0 ( + .D(\gw3_top/u_ddr_phy_top/n161_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [73]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_72_s0 ( + .D(\gw3_top/u_ddr_phy_top/n162_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [72]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/n163_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [71]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/n164_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [70]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/n165_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [69]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/n166_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [68]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/n167_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [67]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/n168_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [66]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/n169_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [65]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/n170_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [64]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/n171_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [63]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/n172_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [62]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/n173_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [61]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/n174_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [60]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/n175_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [59]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/n176_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [58]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/n177_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [57]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/n178_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [56]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/n179_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [55]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/n180_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [54]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/n181_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [53]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/n182_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [52]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/n183_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [51]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/n184_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [50]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/n185_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [49]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/n186_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [48]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/n187_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [47]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/n188_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [46]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/n189_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [45]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/n190_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [44]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/n191_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [43]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/n192_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [42]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/n193_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [41]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/n194_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [40]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/n195_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [39]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/n196_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [38]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/n197_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [37]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/n198_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [36]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/n199_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [35]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/n200_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [34]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/n201_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [33]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/n202_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [32]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/n203_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [31]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/n204_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [30]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/n205_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [29]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/n206_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [28]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/n207_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [27]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/n208_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [26]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/n209_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [25]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/n210_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [24]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/n211_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [23]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/n212_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [22]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/n213_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [21]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/n214_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [20]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/n215_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [19]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/n216_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [18]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/n217_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [17]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/n218_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [16]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/n219_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [15]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/n220_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [14]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/n221_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [13]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/n222_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [12]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/n223_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [11]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/n224_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [10]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/n225_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [9]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/n226_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [8]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/n227_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [7]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/n228_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [6]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/n229_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [5]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/n230_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [4]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/n231_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [3]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/n232_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [2]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/n233_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [1]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/n234_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata [0]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/n235_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [15]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/n236_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [14]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/n237_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [13]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/n238_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [12]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/n239_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [11]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/n240_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [10]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/n241_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [9]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/n242_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [8]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/n243_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [7]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/n244_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [6]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/n245_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [5]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/n246_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [4]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/n247_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [3]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/n248_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [2]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/n249_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [1]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/mux_wrdata_mask_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/n250_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [0]) +); +defparam \gw3_top/u_ddr_phy_top/mux_wrdata_mask_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/rmove_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/n493_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rmove [1]) +); +defparam \gw3_top/u_ddr_phy_top/rmove_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/rmove_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/n494_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rmove [0]) +); +defparam \gw3_top/u_ddr_phy_top/rmove_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/rdir_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/n495_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rdir [1]) +); +defparam \gw3_top/u_ddr_phy_top/rdir_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/rdir_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/n496_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rdir [0]) +); +defparam \gw3_top/u_ddr_phy_top/rdir_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/wloadn_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_init_internal_r ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/wloadn [1]) +); +defparam \gw3_top/u_ddr_phy_top/wloadn_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/stop_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/stop_reg [1]), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/stop_reg [2]) +); +defparam \gw3_top/u_ddr_phy_top/stop_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/stop_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/stop_reg [0]), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/stop_reg [1]) +); +defparam \gw3_top/u_ddr_phy_top/stop_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/stop_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/stop_d ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/stop_reg [0]) +); +defparam \gw3_top/u_ddr_phy_top/stop_reg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_rsti_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_rsti_reg [1]), + .CLK(clk), + .CE(VCC), + .CLEAR(GND), + .Q(ddr_rst) +); +defparam \gw3_top/u_ddr_phy_top/ddr_rsti_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_rsti_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_rsti_reg [0]), + .CLK(clk), + .CE(VCC), + .CLEAR(GND), + .Q(\gw3_top/u_ddr_phy_top/ddr_rsti_reg [1]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_rsti_reg_1_s0 .INIT=1'b0; +DFFSE \gw3_top/u_ddr_phy_top/ddr_rsti_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_rsti ), + .CLK(clk), + .CE(VCC), + .SET(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .Q(\gw3_top/u_ddr_phy_top/ddr_rsti_reg [0]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_rsti_reg_0_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/ddr_init_st_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_init_st_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/ddr_init_st_r ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_init_st_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_init_st_rr_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_init_st_r ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/ddr_init_st_rr ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_init_st_rr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_init_st_rrr_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_init_st_rr ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/ddr_init_st_rrr ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_init_st_rrr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [7]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [7]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [6]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [6]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [5]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [5]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [4]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [4]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [3]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [3]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [2]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [2]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [1]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [1]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/dll_step_base_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step [0]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/n1440_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/dll_step_base [0]) +); +defparam \gw3_top/u_ddr_phy_top/dll_step_base_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_init_internal_r_s0 ( + .D(\gw3_top/ddr_init_internal ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_init_internal_r ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_init_internal_r_s0 .INIT=1'b0; +DDRDLL \gw3_top/u_ddr_phy_top/u_dll ( + .CLKIN(memory_clk), + .STOP(\gw3_top/u_ddr_phy_top/n1423_6 ), + .RESET(\gw3_top/u_ddr_phy_top/dll_rsti ), + .UPDNCNTL(\gw3_top/u_ddr_phy_top/uddcntln ), + .LOCK(\gw3_top/u_ddr_phy_top/dll_lock ), + .STEP({\gw3_top/u_ddr_phy_top/dll_step [7:0]}) +); +defparam \gw3_top/u_ddr_phy_top/u_dll .DIV_SEL=1'b0; +defparam \gw3_top/u_ddr_phy_top/u_dll .CODESCAL="111"; +defparam \gw3_top/u_ddr_phy_top/u_dll .SCAL_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_dll .DLL_FORCE="FALSE"; +CLKDIV \gw3_top/u_ddr_phy_top/fclkdiv ( + .HCLKIN(memory_clk), + .RESETN(\gw3_top/u_ddr_phy_top/n501_6 ), + .CALIB(GND), + .CLKOUT(clk_out) +); +defparam \gw3_top/u_ddr_phy_top/fclkdiv .DIV_MODE="4"; +INV \gw3_top/u_ddr_phy_top/n7_s2 ( + .I(rst_n), + .O(\gw3_top/u_ddr_phy_top/n7_6 ) +); +LUT1 \gw3_top/u_ddr_phy_top/n501_s2 ( + .I0(ddr_rst), + .F(\gw3_top/u_ddr_phy_top/n501_6 ) +); +defparam \gw3_top/u_ddr_phy_top/n501_s2 .INIT=2'h1; +LUT1 \gw3_top/u_ddr_phy_top/n1423_s2 ( + .I0(pll_lock), + .F(\gw3_top/u_ddr_phy_top/n1423_6 ) +); +defparam \gw3_top/u_ddr_phy_top/n1423_s2 .INIT=2'h1; +INV \gw3_top/u_ddr_phy_top/pll_stop_d_s0 ( + .I(\gw3_top/u_ddr_phy_top/stop_reg [2]), + .O(pll_stop) +); +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n65_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]), + .I2(\gw3_top/init_complete_r ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n65_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n65_s1 .INIT=8'h10; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n72_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n72_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n72_s1 .INIT=8'h10; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n79_s2 ( + .I0(\gw3_top/init_complete_r ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n79_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n79_s2 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n86_s2 ( + .I0(\gw3_top/init_complete_r ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n86_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n86_s2 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_s0 .INIT=4'h7; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_s0 .INIT=4'h7; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n1040_s0 ( + .I0(\gw3_top/init_complete_r ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n1040_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n1040_s0 .INIT=4'hE; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n50_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .I2(\gw3_top/u_ddr_phy_top/wrlvl_complete_Z ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n50_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n50_s1 .INIT=8'hE0; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n45_s1 ( + .I0(\gw3_top/u_ddr_phy_top/wrlvl_complete_Z ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n45_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n45_s1 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n30_s2 ( + .I0(\gw3_top/u_ddr_phy_top/wrlvl_complete_Z ), + .I1(\gw3_top/u_ddr_phy_top/wrlvl_start ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n50_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n30_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n30_s2 .INIT=8'hF4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n20_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I1(\gw3_top/u_ddr_phy_top/wrlvl_start ), + .I2(\gw3_top/u_ddr_phy_top/wrlvl_complete_Z ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n20_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n20_s2 .INIT=8'hAC; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n58_s2 ( + .I0(\gw3_top/u_ddr_phy_top/phy_dqs ), + .I1(\gw3_top/u_ddr_phy_top/wrlvl_start ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n58_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n58_s2 .INIT=4'hB; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_s1 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [7]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_s2 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_s1 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_s2 .INIT=16'h0001; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/eye_calib_start_r_s0 ( + .D(\gw3_top/eye_calib_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/eye_calib_start_r_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts0_d_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n30_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts0_d ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts0_d_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts_d_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n45_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts_d ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts_d_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts0_d_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n50_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts0_d ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts0_d_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/mux_dqs_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n58_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/mux_dqs ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/mux_dqs_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/phy_rd_data_valid_reg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n65_4 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/phy_rd_data_valid ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/phy_rd_data_valid_reg_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/eye_calib_valid_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n72_4 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_valid ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/eye_calib_valid_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/phy_rdbk_data_valid_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n79_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_rdbk_data_valid [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/phy_rdbk_data_valid_reg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/phy_rdbk_data_valid_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n86_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_rdbk_data_valid [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/phy_rdbk_data_valid_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n304_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/in_dq0_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n314_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_reg [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/in_dq0_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq0_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n1040_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n1040_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg_1_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1_d_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n20_7 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1_d ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1_d_s0 .INIT=1'b1; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[0].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [0]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [0]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[0].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[0].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[0].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[0].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[1].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [1]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [1]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[1].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[1].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[1].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[1].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[2].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [2]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [2]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[2].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[2].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[2].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[2].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[3].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [3]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [3]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[3].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[3].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[3].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[3].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[4].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [4]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [4]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[4].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[4].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[4].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[4].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[5].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [5]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [5]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[5].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[5].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[5].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[5].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[6].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [6]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [6]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[6].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[6].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[6].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[6].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[7].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [7]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [7]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[7].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[7].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[7].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[7].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[8].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [8]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [8]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[8].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[8].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[8].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[8].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[9].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [9]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [9]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[9].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[9].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[9].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[9].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[10].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [10]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [10]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[10].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[10].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[10].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[10].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[11].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [11]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [11]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[11].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[11].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[11].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[11].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[12].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [12]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [12]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[12].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[12].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[12].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[12].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[13].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [13]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [13]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[13].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[13].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[13].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[13].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[14].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [14]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [14]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[14].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[14].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[14].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[14].dq_iodelay .ADAPT_EN="FALSE"; +IODELAY \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[15].dq_iodelay ( + .DI(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq [15]), + .SDTAP(GND), + .VALUE(GND), + .DLYSTEP({GND, GND, GND, GND, GND, GND, GND, GND}), + .DO(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [15]), + .DF(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[15].dq_iodelay_1_DF ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[15].dq_iodelay .C_STATIC_DLY=5; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[15].dq_iodelay .DYN_DLY_EN="FALSE"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dq_iobuf_gen[15].dq_iodelay .ADAPT_EN="FALSE"; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n587_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [71]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n587_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n587_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n588_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [70]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n588_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n588_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n589_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [69]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n589_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n589_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n590_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [68]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n590_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n590_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n591_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [67]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n591_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n591_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n592_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [66]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n592_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n592_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n593_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [65]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n593_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n593_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n594_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [64]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n594_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n594_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n595_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [63]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n595_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n595_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n596_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [62]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n596_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n596_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n597_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [61]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n597_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n597_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n598_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [60]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n598_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n598_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n599_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [59]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n599_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n599_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n600_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [58]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n600_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n600_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n601_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [57]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n601_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n601_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n602_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [56]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n602_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n602_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n603_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [55]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n603_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n603_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n604_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [54]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n604_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n604_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n605_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [53]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n605_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n605_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n606_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [52]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n606_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n606_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n607_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [51]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n607_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n607_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n608_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [50]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n608_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n608_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n609_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [49]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n609_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n609_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n610_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [48]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n610_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n610_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n611_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [47]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n611_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n611_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n612_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [46]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n612_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n612_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n613_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [45]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n613_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n613_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n614_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [44]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n614_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n614_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n615_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [43]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n615_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n615_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n616_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [42]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n616_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n616_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n617_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [41]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n617_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n617_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n618_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [40]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n618_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n618_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n619_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [39]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n619_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n619_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n620_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [38]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n620_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n620_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n621_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [37]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n621_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n621_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n622_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [36]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n622_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n622_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n623_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [35]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n623_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n623_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n624_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [34]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n624_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n624_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n625_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [33]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n625_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n625_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n626_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [32]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n626_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n626_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n627_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [31]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n627_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n627_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n628_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [30]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n628_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n628_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n629_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [29]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n629_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n629_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n630_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [28]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n630_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n630_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n631_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [27]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n631_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n631_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n632_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [26]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n632_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n632_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n633_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [25]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n633_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n633_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n634_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [24]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n634_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n634_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n635_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [23]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n635_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n635_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n636_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [22]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n636_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n636_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n637_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [21]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n637_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n637_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n638_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [20]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n638_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n638_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n639_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [19]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n639_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n639_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n640_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [18]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n640_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n640_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n641_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n641_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n641_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n642_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n642_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n642_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n643_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [15]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n643_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n643_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n644_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n644_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n644_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n645_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [13]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n645_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n645_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n646_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n646_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n646_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n647_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [11]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n647_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n647_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n648_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n648_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n648_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n649_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n649_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n649_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n650_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n650_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n650_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n651_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n651_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n651_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n652_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n652_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n652_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n653_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n653_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n653_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n654_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n654_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n654_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n655_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n655_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n655_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n656_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n656_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n656_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n657_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n657_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n657_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n658_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n658_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n658_s2 .INIT=8'hBA; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [119]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [103]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [87]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [118]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [102]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [86]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [117]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [101]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [85]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [116]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [100]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [84]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [115]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [99]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [83]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [114]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [98]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [82]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [113]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [97]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [81]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [112]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [96]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [80]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rr [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/of_WrData_rrr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n587_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n588_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n589_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n590_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n591_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n592_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n593_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n594_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n595_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n596_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n597_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n598_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n599_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n600_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n601_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n602_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n603_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n604_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n605_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n606_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n607_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n608_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n609_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n610_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n611_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n612_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n613_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n614_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n615_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n616_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n617_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n618_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n619_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n620_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n621_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n622_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n623_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n624_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n625_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n626_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n627_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n628_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n629_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n630_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n631_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n632_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n633_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n634_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n635_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n636_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n637_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n638_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n639_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n640_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n641_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n642_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n643_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n644_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n645_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n646_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n647_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n648_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n649_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n650_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n651_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n652_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n653_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n654_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n655_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n656_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n657_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/n658_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/invalid_dqs_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_wdata_en_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/invalid_dqs ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/invalid_dqs_s0 .INIT=1'b0; +SDPB \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .CLKB(clk_out), + .CEB(VCC), + .OCE(GND), + .RESET(GND), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [31:0]}), + .ADA({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [2:0], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [2:0], GND, GND, GND, GND, GND}), + .DO({\gw3_top/eye_calib_rdata [59], \gw3_top/eye_calib_rdata [51], \gw3_top/eye_calib_rdata [43], \gw3_top/eye_calib_rdata [35], \gw3_top/eye_calib_rdata [27], \gw3_top/eye_calib_rdata [19], \gw3_top/eye_calib_rdata [11], \gw3_top/eye_calib_rdata [3], \gw3_top/eye_calib_rdata [58], \gw3_top/eye_calib_rdata [50], \gw3_top/eye_calib_rdata [42], \gw3_top/eye_calib_rdata [34], \gw3_top/eye_calib_rdata [26], \gw3_top/eye_calib_rdata [18], \gw3_top/eye_calib_rdata [10], \gw3_top/eye_calib_rdata [2], \gw3_top/eye_calib_rdata [57], \gw3_top/eye_calib_rdata [49], \gw3_top/eye_calib_rdata [41], \gw3_top/eye_calib_rdata [33], \gw3_top/eye_calib_rdata [25], \gw3_top/eye_calib_rdata [17], \gw3_top/eye_calib_rdata [9], \gw3_top/eye_calib_rdata [1], \gw3_top/eye_calib_rdata [56], \gw3_top/eye_calib_rdata [48], \gw3_top/eye_calib_rdata [40], \gw3_top/eye_calib_rdata [32], \gw3_top/eye_calib_rdata [24], \gw3_top/eye_calib_rdata [16], \gw3_top/eye_calib_rdata [8], \gw3_top/eye_calib_rdata [0]}) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .READ_MODE=1'b0; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BIT_WIDTH_0=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BIT_WIDTH_1=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .RESET_MODE="SYNC"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BLK_SEL_1=3'b000; +SDPB \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .CLKB(clk_out), + .CEB(VCC), + .OCE(GND), + .RESET(GND), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [63:32]}), + .ADA({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [2:0], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [2:0], GND, GND, GND, GND, GND}), + .DO({\gw3_top/eye_calib_rdata [63], \gw3_top/eye_calib_rdata [55], \gw3_top/eye_calib_rdata [47], \gw3_top/eye_calib_rdata [39], \gw3_top/eye_calib_rdata [31], \gw3_top/eye_calib_rdata [23], \gw3_top/eye_calib_rdata [15], \gw3_top/eye_calib_rdata [7], \gw3_top/eye_calib_rdata [62], \gw3_top/eye_calib_rdata [54], \gw3_top/eye_calib_rdata [46], \gw3_top/eye_calib_rdata [38], \gw3_top/eye_calib_rdata [30], \gw3_top/eye_calib_rdata [22], \gw3_top/eye_calib_rdata [14], \gw3_top/eye_calib_rdata [6], \gw3_top/eye_calib_rdata [61], \gw3_top/eye_calib_rdata [53], \gw3_top/eye_calib_rdata [45], \gw3_top/eye_calib_rdata [37], \gw3_top/eye_calib_rdata [29], \gw3_top/eye_calib_rdata [21], \gw3_top/eye_calib_rdata [13], \gw3_top/eye_calib_rdata [5], \gw3_top/eye_calib_rdata [60], \gw3_top/eye_calib_rdata [52], \gw3_top/eye_calib_rdata [44], \gw3_top/eye_calib_rdata [36], \gw3_top/eye_calib_rdata [28], \gw3_top/eye_calib_rdata [20], \gw3_top/eye_calib_rdata [12], \gw3_top/eye_calib_rdata [4]}) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .READ_MODE=1'b0; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BIT_WIDTH_0=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BIT_WIDTH_1=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .RESET_MODE="SYNC"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BLK_SEL_1=3'b000; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts0_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts0_d ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts0_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts0_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts1_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1_d ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts1_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts1_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts_d ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts0_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqts0_d ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts0_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts0_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/invalid_dqs_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/invalid_dqs ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/invalid_dqs_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/oserdes_dq [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/rmove [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/rdir [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_wire ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rvalid_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/iserdes_dq_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d_s0 ( + .D(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[0].read_adj ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqs_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/mux_dqs ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqs_r_s0 .INIT=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D7(GND), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw270 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n250_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqs [0]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqsts [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen .TCLK_SOURCE="DQSW270"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [0]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [1]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [2]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [3]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [4]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [5]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [6]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [7]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [0]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [8]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [9]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [10]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [11]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [12]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [13]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [14]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [15]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [1]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [16]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [17]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [18]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [19]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [20]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [21]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [22]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [23]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [2]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [24]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [25]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [26]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [27]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [28]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [29]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [30]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [31]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [3]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [32]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [33]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [34]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [35]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [36]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [37]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [38]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [39]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [4]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [40]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [41]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [42]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [43]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [44]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [45]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [46]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [47]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [5]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [48]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [49]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [50]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [51]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [52]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [53]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [54]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [55]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [6]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [56]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [57]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [58]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [59]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [60]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [61]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [62]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [63]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq [7]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [64]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [65]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [66]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [67]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [68]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [69]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [70]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [71]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dm [0]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dmts_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem .TXCLK_POL=1'b0; +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[0].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [0]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [0]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [1]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [2]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [3]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [4]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [5]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [6]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [7]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[1].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [1]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [8]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [9]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [10]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [11]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [12]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [13]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [14]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [15]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[2].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [2]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [16]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [17]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [18]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [19]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [20]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [21]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [22]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [23]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[3].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [3]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [24]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [25]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [26]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [27]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [28]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [29]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [30]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [31]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[4].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [4]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [32]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [33]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [34]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [35]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [36]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [37]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [38]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [39]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[5].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [5]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [40]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [41]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [42]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [43]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [44]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [45]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [46]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [47]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[6].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [6]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [48]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [49]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [50]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [51]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [52]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [53]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [54]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [55]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[7].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [7]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [56]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [57]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [58]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [59]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [60]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [61]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [62]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [63]) +); +DQS \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs ( + .DQSIN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dqs [0]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .RLOADN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n395_6 ), + .RMOVE(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r ), + .RDIR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r ), + .WLOADN(\gw3_top/u_ddr_phy_top/wloadn [1]), + .WMOVE(GND), + .WDIR(GND), + .HOLD(\gw3_top/u_ddr_phy_top/hold_Z [0]), + .READ({\gw3_top/u_ddr_phy_top/read [3:0]}), + .RCLKSEL({\gw3_top/u_ddr_phy_top/rclk_sel [2:0]}), + .DLLSTEP({\gw3_top/u_ddr_phy_top/dll_step [7:0]}), + .WSTEP({\gw3_top/u_ddr_phy_top/wstep_Z [7:0]}), + .DQSR90(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .DQSW0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .DQSW270(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw270 ), + .RVALID(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_wire ), + .RBURST(\gw3_top/u_ddr_phy_top/RBURST [0]), + .RFLAG(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rflag_wire ), + .WFLAG(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wflag ), + .RPOINT({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .WPOINT({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .DQS_MODE="X4"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .FIFO_MODE_SEL=1'b0; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .RD_PNTR=3'b000; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .HWL="true"; +LUT1 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n250_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts1_r ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n250_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n250_s2 .INIT=2'h1; +LUT1 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n251_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsts0_r ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n251_s2 .INIT=2'h1; +LUT1 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n257_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts_r ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n257_s2 .INIT=2'h1; +LUT1 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n258_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqts0_r ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n258_s2 .INIT=2'h1; +LUT1 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n395_s2 ( + .I0(\gw3_top/u_ddr_phy_top/pause ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n395_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[0].u_ddr_phy_data_lane/u_ddr_phy_data_io/n395_s2 .INIT=2'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n587_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [71]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n587_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n587_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n588_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [70]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n588_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n588_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n589_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [69]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n589_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n589_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n590_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [68]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n590_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n590_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n591_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [67]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n591_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n591_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n592_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [66]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n592_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n592_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n593_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [65]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n593_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n593_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n594_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [64]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n594_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n594_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n595_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [63]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n595_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n595_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n596_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [62]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n596_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n596_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n597_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [61]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n597_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n597_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n598_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [60]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n598_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n598_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n599_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [59]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n599_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n599_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n600_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [58]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n600_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n600_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n601_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [57]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n601_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n601_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n602_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [56]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n602_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n602_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n603_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [55]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n603_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n603_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n604_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [54]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n604_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n604_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n605_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [53]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n605_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n605_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n606_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [52]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n606_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n606_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n607_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [51]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n607_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n607_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n608_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [50]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n608_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n608_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n609_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [49]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n609_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n609_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n610_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [48]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n610_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n610_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n611_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [47]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n611_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n611_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n612_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [46]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n612_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n612_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n613_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [45]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n613_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n613_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n614_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [44]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n614_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n614_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n615_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [43]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n615_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n615_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n616_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [42]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n616_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n616_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n617_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [41]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n617_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n617_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n618_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [40]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n618_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n618_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n619_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [39]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n619_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n619_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n620_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [38]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n620_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n620_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n621_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [37]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n621_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n621_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n622_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [36]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n622_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n622_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n623_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [35]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n623_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n623_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n624_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [34]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n624_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n624_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n625_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [33]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n625_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n625_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n626_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [32]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n626_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n626_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n627_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [31]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n627_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n627_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n628_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [30]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n628_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n628_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n629_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [29]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n629_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n629_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n630_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [28]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n630_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n630_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n631_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [27]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n631_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n631_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n632_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [26]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n632_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n632_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n633_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [25]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n633_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n633_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n634_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [24]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n634_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n634_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n635_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [23]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n635_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n635_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n636_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [22]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n636_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n636_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n637_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [21]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n637_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n637_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n638_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [20]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n638_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n638_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n639_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [19]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n639_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n639_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n640_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [18]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n640_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n640_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n641_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n641_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n641_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n642_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n642_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n642_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n643_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [15]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n643_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n643_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n644_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n644_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n644_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n645_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [13]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n645_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n645_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n646_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n646_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n646_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n647_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [11]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n647_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n647_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n648_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n648_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n648_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n649_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n649_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n649_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n650_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n650_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n650_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n651_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n651_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n651_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n652_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n652_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n652_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n653_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n653_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n653_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n654_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n654_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n654_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n655_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n655_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n655_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n656_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n656_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n656_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n657_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n657_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n657_s2 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n658_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n658_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n658_s2 .INIT=8'hBA; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata_mask [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [127]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [111]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [95]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [79]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [126]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [110]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [94]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [78]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [125]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [109]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [93]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [77]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [124]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [108]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [92]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [76]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [123]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [107]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [91]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [75]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [122]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [106]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [90]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [74]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [121]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [105]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [89]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [73]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [120]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [104]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [88]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [72]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_wrdata [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rr [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/of_WrData_rrr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n587_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n588_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n589_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n590_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n591_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n592_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n593_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n594_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n595_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n596_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n597_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n598_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n599_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n600_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n601_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n602_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n603_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n604_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n605_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n606_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n607_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n608_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n609_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n610_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n611_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n612_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n613_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n614_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n615_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n616_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n617_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n618_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n619_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n620_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n621_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n622_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n623_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n624_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n625_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n626_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n627_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n628_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n629_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n630_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n631_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n632_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n633_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n634_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n635_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n636_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n637_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n638_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n639_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n640_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n641_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n642_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n643_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n644_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n645_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n646_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n647_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n648_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n649_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n650_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n651_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n652_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n653_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n654_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n655_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n656_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n657_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/n658_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq_0_s0 .INIT=1'b0; +SDPB \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .CLKB(clk_out), + .CEB(VCC), + .OCE(GND), + .RESET(GND), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [31:0]}), + .ADA({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [5:3], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [5:3], GND, GND, GND, GND, GND}), + .DO({\gw3_top/eye_calib_rdata [123], \gw3_top/eye_calib_rdata [115], \gw3_top/eye_calib_rdata [107], \gw3_top/eye_calib_rdata [99], \gw3_top/eye_calib_rdata [91], \gw3_top/eye_calib_rdata [83], \gw3_top/eye_calib_rdata [75], \gw3_top/eye_calib_rdata [67], \gw3_top/eye_calib_rdata [122], \gw3_top/eye_calib_rdata [114], \gw3_top/eye_calib_rdata [106], \gw3_top/eye_calib_rdata [98], \gw3_top/eye_calib_rdata [90], \gw3_top/eye_calib_rdata [82], \gw3_top/eye_calib_rdata [74], \gw3_top/eye_calib_rdata [66], \gw3_top/eye_calib_rdata [121], \gw3_top/eye_calib_rdata [113], \gw3_top/eye_calib_rdata [105], \gw3_top/eye_calib_rdata [97], \gw3_top/eye_calib_rdata [89], \gw3_top/eye_calib_rdata [81], \gw3_top/eye_calib_rdata [73], \gw3_top/eye_calib_rdata [65], \gw3_top/eye_calib_rdata [120], \gw3_top/eye_calib_rdata [112], \gw3_top/eye_calib_rdata [104], \gw3_top/eye_calib_rdata [96], \gw3_top/eye_calib_rdata [88], \gw3_top/eye_calib_rdata [80], \gw3_top/eye_calib_rdata [72], \gw3_top/eye_calib_rdata [64]}) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .READ_MODE=1'b0; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BIT_WIDTH_0=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BIT_WIDTH_1=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .RESET_MODE="SYNC"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_0_s .BLK_SEL_1=3'b000; +SDPB \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s ( + .CLKA(clk_out), + .CEA(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .CLKB(clk_out), + .CEB(VCC), + .OCE(GND), + .RESET(GND), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [63:32]}), + .ADA({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [5:3], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, GND, \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [5:3], GND, GND, GND, GND, GND}), + .DO({\gw3_top/eye_calib_rdata [127], \gw3_top/eye_calib_rdata [119], \gw3_top/eye_calib_rdata [111], \gw3_top/eye_calib_rdata [103], \gw3_top/eye_calib_rdata [95], \gw3_top/eye_calib_rdata [87], \gw3_top/eye_calib_rdata [79], \gw3_top/eye_calib_rdata [71], \gw3_top/eye_calib_rdata [126], \gw3_top/eye_calib_rdata [118], \gw3_top/eye_calib_rdata [110], \gw3_top/eye_calib_rdata [102], \gw3_top/eye_calib_rdata [94], \gw3_top/eye_calib_rdata [86], \gw3_top/eye_calib_rdata [78], \gw3_top/eye_calib_rdata [70], \gw3_top/eye_calib_rdata [125], \gw3_top/eye_calib_rdata [117], \gw3_top/eye_calib_rdata [109], \gw3_top/eye_calib_rdata [101], \gw3_top/eye_calib_rdata [93], \gw3_top/eye_calib_rdata [85], \gw3_top/eye_calib_rdata [77], \gw3_top/eye_calib_rdata [69], \gw3_top/eye_calib_rdata [124], \gw3_top/eye_calib_rdata [116], \gw3_top/eye_calib_rdata [108], \gw3_top/eye_calib_rdata [100], \gw3_top/eye_calib_rdata [92], \gw3_top/eye_calib_rdata [84], \gw3_top/eye_calib_rdata [76], \gw3_top/eye_calib_rdata [68]}) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .READ_MODE=1'b0; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BIT_WIDTH_0=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BIT_WIDTH_1=32; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .RESET_MODE="SYNC"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BLK_SEL_0=3'b000; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_in_fifo/mem0_mem0_0_1_s .BLK_SEL_1=3'b000; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/oserdes_dq [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/rmove [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/rdir [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_wire ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/iserdes_dq_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d_s0 ( + .D(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[1].read_adj ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d_s0 .INIT=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_dqs_r ), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_r ), + .D7(GND), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw270 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n250_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n251_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqs_0 [1]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqsts_0 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen .TCLK_SOURCE="DQSW270"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs_gen .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [0]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [1]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [2]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [3]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [4]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [5]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [6]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [7]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [8]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[0].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [8]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [9]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [10]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [11]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [12]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [13]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [14]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [15]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [9]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[1].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [16]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [17]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [18]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [19]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [20]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [21]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [22]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [23]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [10]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[2].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [24]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [25]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [26]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [27]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [28]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [29]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [30]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [31]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [11]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[3].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [32]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [33]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [34]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [35]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [36]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [37]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [38]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [39]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [12]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[4].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [40]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [41]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [42]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [43]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [44]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [45]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [46]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [47]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [13]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[5].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [48]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [49]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [50]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [51]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [52]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [53]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [54]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [55]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [14]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[6].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [56]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [57]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [58]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [59]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [60]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [61]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [62]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [63]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dq_0 [15]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dqts_0 [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[7].u_oser8_mem .TXCLK_POL=1'b0; +OSER8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [64]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [65]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [66]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [67]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [68]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [69]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [70]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_dq_r [71]), + .PCLK(clk_out), + .FCLK(memory_clk), + .TCLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .RESET(ddr_rst), + .TX0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n257_6 ), + .TX1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .TX3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n258_6 ), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dm_0 [1]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/out_dmts_Z_0 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem .TCLK_SOURCE="DQSW"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/oserdes_gen[8].u_oser8_mem .TXCLK_POL=1'b0; +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[0].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [8]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [0]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [1]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [2]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [3]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [4]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [5]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [6]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [7]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[1].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [9]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [8]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [9]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [10]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [11]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [12]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [13]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [14]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [15]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[2].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [10]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [16]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [17]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [18]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [19]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [20]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [21]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [22]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [23]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[3].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [11]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [24]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [25]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [26]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [27]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [28]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [29]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [30]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [31]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[4].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [12]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [32]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [33]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [34]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [35]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [36]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [37]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [38]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [39]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[5].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [13]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [40]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [41]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [42]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [43]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [44]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [45]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [46]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [47]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[6].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [14]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [48]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [49]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [50]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [51]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [52]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [53]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [54]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [55]) +); +IDES8_MEM \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_gen[7].u_ides8_mem ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dq_p [15]), + .ICLK(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .CALIB(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/ides_calib_d ), + .WADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}), + .RADDR({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [56]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [57]), + .Q2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [58]), + .Q3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [59]), + .Q4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [60]), + .Q5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [61]), + .Q6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [62]), + .Q7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/iserdes_dq_wire [63]) +); +DQS \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs ( + .DQSIN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/in_dqs [1]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .RLOADN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/n395_6 ), + .RMOVE(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rmove_r ), + .RDIR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rdir_r ), + .WLOADN(\gw3_top/u_ddr_phy_top/wloadn [1]), + .WMOVE(GND), + .WDIR(GND), + .HOLD(\gw3_top/u_ddr_phy_top/hold_Z [1]), + .READ({\gw3_top/u_ddr_phy_top/read [7:4]}), + .RCLKSEL({\gw3_top/u_ddr_phy_top/rclk_sel [5:3]}), + .DLLSTEP({\gw3_top/u_ddr_phy_top/dll_step [7:0]}), + .WSTEP({\gw3_top/u_ddr_phy_top/wstep_Z [15:8]}), + .DQSR90(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsr90 ), + .DQSW0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw0 ), + .DQSW270(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/dqsw270 ), + .RVALID(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rvalid_wire ), + .RBURST(\gw3_top/u_ddr_phy_top/RBURST_0 [1]), + .RFLAG(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rflag_wire ), + .WFLAG(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wflag ), + .RPOINT({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/rpoint [2:0]}), + .WPOINT({\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/wpoint [2:0]}) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .DQS_MODE="X4"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .FIFO_MODE_SEL=1'b0; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .RD_PNTR=3'b000; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/data_lane_gen[1].u_ddr_phy_data_lane/u_ddr_phy_data_io/u_dqs .HWL="true"; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/invalid_wdata_en_Z_s ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/invalid_wdata_en_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/invalid_wdata_en_Z_s .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_2_s0 ( + .I0(\gw3_top/u_ddr_phy_top/mux_cs_n [2]), + .I1(\gw3_top/u_ddr_phy_top/mux_cas_n [2]), + .I2(\gw3_top/u_ddr_phy_top/mux_we_n [2]), + .I3(\gw3_top/u_ddr_phy_top/mux_ras_n [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_2_s0 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_2_s0 ( + .I0(\gw3_top/u_ddr_phy_top/mux_cs_n [2]), + .I1(\gw3_top/u_ddr_phy_top/mux_cas_n [2]), + .I2(\gw3_top/u_ddr_phy_top/mux_ras_n [2]), + .I3(\gw3_top/u_ddr_phy_top/mux_we_n [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_2_s0 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_3_s0 ( + .I0(\gw3_top/u_ddr_phy_top/mux_cs_n [3]), + .I1(\gw3_top/u_ddr_phy_top/mux_cas_n [3]), + .I2(\gw3_top/u_ddr_phy_top/mux_we_n [3]), + .I3(\gw3_top/u_ddr_phy_top/mux_ras_n [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_3_s0 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_3_s0 ( + .I0(\gw3_top/u_ddr_phy_top/mux_cs_n [3]), + .I1(\gw3_top/u_ddr_phy_top/mux_cas_n [3]), + .I2(\gw3_top/u_ddr_phy_top/mux_ras_n [3]), + .I3(\gw3_top/u_ddr_phy_top/mux_we_n [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_3_s0 .INIT=16'h1000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n240_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n240_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n240_s0 .INIT=4'hE; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n283_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n283_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n283_s0 .INIT=4'hE; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg_d_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n240_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_line0 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_rd_q_reg_d_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_d_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/read_line2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read_line3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_d_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_d_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/read_line1 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read_line2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_d_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_d_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_line0 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read_line1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/read_d_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/cmd_wr_q_reg_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/dqs_reg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/n283_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/dqs_reg_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/dqs_reg_d_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqs_reg ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/dqsts1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/dqs_reg_d_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_91_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_bank [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [91]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_90_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_bank [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [90]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_89_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [89]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_88_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [88]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_87_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_bank [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [87]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_86_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_bank [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [86]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_85_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [85]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_84_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [84]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_83_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_bank [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [83]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_82_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_bank [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [82]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_81_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [81]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_80_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [80]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_79_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [79]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_78_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [78]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_77_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [77]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_76_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [76]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_75_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [75]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_74_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [74]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_73_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [73]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_72_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [72]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_addr [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_odt [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_odt [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_odt [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_odt [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cke [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cke [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cke [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cke [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_we_n [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_we_n [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cas_n [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cas_n [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_ras_n [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_ras_n [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/mux_cs_n [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_90_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [90]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [90]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_89_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [89]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [89]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_88_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [88]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [88]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_87_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [87]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [87]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_86_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [86]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [86]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_85_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [85]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [85]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_84_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [84]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [84]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_83_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [83]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [83]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_82_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [82]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [82]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_81_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [81]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [81]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_80_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [80]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [80]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_79_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [79]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [79]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_78_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [78]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [78]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_77_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [77]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [77]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_76_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [76]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [76]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_75_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [75]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [75]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_74_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [74]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [74]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_73_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [73]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [73]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_72_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [72]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [72]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_91_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [91]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [91]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_90_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [90]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [90]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_89_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [89]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [89]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_88_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [88]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [88]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_87_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [87]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [87]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_86_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [86]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [86]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_85_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [85]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [85]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_84_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [84]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [84]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_83_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [83]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [83]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_82_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [82]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [82]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_81_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [81]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [81]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_80_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [80]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [80]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_79_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [79]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [79]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_78_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [78]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [78]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_77_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [77]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [77]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_76_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [76]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [76]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_75_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [75]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [75]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_74_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [74]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [74]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_73_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [73]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [73]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_72_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [72]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [72]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_70_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_69_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_68_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_66_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_65_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_64_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_63_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_62_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_61_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_60_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_59_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_58_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_57_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_56_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_55_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_54_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_53_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_52_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_51_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_50_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_49_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_48_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_47_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_46_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_45_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_44_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_43_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_42_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_41_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_40_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_39_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_38_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_37_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_36_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_35_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_34_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_33_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_32_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_31_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_30_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_29_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_28_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_27_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_26_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_25_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_24_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_23_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_22_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_21_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_20_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_19_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_18_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_17_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_16_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_91_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/oserdes_d_reg [91]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r [91]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_r_91_s0 .INIT=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[0].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [0]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [0]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [1]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [1]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [2]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [2]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [3]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [3]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [0]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[0].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[0].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[0].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[1].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [4]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [4]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [5]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [5]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [6]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [6]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [7]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [7]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [1]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[1].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[1].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[1].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[2].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [8]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [8]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [9]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [9]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [10]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [10]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [11]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [11]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [2]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[2].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[2].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[2].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[3].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [12]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [12]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [13]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [13]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [14]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [14]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [15]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [15]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [3]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[3].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[3].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[3].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[4].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [16]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [16]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [17]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [17]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [18]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [18]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [19]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [19]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [4]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[4].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[4].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[4].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[5].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [20]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [20]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [21]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [21]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [22]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [22]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [23]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [23]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [5]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[5].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[5].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[5].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[6].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [24]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [24]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [25]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [25]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [26]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [26]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [27]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [27]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [6]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[6].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[6].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[6].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[7].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [28]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [28]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [29]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [29]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [30]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [30]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [31]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [31]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [7]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[7].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[7].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[7].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[8].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [32]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [32]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [33]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [33]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [34]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [34]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [35]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [35]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [8]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[8].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[8].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[8].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[9].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [36]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [36]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [37]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [37]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [38]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [38]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [39]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [39]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [9]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[9].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[9].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[9].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[10].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [40]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [40]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [41]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [41]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [42]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [42]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [43]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [43]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [10]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[10].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[10].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[10].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[11].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [44]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [44]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [45]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [45]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [46]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [46]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [47]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [47]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [11]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[11].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[11].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[11].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[12].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [48]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [48]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [49]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [49]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [50]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [50]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [51]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [51]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [12]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[12].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[12].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[12].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[13].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [52]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [52]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [53]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [53]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [54]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [54]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [55]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [55]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [13]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[13].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[13].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[13].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[14].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [56]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [56]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [57]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [57]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [58]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [58]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [59]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [59]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [14]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[14].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[14].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[14].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[15].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [60]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [60]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [61]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [61]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [62]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [62]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [63]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [63]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [15]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[15].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[15].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[15].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[16].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [64]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [64]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [65]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [65]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [66]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [66]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [67]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [67]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [16]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[16].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[16].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[16].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[17].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [68]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [68]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [69]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [69]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [70]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [70]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [71]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [71]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [17]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[17].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[17].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[17].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[18].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [72]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [72]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [73]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [73]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [74]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [74]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [75]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [75]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [18]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[18].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[18].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[18].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[19].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [76]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [76]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [77]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [77]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [78]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [78]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [79]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [79]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [19]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[19].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[19].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[19].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[20].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [80]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [80]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [81]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [81]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [82]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [82]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [83]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [83]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [20]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[20].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[20].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[20].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[21].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [84]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [84]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [85]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [85]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [86]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [86]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [87]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [87]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [21]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[21].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[21].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[21].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[22].u_cmd_gen ( + .D0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [88]), + .D1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [88]), + .D2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [89]), + .D3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [89]), + .D4(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [90]), + .D5(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [90]), + .D6(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [91]), + .D7(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/oserdes_d_rr [91]), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/cmd_line [22]), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[22].u_cmd_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[22].u_cmd_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/cmd_oserdes_gen[22].u_cmd_gen .TXCLK_POL=1'b0; +OSER8 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/u_ck_gen ( + .D0(GND), + .D1(VCC), + .D2(GND), + .D3(VCC), + .D4(GND), + .D5(VCC), + .D6(GND), + .D7(VCC), + .PCLK(clk_out), + .FCLK(memory_clk), + .RESET(ddr_rst), + .TX0(GND), + .TX1(GND), + .TX2(GND), + .TX3(GND), + .Q0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/ddr_clk_out ), + .Q1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/u_ck_gen_1_Q1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/u_ck_gen .HWL="false"; +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_cmd_lane/u_ddr_phy_cmd_io/u_ck_gen .TXCLK_POL=1'b0; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext_2_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext_2_s0 .INIT=4'h6; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_s3 .INIT=4'h6; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_2_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_2_s3 .INIT=8'h78; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_3_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_3_s2 .INIT=16'h7F80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_0_s3 ( + .I0(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_0_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_0_s3 .INIT=4'h6; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_3_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_1_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_3_s2 .INIT=16'h7F80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_1_s4 ( + .I0(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_1_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_1_s4 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_s5 .INIT=16'h1500; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_0_s5 .INIT=16'hEA15; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext_0_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [1]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext_0_s1 .INIT=8'h96; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext_1_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext_1_s1 .INIT=8'h96; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext_0_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_0_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext_0_s1 .INIT=8'h96; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext_2_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext_2_s1 .INIT=16'h8778; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_2_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [1]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_2_s4 .INIT=16'h7F80; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [1]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_1_s5 .INIT=8'h6A; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rempty_val_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n33_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rempty_val ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rempty_val_s1 .INIT=8'h41; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext_1_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [1]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext_1_s1 .INIT=16'h956A; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbinnext_0_11 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rbin_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wgraynext [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wptr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbinnext_0_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_wbin [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq1_wptr_3_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_Empty_reg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rempty_val ), + .CLK(clk_out), + .CE(VCC), + .PRESET(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_Empty_reg_s0 .INIT=1'b1; +ALU \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n31_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n31_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n31_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n31_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n32_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [1]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n31_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n32_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n32_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n32_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n33_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rgraynext [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/if_rq2_wptr [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n32_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n33_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n33_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[0].fifo_ctrl/n33_s0 .ALU_MODE=3; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext_2_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext_2_s0 .INIT=4'h6; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_s3 .INIT=4'h6; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_2_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_2_s3 .INIT=8'h78; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_3_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_3_s2 .INIT=16'h7F80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_0_s3 ( + .I0(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_0_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_0_s3 .INIT=4'h6; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_3_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_1_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_3_s2 .INIT=16'h7F80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_1_s4 ( + .I0(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_1_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_1_s4 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_s5 .INIT=16'h1500; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_fifo_rd_flg [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_0_s5 .INIT=16'hEA15; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext_0_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [1]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext_0_s1 .INIT=8'h96; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext_1_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext_1_s1 .INIT=8'h96; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext_0_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_0_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext_0_s1 .INIT=8'h96; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext_2_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [5]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext_2_s1 .INIT=16'h8778; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_2_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_2_s4 .INIT=16'h7F80; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_1_s5 .INIT=8'h6A; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rempty_val_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n33_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rempty_val ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rempty_val_s1 .INIT=8'h41; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext_1_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [4]), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext_1_s1 .INIT=16'h956A; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbinnext_0_11 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_raddr_0 [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rbin_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wgraynext [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wptr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbinnext_0_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_waddr_0 [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_wbin [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq1_wptr_3_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_Empty_reg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rempty_val ), + .CLK(clk_out), + .CE(VCC), + .PRESET(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_empty_0 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_Empty_reg_s0 .INIT=1'b1; +ALU \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n31_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n31_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n31_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n31_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n32_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [1]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n31_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n32_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n32_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n32_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n33_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rgraynext [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/if_rq2_wptr [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n32_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n33_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n33_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/fifo_ctrl_gen[1].fifo_ctrl/n33_s0 .ALU_MODE=3; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_reset_gen/if_wrreset_Z_s ( + .I0(ddr_rst), + .I1(\gw3_top/u_ddr_phy_top/if_fifo_rst_Z ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_phy_wds/if_wrreset_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_phy_wds/u_ddr_phy_reset_gen/if_wrreset_Z_s .INIT=4'hE; +LUT2 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n109_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n109_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n109_s0 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_s0 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I1(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n61_2 ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_s0 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_s0 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I1(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_s0 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r [0]), + .I2(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_4 ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_s0 .INIT=16'h0F44; +LUT2 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_s0 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I1(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_s0 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n353_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r [1]), + .I2(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_4 ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n353_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n353_s0 .INIT=16'h0F44; +LUT2 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_Z_s ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch [1]), + .F(\gw3_top/u_ddr_phy_top/sys_reset_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_Z_s .INIT=4'hE; +LUT2 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_Z ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_s1 .INIT=4'h4; +LUT2 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_s1 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I1(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_s1 .INIT=4'h7; +LUT2 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_s1 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I1(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_s1 .INIT=4'h7; +LUT3 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_s4 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_s4 .INIT=8'hBA; +LUT3 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_s4 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_s4 .INIT=8'hBA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n327_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [0]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n327_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n327_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n326_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [1]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n326_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n326_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n325_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [2]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n325_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n325_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n324_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [3]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n324_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n324_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n323_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [4]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n323_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n323_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n322_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [5]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n322_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n322_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n321_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [6]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n321_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n321_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n320_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n312_2 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [7]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n320_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n320_s2 .INIT=16'hACAA; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n146_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n146_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n146_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n145_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n145_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n145_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n144_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [2]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n144_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n144_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n143_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [3]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n143_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n143_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n142_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [4]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n142_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n142_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n141_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [5]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n141_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n141_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n140_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [6]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n140_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n140_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n139_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [7]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n131_2 ), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n139_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n139_s2 .INIT=16'hCACC; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n254_s3 ( + .I0(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .I1(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [0]), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n254_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n254_s3 .INIT=16'hBF40; +LUT3 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_s1 ( + .I0(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .I1(\gw3_top/u_ddr_phy_top/init_rmove [0]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [0]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_s1 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n435_s3 ( + .I0(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .I1(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [1]), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n435_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n435_s3 .INIT=16'hBF40; +LUT3 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_s1 ( + .I0(\gw3_top/u_ddr_phy_top/init_rdir [1]), + .I1(\gw3_top/u_ddr_phy_top/init_rmove [1]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [1]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_s1 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n102_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [7]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [7]), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n102_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n102_s1 .INIT=16'h4100; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n284_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n280_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [7]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [7]), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_start ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n284_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n284_s1 .INIT=16'h4100; +LUT4 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n465_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n461_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [7]), + .I2(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [7]), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_start ), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n465_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n465_s1 .INIT=16'h4100; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_rr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/read_calib_rmove_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/dll_step_base [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/init_rmove_done_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_rr_s0 ( + .D(\gw3_top/u_ddr_phy_top/init_rmove_done_r ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_rr ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_rr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_start_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n109_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_start ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_start_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n34_2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_2 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n41_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n66_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n73_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n64_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n102_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n172_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/init_rmove [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n247_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n245_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n284_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n353_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/init_rmove [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rmove_1_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_1_s0 ( + .D(GND), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_done_rr ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/init_rdir [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_1_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n428_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n426_5 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n465_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/sys_reset_ch_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/init_rmove_start_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_start_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n139_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_6_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n140_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n141_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n142_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n143_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n144_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n145_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n146_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_7_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n320_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_14_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n321_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_14_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_13_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n322_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_13_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_12_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n323_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_12_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_11_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n324_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_11_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_10_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n325_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_10_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_9_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n326_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_9_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_8_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n327_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_15_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/realtime_dllcode_8_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n254_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n435_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt_0_s1 .INIT=1'b0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [0]), + .I1(VCC), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [1]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n138_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [2]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n137_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [3]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n136_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [4]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n135_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [5]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n134_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [6]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n133_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n131_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [7]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n110_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n132_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n131_1_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n131_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n131_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [8]), + .I1(VCC), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [9]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n319_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [10]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n318_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [11]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n317_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [12]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n316_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [13]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n315_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [14]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n314_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_1_1 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n312_1_s ( + .I0(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [15]), + .I1(GND), + .I3(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n291_1_4 ), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n313_1_1 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n312_1_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n312_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n312_1_s .ALU_MODE=2; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_s ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n72_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n71_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n70_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n69_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n68_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n66_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [7]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n67_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n66_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n66_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n66_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_s ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n253_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n252_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n251_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n250_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n249_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n247_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [7]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n248_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n247_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n247_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n247_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_s ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n434_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n433_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n432_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n431_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n430_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n428_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [7]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n429_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n428_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n428_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n428_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_s ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [0]), + .I3(GND), + .CIN(VCC), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n40_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_s ( + .I0(VCC), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n39_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_s ( + .I0(VCC), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n38_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_s ( + .I0(VCC), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n37_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_s ( + .I0(VCC), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n36_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n34_s ( + .I0(VCC), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [7]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n35_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n34_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n34_2 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n34_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [1]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n60_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [7]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n61_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n60_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n60_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_5 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_2_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_s1 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [1]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n53_5 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_5 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_2_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_s1 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n54_5 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_5 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_2_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_s1 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n55_5 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_5 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_2_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_s1 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n56_5 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_5 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_2_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_s1 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n57_5 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_5 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_2_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_s1 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/STATIC_RMOVE_TIMES [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n58_5 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_5 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_2_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n59_s1 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n274_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n274_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n274_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n274_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n275_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [1]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n274_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n275_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n275_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n275_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n276_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n275_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n276_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n276_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n276_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n277_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n276_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n277_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n277_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n277_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n278_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n277_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n278_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n278_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n278_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n279_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n278_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n279_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n279_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n279_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n280_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].add_rmove_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n279_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n280_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n280_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n280_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n455_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n455_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n455_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n455_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n456_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [1]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n455_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n456_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n456_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n456_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n457_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n456_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n457_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n457_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n457_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n458_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n457_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n458_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n458_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n458_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n459_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n458_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n459_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n459_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n459_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n460_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n459_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n460_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n460_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n460_s0 .ALU_MODE=3; +ALU \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n461_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[1].add_rmove_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/rdir_gen[0].rigth_stop [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n460_3 ), + .COUT(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n461_3 ), + .SUM(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n461_1_SUM ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n461_s0 .ALU_MODE=3; +LUT1 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n73_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/init_rmove_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n73_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n73_s2 .INIT=2'h1; +LUT1 \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n41_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/dllstep_r [0]), + .F(\gw3_top/u_ddr_phy_top/u_init_rmove_mod/n41_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_init_rmove_mod/n41_s2 .INIT=2'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n69_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [2]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [4]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n69_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n69_s0 .INIT=16'h0100; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_s0 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_s0 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n119_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n119_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n119_s0 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [7]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [6]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_s0 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_s0 .INIT=16'hAA3C; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [4]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_s0 .INIT=16'hAA3C; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_s0 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_s0 .INIT=16'hAA3C; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n144_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n144_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n144_s0 .INIT=16'hAAC3; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n145_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n145_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n145_s0 .INIT=8'hA3; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n231_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n231_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n231_s0 .INIT=16'hFF10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [15]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [15]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_s0 .INIT=16'hAA3C; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [14]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_s0 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [13]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_s0 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [12]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [12]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_s0 .INIT=16'hAA3C; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [11]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_s0 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [10]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [10]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_s0 .INIT=16'hAA3C; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n409_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [9]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [8]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [9]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n409_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n409_s0 .INIT=16'hAAC3; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n410_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [8]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [8]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n410_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n410_s0 .INIT=8'hA3; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n496_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n496_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n496_s0 .INIT=16'hFF10; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I1(\gw3_top/u_ddr_phy_top/eye_rmove [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_s3 .INIT=8'hF8; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rrr [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_s2 .INIT=8'h40; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I1(\gw3_top/u_ddr_phy_top/eye_rmove [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_s3 .INIT=8'hF8; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rrr [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_s2 .INIT=8'h40; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_s24 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_37 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_38 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_s24 .INIT=4'hB; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_s24 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_37 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_38 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_s24 .INIT=4'hB; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_2_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_3 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_2_s5 .INIT=16'hFF70; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_s5 .INIT=16'hFF70; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n69_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_0_s5 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [15]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [14]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_39 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_s22 .INIT=8'h10; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [7]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [6]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_39 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_s22 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n543_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n543_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n543_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n541_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n541_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n541_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [6]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n278_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n278_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n278_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n276_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n276_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n276_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n274_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n274_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n274_s2 .INIT=16'h0708; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n444_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .I1(\gw3_top/u_ddr_phy_top/rdir_0 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n444_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n444_s1 .INIT=8'hF4; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n179_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .I1(\gw3_top/u_ddr_phy_top/rdir_0 [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n179_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n179_s1 .INIT=8'hF4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_4_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n69_3 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_4_s6 .INIT=16'hFF80; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [4]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [2]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [4]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_s1 .INIT=16'hEF10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [4]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_s2 .INIT=16'h0100; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_s1 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [2]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_s1 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_s1 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [14]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [13]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [12]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [13]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [12]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [14]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_s1 .INIT=16'hEF10; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [12]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [13]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_s1 .INIT=8'hB4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [8]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [11]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [10]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [9]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_s1 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [8]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [9]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_s1 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_s25 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_s25 .INIT=16'hFE00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_s26 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_s26 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_s25 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_s25 .INIT=16'hFE00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_s26 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_s26 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_left_flag_r ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s_1_s6 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [13]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [12]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [11]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [10]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_39 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_s23 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [4]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [3]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [2]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_39 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_s23 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_s3 .INIT=4'h4; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_s3 .INIT=4'h4; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_s3 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_10 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_s3 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [3]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_s2 .INIT=16'hFE01; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [10]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [8]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [9]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [11]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_s2 .INIT=16'hFE01; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [4]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_s4 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [4]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [6]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_s2 .INIT=16'hEF10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_s4 .INIT=16'h1444; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_s4 .INIT=16'h1444; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_8 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_s4 .INIT=16'h0BB0; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [4]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_10 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_s5 .INIT=16'h0BB0; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n280_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n280_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n280_s3 .INIT=16'h0BB0; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_s4 .INIT=8'h45; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [4]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_10 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_s5 .INIT=16'h0BB0; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n545_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n545_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n545_s3 .INIT=16'h0BB0; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_s4 .INIT=8'h45; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n195_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n195_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n195_s5 .INIT=16'hBF40; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n196_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n196_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n196_s3 .INIT=8'hB4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n460_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n460_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n460_s5 .INIT=16'hBF40; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n461_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n461_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n461_s3 .INIT=8'hB4; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_calib_error_valid_Z [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_calib_error_Z [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_calib_error_Z [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rrr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rrr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_valid_rrr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rr [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_error_rrr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_rmove [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_rmove [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_r [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_rr_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/realtime_dllcode_Z [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/if_fifo_rst_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n94_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/if_fifo_rst_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/if_fifo_rst_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_left_done_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n102_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rmove_left_done_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_left_done_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_done_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n69_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_done_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_done_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_rmove_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n119_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_rmove_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_rmove_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n166_38 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r_0_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rdir_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n179_6 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rdir_0 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rdir_0_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n231_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_rmove [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid_0_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n253_36 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n274_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n275_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n276_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n277_12 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n278_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n279_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n280_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n281_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].cor_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n431_38 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rflag_r_1_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rdir_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n444_6 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rdir_0 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rdir_1_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n496_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_rmove [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid_1_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n518_36 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/screen_valid_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n539_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n540_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n541_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n542_12 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n543_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n544_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n545_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n546_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_7_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].cor_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_left_flag_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/rmove_left_flag_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_left_flag_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/rmove_left_flag_r_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n_s [0]), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/c_s_0_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n138_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_6_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n139_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n140_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n141_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n142_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n143_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n144_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n145_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n403_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_14_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n404_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_14_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_13_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n405_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_13_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_12_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n406_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_12_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_11_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n407_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_11_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_10_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n408_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_10_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_9_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n409_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_9_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_8_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n410_3 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_15_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/realtime_dllcode_rr_8_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt_1_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n195_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt_1_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt_0_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n196_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[0].rmove_cnt_0_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt_1_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n460_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt_1_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt_0_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/n461_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib_rmove_mod/eye_calib_gen[1].rmove_cnt_0_s2 .INIT=1'b0; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_s0 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4125_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [6]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4125_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4125_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4125_s0 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4136_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_r ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4136_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4136_s0 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4316_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [2]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4316_8 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4316_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4316_s2 .INIT=16'h7800; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4317_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4316_8 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4317_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4317_s1 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n5525_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_5 ), + .I1(wr_data_rdy), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n5525_4 ), + .I3(\gw3_top/full ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n5525_s0 .INIT=16'h004F; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error_Z_0_s ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1 [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2 [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3 [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4 [0]), + .F(\gw3_top/u_ddr_phy_top/eye_calib_error_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error_Z_0_s .INIT=16'hFFFE; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error_Z_1_s ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3 [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4 [1]), + .F(\gw3_top/u_ddr_phy_top/eye_calib_error_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error_Z_1_s .INIT=16'hFFFE; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_7 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s1 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4228_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4228_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4228_s1 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s3 .INIT=8'hFE; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_2_s6 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_done_r ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_rmove_r ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_2_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_2_s6 .INIT=16'h40FF; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_s5 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_done_r ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_s5 .INIT=16'h2C00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_s5 .INIT=16'hF888; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_5_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_14 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_5_s6 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_4_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_7 ), + .I1(wr_data_rdy), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_14 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_4_s6 .INIT=16'h88F0; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_14 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_s6 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_12 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_5 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n5525_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_s5 .INIT=16'h1000; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3763_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4339_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3763_s6 .INIT=4'h6; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4102_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4102_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4102_s1 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4100_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4101_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [3]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4100_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4100_s1 .INIT=16'h7800; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4099_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4099_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4099_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4099_s1 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4429_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4430_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [3]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4429_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4429_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4427_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4428_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [5]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4427_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4427_s2 .INIT=16'h0708; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4264_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4264_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4264_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4264_s3 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4263_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4264_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4263_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4263_s3 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4261_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4262_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [3]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4264_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4261_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4261_s4 .INIT=16'h7800; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3771_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n3771_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3771_s8 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3768_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n3768_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3768_s7 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4476_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4476_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4476_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_s1 .INIT=16'hFF80; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3804_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n3804_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3804_s7 .INIT=4'hB; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_12 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s3 .INIT=16'hB0FF; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_12 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s3 .INIT=16'hB0FF; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [62]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_12 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s3 .INIT=16'hE0FF; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_12 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s3 .INIT=16'hB0FF; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_s1 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_done_r ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_rmove_r ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_s1 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_s2 .INIT=8'h40; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_s1 .INIT=8'h10; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4125_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4099_9 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4125_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4125_s1 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4318_s3 ( + .I0(wr_data_rdy), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ), + .I3(\gw3_top/full ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4318_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4318_s3 .INIT=16'h00F8; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n5525_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n5525_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n5525_s1 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [3]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_9 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s2 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_9 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s3 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [4]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_9 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s4 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_2_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_14 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_done_r ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_3 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_2_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_2_s7 .INIT=16'h0BBB; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_s6 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_9 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_s6 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_1_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_done_r ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_1_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_1_s6 .INIT=16'hFA3F; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_s6 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_4 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_s6 .INIT=16'h2C00; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_0_s7 .INIT=8'h60; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4101_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4101_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4101_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4430_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4430_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4430_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4262_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4262_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4262_s4 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [2]), + .I3(\gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4476_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_s2 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [3]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_rr ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4476_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4476_s3 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_13 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_15 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s4 .INIT=16'h00BF; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [8]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s5 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_29 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_31 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s6 .INIT=16'h1F00; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s7 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_12 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_13 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_14 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s4 .INIT=16'hF400; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_start_r_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s5 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_12 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_13 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_14 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s4 .INIT=16'h007F; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_15 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_16 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [39]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s5 .INIT=16'hBF00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_11 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_12 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_13 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s4 .INIT=16'h001F; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_11 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_12 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [64]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s4 .INIT=16'hE000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_12 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_13 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s4 .INIT=16'h001F; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_14 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_15 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [80]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s5 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_11 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_12 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_13 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s4 .INIT=16'hF400; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_12 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [127]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_13 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s4 .INIT=16'hCA00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_14 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_15 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_16 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s5 .INIT=16'h1F00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [4]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [5]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4053_s2 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [5]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s4 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4184_s5 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [3]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s5 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_18 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_19 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s8 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [3]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_20 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s9 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [9]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_21 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_22 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s10 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_15 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_27 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_17 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [23]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s6 .INIT=16'h0F77; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [19]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_18 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s7 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_19 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [27]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [19]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_20 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s8 .INIT=16'hE000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_21 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [27]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_22 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s9 .INIT=8'hB0; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [33]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [34]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_17 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s6 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [32]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [37]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_18 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s7 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [35]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_19 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s8 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [39]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_20 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_21 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_22 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s9 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_23 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_13 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_20 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s10 .INIT=16'h0777; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [37]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [38]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [40]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s11 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [59]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_15 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_16 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s5 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [59]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_17 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_18 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_19 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s6 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [51]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [54]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [55]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_20 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s7 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_21 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_22 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [54]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_23 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s8 .INIT=16'hCA00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_13 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_15 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_16 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s5 .INIT=16'hF800; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_17 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [65]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_18 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s6 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [77]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [68]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [70]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [66]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s7 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_16 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_17 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_18 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s6 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [95]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_19 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_20 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_21 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s7 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_19 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_22 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [94]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_26 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s8 .INIT=16'hF800; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_16 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [94]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_24 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s9 .INIT=8'hA3; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [89]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [90]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [93]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [85]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s10 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_14 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_15 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_16 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [110]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s5 .INIT=16'h0F77; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [97]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [99]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [101]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s6 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [99]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_17 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [110]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s7 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_18 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [103]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_19 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [96]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s8 .INIT=16'hE000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [123]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_17 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_18 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s6 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [118]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [115]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_19 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_20 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s7 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_21 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_22 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s8 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_17 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_19 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_23 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s9 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [115]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_20 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_22 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_24 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s10 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [118]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [127]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_21 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s11 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [2]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [9]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s13 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [4]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [10]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [13]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [14]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s14 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [11]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [12]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [15]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s15 .INIT=16'h0100; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_25 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s16 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [3]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_26 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s17 .INIT=8'h10; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [7]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [8]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s18 .INIT=8'h01; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_27 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s19 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [20]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [21]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [29]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s10 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [22]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [29]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [24]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_24 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s12 .INIT=16'h1000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [16]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [17]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [25]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s13 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [20]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [21]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_23 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_25 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s14 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [24]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [23]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [22]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s15 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [31]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_15 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_18 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s16 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [26]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [28]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [30]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [18]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s17 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [42]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [45]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [46]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [36]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s12 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [40]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [41]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [38]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [39]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s13 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [43]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [44]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [47]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s14 .INIT=16'h0100; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_24 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_25 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s15 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [35]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [44]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [43]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [47]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s16 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [37]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [38]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [40]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s17 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [32]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [41]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_21 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s18 .INIT=16'h1000; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [63]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s9 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [52]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [53]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [61]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s10 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [48]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [49]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [50]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [57]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s11 .INIT=16'h1000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [61]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [53]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [52]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s12 .INIT=8'h40; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [63]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s13 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [57]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [49]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [50]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [48]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s14 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [56]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [58]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [60]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s15 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [55]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [56]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_17 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s16 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [55]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [56]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_15 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_18 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s17 .INIT=16'h1000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [51]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_16 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_24 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s18 .INIT=8'h40; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [65]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [69]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [71]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s8 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [79]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [75]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_19 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s9 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [75]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_20 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_21 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_22 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s10 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [67]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [78]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s11 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_20 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_23 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_19 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_24 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s12 .INIT=16'h0777; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [67]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [69]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [71]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s13 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [88]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [83]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [87]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [82]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s11 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [92]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [95]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s12 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [91]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [84]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [86]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [81]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s13 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [82]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [81]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [84]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [88]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s14 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [86]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [92]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s15 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [87]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [91]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [83]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s16 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [83]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [87]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [91]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s17 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [81]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [84]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [91]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s19 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [104]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [105]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [106]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [108]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s9 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [107]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [111]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s10 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [111]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [107]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_20 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s11 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [97]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_21 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [103]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s12 .INIT=16'hBF00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [97]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [101]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_15 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_20 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s13 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [109]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [100]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [102]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [98]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s14 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [120]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [114]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [115]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [112]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s12 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [124]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [118]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [119]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [113]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s13 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [123]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [124]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [119]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s14 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [114]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [113]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [112]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [120]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s15 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [121]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [122]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [125]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s16 .INIT=8'h01; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [126]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [117]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [116]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s17 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [113]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [116]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [117]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [126]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s18 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [119]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [123]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [124]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s19 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [10]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [13]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [14]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [4]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s20 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [12]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [15]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [11]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s21 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [8]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [9]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [7]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s22 .INIT=16'h1000; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [31]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s18 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [21]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [31]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [20]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s19 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [25]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [29]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [16]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [17]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s20 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [32]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [33]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [34]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [36]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s19 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [41]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [42]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [45]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [46]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s20 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [58]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [59]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [60]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s19 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [72]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [73]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [74]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [76]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s14 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [73]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [72]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [74]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [76]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s15 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [79]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s16 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [71]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [69]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [65]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s17 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [78]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [79]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [75]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s18 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [75]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [78]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [79]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s19 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [105]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [104]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [106]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [108]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s15 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [101]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [111]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [107]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s16 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_18 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_19 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_22 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_23 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_29 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s23 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s24 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_21 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_20 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_24 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s24 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [24]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [22]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [31]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s21 .INIT=16'h0400; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [95]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [86]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [92]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s20 .INIT=16'h0100; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_s3 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_done_r ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_rmove_r ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n2727_s3 .INIT=8'hE0; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3812_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n3812_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3812_s8 .INIT=4'hB; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3810_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n3810_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3810_s7 .INIT=4'hE; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4262_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4264_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4262_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4262_s5 .INIT=16'h6A00; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3777_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n3777_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3777_s7 .INIT=4'h9; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_1_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_1_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_1_s7 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4099_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4099_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4099_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4101_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4101_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4101_s3 .INIT=16'h6A00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4098_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [4]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4099_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4098_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4098_s2 .INIT=16'h6A00; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4428_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [2]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [3]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4428_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4428_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4430_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [2]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4430_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4430_s4 .INIT=16'h1444; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4154_s1 ( + .I0(\gw3_top/full ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_7 ), + .I3(wr_data_rdy), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4154_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4154_s1 .INIT=16'h5400; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4264_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_9 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4264_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4264_s5 .INIT=16'h0015; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_s8 ( + .I0(\gw3_top/full ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_6_s8 .INIT=8'h40; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4316_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4318_6 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4316_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4316_s4 .INIT=8'h70; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/n3802_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n3802_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n3802_s9 .INIT=4'h7; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4318_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n4318_6 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4318_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4318_s4 .INIT=16'h15C0; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s20 .INIT=16'hFFF4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s17 .INIT=16'hFFF4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s20 .INIT=16'hFFF4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_29 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s22 .INIT=16'hFFF4; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4103_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_7_11 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4103_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4103_s2 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4097_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [5]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4125_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [6]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4097_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4097_s3 .INIT=16'hF800; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [6]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [5]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4125_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_5 ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_s6 .INIT=16'h7FFF; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4431_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4431_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4431_s4 .INIT=16'h0708; +LUT2 \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_s5 .INIT=4'hE; +LUT3 \gw3_top/u_ddr_phy_top/u_eye_calib/n4432_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4432_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4432_s4 .INIT=8'h14; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n4428_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ), + .I1(\gw3_top/u_ddr_phy_top/u_eye_calib/n4428_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [4]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4428_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4428_s6 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_s8 ( + .I0(wr_data_rdy), + .I1(\gw3_top/full ), + .I2(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n_s_3_s8 .INIT=16'h2000; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_done_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_calib_done_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_done_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_done_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_rmove_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_calib_rmove_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_rmove_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_rmove_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/eye_calib_valid ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_127_s0 ( + .D(\gw3_top/eye_calib_rdata [127]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [127]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_127_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_126_s0 ( + .D(\gw3_top/eye_calib_rdata [126]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [126]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_126_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_125_s0 ( + .D(\gw3_top/eye_calib_rdata [125]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [125]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_125_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_124_s0 ( + .D(\gw3_top/eye_calib_rdata [124]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [124]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_124_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_123_s0 ( + .D(\gw3_top/eye_calib_rdata [123]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [123]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_123_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_122_s0 ( + .D(\gw3_top/eye_calib_rdata [122]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [122]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_122_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_121_s0 ( + .D(\gw3_top/eye_calib_rdata [121]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [121]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_121_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_120_s0 ( + .D(\gw3_top/eye_calib_rdata [120]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [120]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_120_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_119_s0 ( + .D(\gw3_top/eye_calib_rdata [119]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [119]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_119_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_118_s0 ( + .D(\gw3_top/eye_calib_rdata [118]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [118]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_118_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_117_s0 ( + .D(\gw3_top/eye_calib_rdata [117]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [117]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_117_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_116_s0 ( + .D(\gw3_top/eye_calib_rdata [116]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [116]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_116_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_115_s0 ( + .D(\gw3_top/eye_calib_rdata [115]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [115]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_115_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_114_s0 ( + .D(\gw3_top/eye_calib_rdata [114]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [114]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_114_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_113_s0 ( + .D(\gw3_top/eye_calib_rdata [113]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [113]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_113_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_112_s0 ( + .D(\gw3_top/eye_calib_rdata [112]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [112]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_112_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_111_s0 ( + .D(\gw3_top/eye_calib_rdata [111]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [111]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_111_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_110_s0 ( + .D(\gw3_top/eye_calib_rdata [110]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [110]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_110_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_109_s0 ( + .D(\gw3_top/eye_calib_rdata [109]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [109]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_109_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_108_s0 ( + .D(\gw3_top/eye_calib_rdata [108]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [108]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_108_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_107_s0 ( + .D(\gw3_top/eye_calib_rdata [107]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [107]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_107_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_106_s0 ( + .D(\gw3_top/eye_calib_rdata [106]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [106]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_106_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_105_s0 ( + .D(\gw3_top/eye_calib_rdata [105]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [105]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_105_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_104_s0 ( + .D(\gw3_top/eye_calib_rdata [104]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [104]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_104_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_103_s0 ( + .D(\gw3_top/eye_calib_rdata [103]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [103]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_103_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_102_s0 ( + .D(\gw3_top/eye_calib_rdata [102]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [102]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_102_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_101_s0 ( + .D(\gw3_top/eye_calib_rdata [101]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [101]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_101_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_100_s0 ( + .D(\gw3_top/eye_calib_rdata [100]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [100]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_100_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_99_s0 ( + .D(\gw3_top/eye_calib_rdata [99]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [99]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_99_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_98_s0 ( + .D(\gw3_top/eye_calib_rdata [98]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [98]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_98_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_97_s0 ( + .D(\gw3_top/eye_calib_rdata [97]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [97]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_97_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_96_s0 ( + .D(\gw3_top/eye_calib_rdata [96]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [96]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_96_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_95_s0 ( + .D(\gw3_top/eye_calib_rdata [95]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [95]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_95_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_94_s0 ( + .D(\gw3_top/eye_calib_rdata [94]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [94]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_94_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_93_s0 ( + .D(\gw3_top/eye_calib_rdata [93]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [93]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_93_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_92_s0 ( + .D(\gw3_top/eye_calib_rdata [92]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [92]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_92_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_91_s0 ( + .D(\gw3_top/eye_calib_rdata [91]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [91]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_90_s0 ( + .D(\gw3_top/eye_calib_rdata [90]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [90]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_89_s0 ( + .D(\gw3_top/eye_calib_rdata [89]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [89]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_88_s0 ( + .D(\gw3_top/eye_calib_rdata [88]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [88]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_87_s0 ( + .D(\gw3_top/eye_calib_rdata [87]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [87]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_86_s0 ( + .D(\gw3_top/eye_calib_rdata [86]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [86]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_85_s0 ( + .D(\gw3_top/eye_calib_rdata [85]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [85]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_84_s0 ( + .D(\gw3_top/eye_calib_rdata [84]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [84]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_83_s0 ( + .D(\gw3_top/eye_calib_rdata [83]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [83]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_82_s0 ( + .D(\gw3_top/eye_calib_rdata [82]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [82]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_81_s0 ( + .D(\gw3_top/eye_calib_rdata [81]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [81]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_80_s0 ( + .D(\gw3_top/eye_calib_rdata [80]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [80]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_79_s0 ( + .D(\gw3_top/eye_calib_rdata [79]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [79]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_78_s0 ( + .D(\gw3_top/eye_calib_rdata [78]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [78]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_77_s0 ( + .D(\gw3_top/eye_calib_rdata [77]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [77]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_76_s0 ( + .D(\gw3_top/eye_calib_rdata [76]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [76]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_75_s0 ( + .D(\gw3_top/eye_calib_rdata [75]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [75]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_74_s0 ( + .D(\gw3_top/eye_calib_rdata [74]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [74]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_73_s0 ( + .D(\gw3_top/eye_calib_rdata [73]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [73]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_72_s0 ( + .D(\gw3_top/eye_calib_rdata [72]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [72]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_71_s0 ( + .D(\gw3_top/eye_calib_rdata [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_70_s0 ( + .D(\gw3_top/eye_calib_rdata [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_69_s0 ( + .D(\gw3_top/eye_calib_rdata [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_68_s0 ( + .D(\gw3_top/eye_calib_rdata [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_67_s0 ( + .D(\gw3_top/eye_calib_rdata [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_66_s0 ( + .D(\gw3_top/eye_calib_rdata [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_65_s0 ( + .D(\gw3_top/eye_calib_rdata [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_64_s0 ( + .D(\gw3_top/eye_calib_rdata [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_63_s0 ( + .D(\gw3_top/eye_calib_rdata [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_62_s0 ( + .D(\gw3_top/eye_calib_rdata [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_61_s0 ( + .D(\gw3_top/eye_calib_rdata [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_60_s0 ( + .D(\gw3_top/eye_calib_rdata [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_59_s0 ( + .D(\gw3_top/eye_calib_rdata [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_58_s0 ( + .D(\gw3_top/eye_calib_rdata [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_57_s0 ( + .D(\gw3_top/eye_calib_rdata [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_56_s0 ( + .D(\gw3_top/eye_calib_rdata [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_55_s0 ( + .D(\gw3_top/eye_calib_rdata [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_54_s0 ( + .D(\gw3_top/eye_calib_rdata [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_53_s0 ( + .D(\gw3_top/eye_calib_rdata [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_52_s0 ( + .D(\gw3_top/eye_calib_rdata [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_51_s0 ( + .D(\gw3_top/eye_calib_rdata [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_50_s0 ( + .D(\gw3_top/eye_calib_rdata [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_49_s0 ( + .D(\gw3_top/eye_calib_rdata [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_48_s0 ( + .D(\gw3_top/eye_calib_rdata [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_47_s0 ( + .D(\gw3_top/eye_calib_rdata [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_46_s0 ( + .D(\gw3_top/eye_calib_rdata [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_45_s0 ( + .D(\gw3_top/eye_calib_rdata [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_44_s0 ( + .D(\gw3_top/eye_calib_rdata [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_43_s0 ( + .D(\gw3_top/eye_calib_rdata [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_42_s0 ( + .D(\gw3_top/eye_calib_rdata [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_41_s0 ( + .D(\gw3_top/eye_calib_rdata [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_40_s0 ( + .D(\gw3_top/eye_calib_rdata [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_39_s0 ( + .D(\gw3_top/eye_calib_rdata [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_38_s0 ( + .D(\gw3_top/eye_calib_rdata [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_37_s0 ( + .D(\gw3_top/eye_calib_rdata [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_36_s0 ( + .D(\gw3_top/eye_calib_rdata [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_35_s0 ( + .D(\gw3_top/eye_calib_rdata [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_34_s0 ( + .D(\gw3_top/eye_calib_rdata [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_33_s0 ( + .D(\gw3_top/eye_calib_rdata [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_32_s0 ( + .D(\gw3_top/eye_calib_rdata [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_31_s0 ( + .D(\gw3_top/eye_calib_rdata [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_30_s0 ( + .D(\gw3_top/eye_calib_rdata [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_29_s0 ( + .D(\gw3_top/eye_calib_rdata [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_28_s0 ( + .D(\gw3_top/eye_calib_rdata [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_27_s0 ( + .D(\gw3_top/eye_calib_rdata [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_26_s0 ( + .D(\gw3_top/eye_calib_rdata [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_25_s0 ( + .D(\gw3_top/eye_calib_rdata [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_24_s0 ( + .D(\gw3_top/eye_calib_rdata [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_23_s0 ( + .D(\gw3_top/eye_calib_rdata [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_22_s0 ( + .D(\gw3_top/eye_calib_rdata [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_21_s0 ( + .D(\gw3_top/eye_calib_rdata [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_20_s0 ( + .D(\gw3_top/eye_calib_rdata [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_19_s0 ( + .D(\gw3_top/eye_calib_rdata [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_18_s0 ( + .D(\gw3_top/eye_calib_rdata [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_17_s0 ( + .D(\gw3_top/eye_calib_rdata [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_16_s0 ( + .D(\gw3_top/eye_calib_rdata [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_15_s0 ( + .D(\gw3_top/eye_calib_rdata [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_14_s0 ( + .D(\gw3_top/eye_calib_rdata [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_13_s0 ( + .D(\gw3_top/eye_calib_rdata [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_12_s0 ( + .D(\gw3_top/eye_calib_rdata [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_11_s0 ( + .D(\gw3_top/eye_calib_rdata [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_10_s0 ( + .D(\gw3_top/eye_calib_rdata [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_9_s0 ( + .D(\gw3_top/eye_calib_rdata [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_8_s0 ( + .D(\gw3_top/eye_calib_rdata [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_7_s0 ( + .D(\gw3_top/eye_calib_rdata [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_6_s0 ( + .D(\gw3_top/eye_calib_rdata [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_5_s0 ( + .D(\gw3_top/eye_calib_rdata [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_4_s0 ( + .D(\gw3_top/eye_calib_rdata [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_3_s0 ( + .D(\gw3_top/eye_calib_rdata [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_2_s0 ( + .D(\gw3_top/eye_calib_rdata [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_1_s0 ( + .D(\gw3_top/eye_calib_rdata [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_0_s0 ( + .D(\gw3_top/eye_calib_rdata [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_rr_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_r ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_rr ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_rdata_valid_rr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_done_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/rmove_left_done_Z ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_done_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_done_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/clr_error_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n2727_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/clr_error_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_127_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n3768_17 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [127]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_127_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_126_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n3771_18 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [126]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_126_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_124_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n3802_20 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [124]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_124_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_123_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4340_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [123]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_123_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_120_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4339_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [120]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_120_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_111_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n3804_17 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [111]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_111_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_110_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4228_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [110]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_110_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_108_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n3810_18 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [108]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_108_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_106_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n3777_16 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [106]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_106_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_99_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [99]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_99_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_71_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n3812_19 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_67_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wdata_Z [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wdata_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4125_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4136_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_flg_pulse_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_flag_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4053_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rmove_left_flag_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/rmove_left_flag_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_cmd_en_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_cmd_en_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_cmd_en_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wren_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4154_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_wdf_wren_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_wdf_wren_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_cmd_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4184_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_cmd_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_cmd_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_addr_Z [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_addr_Z [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_addr_Z [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_addr_Z [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_addr_Z [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_addr_Z [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_app_addr_Z [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_app_addr_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4339_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4340_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error_valid_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4476_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/eye_calib_error_valid_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error_valid_0_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n_s [0]), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/c_s [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/c_s_0_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4098_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4099_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4100_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4101_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4102_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4103_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4261_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/col1_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4262_11 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/col1_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/col1_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4263_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/col1_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/col1_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4264_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/col1_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/col1 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/col1_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4316_5 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/row1_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4317_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/row1_1_s1 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_eye_calib/row1_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4318_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/row1_2_7 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/row1 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/row1_0_s1 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4427_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4429_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4430_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_14 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_29 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_26 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error1_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error2_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error3_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_26 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/eye_calib_error4_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_s5 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4097_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/timeout [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/timeout_6_s5 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_s4 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4431_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_1_s4 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_0_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4432_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0] [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_r[0]_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_4_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_eye_calib/n4428_13 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/cnt_stop_4_s3 .INIT=1'b0; +LUT1 \gw3_top/u_ddr_phy_top/u_eye_calib/n4340_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n4340_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n4340_s4 .INIT=2'h1; +LUT1 \gw3_top/u_ddr_phy_top/u_eye_calib/n5156_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_eye_calib/clr_error ), + .F(\gw3_top/u_ddr_phy_top/u_eye_calib/n5156_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_eye_calib/n5156_s6 .INIT=2'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s0 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s0 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n303_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n303_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n303_s0 .INIT=8'hFE; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_5 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n491_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s0 .INIT=16'hFF40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n507_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n507_s0 .INIT=16'h44F0; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n620_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n620_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n620_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n620_s1 .INIT=8'h4F; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_12 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s0 .INIT=16'h1FFF; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [13]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [12]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_s1 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1117_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [19]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [18]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1117_s0 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1119_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [18]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [19]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1119_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1119_s0 .INIT=16'h1000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s0 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s0 .INIT=8'h7F; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_s0 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [11]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s0 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1610_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq [0]), + .I1(\gw3_top/u_ddr_phy_top/in_dq0_Z [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_27 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1610_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1610_s0 .INIT=8'h40; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1664_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq [1]), + .I1(\gw3_top/u_ddr_phy_top/in_dq0_Z [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_27 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1664_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1664_s0 .INIT=8'h40; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_9_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_s0 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1975_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1975_s0 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2096_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2096_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2096_s0 .INIT=4'hE; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/hold_Z_0_s ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_i ), + .F(\gw3_top/u_ddr_phy_top/hold_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_Z_0_s .INIT=4'h4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/hold_Z_1_s ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[1].hold_i ), + .F(\gw3_top/u_ddr_phy_top/hold_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_Z_1_s .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_pos ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg_r ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t_s0 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s0 ( + .I0(\gw3_top/u_ddr_phy_top/rclk_sel [1]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s0 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2346_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2346_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2346_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_s0 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2402_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2402_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2402_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_s0 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2412_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2412_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2412_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2412_s0 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_s0 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_pos ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg_r ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t_s0 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2943_s0 ( + .I0(\gw3_top/u_ddr_phy_top/rclk_sel [4]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2943_s0 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [16]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2762_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2762_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2762_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_s0 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [16]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2818_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2818_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2818_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_s0 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2828_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2828_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2828_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2828_s0 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_s0 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s0 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_3 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s0 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n360_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n360_s1 .INIT=4'h7; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2284_29 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2284_30 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2284_28 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_s20 .INIT=8'h3A; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_24 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_25 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s19 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2286_23 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2286_24 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2286_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_s18 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2287_24 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2287_25 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2287_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_s19 .INIT=8'h3A; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2700_29 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2700_30 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2700_28 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_s20 .INIT=8'h3A; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_24 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_25 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s19 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2702_23 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2702_24 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2702_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_s18 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2703_24 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2703_25 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2703_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_s19 .INIT=8'h3A; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done_s2 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_s3 .INIT=8'hEF; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_37 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s3 .INIT=16'hFFFE; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s3 .INIT=16'h6000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t_r ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_s3 .INIT=16'hFF40; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_0_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_0_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_0_s3 .INIT=4'hB; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t_r ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_s3 .INIT=16'hFF40; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_1_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_1_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_1_s3 .INIT=4'hB; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_38 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_39 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_40 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s31 .INIT=16'h7FFF; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_27 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_28 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_29 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s20 .INIT=8'h7F; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_36 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_37 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s29 .INIT=8'h7F; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_3 ), + .I1(\gw3_top/u_ddr_phy_top/eye_calib_done_r ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1119_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s32 .INIT=8'hF8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_18_s32 ( + .I0(\gw3_top/u_ddr_phy_top/eye_calib_done_r ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_3 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_18_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_18_s32 .INIT=8'hF4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_17_s27 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_complete ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_17_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_17_s27 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_38 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_complete ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_s29 .INIT=16'h8F88; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_36 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_37 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s29 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_13_s27 ( + .I0(\gw3_top/u_ddr_phy_top/wrlvl_complete_Z ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_13_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_13_s27 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s27 ( + .I0(\gw3_top/u_ddr_phy_top/wrlvl_complete_Z ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_32 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_33 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s27 .INIT=16'hF044; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_s29 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_5_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_39 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_33 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_5_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_5_s29 .INIT=16'hFF70; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s28 .INIT=16'h8F88; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_s27 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_32 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_s27 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_30 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s21 .INIT=8'hF8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_27 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s20 .INIT=8'hF8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_38 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_48 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s30 .INIT=8'hF8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_11_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_33 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_11_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_11_s29 .INIT=16'h4F44; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_s29 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s26 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_38 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_32 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_33 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_30 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s26 .INIT=16'hF888; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_5_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_32 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_38 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_12 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_5_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_5_s29 .INIT=8'hF4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_s27 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_32 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_36 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_40 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_s27 .INIT=16'hF888; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_32 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_s29 .INIT=8'hF4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s27 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_36 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_33 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s27 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_s27 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_36 ), + .I2(\gw3_top/u_ddr_phy_top/init_rmove_done_r ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_32 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_s27 .INIT=16'hF444; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_0_s27 ( + .I0(\gw3_top/u_ddr_phy_top/init_rmove_done_r ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_32 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_0_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_0_s27 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_10_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_30 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_10_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_10_s20 .INIT=8'hF4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_26 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_27 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s20 .INIT=8'hF4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_4_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_38 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_24 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_4_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_4_s20 .INIT=8'hF4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_s20 .INIT=8'hF4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2790_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2790_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2790_s2 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2789_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2789_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2789_s2 .INIT=8'h14; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2787_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2788_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [13]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2787_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2787_s2 .INIT=16'h0708; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2786_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [14]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2786_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2786_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2786_s2 .INIT=8'h14; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2785_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2786_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2785_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2785_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2784_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2784_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2786_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2784_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2784_s2 .INIT=16'h0708; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2783_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [17]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2783_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2783_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2783_s2 .INIT=8'h14; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2782_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2783_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [18]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2782_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2782_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2781_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2783_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2781_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [19]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2781_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2781_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2731_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2732_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [13]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2731_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2731_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2729_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2730_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [15]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2729_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2729_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2728_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2728_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2730_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [16]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2728_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2728_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2726_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2727_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [18]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2726_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2726_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2725_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2727_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2725_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [19]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2725_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2725_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2623_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2624_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2626_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2623_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2623_s2 .INIT=16'h0708; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2374_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2374_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2374_s2 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2373_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2373_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2373_s2 .INIT=8'h14; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2371_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2372_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2371_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2371_s2 .INIT=16'h0708; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2370_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2370_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2370_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2370_s2 .INIT=8'h14; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2369_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2370_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2369_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2369_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2368_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2368_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2370_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [6]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2368_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2368_s2 .INIT=16'h0708; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2367_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2367_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2367_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2367_s2 .INIT=8'h14; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2366_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2367_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2366_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2366_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2365_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2367_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2365_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [9]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2365_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2365_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2315_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2316_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2315_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2315_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2313_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2314_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [5]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2313_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2313_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2312_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2312_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2314_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2312_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2312_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2310_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2311_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [8]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2310_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2310_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2309_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2311_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2309_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [9]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2309_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2309_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2207_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2208_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2210_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2207_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2207_s2 .INIT=16'h0708; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2051_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2052_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2051_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2051_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2048_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2049_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2048_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2048_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2047_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2049_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2047_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [7]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2047_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2047_s1 .INIT=16'h7800; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1582_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1582_s2 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1581_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1581_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1581_s1 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1580_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1580_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1580_s1 .INIT=16'h7800; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1579_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1579_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1579_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1579_s1 .INIT=8'h60; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1323_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1323_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1323_s3 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1322_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_37 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1322_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1322_s3 .INIT=16'h1400; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1321_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_37 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1321_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1321_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1321_s3 .INIT=16'h1400; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1320_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1321_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1320_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1320_s3 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1319_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1319_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1319_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1319_s3 .INIT=16'h1400; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1318_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_37 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1318_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1318_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1318_s3 .INIT=16'h1400; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1317_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1318_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1317_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1317_s3 .INIT=16'h7800; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1316_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1316_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1316_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1316_s3 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n492_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [11]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n492_s1 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2954_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2954_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2954_s2 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2953_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2953_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2953_s2 .INIT=4'h6; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2952_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2952_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2952_s2 .INIT=8'h78; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2951_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2951_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2951_s2 .INIT=16'h78E0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2688_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2688_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2688_s3 .INIT=16'h00BF; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2686_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2686_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2686_s2 .INIT=16'h3DC0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2685_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2685_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2685_s2 .INIT=16'h7D80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2538_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2538_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2538_s2 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2537_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2537_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2537_s2 .INIT=4'h6; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2536_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2536_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2536_s2 .INIT=8'h78; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2535_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2535_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2535_s2 .INIT=16'h78E0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2272_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2272_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2272_s3 .INIT=16'h00BF; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2270_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2270_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2270_s2 .INIT=16'h3DC0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2269_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2269_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2269_s2 .INIT=16'h7D80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1265_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1265_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1265_s2 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1264_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1264_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1264_s1 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1263_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1263_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1263_s1 .INIT=16'h7800; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1262_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1262_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1262_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1262_s1 .INIT=8'h60; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1261_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1261_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1261_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1261_s1 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1260_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1261_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1260_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1260_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1259_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1259_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1261_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1259_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1259_s1 .INIT=16'h7800; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1258_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1258_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1258_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1258_s1 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1257_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1258_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [8]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1257_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1257_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1256_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1258_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1256_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [9]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1256_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1256_s1 .INIT=16'h7800; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n347_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_12 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n349_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n347_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n347_s1 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n503_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_12 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n503_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2422_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s2 .INIT=16'hEFFF; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2838_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s2 .INIT=16'hEFFF; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1346_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1346_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1346_s3 .INIT=4'h6; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2232_s0 ( + .I0(\gw3_top/u_ddr_phy_top/rclk_sel [0]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [1]), + .I2(\gw3_top/u_ddr_phy_top/rclk_sel [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2232_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2232_s0 .INIT=8'h78; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2648_s0 ( + .I0(\gw3_top/u_ddr_phy_top/rclk_sel [3]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [4]), + .I2(\gw3_top/u_ddr_phy_top/rclk_sel [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2648_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2648_s0 .INIT=8'h78; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s1 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [17]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [18]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [19]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s3 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s2 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n225_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n225_s1 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_5 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s1 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s1 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s2 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n507_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n507_s1 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n620_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_38 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_5 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n620_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n620_s2 .INIT=16'h0777; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s1 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s2 .INIT=16'h1000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s4 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_s2 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_s1 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1117_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_11 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1117_s1 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [11]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s2 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_32 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s1 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_36 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s2 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_32 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_27 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s3 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_s1 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1525_s2 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s1 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1619_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1619_s2 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_s1 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2113_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2113_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2183_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2183_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2183_s1 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [12]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_s2 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s2 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2260_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2260_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2346_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_s2 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2402_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_s2 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2412_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_cal_done ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2412_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2412_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_s1 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2599_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2599_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2599_s1 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2943_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2943_s1 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2676_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2676_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [11]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [13]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [12]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2762_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_s2 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [11]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [13]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [12]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2818_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_s2 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2828_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_cal_done ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2828_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2828_s1 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_s1 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_4 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s1 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s3 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n360_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n360_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n360_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n360_s3 .INIT=16'h1000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_s21 ( + .I0(\gw3_top/u_ddr_phy_top/read_line1 ), + .I1(\gw3_top/u_ddr_phy_top/read_line2 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2284_29 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_s21 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_line [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_5 ), + .I2(\gw3_top/u_ddr_phy_top/read_line3 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2284_30 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2284_s22 .INIT=16'h770F; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_26 ), + .I1(\gw3_top/u_ddr_phy_top/read_line2 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2270_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s20 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s21 ( + .I0(\gw3_top/u_ddr_phy_top/read_line3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2286_24 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s21 .INIT=16'hCCCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_s19 ( + .I0(\gw3_top/u_ddr_phy_top/read_line2 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2286_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_s19 .INIT=16'hCAAC; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2284_30 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_line [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2286_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2286_s20 .INIT=16'h7303; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_s20 ( + .I0(\gw3_top/u_ddr_phy_top/read_line2 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2287_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_s20 .INIT=16'hACCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_s21 ( + .I0(\gw3_top/u_ddr_phy_top/read_line3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_line [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2287_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2287_s21 .INIT=16'h35F3; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_s21 ( + .I0(\gw3_top/u_ddr_phy_top/read_line1 ), + .I1(\gw3_top/u_ddr_phy_top/read_line2 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2700_29 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_s21 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_line [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_5 ), + .I2(\gw3_top/u_ddr_phy_top/read_line3 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2700_30 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2700_s22 .INIT=16'h770F; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_26 ), + .I1(\gw3_top/u_ddr_phy_top/read_line2 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2686_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s20 .INIT=8'hCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s21 ( + .I0(\gw3_top/u_ddr_phy_top/read_line3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2702_24 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s21 .INIT=16'hCCCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_s19 ( + .I0(\gw3_top/u_ddr_phy_top/read_line2 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2702_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_s19 .INIT=16'hCAAC; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2700_30 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_line [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2702_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2702_s20 .INIT=16'h7303; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_s20 ( + .I0(\gw3_top/u_ddr_phy_top/read_line2 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2703_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_s20 .INIT=16'hACCA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_s21 ( + .I0(\gw3_top/u_ddr_phy_top/read_line3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_line [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2703_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2703_s21 .INIT=16'h35F3; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [11]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_s4 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_32 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s5 .INIT=4'h4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1316_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s6 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s4 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s5 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_s4 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_s4 ( + .I0(\gw3_top/u_ddr_phy_top/rclk_sel [1]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [2]), + .I2(\gw3_top/u_ddr_phy_top/rclk_sel [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2412_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_s4 .INIT=16'h7F00; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_s4 ( + .I0(init_calib_complete), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_s4 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_s4 ( + .I0(\gw3_top/u_ddr_phy_top/rclk_sel [4]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [5]), + .I2(\gw3_top/u_ddr_phy_top/rclk_sel [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2828_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_s4 .INIT=16'h7F00; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n620_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s33 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s34 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_41 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_35 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_39 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s34 .INIT=16'h000B; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s35 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_34 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_40 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s35 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_27 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_30 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_24 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_26 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s22 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_3 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_3 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_27 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_28 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s23 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s24 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_29 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s24 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_32 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_40 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_41 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s31 .INIT=16'h0010; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_9_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_32 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_42 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s32 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s30 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [13]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s31 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [15]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [16]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [14]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_s32 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_35 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s28 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_37 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s29 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_37 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_38 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s30 .INIT=16'h8000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s31 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_36 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_37 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_s30 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_34 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s28 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_37 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s29 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s29 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s29 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1262_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s30 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s31 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_s30 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s29 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [5]), + .I1(\gw3_top/u_ddr_phy_top/ddr_init_st_Z ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1319_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_34 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_s28 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s25 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_32 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_30 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s25 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_28 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s21 .INIT=16'h8000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_27 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s22 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_43 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_44 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_37 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s33 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_s30 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_38 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s31 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_39 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_s28 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_s30 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_33 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_34 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s28 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_37 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_37 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s28 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_32 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s29 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_s28 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_s30 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_36 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s29 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_s28 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_29 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s22 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s21 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_28 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_29 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s22 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_28 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_25 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_s19 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2788_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2788_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2788_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2784_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2784_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2784_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2781_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [18]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2781_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2781_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2732_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2732_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2732_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2728_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2728_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2728_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2725_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [18]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2725_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2725_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2626_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I1(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2626_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2626_s4 .INIT=4'h4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2624_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2624_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2624_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2372_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2372_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2372_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2368_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2368_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2368_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2365_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2365_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2365_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2316_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2316_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2316_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2312_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2312_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2312_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2309_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2309_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2309_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2210_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2210_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2210_s4 .INIT=4'h4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2208_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2208_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2208_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2052_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2052_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2052_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2049_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2050_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2049_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2049_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2047_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [6]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2047_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2047_s2 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1582_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1582_s3 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1579_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1579_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1579_s2 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1321_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1321_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1321_s4 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1318_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1319_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1318_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1318_s4 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1316_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1319_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1316_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1316_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n492_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n492_s3 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1262_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1262_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1262_s2 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1259_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1259_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1259_s2 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1256_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1256_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1256_s2 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n349_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n620_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n349_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n349_s4 .INIT=16'h0100; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n503_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n503_s3 .INIT=8'h10; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_12 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_12 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s4 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [9]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s5 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [8]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s6 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [14]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s3 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s4 .INIT=16'h0001; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s5 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [7]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s6 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s7 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n225_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_28 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_28 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n225_s2 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s2 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1955_s3 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [18]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [19]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [17]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s4 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n507_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [11]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [12]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [13]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n507_s2 .INIT=16'h0110; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s5 .INIT=8'h01; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s6 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s7 .INIT=8'h01; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1105_s3 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [18]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [19]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_s2 .INIT=8'h01; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s3 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s4 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s5 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_36 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s4 .INIT=16'h0777; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_34 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s5 .INIT=8'hE0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_45 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_5 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1240_s6 .INIT=16'hF800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s3 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [11]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [12]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [13]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [14]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1959_s2 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2113_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_s2 .INIT=16'h0001; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s3 .INIT=8'h80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2566_s2 .INIT=4'h1; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2982_s2 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_5 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s4 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s22 ( + .I0(\gw3_top/u_ddr_phy_top/read_line1 ), + .I1(\gw3_top/u_ddr_phy_top/read_line3 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2285_s22 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s22 ( + .I0(\gw3_top/u_ddr_phy_top/read_line1 ), + .I1(\gw3_top/u_ddr_phy_top/read_line3 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2701_s22 .INIT=8'hCA; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s36 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [17]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [18]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [19]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_41 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_s36 .INIT=8'hE9; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s35 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_40 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s35 .INIT=16'h0777; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s36 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_5 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_41 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s36 .INIT=8'hE0; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s37 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_46 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_42 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s37 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [14]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [16]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_s31 .INIT=8'h10; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s30 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_43 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s31 .INIT=8'h10; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s32 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [11]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_s33 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s32 .INIT=4'h1; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s33 .INIT=8'h01; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_s31 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s30 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s31 .INIT=16'h4000; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s32 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s33 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_38 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_s32 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [7]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s30 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s31 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s27 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [11]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [10]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_32 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s27 .INIT=16'h0100; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_28 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_s23 .INIT=8'h01; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s38 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_43 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s38 .INIT=8'h10; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s39 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [8]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [9]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_44 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s39 .INIT=8'h01; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s40 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_45 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s40 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_44 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s32 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [14]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [13]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_8 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s33 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [7]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s29 .INIT=16'h0100; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s30 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s30 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s31 .INIT=16'h8000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s30 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s24 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [11]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_29 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s24 .INIT=16'h0100; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [7]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_28 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s23 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s24 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [11]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_29 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_s24 .INIT=16'h0100; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_s20 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_13 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_15 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_16 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_17 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_18 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_19 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_20 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s5 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_21 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_22 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_23 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_24 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s6 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_25 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_27 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_28 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s7 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_13 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_15 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_16 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_17 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_18 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_19 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_20 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s5 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_21 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_22 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_23 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_24 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s6 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_25 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_26 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_27 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_28 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s7 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s41 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_46 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s41 .INIT=16'h0110; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [7]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s32 .INIT=4'h1; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [19]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [18]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [17]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s8 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [24]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [25]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [26]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [27]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s9 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [28]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [30]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [29]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [31]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s10 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [21]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [20]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [22]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [23]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s11 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s12 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [11]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [8]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [9]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s13 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s14 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [7]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s15 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [48]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [50]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [49]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [51]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s16 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [57]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [59]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [58]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [56]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s17 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [61]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [63]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [62]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [60]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s18 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [52]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [54]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [53]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [55]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s19 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [32]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [33]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [34]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [35]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s20 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [42]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [43]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [40]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [41]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s21 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [46]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [47]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [44]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [45]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s22 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [36]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [37]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [38]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [39]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_28 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2499_s23 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [80]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [83]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [82]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [81]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s8 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [88]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [89]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [90]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [91]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s9 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s10 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [92]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [94]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [93]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [95]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_15 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s10 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s11 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [85]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [84]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [86]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [87]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_16 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s11 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s12 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [65]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [66]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [64]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [67]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_17 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s12 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s13 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [74]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [75]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [72]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [73]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s13 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s14 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [76]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [77]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [78]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [79]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_19 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s14 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s15 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [69]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [68]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [70]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [71]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_20 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s15 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s16 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [112]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [114]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [113]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [115]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_21 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s16 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s17 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [121]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [123]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [122]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [120]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_22 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s17 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s18 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [125]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [127]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [126]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [124]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_23 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s18 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s19 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [116]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [118]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [117]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [119]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s19 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s20 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [96]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [97]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [98]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [99]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_25 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s20 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [106]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [107]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [104]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [105]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s21 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s22 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [110]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [111]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [108]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [109]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s22 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s23 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [100]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [101]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [102]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [103]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_28 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2915_s23 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s34 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_40 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_s34 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s32 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_39 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_34 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s32 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_34 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_36 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_s31 .INIT=16'hFF40; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s34 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_37 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_39 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s34 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1113_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1258_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_13 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s7 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n621_s1 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n621_5 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n621_s1 .INIT=16'h3CAA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s25 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_31 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s25 .INIT=16'h1555; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s8 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1965_s6 .INIT=16'h0200; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2113_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2113_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2113_s3 .INIT=8'hEF; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2208_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2210_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2208_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2208_s4 .INIT=16'h1444; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_8 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2527_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2624_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2626_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2624_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2624_s4 .INIT=16'h1444; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n501_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_38 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n501_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n501_s3 .INIT=8'h20; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n349_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n349_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n349_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n349_s5 .INIT=8'hB0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_15_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_38 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_48 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_15_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_15_s30 .INIT=16'hF444; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s34 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_39 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_44 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_40 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s34 .INIT=16'h2000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_37 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_s31 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n348_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n348_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n348_s4 .INIT=16'h0155; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s42 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_48 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_s42 .INIT=16'h0800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_6 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_s31 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [5]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_35 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_s33 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n491_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n491_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n491_s2 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_12 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_39 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_s33 .INIT=16'h0800; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_12 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c_s2 .INIT=16'hF7FF; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n504_s5 .INIT=16'h0002; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_11_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_32 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_8 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_37 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_11_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_11_s30 .INIT=16'hFF40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_s32 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [12]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [13]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_36 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_38 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_s32 .INIT=16'h0200; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_7_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_34 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_7_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_7_s30 .INIT=16'hFF40; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s33 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_34 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_33 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_39 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_s33 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1258_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1261_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1258_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1258_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s6 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s6 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1261_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1261_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1261_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1319_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1319_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1319_s5 .INIT=16'h8000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_s29 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_33 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_s29 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_35 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_s30 .INIT=16'h0002; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2050_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2050_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2050_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2052_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_3 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2052_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2052_s3 .INIT=16'h6A00; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n225_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_12 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n225_s3 .INIT=16'hBAAA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2311_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [5]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2314_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2311_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2311_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [4]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2346_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2346_s3 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2314_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2314_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2314_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2316_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2316_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2316_s3 .INIT=16'h6A00; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2367_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [5]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2370_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2367_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2367_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [9]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [4]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2402_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2402_s3 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2370_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [3]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2370_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2370_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2372_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2372_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2372_s4 .INIT=16'h1444; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2727_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [14]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [15]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2730_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2727_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2727_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [18]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [19]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2762_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2762_s3 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2730_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2730_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2730_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2732_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [11]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2732_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2732_s3 .INIT=16'h6A00; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2783_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [16]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [14]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [15]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2786_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2783_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2783_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [18]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [19]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [15]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2818_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2818_s3 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2786_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2786_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2786_s4 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2788_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [12]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [10]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [11]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2788_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2788_s4 .INIT=16'h1444; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s35 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_40 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_6 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_38 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_42 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_s35 .INIT=16'hFF40; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [3]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [8]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [9]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n3017_s7 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_1_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_39 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_32 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_1_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_1_s28 .INIT=16'h4F44; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_6_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_27 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_31 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_6_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_6_s21 .INIT=16'h8000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_7_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_27 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_7_26 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_7_s21 .INIT=8'h70; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s26 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_31 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_27 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_33 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_s26 .INIT=16'h4000; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n492_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_8 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n492_s4 .INIT=8'h02; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s8 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_7 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [7]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [8]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cke_en_s8 .INIT=16'h0200; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [9]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0_8_s7 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1975_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [12]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [13]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_7 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1975_s2 .INIT=16'h0100; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2209_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2209_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2209_s3 .INIT=16'h0BB0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2625_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I1(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2625_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2625_s3 .INIT=16'h0BB0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n510_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_38 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n510_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n510_s3 .INIT=16'h0200; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n350_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n349_9 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n348_10 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n350_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n350_s3 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n348_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n348_10 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_35 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n349_9 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n348_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n348_s5 .INIT=16'hFE00; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_s5 ( + .I0(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I1(init_calib_complete), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_s5 .INIT=8'h20; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_s5 ( + .I0(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I1(init_calib_complete), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_s5 .INIT=8'h20; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_s21 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_24 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_43 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_44 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_37 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_27 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_s21 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s28 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_37 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_34 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_s28 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s35 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_33 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_12 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_39 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_41 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_s35 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_14_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_14_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_14_s30 .INIT=16'h4000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1599_s2 ( + .I0(\gw3_top/u_ddr_phy_top/in_dq0_Z [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1599_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1599_s2 .INIT=16'hBAAA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1653_s2 ( + .I0(\gw3_top/u_ddr_phy_top/in_dq0_Z [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1653_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1653_s2 .INIT=16'hBAAA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_0_s19 ( + .I0(\gw3_top/u_ddr_phy_top/wrlvl_start ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_0_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_0_s19 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_1_s19 ( + .I0(\gw3_top/u_ddr_phy_top/wrlvl_start ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_1_24 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_1_s19 .INIT=16'h2000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_27 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_s5 .INIT=16'hBAAA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [10]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [4]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [5]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_6 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1541_s4 .INIT=16'h0100; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [13]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_s4 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s9 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_6 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1951_s9 .INIT=16'h0008; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2023_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2023_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2023_s3 .INIT=8'h7F; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst_s4 .INIT=16'hEAAA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2599_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2599_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2599_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2599_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2183_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_10 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1959_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n2183_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2183_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2183_s2 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2049_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [5]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2049_7 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2049_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2049_s3 .INIT=16'h6000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2050_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2050_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2050_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2050_s4 .INIT=16'h6000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2053_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2053_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2053_s2 .INIT=16'h6000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_7_s30 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_4 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_7_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_7_s30 .INIT=16'hF444; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1628_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1628_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1628_s3 .INIT=8'hB4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1619_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1619_s3 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n1682_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [8]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1682_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1682_s3 .INIT=8'hB4; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n1673_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1673_s2 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2233_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/rclk_sel [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .I3(\gw3_top/u_ddr_phy_top/rclk_sel [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2233_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2233_s2 .INIT=16'hBF40; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2230_s5 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2234_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .I2(\gw3_top/u_ddr_phy_top/rclk_sel [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2234_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2234_s3 .INIT=8'hB4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2271_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [0]), + .I1(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[0].read_adj ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2271_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2271_s4 .INIT=16'h7F80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2260_s2 ( + .I0(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[0].read_adj ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2260_s2 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2649_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .I2(\gw3_top/u_ddr_phy_top/rclk_sel [3]), + .I3(\gw3_top/u_ddr_phy_top/rclk_sel [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2649_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2649_s2 .INIT=16'hBF40; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2646_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2646_7 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2646_s2 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2650_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_5 ), + .I2(\gw3_top/u_ddr_phy_top/rclk_sel [3]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2650_8 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2650_s3 .INIT=8'hB4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2687_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [0]), + .I1(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[1].read_adj ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2687_10 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2687_s4 .INIT=16'h7F80; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2676_s2 ( + .I0(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[1].read_adj ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_4 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2676_s2 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2054_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2054_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2054_s4 .INIT=16'h15C0; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_4 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_clr ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_s5 .INIT=8'hF8; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2210_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .I3(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2210_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2210_s7 .INIT=16'hC0CE; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_9 ), + .I2(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [0]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_s5 .INIT=16'h00F4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2311_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2311_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [7]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2311_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2311_s5 .INIT=16'h3C50; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2314_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2314_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [4]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2314_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2314_s5 .INIT=16'h35C0; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2317_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2317_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2317_s3 .INIT=16'h35C0; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2318_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_11 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2318_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2318_s3 .INIT=8'h1C; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2421_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2421_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2421_s3 .INIT=16'h3C50; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2422_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2422_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2422_s3 .INIT=8'h1C; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2422_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2422_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2422_s4 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2626_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_9 ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .I3(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2626_14 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2626_s7 .INIT=16'hC0CE; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_9 ), + .I2(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [1]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_s5 .INIT=16'h00F4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2727_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2727_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [17]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2727_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2727_s5 .INIT=16'h3C50; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2730_s5 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n2730_9 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2730_12 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2730_s5 .INIT=16'h3C50; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2733_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [10]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [11]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2733_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2733_s3 .INIT=16'h3C50; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2734_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_11 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [10]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2734_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2734_s3 .INIT=8'h1C; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/n2837_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [2]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2837_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2837_s3 .INIT=16'h3C50; +LUT3 \gw3_top/u_ddr_phy_top/u_ddr_init/n2838_s3 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [1]), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [2]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2838_9 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2838_s3 .INIT=8'h1C; +LUT2 \gw3_top/u_ddr_phy_top/u_ddr_init/n2838_s4 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [1]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n2838_11 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n2838_s4 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s31 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov ), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_14 ), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_7 ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_32 ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_36 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_s31 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_s7 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .I1(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .I2(init_calib_complete), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_s7 .INIT=16'hAEAA; +LUT4 \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_s7 ( + .I0(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .I1(init_calib_complete), + .I2(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ), + .I3(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_s7 .INIT=16'hFF20; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/phy_rdbk_data_valid [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_127_s0 ( + .D(\gw3_top/eye_calib_rdata [127]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [127]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_127_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_126_s0 ( + .D(\gw3_top/eye_calib_rdata [126]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [126]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_126_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_125_s0 ( + .D(\gw3_top/eye_calib_rdata [125]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [125]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_125_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_124_s0 ( + .D(\gw3_top/eye_calib_rdata [124]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [124]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_124_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_123_s0 ( + .D(\gw3_top/eye_calib_rdata [123]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [123]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_123_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_122_s0 ( + .D(\gw3_top/eye_calib_rdata [122]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [122]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_122_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_121_s0 ( + .D(\gw3_top/eye_calib_rdata [121]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [121]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_121_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_120_s0 ( + .D(\gw3_top/eye_calib_rdata [120]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [120]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_120_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_119_s0 ( + .D(\gw3_top/eye_calib_rdata [119]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [119]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_119_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_118_s0 ( + .D(\gw3_top/eye_calib_rdata [118]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [118]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_118_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_117_s0 ( + .D(\gw3_top/eye_calib_rdata [117]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [117]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_117_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_116_s0 ( + .D(\gw3_top/eye_calib_rdata [116]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [116]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_116_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_115_s0 ( + .D(\gw3_top/eye_calib_rdata [115]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [115]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_115_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_114_s0 ( + .D(\gw3_top/eye_calib_rdata [114]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [114]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_114_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_113_s0 ( + .D(\gw3_top/eye_calib_rdata [113]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [113]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_113_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_112_s0 ( + .D(\gw3_top/eye_calib_rdata [112]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [112]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_112_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_111_s0 ( + .D(\gw3_top/eye_calib_rdata [111]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [111]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_111_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_110_s0 ( + .D(\gw3_top/eye_calib_rdata [110]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [110]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_110_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_109_s0 ( + .D(\gw3_top/eye_calib_rdata [109]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [109]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_109_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_108_s0 ( + .D(\gw3_top/eye_calib_rdata [108]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [108]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_108_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_107_s0 ( + .D(\gw3_top/eye_calib_rdata [107]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [107]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_107_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_106_s0 ( + .D(\gw3_top/eye_calib_rdata [106]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [106]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_106_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_105_s0 ( + .D(\gw3_top/eye_calib_rdata [105]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [105]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_105_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_104_s0 ( + .D(\gw3_top/eye_calib_rdata [104]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [104]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_104_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_103_s0 ( + .D(\gw3_top/eye_calib_rdata [103]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [103]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_103_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_102_s0 ( + .D(\gw3_top/eye_calib_rdata [102]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [102]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_102_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_101_s0 ( + .D(\gw3_top/eye_calib_rdata [101]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [101]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_101_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_100_s0 ( + .D(\gw3_top/eye_calib_rdata [100]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [100]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_100_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_99_s0 ( + .D(\gw3_top/eye_calib_rdata [99]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [99]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_99_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_98_s0 ( + .D(\gw3_top/eye_calib_rdata [98]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [98]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_98_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_97_s0 ( + .D(\gw3_top/eye_calib_rdata [97]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [97]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_97_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_96_s0 ( + .D(\gw3_top/eye_calib_rdata [96]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [96]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_96_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_95_s0 ( + .D(\gw3_top/eye_calib_rdata [95]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [95]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_95_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_94_s0 ( + .D(\gw3_top/eye_calib_rdata [94]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [94]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_94_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_93_s0 ( + .D(\gw3_top/eye_calib_rdata [93]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [93]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_93_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_92_s0 ( + .D(\gw3_top/eye_calib_rdata [92]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [92]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_92_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_91_s0 ( + .D(\gw3_top/eye_calib_rdata [91]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [91]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_91_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_90_s0 ( + .D(\gw3_top/eye_calib_rdata [90]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [90]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_90_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_89_s0 ( + .D(\gw3_top/eye_calib_rdata [89]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [89]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_89_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_88_s0 ( + .D(\gw3_top/eye_calib_rdata [88]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [88]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_88_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_87_s0 ( + .D(\gw3_top/eye_calib_rdata [87]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [87]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_87_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_86_s0 ( + .D(\gw3_top/eye_calib_rdata [86]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [86]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_86_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_85_s0 ( + .D(\gw3_top/eye_calib_rdata [85]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [85]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_85_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_84_s0 ( + .D(\gw3_top/eye_calib_rdata [84]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [84]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_84_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_83_s0 ( + .D(\gw3_top/eye_calib_rdata [83]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [83]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_83_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_82_s0 ( + .D(\gw3_top/eye_calib_rdata [82]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [82]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_82_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_81_s0 ( + .D(\gw3_top/eye_calib_rdata [81]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [81]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_81_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_80_s0 ( + .D(\gw3_top/eye_calib_rdata [80]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [80]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_80_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_79_s0 ( + .D(\gw3_top/eye_calib_rdata [79]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [79]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_79_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_78_s0 ( + .D(\gw3_top/eye_calib_rdata [78]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [78]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_78_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_77_s0 ( + .D(\gw3_top/eye_calib_rdata [77]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [77]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_77_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_76_s0 ( + .D(\gw3_top/eye_calib_rdata [76]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [76]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_76_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_75_s0 ( + .D(\gw3_top/eye_calib_rdata [75]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [75]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_75_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_74_s0 ( + .D(\gw3_top/eye_calib_rdata [74]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [74]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_74_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_73_s0 ( + .D(\gw3_top/eye_calib_rdata [73]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [73]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_73_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_72_s0 ( + .D(\gw3_top/eye_calib_rdata [72]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [72]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_72_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_71_s0 ( + .D(\gw3_top/eye_calib_rdata [71]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [71]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_71_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_70_s0 ( + .D(\gw3_top/eye_calib_rdata [70]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [70]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_70_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_69_s0 ( + .D(\gw3_top/eye_calib_rdata [69]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [69]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_69_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_68_s0 ( + .D(\gw3_top/eye_calib_rdata [68]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [68]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_68_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_67_s0 ( + .D(\gw3_top/eye_calib_rdata [67]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [67]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_67_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_66_s0 ( + .D(\gw3_top/eye_calib_rdata [66]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [66]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_66_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_65_s0 ( + .D(\gw3_top/eye_calib_rdata [65]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [65]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_65_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_64_s0 ( + .D(\gw3_top/eye_calib_rdata [64]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [64]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_64_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_63_s0 ( + .D(\gw3_top/eye_calib_rdata [63]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [63]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_63_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_62_s0 ( + .D(\gw3_top/eye_calib_rdata [62]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [62]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_62_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_61_s0 ( + .D(\gw3_top/eye_calib_rdata [61]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [61]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_61_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_60_s0 ( + .D(\gw3_top/eye_calib_rdata [60]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [60]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_60_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_59_s0 ( + .D(\gw3_top/eye_calib_rdata [59]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [59]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_59_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_58_s0 ( + .D(\gw3_top/eye_calib_rdata [58]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [58]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_58_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_57_s0 ( + .D(\gw3_top/eye_calib_rdata [57]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [57]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_57_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_56_s0 ( + .D(\gw3_top/eye_calib_rdata [56]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [56]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_56_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_55_s0 ( + .D(\gw3_top/eye_calib_rdata [55]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [55]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_55_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_54_s0 ( + .D(\gw3_top/eye_calib_rdata [54]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_54_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_53_s0 ( + .D(\gw3_top/eye_calib_rdata [53]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [53]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_53_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_52_s0 ( + .D(\gw3_top/eye_calib_rdata [52]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_52_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_51_s0 ( + .D(\gw3_top/eye_calib_rdata [51]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_51_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_50_s0 ( + .D(\gw3_top/eye_calib_rdata [50]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_50_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_49_s0 ( + .D(\gw3_top/eye_calib_rdata [49]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_49_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_48_s0 ( + .D(\gw3_top/eye_calib_rdata [48]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_48_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_47_s0 ( + .D(\gw3_top/eye_calib_rdata [47]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [47]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_47_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_46_s0 ( + .D(\gw3_top/eye_calib_rdata [46]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [46]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_46_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_45_s0 ( + .D(\gw3_top/eye_calib_rdata [45]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_45_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_44_s0 ( + .D(\gw3_top/eye_calib_rdata [44]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [44]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_44_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_43_s0 ( + .D(\gw3_top/eye_calib_rdata [43]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [43]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_43_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_42_s0 ( + .D(\gw3_top/eye_calib_rdata [42]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [42]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_42_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_41_s0 ( + .D(\gw3_top/eye_calib_rdata [41]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [41]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_41_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_40_s0 ( + .D(\gw3_top/eye_calib_rdata [40]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [40]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_40_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_39_s0 ( + .D(\gw3_top/eye_calib_rdata [39]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [39]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_39_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_38_s0 ( + .D(\gw3_top/eye_calib_rdata [38]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [38]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_38_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_37_s0 ( + .D(\gw3_top/eye_calib_rdata [37]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [37]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_37_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_36_s0 ( + .D(\gw3_top/eye_calib_rdata [36]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [36]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_36_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_35_s0 ( + .D(\gw3_top/eye_calib_rdata [35]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [35]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_35_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_34_s0 ( + .D(\gw3_top/eye_calib_rdata [34]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [34]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_34_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_33_s0 ( + .D(\gw3_top/eye_calib_rdata [33]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [33]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_33_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_32_s0 ( + .D(\gw3_top/eye_calib_rdata [32]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [32]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_32_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_31_s0 ( + .D(\gw3_top/eye_calib_rdata [31]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [31]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_31_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_30_s0 ( + .D(\gw3_top/eye_calib_rdata [30]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [30]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_30_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_29_s0 ( + .D(\gw3_top/eye_calib_rdata [29]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [29]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_29_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_28_s0 ( + .D(\gw3_top/eye_calib_rdata [28]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [28]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_28_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_27_s0 ( + .D(\gw3_top/eye_calib_rdata [27]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [27]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_27_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_26_s0 ( + .D(\gw3_top/eye_calib_rdata [26]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [26]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_26_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_25_s0 ( + .D(\gw3_top/eye_calib_rdata [25]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [25]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_25_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_24_s0 ( + .D(\gw3_top/eye_calib_rdata [24]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [24]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_24_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_23_s0 ( + .D(\gw3_top/eye_calib_rdata [23]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [23]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_23_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_22_s0 ( + .D(\gw3_top/eye_calib_rdata [22]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [22]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_22_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_21_s0 ( + .D(\gw3_top/eye_calib_rdata [21]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [21]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_21_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_20_s0 ( + .D(\gw3_top/eye_calib_rdata [20]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [20]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_20_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_19_s0 ( + .D(\gw3_top/eye_calib_rdata [19]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_19_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_18_s0 ( + .D(\gw3_top/eye_calib_rdata [18]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_18_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_17_s0 ( + .D(\gw3_top/eye_calib_rdata [17]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_17_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_16_s0 ( + .D(\gw3_top/eye_calib_rdata [16]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_16_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_15_s0 ( + .D(\gw3_top/eye_calib_rdata [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_14_s0 ( + .D(\gw3_top/eye_calib_rdata [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_13_s0 ( + .D(\gw3_top/eye_calib_rdata [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_12_s0 ( + .D(\gw3_top/eye_calib_rdata [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_11_s0 ( + .D(\gw3_top/eye_calib_rdata [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_10_s0 ( + .D(\gw3_top/eye_calib_rdata [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_9_s0 ( + .D(\gw3_top/eye_calib_rdata [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_8_s0 ( + .D(\gw3_top/eye_calib_rdata [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_7_s0 ( + .D(\gw3_top/eye_calib_rdata [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_6_s0 ( + .D(\gw3_top/eye_calib_rdata [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_5_s0 ( + .D(\gw3_top/eye_calib_rdata [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_4_s0 ( + .D(\gw3_top/eye_calib_rdata [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_3_s0 ( + .D(\gw3_top/eye_calib_rdata [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_2_s0 ( + .D(\gw3_top/eye_calib_rdata [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_1_s0 ( + .D(\gw3_top/eye_calib_rdata [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_0_s0 ( + .D(\gw3_top/eye_calib_rdata [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_r_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_d1_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/phy_odt_pre [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_odt_d1 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_d1_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_d2_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/phy_odt_d1 [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_odt_d2 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_d2_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1955_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd0 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd0 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd1_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n303_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n348_12 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_cas_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_2_s0 .INIT=1'b1; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n349_11 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_ras_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_1_s0 .INIT=1'b1; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n350_9 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_cs_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_0_s0 .INIT=1'b1; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/cmd0_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n360_5 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_we_n [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cmd0_3_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n501_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_addr [54]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n503_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_addr [52]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n504_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_addr [51]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n491_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_addr [50]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n492_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_addr [49]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n507_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_addr [48]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n510_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_addr [45]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_addr_reg_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_bank_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n620_4 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_bank [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_bank_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_bank_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n621_5 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_bank [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_bank_reg_0_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_reg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_c ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_reset_n ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_reset_n_reg_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_cke_reg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/cke_en ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_cke [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_cke_reg_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/eye_calib_start_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1117_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/eye_calib_start_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/eye_calib_start_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_go_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1240_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_go ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_go_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1256_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1257_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1258_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1259_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1260_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1261_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1262_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1263_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1264_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1265_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1346_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1347_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/load_mr0 [8]), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/repeat_done_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/write_leveling_flag_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1105_4 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wrlvl_start ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/write_leveling_flag_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_rmove_start_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1113_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/init_rmove_start_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_rmove_start_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1119_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(init_calib_complete) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_d_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1119_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/ddr_init_internal ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_2_s0 ( + .D(\gw3_top/ddr_init_internal ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/ddr_init_complete_inter_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos_0_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1610_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1621_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1622_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1623_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1624_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1625_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1626_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1627_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1619_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos_1_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1664_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/edge_pos_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1675_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1676_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1677_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1678_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1679_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1680_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1681_1 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1673_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_15_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [15]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_15_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_14_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [14]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_14_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_13_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [13]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_13_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_12_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [12]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_12_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_11_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [11]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_11_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_10_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [10]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_10_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_9_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [9]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_9_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_8_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [8]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_8_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [7]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [6]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_d [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wstep_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_complete_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1541_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/wrlvl_complete_Z ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_complete_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_dqs_reg_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1525_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_dqs ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_dqs_reg_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1951_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_clr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_clr_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_9_33 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_clr ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_clr_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_line_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/read_line3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_line [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_line_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1965_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [5]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [4]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [3]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [2]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2096_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_reg_d_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_i_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2113_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_i ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[0].hold_i_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[1].hold_i_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2113_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[1].hold_i ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/hold_gen[1].hold_i_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_pos_s0 ( + .D(\gw3_top/u_ddr_phy_top/RBURST [0]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_pos ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_pos_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg_s0 ( + .D(\gw3_top/u_ddr_phy_top/RBURST [0]), + .CLK(\gw3_top/u_ddr_phy_top/u_ddr_init/n2156_6 ), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg ), + .CLK(\gw3_top/u_ddr_phy_top/u_ddr_init/n2156_6 ), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_neg_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].rburst_t_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_cal_done_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2183_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_cal_done ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_cal_done_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/RBURST [0]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/rvalid_Z [0]), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2232_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2230_11 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rclk_sel [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_adj_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[0].read_adj ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_adj_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2269_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2270_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2272_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2260_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2284_28 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2285_23 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2286_22 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2287_23 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2346_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2402_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf_0_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2412_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2535_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2536_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2537_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2538_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2527_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].ides_calib_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calib_rmove_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2566_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calib_rmove_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_pos_s0 ( + .D(\gw3_top/u_ddr_phy_top/RBURST_0 [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_pos ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_pos_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg_s0 ( + .D(\gw3_top/u_ddr_phy_top/RBURST_0 [1]), + .CLK(\gw3_top/u_ddr_phy_top/u_ddr_init/n2156_6 ), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg ), + .CLK(\gw3_top/u_ddr_phy_top/u_ddr_init/n2156_6 ), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_neg_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t_r_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t_r ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].rburst_t_r_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_cal_done_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2599_6 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_cal_done ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_cal_done_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/RBURST_0 [1]), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/rvalid_Z_0 [1]), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_reg_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2648_4 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2646_7 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rclk_sel [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_adj_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read_calibration_logic_gen[1].read_adj ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_adj_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2685_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2686_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2688_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2676_6 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_7_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2700_28 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_7_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_6_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2701_23 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_6_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2702_22 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2703_23 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_reg_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2762_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_check_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2818_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_check_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf_1_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2828_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_rclksel_conf_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2951_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2952_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2953_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2954_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n2943_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].ides_calib_cnt_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calib_rmove_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2982_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/read_calib_rmove_Z [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calib_rmove_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_complete_s0 ( + .D(VCC), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/n1975_3 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_complete ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_complete_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n3017_3 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_wait_ov_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/phy_rdbk_data_valid [1]), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_rdbk_data_valid_r_1_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n347_6 ), + .CLK(clk_out), + .CE(VCC), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_we_n [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/cmd3_3_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n225_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/phy_odt_pre [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/phy_odt_pre_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1316_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_6_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1317_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1318_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1319_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1320_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1321_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1322_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1323_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_7_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0 [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/timer_cnt0_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1579_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1580_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1581_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1582_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_3_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_sendDQS_Counter_0_s1 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1599_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_11 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_s1 .INIT=1'b1; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1653_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_0_11 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/pre_dq_1_s1 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2023_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst_10 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/filter_first_burst_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2047_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_6_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2048_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2049_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2050_11 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2051_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2052_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2053_8 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_7_12 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2207_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_11 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2208_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_11 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2209_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_3_11 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2309_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_8_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2310_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_8_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_6_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2312_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2313_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2315_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2316_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_9_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2365_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_8_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2366_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_8_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_7_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2367_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_6_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2368_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2369_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2370_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2371_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2372_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2373_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2374_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_9_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_0_s1 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2499_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_0_8 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_0_s1 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2623_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_11 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2624_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_11 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2625_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_3_11 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2725_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_18_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2726_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_18_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_16_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2728_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_16_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_15_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2729_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_15_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_13_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2731_6 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_13_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_12_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2732_9 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_19_18 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_12_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2781_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_18_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2782_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_18_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_17_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2783_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_17_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_16_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2784_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_16_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_15_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2785_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_15_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_14_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2786_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_14_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_13_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2787_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_13_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_12_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2788_10 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_12_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_11_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2789_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_11_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_10_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2790_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_19_8 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rburst_cnt_10_s1 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2915_7 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_1_8 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/readback_check [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/readback_check_1_s1 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_19_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_19_37 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [19]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_19_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_18_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_18_37 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [18]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_18_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_17_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_17_32 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_17_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_16_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_16_34 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_16_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_15_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_15_34 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_15_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_14_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_14_36 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_14_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_13_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_13_31 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_13_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_12_s4 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_12_31 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_12_s4 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_11_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_11_36 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_11_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_10_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_10_40 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_10_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_9_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_9_34 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_9_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_8_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_8_39 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_8_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_7_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_7_36 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_7_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_6_s8 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_6_41 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_6_s8 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_5_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_5_34 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_5_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_4_s8 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_4_32 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_4_s8 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_3_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_37 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_3_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_2_s12 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_2_37 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_2_s12 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_1_s14 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_1_33 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_1_s14 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_0_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_0_31 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/init_next_state_3_32 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/init_state [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/init_state_0_s16 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_11_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_11_26 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_11_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_10_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_10_25 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_10_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_9_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_9_33 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_9_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_8_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_8_25 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_8_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_7_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_7_26 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_7_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_6_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_6_26 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_6_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_5_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_5_27 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_5_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_4_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_4_25 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_4_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_3_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_3_25 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_3_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_2_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_25 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_2_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_1_s4 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_1_24 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_1_s4 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_0_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_0_24 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_next_state_2_23 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wrlvl_state_0_s6 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_16_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_16_35 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [16]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_16_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_15_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_15_36 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [15]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_15_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_14_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_14_37 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_14_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_13_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_13_42 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [13]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_13_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_12_s4 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_12_35 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [12]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_12_s4 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_11_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_11_33 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_11_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_10_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_10_34 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_10_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_9_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_9_33 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [9]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_9_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_8_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_8_36 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_8_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_7_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_7_36 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_7_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_6_s6 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_6_30 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [6]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_6_s6 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_5_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_5_34 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [5]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_5_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_4_s2 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_4_31 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_4_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_3_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_34 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_3_s16 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_2_s12 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_2_31 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_2_s12 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_1_s14 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_1_31 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_1_s14 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_0_s16 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_0_31 ), + .CLK(clk_out), + .CE(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_next_state_3_32 ), + .PRESET(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cal_state_0_s16 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1628_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_8_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n1682_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [8]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg_8_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2233_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rclk_sel [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_0_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2234_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rclk_sel [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_0_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2271_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].read_i_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2649_7 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rclk_sel [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2650_8 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/rclk_sel [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rclk_sel_reg_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2687_10 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].read_i_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_0_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2054_11 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_cmd_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_0_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2210_14 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[0].cal_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_7_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2311_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_7_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_4_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2314_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_4_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_1_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2317_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_1_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_0_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2318_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_1_s4 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2421_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_1_s4 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_0_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2422_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_0_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2626_14 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/read_calibration_logic_gen[1].cal_cnt_0_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_17_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2727_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [17]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_17_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_14_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2730_12 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [14]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_14_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_11_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2733_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [11]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_11_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_10_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2734_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt [10]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rvalid_cnt_10_s3 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_3_s4 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2837_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_3_s4 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_2_s3 ( + .D(\gw3_top/u_ddr_phy_top/u_ddr_init/n2838_9 ), + .CLK(clk_out), + .CE(VCC), + .CLEAR(ddr_rst), + .Q(\gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/rdback_check_cnt_2_s3 .INIT=1'b0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1627_s ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [1]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [0]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1627_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1627_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1627_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1626_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [2]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1627_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1626_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1626_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1626_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1625_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [3]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1626_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1625_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1625_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1625_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1624_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [4]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1625_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1624_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1624_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1624_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1623_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [5]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1624_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1623_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1623_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1623_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1622_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [6]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1623_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1622_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1622_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1622_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1621_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [7]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1622_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1621_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1621_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1621_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1681_s ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [9]), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [8]), + .I3(GND), + .CIN(GND), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1681_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1681_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1681_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1680_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [10]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1681_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1680_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1680_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1680_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1679_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [11]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1680_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1679_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1679_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1679_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1678_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [12]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1679_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1678_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1678_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1678_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1677_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [13]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1678_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1677_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1677_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1677_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1676_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [14]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1677_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1676_2 ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1676_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1676_s .ALU_MODE=0; +ALU \gw3_top/u_ddr_phy_top/u_ddr_init/n1675_s ( + .I0(GND), + .I1(\gw3_top/u_ddr_phy_top/u_ddr_init/wstep_reg [15]), + .I3(GND), + .CIN(\gw3_top/u_ddr_phy_top/u_ddr_init/n1676_2 ), + .COUT(\gw3_top/u_ddr_phy_top/u_ddr_init/n1675_0_COUT ), + .SUM(\gw3_top/u_ddr_phy_top/u_ddr_init/n1675_1 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1675_s .ALU_MODE=0; +INV \gw3_top/u_ddr_phy_top/u_ddr_init/n2156_s2 ( + .I(clk_out), + .O(\gw3_top/u_ddr_phy_top/u_ddr_init/n2156_6 ) +); +LUT1 \gw3_top/u_ddr_phy_top/u_ddr_init/n1347_s2 ( + .I0(\gw3_top/u_ddr_phy_top/u_ddr_init/repeat_cnt [0]), + .F(\gw3_top/u_ddr_phy_top/u_ddr_init/n1347_6 ) +); +defparam \gw3_top/u_ddr_phy_top/u_ddr_init/n1347_s2 .INIT=2'h1; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/n19_s0 ( + .I0(\gw3_top/u_ddr_phy_top/dll_lock ), + .I1(pll_lock), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n19_3 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n19_s0 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n92_s1 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/n92_5 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n92_6 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/n92_10 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/n92_8 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n92_4 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n92_s1 .INIT=16'h8000; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s10 ( + .I0(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_18 ), + .I2(\gw3_top/u_ddr_phy_top/uddcntln ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_14 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s10 .INIT=8'hEF; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s11 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_17 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_18 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s11 .INIT=4'hB; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s12 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_23 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_25 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_19 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_20 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s12 .INIT=16'h8F88; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_s12 ( + .I0(\gw3_top/u_ddr_phy_top/stop_d ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_19 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_20 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_s12 .INIT=16'h3A00; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_s12 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I1(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_18 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_s12 .INIT=16'h1C00; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_s12 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_25 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_17 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_d2 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_18 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_s12 .INIT=16'hFF80; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_s12 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I1(\gw3_top/u_ddr_phy_top/uddcntln ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_19 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_s12 .INIT=16'hBCFF; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s12 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_17 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_18 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ready ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_19 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s12 .INIT=16'hFF40; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s11 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_23 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/flag [1]), + .I2(\gw3_top/u_ddr_phy_top/pause ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_19 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_17 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s11 .INIT=16'hF400; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/n298_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n298_9 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n298_8 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n298_s3 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/n297_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/count [1]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/n298_9 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n297_7 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n297_s2 .INIT=8'h60; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n54_s1 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n55_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [3]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n54_6 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n54_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n52_s1 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n53_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [5]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n52_6 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n52_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n51_s1 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/n53_7 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n51_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n51_6 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n51_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n49_s1 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [8]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n49_6 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n49_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n47_s1 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [9]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n48_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [10]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n47_6 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n47_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n46_s1 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n46_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [11]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n46_6 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n46_s1 .INIT=16'h7800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n92_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [2]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n92_5 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n92_s2 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n92_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [8]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [9]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [10]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [11]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n92_6 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n92_s3 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n92_s5 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [5]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [6]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [7]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n92_8 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n92_s5 .INIT=16'h0001; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s12 ( + .I0(\gw3_top/u_ddr_phy_top/stop_d ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0 [5]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ready ), + .I3(\gw3_top/u_ddr_phy_top/pause ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_18 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s12 .INIT=16'hEFF3; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s12 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ), + .I1(\gw3_top/u_ddr_phy_top/pause ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_19 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_17 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s12 .INIT=16'h7000; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s13 ( + .I0(\gw3_top/u_ddr_phy_top/uddcntln ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_19 ), + .I2(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_18 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_18 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s13 .INIT=16'h0BBB; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s15 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ), + .I2(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .I3(\gw3_top/u_ddr_phy_top/stop_d ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_19 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s15 .INIT=16'h00F8; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s16 ( + .I0(\gw3_top/u_ddr_phy_top/pause ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ready ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0 [5]), + .I3(\gw3_top/u_ddr_phy_top/uddcntln ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_20 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s16 .INIT=16'h1000; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_s14 ( + .I0(\gw3_top/u_ddr_phy_top/stop_d ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_20 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_18 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_s14 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_s13 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/count [1]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/flag [1]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_21 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_17 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_s13 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_s14 ( + .I0(\gw3_top/u_ddr_phy_top/uddcntln ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_19 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_18 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_s14 .INIT=16'h7F00; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s13 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/recalib_s [1]), + .I1(\gw3_top/u_ddr_phy_top/uddcntln ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_d2 ), + .I3(\gw3_top/u_ddr_phy_top/pause ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_17 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s13 .INIT=16'h00BF; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s14 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0 [5]), + .I1(\gw3_top/u_ddr_phy_top/stop_d ), + .I2(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_18 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s14 .INIT=8'h01; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s15 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/count [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/n296_8 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_25 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_19 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_s15 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s13 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/flag [1]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_19 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_19 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_s13 .INIT=16'hF800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n298_s4 ( + .I0(\gw3_top/u_ddr_phy_top/pause ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0 [5]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/n298_10 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n298_9 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n298_s4 .INIT=16'h1F00; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/n296_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/count [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n296_8 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n296_s3 .INIT=4'h8; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/n55_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n55_7 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n55_s2 .INIT=4'h8; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n53_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [2]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [3]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n53_7 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n53_s2 .INIT=16'h8000; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/n51_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n51_7 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n51_s2 .INIT=4'h8; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/n48_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [8]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n48_7 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n48_s2 .INIT=8'h80; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n46_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [8]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [9]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [10]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n46_7 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n46_s2 .INIT=16'h8000; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/n45_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [11]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/n46_7 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n45_7 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n45_s2 .INIT=8'h80; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s14 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/ready ), + .I1(\gw3_top/u_ddr_phy_top/uddcntln ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_18 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_19 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_s14 .INIT=8'h40; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s17 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/count [2]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_21 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s17 .INIT=4'h4; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/n298_s5 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/n298_11 ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_18 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_17 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n298_10 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n298_s5 .INIT=8'h07; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n298_s6 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/ready ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_d2 ), + .I2(\gw3_top/u_ddr_phy_top/pause ), + .I3(\gw3_top/u_ddr_phy_top/uddcntln ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n298_11 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n298_s6 .INIT=16'h0B00; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n44_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [11]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/n46_7 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n44_9 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n44_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n50_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [6]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n53_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [4]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [5]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n50_s3 .INIT=16'h8000; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n55_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [0]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [1]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n55_9 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n55_s3 .INIT=16'h6A00; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s18 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/flag [1]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n296_8 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/count [2]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_23 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s18 .INIT=16'h0800; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s19 ( + .I0(\gw3_top/u_ddr_phy_top/pause ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/ready ), + .I2(\gw3_top/u_ddr_phy_top/uddcntln ), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_18 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_25 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_s19 .INIT=16'h1000; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_s15 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/count [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/count [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_20 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_s15 .INIT=8'h40; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n296_s4 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/count [2]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/count [1]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/n298_9 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n296_10 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n296_s4 .INIT=16'h6A00; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_s14 ( + .I0(\gw3_top/u_ddr_phy_top/pause ), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0 [5]), + .I2(\gw3_top/u_ddr_phy_top/stop_d ), + .I3(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_19 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_s14 .INIT=16'h0002; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/n57_s4 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n57_11 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n57_s4 .INIT=8'h90; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_s6 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_s6 .INIT=4'h7; +LUT3 \gw3_top/u_ddr_phy_top/ddr_sync/n92_s6 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [13]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n92_10 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n92_s6 .INIT=8'h10; +LUT2 \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_s7 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_15 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_s7 .INIT=4'h4; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_s14 ( + .I0(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/count [2]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/count [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_19 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_s14 .INIT=16'h1000; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n56_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [0]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [1]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n56_8 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n56_s2 .INIT=16'h0600; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n53_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [4]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n53_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n53_9 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n53_s3 .INIT=16'h0600; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n50_s4 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [7]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n50_9 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n50_11 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n50_s4 .INIT=16'h0600; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n48_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [9]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n48_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n48_9 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n48_s3 .INIT=16'h0600; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n45_s3 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [12]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n45_7 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n45_9 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n45_s3 .INIT=16'h0600; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n44_s4 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [13]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/n44_9 ), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n44_11 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n44_s4 .INIT=16'h0600; +LUT4 \gw3_top/u_ddr_phy_top/ddr_sync/n43_s2 ( + .I0(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [13]), + .I1(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]), + .I2(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]), + .I3(\gw3_top/u_ddr_phy_top/ddr_sync/n44_9 ), + .F(\gw3_top/u_ddr_phy_top/ddr_sync/n43_8 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/n43_s2 .INIT=16'h2000; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/recalib_s_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/recalib_s [0]), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/recalib_s [1]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/recalib_s_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/recalib_s_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/sys_reset_Z ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/recalib_s [0]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/recalib_s_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_syn_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [0]), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [1]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_syn_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_syn_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n19_3 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_syn [0]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_syn_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_d2_s0 ( + .D(VCC), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/n92_4 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_d2 ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_d2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_5_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_5_16 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0 [5]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_5_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_4_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_4_16 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/stop_d ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_4_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_3_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_3_16 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/cs_memsync [3]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_3_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_2_16 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/pause ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_0_16 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/ready ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_0_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/ddr_sync/dll_rst_s0 ( + .D(GND), + .CLK(clk), + .CE(VCC), + .PRESET(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/dll_rsti ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/dll_rst_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/count_2_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n296_10 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/count [2]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/count_2_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/count_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n297_7 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/count [1]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/count_1_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/count_0_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n298_8 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/count [0]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/count_0_s0 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/ddr_init_st_s0 ( + .D(VCC), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/cs_memsync [3]), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_init_st_Z ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/ddr_init_st_s0 .INIT=1'b0; +DFFPE \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_1_s0 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/ns_memsync_1_16 ), + .CLK(clk), + .CE(VCC), + .PRESET(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/uddcntln ) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/cs_memsync_1_s0 .INIT=1'b1; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_14_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n43_8 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [14]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_14_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_13_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n44_11 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [13]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_13_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_12_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n45_9 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [12]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_12_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_11_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n46_6 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [11]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_11_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_10_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n47_6 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [10]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_10_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_9_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n48_9 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [9]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_9_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_8_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n49_6 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [8]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_8_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_7_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n50_11 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [7]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_7_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_6_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n51_6 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [6]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_6_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_5_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n52_6 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [5]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_5_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_4_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n53_9 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [4]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_4_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_3_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n54_6 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [3]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_3_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_2_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n55_9 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [2]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_2_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_1_s1 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n56_8 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_15_16 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [1]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_1_s1 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/flag_1_s2 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_1_17 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_14 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/flag [1]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_1_s2 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/flag_0_s4 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_16 ), + .CLK(clk), + .CE(\gw3_top/u_ddr_phy_top/ddr_sync/flag_d_0_14 ), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/flag [0]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/flag_0_s4 .INIT=1'b0; +DFFCE \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_0_s3 ( + .D(\gw3_top/u_ddr_phy_top/ddr_sync/n57_11 ), + .CLK(clk), + .CE(VCC), + .CLEAR(\gw3_top/u_ddr_phy_top/n7_6 ), + .Q(\gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt [0]) +); +defparam \gw3_top/u_ddr_phy_top/ddr_sync/lock_cnt_0_s3 .INIT=1'b0; +endmodule diff --git a/src/gowin_osc/gowin_osc.ipc b/src/gowin_osc/gowin_osc.ipc new file mode 100644 index 0000000..71cf71c --- /dev/null +++ b/src/gowin_osc/gowin_osc.ipc @@ -0,0 +1,17 @@ +[General] +ipc_version=4 +file=gowin_osc +module=Gowin_OSC +target_device=gw5ast138b-007 +type=clock_osc +version=3.0 + +[Config] +Enable_OSC_Ref=false +Enable_Trim=true +Enable_mDRP=false +FREQ=10 +LANG=1 +OSCILLATOR_FREQUENCY_210MHz=false +OSCILLATOR_FREQUENCY_25MHz=false +REGULATOR_ENABLE=false diff --git a/src/gowin_osc/gowin_osc.vhd b/src/gowin_osc/gowin_osc.vhd new file mode 100644 index 0000000..382f9a9 --- /dev/null +++ b/src/gowin_osc/gowin_osc.vhd @@ -0,0 +1,40 @@ +--Copyright (C)2014-2025 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: IP file +--Tool Version: V1.9.12 (64-bit) +--Part Number: GW5AST-LV138FPG676AC1/I0 +--Device: GW5AST-138 +--Device Version: B +--Created Time: Sat Dec 20 13:09:21 2025 + +library IEEE; +use IEEE.std_logic_1164.all; + +entity Gowin_OSC is + port ( + oscout: out std_logic + ); +end Gowin_OSC; + +architecture Behavioral of Gowin_OSC is + + --component declaration + component OSC + generic ( + FREQ_DIV: in integer := 100 + ); + port ( + OSCOUT: out std_logic + ); + end component; + +begin + osc_inst: OSC + generic map ( + FREQ_DIV => 10 + ) + port map ( + OSCOUT => oscout + ); + +end Behavioral; --Gowin_OSC diff --git a/src/misc/glitch_filter.v b/src/misc/glitch_filter.v new file mode 100644 index 0000000..686d7d0 --- /dev/null +++ b/src/misc/glitch_filter.v @@ -0,0 +1,41 @@ +//-------------------------------------------------------------------------------------------------------- +module glitch_filter # (parameter + FILTER_CYCLE = 3 +) ( + input clk, + input rstn, + input din, + output dout +); +localparam DW_LOG = $clog2(FILTER_CYCLE); +reg din_reg =0; +reg dout_reg =0; +reg [DW_LOG-1:0] cnt; +wire din_pos,din_neg; +wire dec_edge; + +assign din_pos = din && ~din_reg; +assign din_neg = ~din && din_reg; +assign dec_edge = din_pos ^din_neg; + +always @(posedge clk or negedge rstn) + if (~rstn) + din_reg <=0; + else + din_reg <=din; + +always @(posedge clk or negedge rstn) + if (~rstn) + cnt <=0; + else if (dec_edge || cnt==FILTER_CYCLE-1) + cnt <=0; + else + cnt <=cnt+1; + +always @(posedge clk or negedge rstn) + if (~rstn) + dout_reg <=0; + else if (cnt==FILTER_CYCLE-1) + dout_reg <=din_reg; + +endmodule \ No newline at end of file diff --git a/src/misc/video.v b/src/misc/video.v index 0e456ba..990f113 100644 --- a/src/misc/video.v +++ b/src/misc/video.v @@ -9,6 +9,9 @@ module video ( input ntscmode, input vs_in_n, input hs_in_n, + input HBlank, + input VBlank, + input [3:0] r_in, input [3:0] g_in, @@ -17,6 +20,8 @@ module video ( input [17:0] audio_l, input [17:0] audio_r, + input HDMI_FREEZE, + output freeze_sync, output osd_status, // (spi) interface from MCU @@ -57,13 +62,30 @@ always @(posedge clk) begin end end +wire frz_hs, frz_vs; +wire frz_hbl, frz_vbl; +video_freezer freezer +( + .clk(clk), + .freeze(HDMI_FREEZE), + .hs_in(hs_in_n), + .vs_in(vs_in_n), + .hbl_in(HBlank), + .vbl_in(VBlank), + .sync(freeze_sync), + .hs_out(frz_hs), + .vs_out(frz_vs), + .hbl_out(frz_hbl), + .vbl_out(frz_vbl) +); + wire vreset; wire [1:0] vmode; video_analyzer video_analyzer ( .clk(clk), - .vs(vs_in_n), - .hs(hs_in_n), + .vs(frz_vs), + .hs(frz_hs), .de(1'b1), .ntscmode(ntscmode), .mode(vmode), @@ -86,8 +108,8 @@ scandoubler #(11) scandoubler ( .scanlines(system_scanlines), // shifter video interface - .hs_in(hs_in_n), - .vs_in(vs_in_n), + .hs_in(frz_hs), + .vs_in(frz_vs), .r_in( r_in ), .g_in( g_in ), .b_in( b_in ), diff --git a/src/tang/console138k_bl616/c64nano.vhd b/src/tang/console138k_bl616/c64nano.vhd index e9cff60..d59ce18 100644 --- a/src/tang/console138k_bl616/c64nano.vhd +++ b/src/tang/console138k_bl616/c64nano.vhd @@ -1744,16 +1744,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1774,12 +1779,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/src/tang/console60k/ao486_to_hdmi.sv b/src/tang/console60k/ao486_to_hdmi.sv new file mode 100644 index 0000000..e3072f9 --- /dev/null +++ b/src/tang/console60k/ao486_to_hdmi.sv @@ -0,0 +1,230 @@ +// VGA video and sound to HDMI converter with DDR3 framebuffer +// nand2mario, 9/2025 +module ao486_to_hdmi ( + input clk27, // 27Mhz for generating HDMI and DDR3 clocks + input pll_lock_27, + input clk50, + input resetn, + output clk_pixel, // 74.25Mhz pixel clock output + input [5:0] ddr_prefetch_delay, + output init_calib_complete, + + // ao486 VGA video signals + input clk_vga, // ao486 VGA clock + input vga_ce, // clock enable for VGA output + input [7:0] vga_r, + input [7:0] vga_g, + input [7:0] vga_b, + input vga_hs, + input vga_vs, + input vga_de, // blank_n + input freeze, // freeze video output (for debug) + + // audio input + input [15:0] sound_left, + input [15:0] sound_right, + + // overlay interface + input overlay, + output reg [7:0] overlay_x, + output reg [7:0] overlay_y, + input [15:0] overlay_color, + + // DDR3 interface + output [15:0] ddr_addr, + output [2:0] ddr_bank, + output ddr_cs, + output ddr_ras, + output ddr_cas, + output ddr_we, + output ddr_ck, + output ddr_ck_n, + output ddr_cke, + output ddr_odt, + output ddr_reset_n, + output [1:0] ddr_dm, + inout [15:0] ddr_dq, + inout [1:0] ddr_dqs, + inout [1:0] ddr_dqs_n, + + // output signals + output tmds_clk_n, + output tmds_clk_p, + output [2:0] tmds_d_n, + output [2:0] tmds_d_p +); + +// ------------------------------------------------------------ video mode detection + +// Simple frame size estimator using VGA signals. +// - Counts active pixels while `vga_de` is high (gated by `vga_ce`) to determine width. +// - Counts number of active lines per frame to determine height. +// - Latches measurements on the rising edge of VSYNC. + +reg [10:0] fb_width; +reg [9:0] fb_height; +wire fb_size_valid; + +reg [10:0] cur_line_width; +reg [10:0] max_line_width; +reg [9:0] line_count; +reg de_r; +reg vs_r; +reg vsD, hsD; + +assign fb_size_valid = (fb_width != 0) && (fb_height != 0); + +always @(posedge clk_vga) begin + if (!resetn) begin + fb_width <= 0; + fb_height <= 0; + cur_line_width <= 0; + max_line_width <= 0; + line_count <= 0; + de_r <= 0; + vs_r <= 0; + end else begin + if (vga_ce) begin + de_r <= vga_de; + vs_r <= vga_vs; + hsD <= vga_hs; + + // Count active pixels in the line + if (vga_de) begin + if (!de_r) // Start of active portion of a line + cur_line_width <= 1; + else + cur_line_width <= cur_line_width + 1'b1; + end + // End of active portion of a line + if(vga_hs && !hsD) begin + if (cur_line_width > max_line_width) + max_line_width <= cur_line_width; + if (cur_line_width != 0) + line_count <= line_count + 1'b1; + end + // Rising edge of VSYNC: latch frame size and reset counters + if (vga_vs && !vs_r) begin + fb_width <= max_line_width; + fb_height <= line_count; + cur_line_width <= 0; + max_line_width <= 0; + line_count <= 0; + end + end + end +end + + +// ------------------------------------------------------------ framebuffer + +reg frame_end, frame_end_r; +reg overlay_r; +reg overlay_we; +reg vsync; +reg [3:0] overlay_cnt; +reg [17:0] overlay_data; +reg vga_vs_r; +// BGR5 to RGB6 + +always @(posedge clk_vga) begin + overlay_r <= overlay; + vsync <= 0; + overlay_we <= 0; + frame_end <= 0; + frame_end_r <= frame_end; + + if (~freeze) begin + if (!overlay) begin + vga_vs_r <= vga_vs; + vsync <= vga_vs & ~vga_vs_r; // always use rising edge + end else if (overlay && !overlay_r) begin + // init overlay display + overlay_x <= 0; + overlay_y <= 0; + overlay_we <= 0; + overlay_cnt <= 0; + end else if (overlay) begin + // send overlay data to framebuffer + // overlay runs at clk50 + // 15 clk50 cycles per pixel, 57.3K pixels -> 58fps + overlay_cnt <= overlay_cnt == 14 ? 0 : overlay_cnt + 1; + case (overlay_cnt) + 0: begin + if (overlay_x == 0 && overlay_y == 0) + vsync <= 1; + end + + 12: begin + overlay_data <= {overlay_color[4:0], 1'b0, overlay_color[9:5], 1'b0, overlay_color[14:10], 1'b0}; + overlay_we <= 1; + end + + 14: begin + overlay_x <= overlay_x + 1; + if (overlay_x == 255) begin + overlay_y <= overlay_y + 1; + if (overlay_y == 223) + overlay_y <= 0; + end + end + default: ; + endcase + end + end +end + +ddr3_framebuffer #( + .WIDTH(1024), + .HEIGHT(768), + .COLOR_BITS(18) +) fb ( + .clk_27(clk27), + .pll_lock_27(pll_lock_27), + .clk_g(clk50), + .clk_out(clk_pixel), + .rst_n(resetn), + .ddr_rst(), + .init_calib_complete(init_calib_complete), + // .ddr_prefetch_delay(ddr_prefetch_delay), + + // Framebuffer interface + .clk(clk_vga), + .fb_width(overlay ? 256 : fb_width), + .fb_height(overlay ? 224 : fb_height), + .disp_width(960), // 960x720 is 4:3 + .fb_vsync(vsync), + .fb_we(vga_hs && !hsD), + // Pack VGA RGB888 to RGB666 expected by framebuffer: {R[7:2], G[7:2], B[7:2]} + // Previously this passed a 24-bit {R,G,B} vector into an 18-bit port, causing truncation + // and channel mixing on hardware (red/green swapped/garbled). Simulation bypassed this path. + .fb_data(overlay ? overlay_data : {vga_r[7:2], vga_g[7:2], vga_b[7:2]}), + + .sound_left(sound_left), + .sound_right(sound_right), + + // DDR3 interface + .ddr_addr(ddr_addr), + .ddr_bank(ddr_bank), + .ddr_cs(ddr_cs), + .ddr_ras(ddr_ras), + .ddr_cas(ddr_cas), + .ddr_we(ddr_we), + .ddr_ck(ddr_ck), + .ddr_ck_n(ddr_ck_n), + .ddr_cke(ddr_cke), + .ddr_odt(ddr_odt), + .ddr_reset_n(ddr_reset_n), + .ddr_dm(ddr_dm), + .ddr_dq(ddr_dq), + .ddr_dqs(ddr_dqs), + .ddr_dqs_n(ddr_dqs_n), + + // HDMI output + .tmds_clk_n(tmds_clk_n), + .tmds_clk_p(tmds_clk_p), + .tmds_d_n(tmds_d_n), + .tmds_d_p(tmds_d_p) +); + +endmodule diff --git a/src/tang/console60k/async_fifo.v b/src/tang/console60k/async_fifo.v new file mode 100644 index 0000000..0c98c0e --- /dev/null +++ b/src/tang/console60k/async_fifo.v @@ -0,0 +1,199 @@ +// Based on: https://log.martinatkins.me/2020/06/07/verilog-async-fifo/ +// Async FIFO implementation +module async_fifo( + input reset, // async assert; deassert synced per domain + input write_clk, + input write, + input [DATA_WIDTH-1:0] write_data, + output reg can_write, + input read_clk, + input read, + output reg [DATA_WIDTH-1:0] read_data, + output reg can_read, + output [BUFFER_ADDR_WIDTH:0] read_available +); + parameter DATA_WIDTH = 16; + parameter BUFFER_ADDR_WIDTH = 8; + parameter BUFFER_SIZE = 2 ** BUFFER_ADDR_WIDTH; + + // Our buffer as a whole is accessed by both the write_clk and read_clk + // domains, but read_clk is only used to access elements >= read_ptr and + // write_clk only for elements < read_ptr. We're expecting this buffer to + // be inferred as a dual-port block RAM, so the board-specific top module + // should choose a suitable buffer size to allow that inference. + reg [DATA_WIDTH-1:0] buffer [BUFFER_SIZE-1:0] /* xx synthesis syn_ramstyle="block_ram" */ ; + + ///// RESET SYNCHRONIZATION ///// + + // Generate per-domain synchronous resets (async assert, sync deassert) + reg [1:0] wr_rst_sync; + reg [1:0] rd_rst_sync; + wire wr_rst = wr_rst_sync[0]; + wire rd_rst = rd_rst_sync[0]; + + always @(posedge write_clk or posedge reset) begin + if (reset) wr_rst_sync <= 2'b11; else wr_rst_sync <= {1'b0, wr_rst_sync[1]}; + end + + always @(posedge read_clk or posedge reset) begin + if (reset) rd_rst_sync <= 2'b11; else rd_rst_sync <= {1'b0, rd_rst_sync[1]}; + end + + ///// WRITE CLOCK DOMAIN ///// + + // This is an address into the buffer array. + // It intentionally has one additional bit so we can track wrap-around by + // comparing with the MSB of read_ptr (or, at least, with the grey-code + // form that we synchronize over into this clock domain.) + reg [BUFFER_ADDR_WIDTH:0] write_ptr; + wire [BUFFER_ADDR_WIDTH-1:0] write_addr = write_ptr[BUFFER_ADDR_WIDTH-1:0]; // truncated version without the wrap bit + + // This is the grey-coded version of write_ptr in the write clock domain. + reg [BUFFER_ADDR_WIDTH:0] write_ptr_grey_w; + + // This is the grey-coded version of read_ptr in the write clock domain, + // synchronized over here using module read_ptr_grey_sync declared later. + wire [BUFFER_ADDR_WIDTH:0] read_ptr_grey_w; + + // Write pointer (and its grey-coded equivalent) increments whenever + // "write" is set on a clock, as long as our buffer isn't full. + wire [BUFFER_ADDR_WIDTH:0] next_write_ptr = write_ptr + 1; + wire [BUFFER_ADDR_WIDTH:0] next_write_ptr_grey_w = (next_write_ptr >> 1) ^ next_write_ptr; + // Our buffer is full if the read and write addresses are the same but the + // MSBs (wrap bits) are different. We compare the grey code versions here + // so we can use our cross-domain-synchronized copy of the read pointer. + wire current_can_write = write_ptr_grey_w != { ~read_ptr_grey_w[BUFFER_ADDR_WIDTH:BUFFER_ADDR_WIDTH-1], read_ptr_grey_w[BUFFER_ADDR_WIDTH-2:0] }; + wire next_can_write = next_write_ptr_grey_w != { ~read_ptr_grey_w[BUFFER_ADDR_WIDTH:BUFFER_ADDR_WIDTH-1], read_ptr_grey_w[BUFFER_ADDR_WIDTH-2:0] }; + always @(posedge write_clk) begin + if (wr_rst) begin + write_ptr <= 0; + write_ptr_grey_w <= 0; + can_write <= 1; + end else begin + if (write && can_write) begin + write_ptr <= next_write_ptr; + write_ptr_grey_w <= next_write_ptr_grey_w; + can_write <= next_can_write; + end else begin + can_write <= current_can_write; + end + end + end + + // If "write" is set on a clock then we commit write_data into the current + // write address. + always @(posedge write_clk) begin + if (write && can_write) begin + buffer[write_addr] <= write_data; + end + end + + ///// READ CLOCK DOMAIN ///// + + // This is an address into the buffer array. + // It intentionally has one additional bit so we can track wrap-around by + // comparing with the MSB of write_ptr (or, at least, with the grey-code + // form that we synchronize over into this clock domain.) + reg [BUFFER_ADDR_WIDTH:0] read_ptr; + wire [BUFFER_ADDR_WIDTH-1:0] read_addr = read_ptr[BUFFER_ADDR_WIDTH-1:0]; // truncated version without the wrap bit + + // This is the grey-coded version of write_ptr in the read clock domain. + reg [BUFFER_ADDR_WIDTH:0] read_ptr_grey_r; + + // This is the grey-coded version of write_ptr in the read clock domain, + // synchronized over here using module write_ptr_grey_sync declared later. + wire [BUFFER_ADDR_WIDTH:0] write_ptr_grey_r; + + // Convert grey-coded write pointer to binary in read clock domain + function [BUFFER_ADDR_WIDTH:0] grey2bin; + input [BUFFER_ADDR_WIDTH:0] g; + integer i; + begin + grey2bin[BUFFER_ADDR_WIDTH] = g[BUFFER_ADDR_WIDTH]; + for (i = BUFFER_ADDR_WIDTH-1; i >= 0; i = i - 1) begin + grey2bin[i] = grey2bin[i+1] ^ g[i]; + end + end + endfunction + wire [BUFFER_ADDR_WIDTH:0] write_ptr_bin_r = grey2bin(write_ptr_grey_r); + assign read_available = write_ptr_bin_r - read_ptr; + + // Read pointer (and its grey-coded equivalent) increments whenever + // "read" is set on a clock, as long as our buffer isn't full. + wire [BUFFER_ADDR_WIDTH:0] next_read_ptr = read_ptr + 1; + wire [BUFFER_ADDR_WIDTH:0] next_read_ptr_grey_r = (next_read_ptr >> 1) ^ next_read_ptr; + // Our buffer is empty if the read and write addresses are the same and the + // MSBs (wrap bits) are also equal. We compare the grey code versions here + // so we can use our cross-domain-synchronized copy of the write pointer. + wire current_can_read = read_ptr_grey_r != write_ptr_grey_r; + wire next_can_read = next_read_ptr_grey_r != write_ptr_grey_r; + always @(posedge read_clk) begin + if (rd_rst) begin + read_ptr <= 0; + read_ptr_grey_r <= 0; + read_data <= 0; + can_read <= 0; + end else begin + if (read) begin + if (can_read) begin + read_ptr <= next_read_ptr; + read_ptr_grey_r <= next_read_ptr_grey_r; + end + can_read <= next_can_read; + if (next_can_read) begin + read_data <= buffer[next_read_ptr]; + end else begin + read_data <= 0; + end + end else begin + can_read <= current_can_read; + if (current_can_read) begin + read_data <= buffer[read_addr]; + end else begin + read_data <= 0; + end + end + end + end + + ///// CROSS-DOMAIN ///// + + // Synchronize read_ptr_grey_r into read_ptr_grey_w. + crossdomain #(.SIZE(BUFFER_ADDR_WIDTH+1)) read_ptr_grey_sync ( + .reset(wr_rst), + .clk(write_clk), + .data_in(read_ptr_grey_r), + .data_out(read_ptr_grey_w) + ); + + // Synchronize write_ptr_grey_w into write_ptr_grey_r. + crossdomain #(.SIZE(BUFFER_ADDR_WIDTH+1)) write_ptr_grey_sync ( + .reset(rd_rst), + .clk(read_clk), + .data_in(write_ptr_grey_w), + .data_out(write_ptr_grey_r) + ); + +endmodule + +// This is a generalization of the crossdomain module from earlier that +// now supports a customizable value size, so we can safely transmit multi-bit +// values as long as they are grey coded. +module crossdomain #(parameter SIZE = 1) ( + input reset, + input clk, + input [SIZE-1:0] data_in, + output reg [SIZE-1:0] data_out +); + + reg [SIZE-1:0] data_tmp; + + always @(posedge clk) begin + if (reset) begin + {data_out, data_tmp} <= 0; + end else begin + {data_out, data_tmp} <= {data_tmp, data_in}; + end + end + +endmodule diff --git a/src/tang/console60k/c64nano.cst b/src/tang/console60k/c64nano.cst index 8025b89..359de46 100644 --- a/src/tang/console60k/c64nano.cst +++ b/src/tang/console60k/c64nano.cst @@ -290,3 +290,103 @@ IO_PORT "hp_bck" IO_TYPE=LVCMOS33 PULL_MODE=NONE BANK_VCCIO=3.3; IO_PORT "hp_ws" IO_TYPE=LVCMOS33 PULL_MODE=NONE BANK_VCCIO=3.3; IO_PORT "hp_din" IO_TYPE=LVCMOS33 PULL_MODE=NONE BANK_VCCIO=3.3; IO_PORT "pa_en" IO_TYPE=LVCMOS15 PULL_MODE=NONE BANK_VCCIO=1.5; + +// DDR3 +IO_LOC "ddr_bank[2]" M6; +IO_PORT "ddr_bank[2]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_bank[1]" P2; +IO_PORT "ddr_bank[1]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_bank[0]" P5; +IO_PORT "ddr_bank[0]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; + +IO_LOC "ddr_addr[15]" R1; +IO_PORT "ddr_addr[15]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[14]" D1; +IO_PORT "ddr_addr[14]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[13]" K1; +IO_PORT "ddr_addr[13]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[12]" K4; +IO_PORT "ddr_addr[12]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[11]" H3; +IO_PORT "ddr_addr[11]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[10]" L1; +IO_PORT "ddr_addr[10]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[9]" H5; +IO_PORT "ddr_addr[9]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[8]" J5; +IO_PORT "ddr_addr[8]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[7]" J1; +IO_PORT "ddr_addr[7]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[6]" G3; +IO_PORT "ddr_addr[6]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[5]" H2; +IO_PORT "ddr_addr[5]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[4]" J2; +IO_PORT "ddr_addr[4]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[3]" J4; +IO_PORT "ddr_addr[3]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[2]" G2; +IO_PORT "ddr_addr[2]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[1]" K2; +IO_PORT "ddr_addr[1]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_addr[0]" M1; +IO_PORT "ddr_addr[0]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; + +IO_LOC "ddr_odt" M2; +IO_PORT "ddr_odt" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_cke" K6; +IO_PORT "ddr_cke" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_we" M5; +IO_PORT "ddr_we" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_cas" L4; +IO_PORT "ddr_cas" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_ras" L5; +IO_PORT "ddr_ras" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_cs" P4; +IO_PORT "ddr_cs" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_reset_n" L6; +IO_PORT "ddr_reset_n" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_ck" L3,K3; +IO_PORT "ddr_ck" IO_TYPE=SSTL15D_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; + +IO_LOC "ddr_dm[1]" V7; +IO_PORT "ddr_dm[1]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; +IO_LOC "ddr_dm[0]" AA4; +IO_PORT "ddr_dm[0]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.5; + +IO_LOC "ddr_dq[15]" Y9; +IO_PORT "ddr_dq[15]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[14]" AB6; +IO_PORT "ddr_dq[14]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[13]" W9; +IO_PORT "ddr_dq[13]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[12]" AB8; +IO_PORT "ddr_dq[12]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[11]" Y7; +IO_PORT "ddr_dq[11]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[10]" AB7; +IO_PORT "ddr_dq[10]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[9]" Y8; +IO_PORT "ddr_dq[9]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[8]" AA8; +IO_PORT "ddr_dq[8]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[7]" AB1; +IO_PORT "ddr_dq[7]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[6]" AB5; +IO_PORT "ddr_dq[6]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[5]" AB2; +IO_PORT "ddr_dq[5]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[4]" AA1; +IO_PORT "ddr_dq[4]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[3]" V4; +IO_PORT "ddr_dq[3]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[2]" AA5; +IO_PORT "ddr_dq[2]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[1]" AB3; +IO_PORT "ddr_dq[1]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dq[0]" Y4; +IO_PORT "ddr_dq[0]" IO_TYPE=SSTL15_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dqs[1]" V9,V8; +IO_PORT "ddr_dqs[1]" IO_TYPE=SSTL15D_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; +IO_LOC "ddr_dqs[0]" Y3,AA3; +IO_PORT "ddr_dqs[0]" IO_TYPE=SSTL15D_I PULL_MODE=NONE DRIVE=8 SINGLE_RESISTOR=OFF BANK_VCCIO=1.5; diff --git a/src/tang/console60k/c64nano.sdc b/src/tang/console60k/c64nano.sdc index 605ac87..d617e8b 100644 --- a/src/tang/console60k/c64nano.sdc +++ b/src/tang/console60k/c64nano.sdc @@ -1,29 +1,23 @@ -create_clock -name clk_pixel_x5_pal -period 6.349 -waveform {0 3.174} [get_nets {clk_pixel_x5_pal}] -create_clock -name clk_pixel_x5_ntsc -period 6.115 -waveform {0 3.05} [get_nets {clk_pixel_x5_ntsc}] -create_clock -name clk_pixel_x5 -period 6.349 -waveform {0 3.174} [get_nets {clk_pixel_x5}] -create_clock -name clk_audio -period 20833 -waveform {0 10000} [get_nets {video_inst/clk_audio}] +//Copyright (C)2014-2026 GOWIN Semiconductor Corporation. +//All rights reserved. +//File Title: Timing Constraints file +//Tool Version: V1.9.12 (64-bit) +//Created Time: 2026-01-02 11:24:06 create_clock -name spi_clk -period 50 -waveform {0 25} [get_ports {spi_sclk}] create_clock -name ds_clk -period 500 -waveform {0 250} [get_nets {gamepad_p1/clk_spi}] create_clock -name ds2_clk -period 500 -waveform {0 250} [get_nets {gamepad_p2/clk_spi}] -create_clock -name i2sclk -period 500 -waveform {0 250} [get_nets {video_inst/i2s_clk}] +//create_clock -name i2sclk -period 500 -waveform {0 250} [get_nets {video_inst/i2s_clk}] create_clock -name spi_io_clk -period 50 -waveform {0 25} [get_nets {spi_io_clk}] +create_clock -name clk64 -period 15.842 -waveform {0 7} [get_nets {clk64}] +create_clock -name clk64_pal -period 15.842 -waveform {0 7} [get_nets {clk64_pal}] +create_clock -name clk64_ntsc -period 15.842 -waveform {0 7} [get_nets {clk64_ntsc}] create_clock -name clk -period 20 -waveform {0 10} [get_ports {clk}] -add -create_generated_clock -name clk64_pal -source [get_nets {clk_pixel_x5_pal}] -master_clock clk_pixel_x5_pal -divide_by 5 -multiply_by 2 [get_nets {clk64_pal}] -create_generated_clock -name mspi_clk -source [get_nets {clk_pixel_x5_pal}] -master_clock clk_pixel_x5_pal -divide_by 5 -multiply_by 2 -phase 270 [get_nets {mspi_clk}] -create_generated_clock -name clk64_ntsc -source [get_nets {clk_pixel_x5_ntsc}] -master_clock clk_pixel_x5_ntsc -divide_by 5 -multiply_by 2 [get_nets {clk64_ntsc}] -create_generated_clock -name clk64 -source [get_nets {clk_pixel_x5}] -master_clock clk_pixel_x5 -divide_by 5 -multiply_by 2 [get_nets {clk64}] create_generated_clock -name clk32 -source [get_nets {clk64}] -master_clock clk64 -divide_by 2 -multiply_by 1 [get_nets {clk32}] -set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {clk_pixel_x5_pal}] -set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {clk_pixel_x5_ntsc}] set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {clk64_pal}] set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {clk64_ntsc}] -set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {mspi_clk}] -set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {clk_audio}] -set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {i2sclk}] set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {spi_clk}] set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {ds_clk}] set_clock_groups -asynchronous -group [get_clocks {clk32}] -group [get_clocks {ds2_clk}] -set_clock_groups -asynchronous -group [get_clocks {clk64}] -group [get_clocks {clk64_pal}] -set_clock_groups -asynchronous -group [get_clocks {clk64}] -group [get_clocks {clk64_ntsc}] + report_timing -hold -from_clock [get_clocks {clk*}] -to_clock [get_clocks {clk*}] -max_paths 25 -max_common_paths 1 report_timing -setup -from_clock [get_clocks {clk*}] -to_clock [get_clocks {clk*}] -max_paths 25 -max_common_paths 1 diff --git a/src/tang/console60k/c64nano.vhd b/src/tang/console60k/c64nano.vhd index 129cda2..364f24f 100644 --- a/src/tang/console60k/c64nano.vhd +++ b/src/tang/console60k/c64nano.vhd @@ -97,7 +97,23 @@ entity c64nano_top is mspi_di : inout std_logic; mspi_hold : inout std_logic; mspi_wp : inout std_logic; - mspi_do : inout std_logic + mspi_do : inout std_logic; + -- DDR3 interface + ddr_addr :out std_logic_vector(15 downto 0); + ddr_bank :out std_logic_vector(2 downto 0); + ddr_cs :out std_logic; + ddr_ras :out std_logic; + ddr_cas :out std_logic; + ddr_we :out std_logic; + ddr_ck :out std_logic; + ddr_ck_n :out std_logic; + ddr_cke :out std_logic; + ddr_odt :out std_logic; + ddr_reset_n :out std_logic; + ddr_dm :out std_logic_vector(1 downto 0); + ddr_dq :inout std_logic_vector(15 downto 0); + ddr_dqs :inout std_logic_vector(1 downto 0); + ddr_dqs_n :inout std_logic_vector(1 downto 0) ); end; @@ -106,22 +122,22 @@ architecture Behavioral_top of c64nano_top is signal clk64 : std_logic; signal clk32 : std_logic; signal pll_locked : std_logic; -signal clk_pixel_x5 : std_logic; +--signal clk_pixel_x5 : std_logic; signal clk64_ntsc : std_logic; signal pll_locked_ntsc: std_logic; -signal clk_pixel_x5_ntsc : std_logic; +--signal clk_pixel_x5_ntsc : std_logic; signal clk64_pal : std_logic; signal pll_locked_pal : std_logic; -signal clk_pixel_x5_pal : std_logic; +--signal clk_pixel_x5_pal : std_logic; signal spi_io_clk : std_logic; attribute syn_keep : integer; attribute syn_keep of clk64 : signal is 1; attribute syn_keep of clk32 : signal is 1; -attribute syn_keep of clk_pixel_x5 : signal is 1; +--attribute syn_keep of clk_pixel_x5 : signal is 1; attribute syn_keep of clk64_pal : signal is 1; attribute syn_keep of clk64_ntsc : signal is 1; -attribute syn_keep of clk_pixel_x5_pal : signal is 1; -attribute syn_keep of clk_pixel_x5_ntsc : signal is 1; +--attribute syn_keep of clk_pixel_x5_pal : signal is 1; +--attribute syn_keep of clk_pixel_x5_ntsc : signal is 1; attribute syn_keep of spi_io_clk : signal is 1; signal audio_data_l : std_logic_vector(17 downto 0); @@ -224,9 +240,10 @@ signal mouse_x : signed(7 downto 0); signal mouse_y : signed(7 downto 0); signal mouse_strobe : std_logic; signal freeze : std_logic; +signal freeze_sync : std_logic; signal c64_pause : std_logic; signal old_sync : std_logic; -signal osd_status : std_logic; +signal osd_status : std_logic := '0'; signal ws2812_color : std_logic_vector(23 downto 0); signal system_reset : std_logic_vector(1 downto 0); signal disk_reset : std_logic; @@ -494,6 +511,18 @@ signal kbd_strobe : std_logic; signal int_out_n : std_logic; signal uart_tx_i : std_logic; signal m0s_d, m0s_d1 : std_logic; +signal clk27 : std_logic; +signal pll_lock_27 : std_logic; +signal hblank, vblank, hsync_out, vsync_out : std_logic; +signal vga_ce, vga_de, old_hde, hde, vde : std_logic; +signal div: std_logic_vector(2 downto 0); +signal lores : std_logic; +signal frz_hs : std_logic; +signal frz_vs : std_logic; +signal frz_hbl : std_logic; +signal frz_vbl : std_logic; +signal ce_pix : std_logic; + -- 64k core ram 0x000000 -- cartridge RAM banks are mapped to 0x010000 @@ -813,57 +842,125 @@ cass_snd <= cass_read and not cass_run and system_tape_sound and not cass_fin audio_l <= audio_data_l or (5x"00" & cass_snd & 12x"00000"); audio_r <= audio_data_r or (5x"00" & cass_snd & 12x"00000"); -video_inst: entity work.video -generic map -( - STEREO => false -) +pll_27m_inst: entity work.pll_27 + port map ( + clkin => clk, + clkout0 => clk27, + lock => pll_lock_27, + mdclk => clk + ); + +video_sync_inst: entity work.video_sync port map( - pll_lock => pll_locked, - clk => clk32, - clk_pixel_x5 => clk_pixel_x5, - audio_div => audio_div, - - ntscmode => ntscMode, - hs_in_n => hsync, - vs_in_n => vsync, - - r_in => std_logic_vector(r(7 downto 4)), - g_in => std_logic_vector(g(7 downto 4)), - b_in => std_logic_vector(b(7 downto 4)), - - audio_l => audio_l, -- interface C64 core specific - audio_r => audio_r, - osd_status => osd_status, - - mcu_start => mcu_start, - mcu_osd_strobe => mcu_osd_strobe, - mcu_data => mcu_data_out, - - -- values that can be configure by the user via osd - system_wide_screen => system_wide_screen, - system_scanlines => system_scanlines, - system_volume => system_volume, - - tmds_clk_n => tmds_clk_n, - tmds_clk_p => tmds_clk_p, - tmds_d_n => tmds_d_n, - tmds_d_p => tmds_d_p, - - lcd_clk => lcd_clk, - lcd_hs_n => lcd_hs, - lcd_vs_n => lcd_vs, - lcd_de => lcd_de, - lcd_r => lcd_r, - lcd_g => lcd_g, - lcd_b => lcd_b, - lcd_bl => lcd_bl, - - hp_bck => hp_bck, - hp_ws => hp_ws, - hp_din => hp_din, - pa_en => pa_en - ); + clk32 => clk32, + pause => c64_pause, + hsync => hsync, + vsync => vsync, + ntsc => ntscMode, + wide => system_wide_screen, + hsync_out => hsync_out, + vsync_out => vsync_out, + hblank => hblank, + vblank => vblank +); +process(clk32) +begin + if rising_edge(clk32) then + old_sync <= freeze_sync; + if old_sync xor freeze_sync then + freeze <= osd_status and system_pause; + end if; + end if; +end process; + +process (clk64) + begin + if rising_edge(clk64) then + div <= div + 1; + if div = "111" then + lores <= not lores; + end if; + ce_pix <= '1' when lores = '0' and div = "000" else '0'; + end if; +end process; + +vm_inst: entity work.video_mixer + port map ( + CLK_VIDEO => clk64, + + hq2x => '0', + scandoubler => '1', + + ce_pix => ce_pix, + R => r(7 downto 4) & r(7 downto 4), + G => g(7 downto 4) & g(7 downto 4), + B => b(7 downto 4) & b(7 downto 4), + HSync => hsync_out, + VSync => vsync_out, + HBlank => hblank, + VBlank => vblank, + + HDMI_FREEZE => freeze, + freeze_sync => freeze_sync, + CE_PIXEL => vga_ce, + VGA_R => lcd_r, + VGA_G => lcd_g, + VGA_B => lcd_b, + VGA_VS => lcd_vs, + VGA_HS => lcd_hs, + VGA_DE => lcd_de +); + +-- 297.00 DDR3 clock +-- 74.25 pixel clock = DDR :4 +-- 371.25 5x pixel clock +framebuffer: entity work.ao486_to_hdmi + port map ( + clk27 => clk27, + pll_lock_27 => pll_lock_27, + clk50 => clk, + resetn => reset_n, + clk_pixel => open, + + clk_vga => clk32, + vga_r => lcd_r, + vga_g => lcd_g, + vga_b => lcd_b, + vga_hs => lcd_hs, + vga_vs => lcd_vs, + vga_de => lcd_de, + vga_ce => vga_ce, + + sound_left => audio_l(17 downto 2), + sound_right => audio_r(17 downto 2), + + ddr_addr =>ddr_addr, + ddr_bank =>ddr_bank, + ddr_cs =>ddr_cs, + ddr_ras =>ddr_ras, + ddr_cas =>ddr_cas, + ddr_we =>ddr_we, + ddr_ck =>ddr_ck, + ddr_ck_n =>ddr_ck_n, + ddr_cke =>ddr_cke, + ddr_odt =>ddr_odt, + ddr_reset_n =>ddr_reset_n, + ddr_dm =>ddr_dm, + ddr_dq =>ddr_dq, + ddr_dqs =>ddr_dqs, + ddr_dqs_n =>ddr_dqs_n, + tmds_clk_n => tmds_clk_n, + tmds_clk_p => tmds_clk_p, + tmds_d_n => tmds_d_n, + tmds_d_p => tmds_d_p, + ddr_prefetch_delay => 6x"00", + init_calib_complete => open, + freeze => '0', + overlay => '0', + overlay_x => open, + overlay_y => open, + overlay_color => (others =>'0') +); addr <= io_cycle_addr when io_cycle ='1' else reu_ram_addr(22 downto 0) when ext_cycle = '1' else cart_addr; cs <= io_cycle_ce when io_cycle ='1' else reu_ram_ce when ext_cycle = '1' else cart_ce; @@ -928,20 +1025,6 @@ clk_switch_2: DCS pll_locked <= pll_locked_pal and pll_locked_ntsc; dcsclksel <= "0001" when ntscMode = '0' else "0010"; -clk_switch_1: DCS -generic map ( - DCS_MODE => "RISING" -) -port map ( - CLKOUT => clk_pixel_x5, - CLKSEL => dcsclksel, - CLKIN0 => clk_pixel_x5_pal, - CLKIN1 => clk_pixel_x5_ntsc, - CLKIN2 => '0', - CLKIN3 => '0', - SELFORCE => '1' -); - div_inst: CLKDIV generic map( DIV_MODE => "2" @@ -956,7 +1039,7 @@ port map( mainclock_pal: entity work.Gowin_PLL_60k_pal port map ( lock => pll_locked_pal, - clkout0 => clk_pixel_x5_pal, + clkout0 => open, clkout1 => clk64_pal, clkout2 => mspi_clk, clkin => clk, @@ -967,7 +1050,7 @@ mainclock_ntsc: entity work.Gowin_PLL_60k_ntsc port map ( lock => pll_locked_ntsc, clkout0 => open, - clkout1 => clk_pixel_x5_ntsc, + clkout1 => open, clkout2 => clk64_ntsc, clkout3 => open, clkin => clk @@ -1322,7 +1405,7 @@ fpga64_sid_iec_inst: entity work.fpga64_sid_iec clk32 => clk32, reset_n => reset_n, bios => "00", - pause => '0', + pause => freeze, pause_out => c64_pause, usb_key => usb_key, @@ -1770,16 +1853,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1800,12 +1888,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/src/tang/console60k/ddr3_framebuffer.v b/src/tang/console60k/ddr3_framebuffer.v new file mode 100644 index 0000000..3de73e3 --- /dev/null +++ b/src/tang/console60k/ddr3_framebuffer.v @@ -0,0 +1,594 @@ +// DDR3-backed framebuffer for Tang Mega 60K/138K and Tang Console 60K/138K +// nand2mario, March 2025 +// +// - A framebuffer of any size smaller than 1280x720, backed by a single 16-bit +// DDR3 chip. The image is automatically upscaled to 1280x720 and displayed on HDMI. +// - Color depth supported: 12, 15, 18, and 24 bits. +// - Dynamic change of framebuffer size is supported. After change the upscaling +// logic will adapt to the new size. +// - Image is updated by vsync (`fb_vsync`) and then streaming every pixel (`fb_data` +// and `fb_we`). +// - Resource usage: 16 BRAMs, ~3000 LUTs, ~3000 REGs, including DDR3 and HDMI IPs. +// +// Internals, +// - Gowin DDR3 controller IP is used to access DDR3. Accesses are done in 4 pixel +// chunks (8x 16-bit words). Each pixel is max 32 bits. +// - 720p timings: +// 1650=1280 + 110(front porch) + 40(sync) + 220(back porch) +// 750 =720 + 5(front porch) + 5(sync) + 20(back porch) +// https://projectf.io/posts/video-timings-vga-720p-1080p/#hd-1280x720-60-hz +// - Input pixels are written to an async FIFO first, then read from the FIFO in memory +// controller clock domain, and written to DDR3 in 4-pixel, 8-beat chunks. +// - Pixels are continuously read from DDR3 in advance into a pixel buffer, as DDR3 +// controller's read latency is ~35 cycles. +// +// 8/2025: added support for 138K +// 9/2025: improved performance by batching DDR3 accesses to support VGA resolutions +module ddr3_framebuffer #( + parameter WIDTH = 640, // multiples of 4 + parameter HEIGHT = 480, + parameter COLOR_BITS = 18 // RGB666 +)( + input clk_27, // 27Mhz input clock + input clk_g, // 50Mhz crystal + input pll_lock_27, + input rst_n, + output clk_out, // 74.25Mhz pixel clock. could be used by user logic + output ddr_rst, // output reset signal for clk_out + output init_calib_complete, + + // Framebuffer interface + input clk, // any clock <= 74.25Mhz (or `clk_out`) + input [10:0] fb_width, // actual width of the framebuffer + input [9:0] fb_height, // actual height of the framebuffer + input [10:0] disp_width, // display width to upscale to (e.g. 960 for 4:3 aspect ratio, 1080 for 3:2 aspect ratio) + input fb_vsync, // start of frame signal, on or before the first pixel + input fb_we, // update a pixel and move to next pixel + input [COLOR_BITS-1:0] fb_data, // pixel data + + input [15:0] sound_left, + input [15:0] sound_right, + + // DDR3 interface + output [15:0] ddr_addr, + output [3-1:0] ddr_bank, + output ddr_cs, + output ddr_ras, + output ddr_cas, + output ddr_we, + output ddr_ck, + output ddr_ck_n, + output ddr_cke, + output ddr_odt, + output ddr_reset_n, + output [2-1:0] ddr_dm, + inout [16-1:0] ddr_dq, + inout [2-1:0] ddr_dqs, + inout [2-1:0] ddr_dqs_n, + + // HDMI output + output tmds_clk_n, + output tmds_clk_p, + output [2:0] tmds_d_n, + output [2:0] tmds_d_p +); + +// `include "config.vh" +//`define CONSOLE_138K + +///////////////////////////////////////////////////////////////////// +// Clocks +wire memory_clk; +wire clk_x1; +wire hclk5; +assign clk_out = clk_x1; +wire pll_lock; + +// dynamic reconfiguration port from DDR controller to framebuffer PLL +reg wr; // for mDRP +wire mdrp_inc; +wire [1:0] mdrp_op; +wire [7:0] mdrp_wdata; +wire [7:0] mdrp_rdata; +wire pll_stop; +reg pll_stop_r; + +// 74.25 pixel clock +// 371.25 5x pixel clock +// 297 DDR3 clock +`ifdef CONSOLE_138K + +`else + +pll_ddr3 pll_ddr3_inst( + .pll_init_bypass(1'b1), // 60K: bypass init sequence to use u_pll_mDRP_intf + .lock(pll_lock), + .clkout0(), + .clkout2(memory_clk), + .clkin(clk_27), + .reset(~pll_lock_27), + .mdclk(clk_g), // 60K: use Dynamic Reconfiguration Port (mDRP) to stop PLL + .mdopc(mdrp_op), // 0: nop, 1: write, 2: read + .mdainc(mdrp_inc), // increment register address + .mdwdi(mdrp_wdata), // data to be written + .mdrdo(mdrp_rdata) // data read from register +); + +// 74.25 -> 371.25 TMDS clock +pll_hdmi pll_hdmi_inst( + .clkin(clk_x1), + .clkout0(hclk5), + .mdclk(clk_g) +); + +reg mdrp_wr; +reg [7:0] pll_stop_count; +pll_mDRP_intf u_pll_mDRP_intf( + .clk(clk_g), + .rst_n(pll_lock_27), + .pll_lock(pll_lock), + .wr(mdrp_wr), + .mdrp_inc(mdrp_inc), + .mdrp_op(mdrp_op), + .mdrp_wdata(mdrp_wdata), + .mdrp_rdata(mdrp_rdata) +); + +always@(posedge clk_g) begin + pll_stop_r <= pll_stop; + mdrp_wr <= pll_stop ^ pll_stop_r; + if (pll_stop_r && !pll_stop && pll_stop_count != 8'hff) begin + pll_stop_count <= pll_stop_count + 1; + end +end +`endif + +///////////////////////////////////////////////////////////////////// +// DDR3 controller + +// A single 16-bit 4Gb DDR3 memory chip +wire app_rdy; // command and data +reg app_en; +reg [2:0] app_cmd; +reg [27:0] app_addr; + +wire app_wdf_rdy; // write data +reg app_wdf_wren; +wire [15:0] app_wdf_mask = 0; +wire app_wdf_end = 1; +reg [127:0] app_wdf_data; + +wire app_rd_data_valid; // read data +wire app_rd_data_end; +wire [127:0] app_rd_data; + +wire app_sre_req = 0; +wire app_ref_req = 0; +wire app_burst = 1; +wire app_sre_act; +wire app_ref_ack; + +DDR3_Memory_Interface_Top u_ddr3 ( + .memory_clk (memory_clk), + .pll_stop (pll_stop), + .clk (clk_g), + .rst_n (1'b1), + //.app_burst_number(0), + .cmd_ready (app_rdy), + .cmd (app_cmd), + .cmd_en (app_en), + .addr (app_addr), + .wr_data_rdy (app_wdf_rdy), + .wr_data (app_wdf_data), + .wr_data_en (app_wdf_wren), + .wr_data_end (app_wdf_end), + .wr_data_mask (app_wdf_mask), + .rd_data (app_rd_data), + .rd_data_valid (app_rd_data_valid), + .rd_data_end (app_rd_data_end), + .sr_req (0), + .ref_req (0), + .sr_ack (app_sre_act), + .ref_ack (app_ref_ack), + .init_calib_complete(init_calib_complete), + .clk_out (clk_x1), + .pll_lock (pll_lock), + //.pll_lock (1'b1), + //`ifdef ECC + //.ecc_err (ecc_err), + //`endif + .burst (app_burst), + // mem interface + .ddr_rst (ddr_rst), + .O_ddr_addr (ddr_addr), + .O_ddr_ba (ddr_bank), + .O_ddr_cs_n (ddr_cs), + .O_ddr_ras_n (ddr_ras), + .O_ddr_cas_n (ddr_cas), + .O_ddr_we_n (ddr_we), + .O_ddr_clk (ddr_ck), + .O_ddr_clk_n (ddr_ck_n), + .O_ddr_cke (ddr_cke), + .O_ddr_odt (ddr_odt), + .O_ddr_reset_n (ddr_reset_n), + .O_ddr_dqm (ddr_dm), + .IO_ddr_dq (ddr_dq), + .IO_ddr_dqs (ddr_dqs), + .IO_ddr_dqs_n (ddr_dqs_n) +); + +///////////////////////////////////////////////////////////////////// +// Audio + +localparam AUDIO_RATE=48000; +localparam AUDIO_CLK_DELAY = 74250 * 1000 / AUDIO_RATE / 2; +logic [$clog2(AUDIO_CLK_DELAY)-1:0] audio_divider; +logic clk_audio; + +always_ff@(posedge clk_x1) +begin + if (audio_divider != AUDIO_CLK_DELAY - 1) + audio_divider++; + else begin + clk_audio <= ~clk_audio; + audio_divider <= 0; + end +end + +reg [15:0] audio_sample_word [1:0], audio_sample_word0 [1:0]; +always @(posedge clk_x1) begin // crossing clock domain + audio_sample_word0[0] <= sound_left; + audio_sample_word[0] <= audio_sample_word0[0]; + audio_sample_word0[1] <= sound_right; + audio_sample_word[1] <= audio_sample_word0[1]; +end + +///////////////////////////////////////////////////////////////////// +// HDMI TX + +wire [10:0] cx; +wire [9:0] cy; +reg [23:0] rgb; + +// HDMI output. +wire [2:0] tmds; +localparam VIDEOID = 4; +localparam VIDEO_REFRESH = 60.0; +localparam AUDIO_BIT_WIDTH = 16; +localparam AUDIO_OUT_RATE = 32000; + +hdmi #( .VIDEO_ID_CODE(VIDEOID), + .DVI_OUTPUT(0), + .VIDEO_REFRESH_RATE(VIDEO_REFRESH), + .IT_CONTENT(1), + .AUDIO_RATE(AUDIO_OUT_RATE), + .AUDIO_BIT_WIDTH(AUDIO_BIT_WIDTH), + .START_X(0), + .START_Y(0) ) + +hdmi( .clk_pixel_x5(hclk5), + .clk_pixel(clk_x1), + .clk_audio(clk_audio), + .rgb(rgb), + .reset( ddr_rst ), + .audio_sample_word(audio_sample_word), + .tmds(tmds), + .tmds_clock(), + .cx(cx), + .cy(cy), + .frame_width(), + .frame_height() ); + +// Gowin LVDS output buffer +ELVDS_OBUF tmds_bufds [3:0] ( + .I({clk_x1, tmds}), + .O({tmds_clk_p, tmds_d_p}), + .OB({tmds_clk_n, tmds_d_n}) +); + +///////////////////////////////////////////////////////////////////// +// 720p Framebuffer + +localparam FB_SIZE = WIDTH * HEIGHT; +localparam RENDER_DELAY = 74_250_000 * 8 / WIDTH / HEIGHT / 60; // 32 +localparam PREFETCH_POW = 6; // 5: 32 pixels, 6: 64 pixels +localparam PREFETCH_SIZE = 1 << PREFETCH_POW; // how many pixels to prefetch + +reg [7:0] cursor_x, cursor_y; // a green 8x8 block on grey background for demo +reg [7:0] cursor_delay; // 32 cycles per write + +reg write_pixels_req; // toggle to write 8 pixels +reg write_pixels_ack; +reg [9:0] wr_x, wr_y; // write position +reg [$clog2(FB_SIZE*2)-1:0] wr_addr; + +reg [$clog2(FB_SIZE*2)-1:0] rd_addr; +reg prefetch; // will start prefetch next cycle +reg [10:0] prefetch_x; +reg [$clog2(1280+WIDTH)-1:0] prefetch_x_cnt; +reg [$clog2(720+HEIGHT)-1:0] prefetch_y_cnt; +reg [$clog2(FB_SIZE*2)-1:0] prefetch_addr_line; // current line to prefetch + +reg [COLOR_BITS-1:0] pixels [0:PREFETCH_SIZE-1]; // prefetch buffer +reg [$clog2(WIDTH)-1:0] ox; +reg [$clog2(HEIGHT)-1:0] oy; +reg [$clog2(1280+WIDTH)-1:0] xcnt; +reg [$clog2(720+HEIGHT)-1:0] ycnt; + +// Framebuffer update - accumulate 4 pixels and then send to DDR3 +reg [$clog2(WIDTH)-1:0] b_x; +reg [$clog2(HEIGHT)-1:0] b_y; +reg b_vsync_toggle, b_vsync_toggle_r, b_vsync_toggle_rr; +wire fifo_can_read; +wire fifo_can_write; +wire [4*COLOR_BITS-1:0] fifo_data; +wire [6:0] fifo_level; +reg fifo_read; +reg fifo_write; + +reg fb_vsync_r; +always @(posedge clk) begin + fb_vsync_r <= fb_vsync; + if (fb_vsync & ~fb_vsync_r) begin // sample vsync rising edge + b_vsync_toggle <= ~b_vsync_toggle; + end +end + +// Group incoming pixels (clk) into 4-pixel words and push to FIFO +reg [1:0] wgrp_cnt; // 0..3 pixels collected +reg [4*COLOR_BITS-1:0] wgrp_data; // packed as {p3,p2,p1,p0} +reg wgrp_pending; // group ready to write when FIFO can accept + +async_fifo #(.BUFFER_ADDR_WIDTH(4), .DATA_WIDTH(4*COLOR_BITS)) u_asyncfifo ( + .reset(fb_vsync & ~fb_vsync_r), // clear FIFO on new VGA frame + .write_clk(clk), .write(fifo_write), .write_data(wgrp_data), .can_write(fifo_can_write), + .read_clk(clk_x1), .read(fifo_read), .read_data(fifo_data), .can_read(fifo_can_read), + .read_available(fifo_level) +); + +// Assemble 4 pixels per FIFO word (clk domain) +always @(posedge clk) begin + fifo_write <= 1'b0; + if (ddr_rst | ~init_calib_complete) begin + wgrp_cnt <= 0; + wgrp_pending <= 0; + wgrp_data <= 0; + end else begin + // Accept a pixel only if we're not holding a pending group + if (fb_we && !wgrp_pending) begin + case (wgrp_cnt) + 2'd0: begin + wgrp_data[COLOR_BITS-1:0] <= fb_data; + wgrp_cnt <= 2'd1; + end + 2'd1: begin + wgrp_data[2*COLOR_BITS-1:COLOR_BITS] <= fb_data; + wgrp_cnt <= 2'd2; + end + 2'd2: begin + wgrp_data[3*COLOR_BITS-1:2*COLOR_BITS] <= fb_data; + wgrp_cnt <= 2'd3; + end + 2'd3: begin + wgrp_data[4*COLOR_BITS-1:3*COLOR_BITS] <= fb_data; + wgrp_cnt <= 2'd0; + wgrp_pending <= 1'b1; // group ready + end + endcase + end + // Try to push the pending group into FIFO + if (wgrp_pending && fifo_can_write) begin + fifo_write <= 1'b1; + wgrp_pending <= 1'b0; + end + end +end + +// cross to clk_x1 domain +always @(posedge clk_x1) begin + b_vsync_toggle_rr <= b_vsync_toggle_r; + b_vsync_toggle_r <= b_vsync_toggle; +end + +// Batch write control: start when FIFO has >=8 groups (32 pixels); write 8 groups +reg write_batch_active; +reg mem_dir_write; // 1: write mode (suppress read commands) +reg [3:0] batch_groups_left; // number of 4-pixel groups left in batch +wire write_inflight = write_pixels_req ^ write_pixels_ack; // DDR write pending +wire new_frame = b_vsync_toggle_rr != b_vsync_toggle_r; + +always @(posedge clk_x1) begin : write_batch_control + fifo_read <= 1'b0; + if (ddr_rst | ~init_calib_complete) begin + wr_x <= 0; wr_y <= 0; + write_pixels_req <= 0; + write_batch_active <= 0; + mem_dir_write <= 0; + batch_groups_left <= 0; + + end else begin + if (new_frame) begin + wr_x <= 0; wr_y <= 0; + end + + // Start a new batch when at least 8 groups are queued + if (!write_batch_active && !mem_dir_write && fifo_level >= 7'd8) begin + write_batch_active <= 1'b1; + mem_dir_write <= 1'b1; // switch to write mode + batch_groups_left <= 4'd8; + end + + if (write_batch_active) begin // write batch_groups_left (8) groups of pixels + // If FIFO not empty and no write in flight, issue DDR write using current fifo_data + if (fifo_can_read && !write_inflight) begin + wr_addr <= {wr_y * WIDTH + {wr_x[9:2], 2'b0}, 1'b0}; + app_wdf_data <= { {(32-COLOR_BITS){1'b0}}, fifo_data[4*COLOR_BITS-1:3*COLOR_BITS], + {(32-COLOR_BITS){1'b0}}, fifo_data[3*COLOR_BITS-1:2*COLOR_BITS], + {(32-COLOR_BITS){1'b0}}, fifo_data[2*COLOR_BITS-1:1*COLOR_BITS], + {(32-COLOR_BITS){1'b0}}, fifo_data[1*COLOR_BITS-1:0] }; + write_pixels_req <= ~write_pixels_req; + fifo_read <= 1'b1; // advance FIFO to next group + batch_groups_left <= batch_groups_left - 1; + if (batch_groups_left == 1) write_batch_active <= 0; // last group + // advance framebuffer coordinates by 4 pixels + wr_x <= wr_x + 4; + if (wr_x + 4 >= fb_width) begin + wr_x <= 0; + wr_y <= wr_y + 1; + end + end + end + + // If batch completed and last write is fully acknowledged, return to read mode + if (!write_batch_active && mem_dir_write && !write_inflight) begin + mem_dir_write <= 1'b0; + end + end +end + +// upscaling and output RGB +reg [$clog2(WIDTH)-1:0] ox_r; +reg [10:0] x_start, x_end; // determined by fb_width +reg [10:0] diff_720_height, diff_disp_width_width; +reg [10:0] x_prefetch_start; + +always @(posedge clk_x1) begin + if (ddr_rst | ~init_calib_complete) begin + ox <= 0; oy <= 0; xcnt <= 0; ycnt <= 0; + end else begin + // keep original pixel coordinates + if (cx == x_end) begin + ox <= 0; xcnt <= 0; + if (cy == 0) begin + oy <= 0; + ycnt <= fb_height; + end else begin + ycnt <= ycnt + fb_height; + if (ycnt >= diff_720_height) begin + ycnt <= ycnt - diff_720_height; + oy <= oy + 1; + end + end + end + if (cx >= x_start && cx < x_end) begin + xcnt <= xcnt + fb_width; + if (xcnt >= diff_disp_width_width) begin + xcnt <= xcnt - diff_disp_width_width; + ox <= ox + 1; + end + rgb <= torgb(pixels[cx == 0 ? 0 : ox[PREFETCH_POW-1:0]]); + end else + rgb <= 24'h202020; + + // if (cy >= 300 && cy < 330) // a blue bar in the middle for debug + // rgb <= 24'h4040ff; + end +end + +// some precalculation +always @(posedge clk) begin + x_start <= (1280-disp_width)/2; + x_end <= (1280+disp_width)/2; + diff_720_height <= 720 - fb_height; + diff_disp_width_width <= disp_width - fb_width; +end + +// TODO: wrapping while prefetching is not implemented yet +always @(posedge clk_x1) begin + if (ddr_rst | ~init_calib_complete) begin + prefetch_x <= 0; + end else begin + if (cx == 0) begin + prefetch_x <= PREFETCH_SIZE; // We fetch up to prefetch_x + prefetch_x_cnt <= fb_width; + if (cy == 0) begin + prefetch_y_cnt <= 0; + prefetch_addr_line <= 0; + end else begin + prefetch_y_cnt <= prefetch_y_cnt + fb_height; + if (prefetch_y_cnt >= diff_720_height) begin + prefetch_y_cnt <= prefetch_y_cnt - diff_720_height; + prefetch_addr_line <= prefetch_addr_line + {WIDTH, 1'b0}; + end + end + end else if (cx >= x_start && prefetch_x < fb_width) begin + prefetch_x_cnt <= prefetch_x_cnt + fb_width; + if (prefetch_x_cnt >= diff_disp_width_width) begin + prefetch_x_cnt <= prefetch_x_cnt - diff_disp_width_width; + prefetch_x <= prefetch_x + 1; + end + end + end +end + +reg cmd_done, data_done; +reg [10:0] read_x; +wire read_handshake = app_rdy & app_cmd == 3'b001 & app_en; +wire write_handshake = app_rdy & app_cmd == 3'b000 & app_en; +wire data_handshake = app_wdf_rdy & app_wdf_wren; + +// actual framebuffer DDR3 read/write +always @(posedge clk_x1) begin : ddr3_rw + app_en <= 0; + app_wdf_wren <= 0; + + if (ddr_rst | ~init_calib_complete) begin + cmd_done <= 0; + data_done <= 0; + end else begin + if (write_pixels_req ^ write_pixels_ack) begin // process writes + if (!cmd_done && app_rdy) begin // send command next cycle + app_en <= 1'b1; + app_cmd <= 3'b000; + app_addr <= wr_addr; + cmd_done <= 1'b1; + end + if (!data_done && app_wdf_rdy) begin // send data next cycle + app_wdf_wren <= 1; + data_done <= 1'b1; + end + if ((cmd_done | app_rdy) & (data_done | app_wdf_rdy)) begin + // whole transaction is done + write_pixels_ack <= write_pixels_req; + cmd_done <= 0; + data_done <= 0; + end + end else if (!mem_dir_write && read_x + 4 <= prefetch_x && cx < x_end && app_rdy) begin // process reads + app_en <= 1; + app_cmd <= 3'b001; + app_addr <= {read_x, 1'b0} + prefetch_addr_line; + read_x <= read_x + 4; + end + + if (cx == 0) read_x <= 0; // start new line + end +end + +// receive pixels from DDR3 and write to pixels[] in 8 cycles +reg [PREFETCH_POW-1:0] bram_addr; +always @(posedge clk_x1) begin + if (cx == 0) // reset addr before line start + bram_addr <= 0; + + if (app_rd_data_valid) begin + for (int i = 0; i < 4; i++) begin + pixels[bram_addr+i] <= app_rd_data[32*i+:COLOR_BITS]; + end + bram_addr <= bram_addr + 4; + end +end + + +// Convert color to RGB888 +function [23:0] torgb(input [23:0] pixel); + case (COLOR_BITS) + 12: torgb = {pixel[11:8], 4'b0, pixel[7:4], 4'b0, pixel[3:0], 4'b0}; + 15: torgb = {pixel[14:10], 3'b0, pixel[9:5], 3'b0, pixel[4:0], 3'b0}; + 18: torgb = {pixel[17:12], 2'b0, pixel[11:6], 2'b0, pixel[5:0], 2'b0}; + 21: torgb = {pixel[20:14], 1'b0, pixel[13:7], 1'b0, pixel[6:0], 1'b0}; + 24: torgb = pixel; + default: torgb = 24'hbabeef; + endcase +endfunction + +endmodule diff --git a/src/tang/console60k/hdmi/audio_clock_regeneration_packet.sv b/src/tang/console60k/hdmi/audio_clock_regeneration_packet.sv new file mode 100644 index 0000000..1cebb0d --- /dev/null +++ b/src/tang/console60k/hdmi/audio_clock_regeneration_packet.sv @@ -0,0 +1,79 @@ +// Implementation of HDMI audio clock regeneration packet +// By Sameer Puri https://github.com/sameer + +// See HDMI 1.4b Section 5.3.3 +module audio_clock_regeneration_packet +#( + parameter real VIDEO_RATE = 25.2E6, + parameter int AUDIO_RATE = 48e3 +) +( + input logic clk_pixel, + input logic clk_audio, + output logic clk_audio_counter_wrap = 0, + output logic [23:0] header, + output logic [55:0] sub [3:0] +); + +// See Section 7.2.3, values derived from "Other" row in Tables 7-1, 7-2, 7-3. +localparam bit [19:0] N = AUDIO_RATE % 125 == 0 ? 20'(16 * AUDIO_RATE / 125) : AUDIO_RATE % 225 == 0 ? 20'(32 * AUDIO_RATE / 225) : 20'(AUDIO_RATE * 16 / 125); + +localparam int CLK_AUDIO_COUNTER_WIDTH = $clog2(N / 128); +localparam bit [CLK_AUDIO_COUNTER_WIDTH-1:0] CLK_AUDIO_COUNTER_END = CLK_AUDIO_COUNTER_WIDTH'(N / 128 - 1); +logic [CLK_AUDIO_COUNTER_WIDTH-1:0] clk_audio_counter = CLK_AUDIO_COUNTER_WIDTH'(0); +logic internal_clk_audio_counter_wrap = 1'd0; + +logic clk_audio_old; +// always_ff @(posedge clk_audio) +always_ff @(posedge clk_pixel) +begin + clk_audio_old <= clk_audio; + if (clk_audio & ~clk_audio_old) begin + if (clk_audio_counter == CLK_AUDIO_COUNTER_END) + begin + clk_audio_counter <= CLK_AUDIO_COUNTER_WIDTH'(0); + internal_clk_audio_counter_wrap <= !internal_clk_audio_counter_wrap; + end + else + clk_audio_counter <= clk_audio_counter + 1'd1; + end +end + +logic [1:0] clk_audio_counter_wrap_synchronizer_chain = 2'd0; +always_ff @(posedge clk_pixel) + clk_audio_counter_wrap_synchronizer_chain <= {internal_clk_audio_counter_wrap, clk_audio_counter_wrap_synchronizer_chain[1]}; + +localparam bit [19:0] CYCLE_TIME_STAMP_COUNTER_IDEAL = 20'(int'(VIDEO_RATE * int'(N) / 128 / AUDIO_RATE)); +localparam int CYCLE_TIME_STAMP_COUNTER_WIDTH = $clog2(20'(int'(real'(CYCLE_TIME_STAMP_COUNTER_IDEAL) * 1.1))); // Account for 10% deviation in audio clock + +logic [19:0] cycle_time_stamp = 20'd0; +logic [CYCLE_TIME_STAMP_COUNTER_WIDTH-1:0] cycle_time_stamp_counter = CYCLE_TIME_STAMP_COUNTER_WIDTH'(0); +always_ff @(posedge clk_pixel) +begin + if (clk_audio_counter_wrap_synchronizer_chain[1] ^ clk_audio_counter_wrap_synchronizer_chain[0]) + begin + cycle_time_stamp_counter <= CYCLE_TIME_STAMP_COUNTER_WIDTH'(0); + cycle_time_stamp <= {(20-CYCLE_TIME_STAMP_COUNTER_WIDTH)'(0), cycle_time_stamp_counter + CYCLE_TIME_STAMP_COUNTER_WIDTH'(1)}; + clk_audio_counter_wrap <= !clk_audio_counter_wrap; + end + else + cycle_time_stamp_counter <= cycle_time_stamp_counter + CYCLE_TIME_STAMP_COUNTER_WIDTH'(1); +end + +// "An HDMI Sink shall ignore bytes HB1 and HB2 of the Audio Clock Regeneration Packet header." +`ifdef MODEL_TECH +assign header = {8'd0, 8'd0, 8'd1}; +`else +assign header = {8'dX, 8'dX, 8'd1}; +`endif + +// "The four Subpackets each contain the same Audio Clock regeneration Subpacket." +genvar i; +generate + for (i = 0; i < 4; i++) + begin: same_packet + assign sub[i] = {N[7:0], N[15:8], {4'd0, N[19:16]}, cycle_time_stamp[7:0], cycle_time_stamp[15:8], {4'd0, cycle_time_stamp[19:16]}, 8'd0}; + end +endgenerate + +endmodule diff --git a/src/tang/console60k/hdmi/audio_info_frame.sv b/src/tang/console60k/hdmi/audio_info_frame.sv new file mode 100644 index 0000000..81b503b --- /dev/null +++ b/src/tang/console60k/hdmi/audio_info_frame.sv @@ -0,0 +1,53 @@ +// Implementation of HDMI audio info frame +// By Sameer Puri https://github.com/sameer + +// See Section 8.2.2 +module audio_info_frame +#( + parameter bit [2:0] AUDIO_CHANNEL_COUNT = 3'd1, // 2 channels. See CEA-861-D table 17 for details. + parameter bit [7:0] CHANNEL_ALLOCATION = 8'h00, // Channel 0 = Front Left, Channel 1 = Front Right (0-indexed) + parameter bit DOWN_MIX_INHIBITED = 1'b0, // Permitted or no information about any assertion of this. The DM_INH field is to be set only for DVD-Audio applications. + parameter bit [3:0] LEVEL_SHIFT_VALUE = 4'd0, // 4-bit unsigned number from 0dB up to 15dB, used for downmixing. + parameter bit [1:0] LOW_FREQUENCY_EFFECTS_PLAYBACK_LEVEL = 2'b00 // No information, LFE = bass-only info < 120Hz, used in Dolby Surround. +) +( + output logic [23:0] header, + output logic [55:0] sub [3:0] +); + +// NOTE—HDMI requires the coding type, sample size and sample frequency fields to be set to 0 ("Refer to Stream Header") as these items are carried in the audio stream +localparam bit [3:0] AUDIO_CODING_TYPE = 4'd0; // Refer to stream header. +localparam bit [2:0] SAMPLING_FREQUENCY = 3'd0; // Refer to stream header. +localparam bit [1:0] SAMPLE_SIZE = 2'd0; // Refer to stream header. + +localparam bit [4:0] LENGTH = 5'd10; +localparam bit [7:0] VERSION = 8'd1; +localparam bit [6:0] TYPE = 7'd4; + +assign header = {{3'b0, LENGTH}, VERSION, {1'b1, TYPE}}; + +// PB0-PB6 = sub0 +// PB7-13 = sub1 +// PB14-20 = sub2 +// PB21-27 = sub3 +logic [7:0] packet_bytes [27:0]; + +assign packet_bytes[0] = 8'd1 + ~(header[23:16] + header[15:8] + header[7:0] + packet_bytes[5] + packet_bytes[4] + packet_bytes[3] + packet_bytes[2] + packet_bytes[1]); +assign packet_bytes[1] = {AUDIO_CODING_TYPE, 1'b0, AUDIO_CHANNEL_COUNT}; +assign packet_bytes[2] = {3'd0, SAMPLING_FREQUENCY, SAMPLE_SIZE}; +assign packet_bytes[3] = 8'd0; +assign packet_bytes[4] = CHANNEL_ALLOCATION; +assign packet_bytes[5] = {DOWN_MIX_INHIBITED, LEVEL_SHIFT_VALUE, 1'b0, LOW_FREQUENCY_EFFECTS_PLAYBACK_LEVEL}; + +genvar i; +generate + for (i = 6; i < 28; i++) + begin: pb_reserved + assign packet_bytes[i] = 8'd0; + end + for (i = 0; i < 4; i++) + begin: pb_to_sub + assign sub[i] = {packet_bytes[6 + i*7], packet_bytes[5 + i*7], packet_bytes[4 + i*7], packet_bytes[3 + i*7], packet_bytes[2 + i*7], packet_bytes[1 + i*7], packet_bytes[0 + i*7]}; + end +endgenerate +endmodule diff --git a/src/tang/console60k/hdmi/audio_sample_packet.sv b/src/tang/console60k/hdmi/audio_sample_packet.sv new file mode 100644 index 0000000..7a6f276 --- /dev/null +++ b/src/tang/console60k/hdmi/audio_sample_packet.sv @@ -0,0 +1,109 @@ +// Implementation of HDMI audio sample packet +// By Sameer Puri https://github.com/sameer + +// Unless otherwise specified, all "See X" references will refer to the HDMI v1.4a specification. + +// See Section 5.3.4 +// 2-channel L-PCM or IEC 61937 audio in IEC 60958 frames with consumer grade IEC 60958-3. +module audio_sample_packet +#( + // A thorough explanation of the below parameters can be found in IEC 60958-3 5.2, 5.3. + + // 0 = Consumer, 1 = Professional + parameter bit GRADE = 1'b0, + + // 0 = LPCM, 1 = IEC 61937 compressed + parameter bit SAMPLE_WORD_TYPE = 1'b0, + + // 0 = asserted, 1 = not asserted + parameter bit COPYRIGHT_NOT_ASSERTED = 1'b1, + + // 000 = no pre-emphasis, 001 = 50μs/15μs pre-emphasis + parameter bit [2:0] PRE_EMPHASIS = 3'b000, + + // Only one valid value + parameter bit [1:0] MODE = 2'b00, + + // Set to all 0s for general device. + parameter bit [7:0] CATEGORY_CODE = 8'd0, + + // TODO: not really sure what this is... + // 0 = "Do no take into account" + parameter bit [3:0] SOURCE_NUMBER = 4'd0, + + // 0000 = 44.1 kHz + parameter bit [3:0] SAMPLING_FREQUENCY = 4'b0000, + + // Normal accuracy: +/- 1000 * 10E-6 (00), High accuracy +/- 50 * 10E-6 (01) + parameter bit [1:0] CLOCK_ACCURACY = 2'b00, + + // 3-bit representation of the number of bits to subtract (except 101 is actually subtract 0) with LSB first, followed by maxmium length of 20 bits (0) or 24 bits (1) + parameter bit [3:0] WORD_LENGTH = 0, + + // Frequency prior to conversion in a consumer playback system. 0000 = not indicated. + parameter bit [3:0] ORIGINAL_SAMPLING_FREQUENCY = 4'b0000, + + // 2-channel = 0, >= 3-channel = 1 + parameter bit LAYOUT = 1'b0 + +) +( + input logic [7:0] frame_counter, + // See IEC 60958-1 4.4 and Annex A. 0 indicates the signal is suitable for decoding to an analog audio signal. + input logic [1:0] valid_bit [3:0], + // See IEC 60958-3 Section 6. 0 indicates that no user data is being sent + input logic [1:0] user_data_bit [3:0], + input logic [23:0] audio_sample_word [3:0] [1:0], + input logic [3:0] audio_sample_word_present, + output logic [23:0] header, + output logic [55:0] sub [3:0] +); + +// Left/right channel for stereo audio +logic [3:0] CHANNEL_LEFT = 4'd1; +logic [3:0] CHANNEL_RIGHT = 4'd2; + +localparam bit [7:0] CHANNEL_STATUS_LENGTH = 8'd192; +// See IEC 60958-1 5.1, Table 2 +logic [192-1:0] channel_status_left; +assign channel_status_left = {152'd0, ORIGINAL_SAMPLING_FREQUENCY, WORD_LENGTH, 2'b00, CLOCK_ACCURACY, SAMPLING_FREQUENCY, CHANNEL_LEFT, SOURCE_NUMBER, CATEGORY_CODE, MODE, PRE_EMPHASIS, COPYRIGHT_NOT_ASSERTED, SAMPLE_WORD_TYPE, GRADE}; +logic [CHANNEL_STATUS_LENGTH-1:0] channel_status_right; +assign channel_status_right = {152'd0, ORIGINAL_SAMPLING_FREQUENCY, WORD_LENGTH, 2'b00, CLOCK_ACCURACY, SAMPLING_FREQUENCY, CHANNEL_RIGHT, SOURCE_NUMBER, CATEGORY_CODE, MODE, PRE_EMPHASIS, COPYRIGHT_NOT_ASSERTED, SAMPLE_WORD_TYPE, GRADE}; + + +// See HDMI 1.4a Table 5-12: Audio Sample Packet Header. +assign header[19:12] = {4'b0000, {3'b000, LAYOUT}}; +assign header[7:0] = 8'd2; +logic [1:0] parity_bit [3:0]; +logic [7:0] aligned_frame_counter [3:0]; +genvar i; +generate + for (i = 0; i < 4; i++) + begin: sample_based_assign + always_comb + begin + if (8'(frame_counter + i) >= CHANNEL_STATUS_LENGTH) + aligned_frame_counter[i] = 8'(frame_counter + i - CHANNEL_STATUS_LENGTH); + else + aligned_frame_counter[i] = 8'(frame_counter + i); + end + assign header[23 - (3-i)] = aligned_frame_counter[i] == 8'd0 && audio_sample_word_present[i]; + assign header[11 - (3-i)] = audio_sample_word_present[i]; + assign parity_bit[i][0] = ^{channel_status_left[aligned_frame_counter[i]], user_data_bit[i][0], valid_bit[i][0], audio_sample_word[i][0]}; + assign parity_bit[i][1] = ^{channel_status_right[aligned_frame_counter[i]], user_data_bit[i][1], valid_bit[i][1], audio_sample_word[i][1]}; + // See HDMI 1.4a Table 5-13: Audio Sample Subpacket. + always_comb + begin + if (audio_sample_word_present[i]) + sub[i] = {{parity_bit[i][1], channel_status_right[aligned_frame_counter[i]], user_data_bit[i][1], valid_bit[i][1], parity_bit[i][0], channel_status_left[aligned_frame_counter[i]], user_data_bit[i][0], valid_bit[i][0]}, audio_sample_word[i][1], audio_sample_word[i][0]}; + else + `ifdef MODEL_TECH + sub[i] = 56'd0; + `else + sub[i] = 56'dx; + `endif + end + end +endgenerate + +endmodule diff --git a/src/tang/console60k/hdmi/auxiliary_video_information_info_frame.sv b/src/tang/console60k/hdmi/auxiliary_video_information_info_frame.sv new file mode 100644 index 0000000..20c15e3 --- /dev/null +++ b/src/tang/console60k/hdmi/auxiliary_video_information_info_frame.sv @@ -0,0 +1,80 @@ +// Implementation of HDMI Auxiliary Video InfoFrame packet. +// By Sameer Puri https://github.com/sameer + +// See Section 8.2.1 +module auxiliary_video_information_info_frame +#( + parameter bit [1:0] VIDEO_FORMAT = 2'b00, // 00 = RGB, 01 = YCbCr 4:2:2, 10 = YCbCr 4:4:4 + parameter bit ACTIVE_FORMAT_INFO_PRESENT = 1'b0, // Not valid + parameter bit [1:0] BAR_INFO = 2'b00, // Not valid + parameter bit [1:0] SCAN_INFO = 2'b00, // No data + parameter bit [1:0] COLORIMETRY = 2'b00, // No data + parameter bit [1:0] PICTURE_ASPECT_RATIO = 2'b00, // No data, See CEA-CEB16 for more information about Active Format Description processing. + parameter bit [3:0] ACTIVE_FORMAT_ASPECT_RATIO = 4'b1000, // Not valid unless ACTIVE_FORMAT_INFO_PRESENT = 1'b1, then Same as picture aspect ratio + parameter bit IT_CONTENT = 1'b0, // The IT content bit indicates when picture content is composed according to common IT practice (i.e. without regard to Nyquist criterion) and is unsuitable for analog reconstruction or filtering. When the IT content bit is set to 1, downstream processors should pass pixel data unfiltered and without analog reconstruction. + parameter bit [2:0] EXTENDED_COLORIMETRY = 3'b000, // Not valid unless COLORIMETRY = 2'b11. The extended colorimetry bits, EC2, EC1, and EC0, describe optional colorimetry encoding that may be applicable to some implementations and are always present, whether their information is valid or not (see CEA 861-D Section 7.5.5). + parameter bit [1:0] RGB_QUANTIZATION_RANGE = 2'b00, // Default. Displays conforming to CEA-861-D accept both a limited quantization range of 220 levels (16 to 235) anda full range of 256 levels (0 to 255) when receiving video with RGB color space (see CEA 861-D Sections 5.1, Section 5.2, Section 5.3 and Section 5.4). By default, RGB pixel data values should be assumed to have the limited range when receiving a CE video format, and the full range when receiving an IT format. The quantization bits allow the source to override this default and to explicitly indicate the current RGB quantization range. + parameter bit [1:0] NON_UNIFORM_PICTURE_SCALING = 2'b00, // None. The Nonuniform Picture Scaling bits shall be set if the source device scales the picture or has determined that scaling has been performed in a specific direction. + parameter int VIDEO_ID_CODE = 4, // Same as the one from the HDMI module + parameter bit [1:0] YCC_QUANTIZATION_RANGE = 2'b00, // 00 = Limited, 01 = Full + parameter bit [1:0] CONTENT_TYPE = 2'b00, // No data, becomes Graphics if IT_CONTENT = 1'b1. + parameter bit [3:0] PIXEL_REPETITION = 4'b0000 // None +) +( + output logic [23:0] header, + output logic [55:0] sub [3:0] +); + + +localparam bit [4:0] LENGTH = 5'd13; +localparam bit [7:0] VERSION = 8'd2; +localparam bit [6:0] TYPE = 7'd2; + +assign header = {{3'b0, LENGTH}, VERSION, {1'b1, TYPE}}; + +// PB0-PB6 = sub0 +// PB7-13 = sub1 +// PB14-20 = sub2 +// PB21-27 = sub3 +logic [7:0] packet_bytes [27:0]; + +assign packet_bytes[0] = 8'd1 + ~(header[23:16] + header[15:8] + header[7:0] + packet_bytes[13] + packet_bytes[12] + packet_bytes[11] + packet_bytes[10] + packet_bytes[9] + packet_bytes[8] + packet_bytes[7] + packet_bytes[6] + packet_bytes[5] + packet_bytes[4] + packet_bytes[3] + packet_bytes[2] + packet_bytes[1]); +assign packet_bytes[1] = {1'b0, VIDEO_FORMAT, ACTIVE_FORMAT_INFO_PRESENT, BAR_INFO, SCAN_INFO}; +assign packet_bytes[2] = {COLORIMETRY, PICTURE_ASPECT_RATIO, ACTIVE_FORMAT_ASPECT_RATIO}; +assign packet_bytes[3] = {IT_CONTENT, EXTENDED_COLORIMETRY, RGB_QUANTIZATION_RANGE, NON_UNIFORM_PICTURE_SCALING}; +assign packet_bytes[4] = {1'b0, 7'(VIDEO_ID_CODE)}; +assign packet_bytes[5] = {YCC_QUANTIZATION_RANGE, CONTENT_TYPE, PIXEL_REPETITION}; + +genvar i; +generate + if (BAR_INFO != 2'b00) // Assign values to bars if BAR_INFO says they are valid. + begin + assign packet_bytes[6] = 8'hff; + assign packet_bytes[7] = 8'hff; + assign packet_bytes[8] = 8'h00; + assign packet_bytes[9] = 8'h00; + assign packet_bytes[10] = 8'hff; + assign packet_bytes[11] = 8'hff; + assign packet_bytes[12] = 8'h00; + assign packet_bytes[13] = 8'h00; + end else begin + assign packet_bytes[6] = 8'h00; + assign packet_bytes[7] = 8'h00; + assign packet_bytes[8] = 8'h00; + assign packet_bytes[9] = 8'h00; + assign packet_bytes[10] = 8'h00; + assign packet_bytes[11] = 8'h00; + assign packet_bytes[12] = 8'h00; + assign packet_bytes[13] = 8'h00; + end + for (i = 14; i < 28; i++) + begin: pb_reserved + assign packet_bytes[i] = 8'd0; + end + for (i = 0; i < 4; i++) + begin: pb_to_sub + assign sub[i] = {packet_bytes[6 + i*7], packet_bytes[5 + i*7], packet_bytes[4 + i*7], packet_bytes[3 + i*7], packet_bytes[2 + i*7], packet_bytes[1 + i*7], packet_bytes[0 + i*7]}; + end +endgenerate + +endmodule diff --git a/src/tang/console60k/hdmi/hdmi.sv b/src/tang/console60k/hdmi/hdmi.sv new file mode 100644 index 0000000..2c91550 --- /dev/null +++ b/src/tang/console60k/hdmi/hdmi.sv @@ -0,0 +1,375 @@ +// Implementation of HDMI Spec v1.4a +// By Sameer Puri https://github.com/sameer + +module hdmi +#( + // Defaults to 640x480 which should be supported by almost if not all HDMI sinks. + // See README.md or CEA-861-D for enumeration of video id codes. + // Pixel repetition, interlaced scans and other special output modes are not implemented (yet). + parameter int VIDEO_ID_CODE = 1, + + // The IT content bit indicates that image samples are generated in an ad-hoc + // manner (e.g. directly from values in a framebuffer, as by a PC video + // card) and therefore aren't suitable for filtering or analog + // reconstruction. This is probably what you want if you treat pixels + // as "squares". If you generate a properly bandlimited signal or obtain + // one from elsewhere (e.g. a camera), this can be turned off. + // + // This flag also tends to cause receivers to treat RGB values as full + // range (0-255). + parameter bit IT_CONTENT = 1'b1, + + // Defaults to minimum bit lengths required to represent positions. + // Modify these parameters if you have alternate desired bit lengths. + parameter int BIT_WIDTH = VIDEO_ID_CODE < 4 ? 10 : VIDEO_ID_CODE == 4 ? 11 : 12, + parameter int BIT_HEIGHT = VIDEO_ID_CODE == 16 ? 11: 10, + + // A true HDMI signal sends auxiliary data (i.e. audio, preambles) which prevents it from being parsed by DVI signal sinks. + // HDMI signal sinks are fortunately backwards-compatible with DVI signals. + // Enable this flag if the output should be a DVI signal. You might want to do this to reduce resource usage or if you're only outputting video. + parameter bit DVI_OUTPUT = 1'b0, + + // **All parameters below matter ONLY IF you plan on sending auxiliary data (DVI_OUTPUT == 1'b0)** + + // Specify the refresh rate in Hz you are using for audio calculations + parameter real VIDEO_REFRESH_RATE = 59.94, + + // As specified in Section 7.3, the minimal audio requirements are met: 16-bit or more L-PCM audio at 32 kHz, 44.1 kHz, or 48 kHz. + // See Table 7-4 or README.md for an enumeration of sampling frequencies supported by HDMI. + // Note that sinks may not support rates above 48 kHz. + parameter int AUDIO_RATE = 44100, + + // Defaults to 16-bit audio, the minmimum supported by HDMI sinks. Can be anywhere from 16-bit to 24-bit. + parameter int AUDIO_BIT_WIDTH = 16, + + // Some HDMI sinks will show the source product description below to users (i.e. in a list of inputs instead of HDMI 1, HDMI 2, etc.). + // If you care about this, change it below. + parameter bit [8*8-1:0] VENDOR_NAME = {"Unknown", 8'd0}, // Must be 8 bytes null-padded 7-bit ASCII + parameter bit [8*16-1:0] PRODUCT_DESCRIPTION = {"FPGA", 96'd0}, // Must be 16 bytes null-padded 7-bit ASCII + parameter bit [7:0] SOURCE_DEVICE_INFORMATION = 8'h00, // See README.md or CTA-861-G for the list of valid codes + + // Starting screen coordinate when module comes out of reset. + // + // Setting these to something other than (0, 0) is useful when positioning + // an external video signal within a larger overall frame (e.g. + // letterboxing an input video signal). This allows you to synchronize the + // negative edge of reset directly to the start of the external signal + // instead of to some number of clock cycles before. + // + // You probably don't need to change these parameters if you are + // generating a signal from scratch instead of processing an + // external signal. + parameter int START_X = 0, + parameter int START_Y = 0 +) +( + input logic clk_pixel_x5, + input logic clk_pixel, + input logic clk_audio, + // synchronous reset back to 0,0 + input logic reset, + input logic [23:0] rgb, + input logic [AUDIO_BIT_WIDTH-1:0] audio_sample_word [1:0], + + // These outputs go to your HDMI port + output logic [2:0] tmds, + output logic tmds_clock, + + // All outputs below this line stay inside the FPGA + // They are used (by you) to pick the color each pixel should have + // i.e. always_ff @(posedge pixel_clk) rgb <= {8'd0, 8'(cx), 8'(cy)}; + output logic [BIT_WIDTH-1:0] cx = START_X, + output logic [BIT_HEIGHT-1:0] cy = START_Y, + + // The screen is at the upper left corner of the frame. + // 0,0 = 0,0 in video + // the frame includes extra space for sending auxiliary data + output logic [BIT_WIDTH-1:0] frame_width, + output logic [BIT_HEIGHT-1:0] frame_height, + output logic [BIT_WIDTH-1:0] screen_width, + output logic [BIT_HEIGHT-1:0] screen_height +); + +localparam int NUM_CHANNELS = 3; +logic hsync; +logic vsync; + +logic [BIT_WIDTH-1:0] hsync_pulse_start, hsync_pulse_size; +logic [BIT_HEIGHT-1:0] vsync_pulse_start, vsync_pulse_size; +logic invert; + +// See CEA-861-D for more specifics formats described below. +generate + case (VIDEO_ID_CODE) + 1: + begin + assign frame_width = 800; + assign frame_height = 525; + assign screen_width = 640; + assign screen_height = 480; + assign hsync_pulse_start = 16; + assign hsync_pulse_size = 96; + assign vsync_pulse_start = 10; + assign vsync_pulse_size = 2; + assign invert = 1; + end + 2, 3: + begin + assign frame_width = 858; + assign frame_height = 525; + assign screen_width = 720; + assign screen_height = 480; + assign hsync_pulse_start = 16; + assign hsync_pulse_size = 62; + assign vsync_pulse_start = 9; + assign vsync_pulse_size = 6; + assign invert = 1; + end + 4: + begin + assign frame_width = 1650; + assign frame_height = 750; + assign screen_width = 1280; + assign screen_height = 720; + assign hsync_pulse_start = 110; + assign hsync_pulse_size = 40; + assign vsync_pulse_start = 5; + assign vsync_pulse_size = 5; + assign invert = 0; + end + 16, 34: + begin + assign frame_width = 2200; + assign frame_height = 1125; + assign screen_width = 1920; + assign screen_height = 1080; + assign hsync_pulse_start = 88; + assign hsync_pulse_size = 44; + assign vsync_pulse_start = 4; + assign vsync_pulse_size = 5; + assign invert = 0; + end + 17, 18: + begin + assign frame_width = 864; + assign frame_height = 625; + assign screen_width = 720; + assign screen_height = 576; + assign hsync_pulse_start = 12; + assign hsync_pulse_size = 64; + assign vsync_pulse_start = 5; + assign vsync_pulse_size = 5; + assign invert = 1; + end + 19: + begin + assign frame_width = 1980; + assign frame_height = 750; + assign screen_width = 1280; + assign screen_height = 720; + assign hsync_pulse_start = 440; + assign hsync_pulse_size = 40; + assign vsync_pulse_start = 5; + assign vsync_pulse_size = 5; + assign invert = 0; + end + 95, 105, 97, 107: + begin + assign frame_width = 4400; + assign frame_height = 2250; + assign screen_width = 3840; + assign screen_height = 2160; + assign hsync_pulse_start = 176; + assign hsync_pulse_size = 88; + assign vsync_pulse_start = 8; + assign vsync_pulse_size = 10; + assign invert = 0; + end + endcase +endgenerate + +always_comb begin + hsync <= invert ^ (cx >= screen_width + hsync_pulse_start && cx < screen_width + hsync_pulse_start + hsync_pulse_size); + // vsync pulses should begin and end at the start of hsync, so special + // handling is required for the lines on which vsync starts and ends + if (cy == screen_height + vsync_pulse_start - 1) + vsync <= invert ^ (cx >= screen_width + hsync_pulse_start); + else if (cy == screen_height + vsync_pulse_start + vsync_pulse_size - 1) + vsync <= invert ^ (cx < screen_width + hsync_pulse_start); + else + vsync <= invert ^ (cy >= screen_height + vsync_pulse_start && cy < screen_height + vsync_pulse_start + vsync_pulse_size); +end + +localparam real VIDEO_RATE = (VIDEO_ID_CODE == 1 ? 25.2E6 + : VIDEO_ID_CODE == 2 || VIDEO_ID_CODE == 3 ? 27.027E6 + : VIDEO_ID_CODE == 4 ? 74.25E6 + : VIDEO_ID_CODE == 16 ? 148.5E6 + : VIDEO_ID_CODE == 17 || VIDEO_ID_CODE == 18 ? 27E6 + : VIDEO_ID_CODE == 19 ? 74.25E6 + : VIDEO_ID_CODE == 34 ? 74.25E6 + : VIDEO_ID_CODE == 95 || VIDEO_ID_CODE == 105 || VIDEO_ID_CODE == 97 || VIDEO_ID_CODE == 107 ? 594E6 + : 0) * (VIDEO_REFRESH_RATE == 59.94 || VIDEO_REFRESH_RATE == 29.97 ? 1000.0/1001.0 : 1); // https://groups.google.com/forum/#!topic/sci.engr.advanced-tv/DQcGk5R_zsM + +// Wrap-around pixel position counters indicating the pixel to be generated by the user in THIS clock and sent out in the NEXT clock. +always_ff @(posedge clk_pixel) +begin + if (reset) + begin + cx <= BIT_WIDTH'(START_X); + cy <= BIT_HEIGHT'(START_Y); + end + else + begin + cx <= cx == frame_width-1'b1 ? BIT_WIDTH'(0) : cx + 1'b1; + cy <= cx == frame_width-1'b1 ? cy == frame_height-1'b1 ? BIT_HEIGHT'(0) : cy + 1'b1 : cy; + end +end + +// See Section 5.2 +logic video_data_period = 0; +always_ff @(posedge clk_pixel) +begin + if (reset) + video_data_period <= 0; + else + video_data_period <= cx < screen_width && cy < screen_height; +end + +logic [2:0] mode = 3'd1; +logic [23:0] video_data = 24'd0; +logic [5:0] control_data = 6'd0; +logic [11:0] data_island_data = 12'd0; + +generate + if (!DVI_OUTPUT) + begin: true_hdmi_output + logic video_guard = 1; + logic video_preamble = 0; + always_ff @(posedge clk_pixel) + begin + if (reset) + begin + video_guard <= 1; + video_preamble <= 0; + end + else + begin + video_guard <= cx >= frame_width - 2 && cx < frame_width && (cy == frame_height - 1 || cy < screen_height - 1 /* no VG at end of last line */); + video_preamble <= cx >= frame_width - 10 && cx < frame_width - 2 && (cy == frame_height - 1 || cy < screen_height - 1 /* no VP at end of last line */); + end + end + + // See Section 5.2.3.1 + int max_num_packets_alongside; + logic [4:0] num_packets_alongside; + always_comb + begin + max_num_packets_alongside = (frame_width - screen_width /* VD period */ - 2 /* V guard */ - 8 /* V preamble */ - 4 /* Min V control period */ - 2 /* DI trailing guard */ - 2 /* DI leading guard */ - 8 /* DI premable */ - 4 /* Min DI control period */) / 32; + if (max_num_packets_alongside > 18) + num_packets_alongside = 5'd18; + else + num_packets_alongside = 5'(max_num_packets_alongside); + end + + logic data_island_period_instantaneous; + assign data_island_period_instantaneous = num_packets_alongside > 0 && cx >= screen_width + 14 && cx < screen_width + 14 + num_packets_alongside * 32; + logic packet_enable; + assign packet_enable = data_island_period_instantaneous && 5'(cx + screen_width + 18) == 5'd0; + + logic data_island_guard = 0; + logic data_island_preamble = 0; + logic data_island_period = 0; + always_ff @(posedge clk_pixel) + begin + if (reset) + begin + data_island_guard <= 0; + data_island_preamble <= 0; + data_island_period <= 0; + end + else + begin + data_island_guard <= num_packets_alongside > 0 && ( + (cx >= screen_width + 12 && cx < screen_width + 14) /* leading guard */ || + (cx >= screen_width + 14 + num_packets_alongside * 32 && cx < screen_width + 14 + num_packets_alongside * 32 + 2) /* trailing guard */ + ); + data_island_preamble <= num_packets_alongside > 0 && cx >= screen_width + 4 && cx < screen_width + 12; + data_island_period <= data_island_period_instantaneous; + end + end + + // See Section 5.2.3.4 + logic [23:0] header; + logic [55:0] sub [3:0]; + logic video_field_end; + assign video_field_end = cx == screen_width - 1'b1 && cy == screen_height - 1'b1; + logic [4:0] packet_pixel_counter; + packet_picker #( + .VIDEO_ID_CODE(VIDEO_ID_CODE), + .VIDEO_RATE(VIDEO_RATE), + .IT_CONTENT(IT_CONTENT), + .AUDIO_RATE(AUDIO_RATE), + .AUDIO_BIT_WIDTH(AUDIO_BIT_WIDTH), + .VENDOR_NAME(VENDOR_NAME), + .PRODUCT_DESCRIPTION(PRODUCT_DESCRIPTION), + .SOURCE_DEVICE_INFORMATION(SOURCE_DEVICE_INFORMATION) + ) packet_picker (.clk_pixel(clk_pixel), .clk_audio(clk_audio), .reset(reset), .video_field_end(video_field_end), .packet_enable(packet_enable), .packet_pixel_counter(packet_pixel_counter), .audio_sample_word(audio_sample_word), .header(header), .sub(sub)); + logic [8:0] packet_data; + packet_assembler packet_assembler (.clk_pixel(clk_pixel), .reset(reset), .data_island_period(data_island_period), .header(header), .sub(sub), .packet_data(packet_data), .counter(packet_pixel_counter)); + + + always_ff @(posedge clk_pixel) + begin + if (reset) + begin + mode <= 3'd2; + video_data <= 24'd0; + control_data = 6'd0; + data_island_data <= 12'd0; + end + else + begin + mode <= data_island_guard ? 3'd4 : data_island_period ? 3'd3 : video_guard ? 3'd2 : video_data_period ? 3'd1 : 3'd0; + video_data <= rgb; + control_data <= {{1'b0, data_island_preamble}, {1'b0, video_preamble || data_island_preamble}, {vsync, hsync}}; // ctrl3, ctrl2, ctrl1, ctrl0, vsync, hsync + data_island_data[11:4] <= packet_data[8:1]; + data_island_data[3] <= cx != 0; + data_island_data[2] <= packet_data[0]; + data_island_data[1:0] <= {vsync, hsync}; + end + end + end + else // DVI_OUTPUT = 1 + begin + always_ff @(posedge clk_pixel) + begin + if (reset) + begin + mode <= 3'd0; + video_data <= 24'd0; + control_data <= 6'd0; + end + else + begin + mode <= video_data_period ? 3'd1 : 3'd0; + video_data <= rgb; + control_data <= {4'b0000, {vsync, hsync}}; // ctrl3, ctrl2, ctrl1, ctrl0, vsync, hsync + end + end + end +endgenerate + +// All logic below relates to the production and output of the 10-bit TMDS code. +logic [9:0] tmds_internal [NUM_CHANNELS-1:0] /* verilator public_flat */ ; +genvar i; +generate + // TMDS code production. + for (i = 0; i < NUM_CHANNELS; i++) + begin: tmds_gen + tmds_channel #(.CN(i)) tmds_channel (.clk_pixel(clk_pixel), .video_data(video_data[i*8+7:i*8]), .data_island_data(data_island_data[i*4+3:i*4]), .control_data(control_data[i*2+1:i*2]), .mode(mode), .tmds(tmds_internal[i])); + end +endgenerate + +serializer #(.NUM_CHANNELS(NUM_CHANNELS), .VIDEO_RATE(VIDEO_RATE)) serializer(.clk_pixel(clk_pixel), .clk_pixel_x5(clk_pixel_x5), .reset(reset), .tmds_internal(tmds_internal), .tmds(tmds), .tmds_clock(tmds_clock)); + +endmodule diff --git a/src/tang/console60k/hdmi/packet_assembler.sv b/src/tang/console60k/hdmi/packet_assembler.sv new file mode 100644 index 0000000..f0acbaa --- /dev/null +++ b/src/tang/console60k/hdmi/packet_assembler.sv @@ -0,0 +1,86 @@ +// Implementation of HDMI packet ECC calculation. +// By Sameer Puri https://github.com/sameer + +module packet_assembler ( + input logic clk_pixel, + input logic reset, + input logic data_island_period, + input logic [23:0] header, // See Table 5-8 Packet Types + input logic [55:0] sub [3:0], + output logic [8:0] packet_data, // See Figure 5-4 Data Island Packet and ECC Structure + output logic [4:0] counter = 5'd0 +); + +// 32 pixel wrap-around counter. See Section 5.2.3.4 for further information. +always_ff @(posedge clk_pixel) +begin + if (reset) + counter <= 5'd0; + else if (data_island_period) + counter <= counter + 5'd1; +end +// BCH packets 0 to 3 are transferred two bits at a time, see Section 5.2.3.4 for further information. +wire [5:0] counter_t2 = {counter, 1'b0}; +wire [5:0] counter_t2_p1 = {counter, 1'b1}; + +// Initialize parity bits to 0 +logic [7:0] parity [4:0] = '{8'd0, 8'd0, 8'd0, 8'd0, 8'd0}; + +wire [63:0] bch [3:0]; +assign bch[0] = {parity[0], sub[0]}; +assign bch[1] = {parity[1], sub[1]}; +assign bch[2] = {parity[2], sub[2]}; +assign bch[3] = {parity[3], sub[3]}; +wire [31:0] bch4 = {parity[4], header}; +assign packet_data = {bch[3][counter_t2_p1], bch[2][counter_t2_p1], bch[1][counter_t2_p1], bch[0][counter_t2_p1], bch[3][counter_t2], bch[2][counter_t2], bch[1][counter_t2], bch[0][counter_t2], bch4[counter]}; + +// See Figure 5-5 Error Correction Code generator. Generalization of a CRC with binary BCH. +// See https://web.archive.org/web/20190520020602/http://hamsterworks.co.nz/mediawiki/index.php/Minimal_HDMI#Computing_the_ECC for an explanation of the implementation. +// See https://en.wikipedia.org/wiki/BCH_code#Systematic_encoding:_The_message_as_a_prefix for further information. +function automatic [7:0] next_ecc; +input [7:0] ecc, next_bch_bit; +begin + next_ecc = (ecc >> 1) ^ ((ecc[0] ^ next_bch_bit) ? 8'b10000011 : 8'd0); +end +endfunction + +logic [7:0] parity_next [4:0]; + +// The parity needs to be calculated 2 bits at a time for blocks 0 to 3. +// There's 56 bits being sent 2 bits at a time over TMDS channels 1 & 2, so the parity bits wouldn't be ready in time otherwise. +logic [7:0] parity_next_next [3:0]; + +genvar i; +generate + for(i = 0; i < 5; i++) + begin: parity_calc + if (i == 4) + assign parity_next[i] = next_ecc(parity[i], header[counter]); + else + begin + assign parity_next[i] = next_ecc(parity[i], sub[i][counter_t2]); + assign parity_next_next[i] = next_ecc(parity_next[i], sub[i][counter_t2_p1]); + end + end +endgenerate + +always_ff @(posedge clk_pixel) +begin + if (reset) + parity <= '{8'd0, 8'd0, 8'd0, 8'd0, 8'd0}; + else if (data_island_period) + begin + if (counter < 5'd28) // Compute ECC only on subpacket data, not on itself + begin + parity[3:0] <= parity_next_next; + if (counter < 5'd24) // Header only has 24 bits, whereas subpackets have 56 and 56 / 2 = 28. + parity[4] <= parity_next[4]; + end + else if (counter == 5'd31) + parity <= '{8'd0, 8'd0, 8'd0, 8'd0, 8'd0}; // Reset ECC for next packet + end + else + parity <= '{8'd0, 8'd0, 8'd0, 8'd0, 8'd0}; +end + +endmodule diff --git a/src/tang/console60k/hdmi/packet_picker.sv b/src/tang/console60k/hdmi/packet_picker.sv new file mode 100644 index 0000000..1fa5d5a --- /dev/null +++ b/src/tang/console60k/hdmi/packet_picker.sv @@ -0,0 +1,201 @@ +// Implementation of HDMI packet choice logic. +// By Sameer Puri https://github.com/sameer + +module packet_picker +#( + parameter int VIDEO_ID_CODE = 4, + parameter real VIDEO_RATE = 0, + parameter bit IT_CONTENT = 1'b0, + parameter int AUDIO_BIT_WIDTH = 0, + parameter int AUDIO_RATE = 0, + parameter bit [8*8-1:0] VENDOR_NAME = 0, + parameter bit [8*16-1:0] PRODUCT_DESCRIPTION = 0, + parameter bit [7:0] SOURCE_DEVICE_INFORMATION = 0 +) +( + input logic clk_pixel, + input logic clk_audio, + input logic reset, + input logic video_field_end, + input logic packet_enable, + input logic [4:0] packet_pixel_counter, + input logic [AUDIO_BIT_WIDTH-1:0] audio_sample_word [1:0], + output logic [23:0] header, + output logic [55:0] sub [3:0] +); + +// Connect the current packet type's data to the output. +logic [7:0] packet_type = 8'd0; +logic [23:0] headers [255:0]; +logic [55:0] subs [255:0] [3:0]; +assign header = headers[packet_type]; +assign sub[0] = subs[packet_type][0]; +assign sub[1] = subs[packet_type][1]; +assign sub[2] = subs[packet_type][2]; +assign sub[3] = subs[packet_type][3]; + +// NULL packet +// "An HDMI Sink shall ignore bytes HB1 and HB2 of the Null Packet Header and all bytes of the Null Packet Body." +`ifdef MODEL_TECH +assign headers[0] = {8'd0, 8'd0, 8'd0}; assign subs[0] = '{56'd0, 56'd0, 56'd0, 56'd0}; +`else +assign headers[0] = {8'dX, 8'dX, 8'd0}; +assign subs[0][0] = 56'dX; +assign subs[0][1] = 56'dX; +assign subs[0][2] = 56'dX; +assign subs[0][3] = 56'dX; +`endif + +// Audio Clock Regeneration Packet +logic clk_audio_counter_wrap; +audio_clock_regeneration_packet #(.VIDEO_RATE(VIDEO_RATE), .AUDIO_RATE(AUDIO_RATE)) audio_clock_regeneration_packet (.clk_pixel(clk_pixel), .clk_audio(clk_audio), .clk_audio_counter_wrap(clk_audio_counter_wrap), .header(headers[1]), .sub(subs[1])); + +// Audio Sample packet +localparam bit [3:0] SAMPLING_FREQUENCY = AUDIO_RATE == 32000 ? 4'b0011 + : AUDIO_RATE == 44100 ? 4'b0000 + : AUDIO_RATE == 88200 ? 4'b1000 + : AUDIO_RATE == 176400 ? 4'b1100 + : AUDIO_RATE == 48000 ? 4'b0010 + : AUDIO_RATE == 96000 ? 4'b1010 + : AUDIO_RATE == 192000 ? 4'b1110 + : 4'bXXXX; +localparam int AUDIO_BIT_WIDTH_COMPARATOR = AUDIO_BIT_WIDTH < 20 ? 20 : AUDIO_BIT_WIDTH == 20 ? 25 : AUDIO_BIT_WIDTH < 24 ? 24 : AUDIO_BIT_WIDTH == 24 ? 29 : -1; +localparam bit [2:0] WORD_LENGTH = 3'(AUDIO_BIT_WIDTH_COMPARATOR - AUDIO_BIT_WIDTH); +localparam bit WORD_LENGTH_LIMIT = AUDIO_BIT_WIDTH <= 20 ? 1'b0 : 1'b1; + +logic [AUDIO_BIT_WIDTH-1:0] audio_sample_word_transfer [1:0]; +logic audio_sample_word_transfer_control = 1'd0; +logic clk_audio_old; +always_ff @(posedge clk_pixel) +begin + clk_audio_old <= clk_audio; + if (clk_audio & ~clk_audio_old) begin + audio_sample_word_transfer <= audio_sample_word; + audio_sample_word_transfer_control <= !audio_sample_word_transfer_control; + end +end + +logic [1:0] audio_sample_word_transfer_control_synchronizer_chain = 2'd0; +always_ff @(posedge clk_pixel) + audio_sample_word_transfer_control_synchronizer_chain <= {audio_sample_word_transfer_control, audio_sample_word_transfer_control_synchronizer_chain[1]}; + +logic sample_buffer_current = 1'b0; +logic [1:0] samples_remaining = 2'd0; +logic [23:0] audio_sample_word_buffer [1:0] [3:0] [1:0]; +logic [AUDIO_BIT_WIDTH-1:0] audio_sample_word_transfer_mux [1:0]; +always_comb +begin + if (audio_sample_word_transfer_control_synchronizer_chain[0] ^ audio_sample_word_transfer_control_synchronizer_chain[1]) + audio_sample_word_transfer_mux = audio_sample_word_transfer; + else + audio_sample_word_transfer_mux = '{audio_sample_word_buffer[sample_buffer_current][samples_remaining][1][23:(24-AUDIO_BIT_WIDTH)], audio_sample_word_buffer[sample_buffer_current][samples_remaining][0][23:(24-AUDIO_BIT_WIDTH)]}; +end + +logic sample_buffer_used = 1'b0; +logic sample_buffer_ready = 1'b0; + +always_ff @(posedge clk_pixel) +begin + if (sample_buffer_used) + sample_buffer_ready <= 1'b0; + + if (audio_sample_word_transfer_control_synchronizer_chain[0] ^ audio_sample_word_transfer_control_synchronizer_chain[1]) + begin + audio_sample_word_buffer[sample_buffer_current][samples_remaining][0] <= 24'(audio_sample_word_transfer_mux[0])<<(24-AUDIO_BIT_WIDTH); + audio_sample_word_buffer[sample_buffer_current][samples_remaining][1] <= 24'(audio_sample_word_transfer_mux[1])<<(24-AUDIO_BIT_WIDTH); + if (samples_remaining == 2'd3) + begin + samples_remaining <= 2'd0; + sample_buffer_ready <= 1'b1; + sample_buffer_current <= !sample_buffer_current; + end + else + samples_remaining <= samples_remaining + 1'd1; + end +end + +logic [23:0] audio_sample_word_packet [3:0] [1:0]; +logic [3:0] audio_sample_word_present_packet; + +logic [7:0] frame_counter = 8'd0; +int k; +always_ff @(posedge clk_pixel) +begin + if (reset) + begin + frame_counter <= 8'd0; + end + else if (packet_pixel_counter == 5'd31 && packet_type == 8'h02) // Keep track of current IEC 60958 frame + begin + frame_counter = frame_counter + 8'd4; + if (frame_counter >= 8'd192) + frame_counter = frame_counter - 8'd192; + end +end +audio_sample_packet #(.SAMPLING_FREQUENCY(SAMPLING_FREQUENCY), .WORD_LENGTH({{WORD_LENGTH[0], WORD_LENGTH[1], WORD_LENGTH[2]}, WORD_LENGTH_LIMIT})) audio_sample_packet (.frame_counter(frame_counter), .valid_bit('{2'b00, 2'b00, 2'b00, 2'b00}), .user_data_bit('{2'b00, 2'b00, 2'b00, 2'b00}), .audio_sample_word(audio_sample_word_packet), .audio_sample_word_present(audio_sample_word_present_packet), .header(headers[2]), .sub(subs[2])); + + +auxiliary_video_information_info_frame #( + .VIDEO_ID_CODE(7'(VIDEO_ID_CODE)), + .IT_CONTENT(IT_CONTENT) +) auxiliary_video_information_info_frame(.header(headers[130]), .sub(subs[130])); + + +source_product_description_info_frame #(.VENDOR_NAME(VENDOR_NAME), .PRODUCT_DESCRIPTION(PRODUCT_DESCRIPTION), .SOURCE_DEVICE_INFORMATION(SOURCE_DEVICE_INFORMATION)) source_product_description_info_frame(.header(headers[131]), .sub(subs[131])); + + +audio_info_frame audio_info_frame(.header(headers[132]), .sub(subs[132])); + + +// "A Source shall always transmit... [an InfoFrame] at least once per two Video Fields" +logic audio_info_frame_sent = 1'b0; +logic auxiliary_video_information_info_frame_sent = 1'b0; +logic source_product_description_info_frame_sent = 1'b0; +logic last_clk_audio_counter_wrap = 1'b0; +always_ff @(posedge clk_pixel) +begin + if (sample_buffer_used) + sample_buffer_used <= 1'b0; + + if (reset || video_field_end) + begin + audio_info_frame_sent <= 1'b0; + auxiliary_video_information_info_frame_sent <= 1'b0; + source_product_description_info_frame_sent <= 1'b0; + packet_type <= 8'dx; + end + else if (packet_enable) + begin + if (last_clk_audio_counter_wrap ^ clk_audio_counter_wrap) + begin + packet_type <= 8'd1; + last_clk_audio_counter_wrap <= clk_audio_counter_wrap; + end + else if (sample_buffer_ready) + begin + packet_type <= 8'd2; + audio_sample_word_packet <= audio_sample_word_buffer[!sample_buffer_current]; + audio_sample_word_present_packet <= 4'b1111; + sample_buffer_used <= 1'b1; + end + else if (!audio_info_frame_sent) + begin + packet_type <= 8'h84; + audio_info_frame_sent <= 1'b1; + end + else if (!auxiliary_video_information_info_frame_sent) + begin + packet_type <= 8'h82; + auxiliary_video_information_info_frame_sent <= 1'b1; + end + else if (!source_product_description_info_frame_sent) + begin + packet_type <= 8'h83; + source_product_description_info_frame_sent <= 1'b1; + end + else + packet_type <= 8'd0; + end +end + +endmodule diff --git a/src/tang/console60k/hdmi/serializer.sv b/src/tang/console60k/hdmi/serializer.sv new file mode 100644 index 0000000..0ca0c0a --- /dev/null +++ b/src/tang/console60k/hdmi/serializer.sv @@ -0,0 +1,300 @@ +`define GW_IDE + +module serializer +#( + parameter int NUM_CHANNELS = 3, + parameter real VIDEO_RATE +) +( + input logic clk_pixel, + input logic clk_pixel_x5, + input logic reset, + input logic [9:0] tmds_internal [NUM_CHANNELS-1:0], + output logic [2:0] tmds, + output logic tmds_clock +); + +`ifndef VERILATOR + `ifdef SYNTHESIS + `ifndef ALTERA_RESERVED_QIS + // https://www.xilinx.com/support/documentation/user_guides/ug471_7Series_SelectIO.pdf + logic tmds_plus_clock [NUM_CHANNELS:0]; + assign tmds_plus_clock = '{tmds_clock, tmds[2], tmds[1], tmds[0]}; + logic [9:0] tmds_internal_plus_clock [NUM_CHANNELS:0]; + assign tmds_internal_plus_clock = '{10'b0000011111, tmds_internal[2], tmds_internal[1], tmds_internal[0]}; + logic [1:0] cascade [NUM_CHANNELS:0]; + + // this is requried for OSERDESE2 to work + logic internal_reset = 1'b1; + always @(posedge clk_pixel) + begin + internal_reset <= 1'b0; + end + genvar i; + generate + for (i = 0; i <= NUM_CHANNELS; i++) + begin: xilinx_serialize + OSERDESE2 #( + .DATA_RATE_OQ("DDR"), + .DATA_RATE_TQ("SDR"), + .DATA_WIDTH(10), + .SERDES_MODE("MASTER"), + .TRISTATE_WIDTH(1), + .TBYTE_CTL("FALSE"), + .TBYTE_SRC("FALSE") + ) primary ( + .OQ(tmds_plus_clock[i]), + .OFB(), + .TQ(), + .TFB(), + .SHIFTOUT1(), + .SHIFTOUT2(), + .TBYTEOUT(), + .CLK(clk_pixel_x5), + .CLKDIV(clk_pixel), + .D1(tmds_internal_plus_clock[i][0]), + .D2(tmds_internal_plus_clock[i][1]), + .D3(tmds_internal_plus_clock[i][2]), + .D4(tmds_internal_plus_clock[i][3]), + .D5(tmds_internal_plus_clock[i][4]), + .D6(tmds_internal_plus_clock[i][5]), + .D7(tmds_internal_plus_clock[i][6]), + .D8(tmds_internal_plus_clock[i][7]), + .TCE(1'b0), + .OCE(1'b1), + .TBYTEIN(1'b0), + .RST(reset || internal_reset), + .SHIFTIN1(cascade[i][0]), + .SHIFTIN2(cascade[i][1]), + .T1(1'b0), + .T2(1'b0), + .T3(1'b0), + .T4(1'b0) + ); + OSERDESE2 #( + .DATA_RATE_OQ("DDR"), + .DATA_RATE_TQ("SDR"), + .DATA_WIDTH(10), + .SERDES_MODE("SLAVE"), + .TRISTATE_WIDTH(1), + .TBYTE_CTL("FALSE"), + .TBYTE_SRC("FALSE") + ) secondary ( + .OQ(), + .OFB(), + .TQ(), + .TFB(), + .SHIFTOUT1(cascade[i][0]), + .SHIFTOUT2(cascade[i][1]), + .TBYTEOUT(), + .CLK(clk_pixel_x5), + .CLKDIV(clk_pixel), + .D1(1'b0), + .D2(1'b0), + .D3(tmds_internal_plus_clock[i][8]), + .D4(tmds_internal_plus_clock[i][9]), + .D5(1'b0), + .D6(1'b0), + .D7(1'b0), + .D8(1'b0), + .TCE(1'b0), + .OCE(1'b1), + .TBYTEIN(1'b0), + .RST(reset || internal_reset), + .SHIFTIN1(1'b0), + .SHIFTIN2(1'b0), + .T1(1'b0), + .T2(1'b0), + .T3(1'b0), + .T4(1'b0) + ); + end + endgenerate + `endif + `elsif GW_IDE + OSER10 gwSer0( + .Q( tmds[ 0 ] ), + .D0( tmds_internal[ 0 ][ 0 ] ), + .D1( tmds_internal[ 0 ][ 1 ] ), + .D2( tmds_internal[ 0 ][ 2 ] ), + .D3( tmds_internal[ 0 ][ 3 ] ), + .D4( tmds_internal[ 0 ][ 4 ] ), + .D5( tmds_internal[ 0 ][ 5 ] ), + .D6( tmds_internal[ 0 ][ 6 ] ), + .D7( tmds_internal[ 0 ][ 7 ] ), + .D8( tmds_internal[ 0 ][ 8 ] ), + .D9( tmds_internal[ 0 ][ 9 ] ), + .PCLK( clk_pixel ), + .FCLK( clk_pixel_x5 ), + .RESET( reset ) ); + + OSER10 gwSer1( + .Q( tmds[ 1 ] ), + .D0( tmds_internal[ 1 ][ 0 ] ), + .D1( tmds_internal[ 1 ][ 1 ] ), + .D2( tmds_internal[ 1 ][ 2 ] ), + .D3( tmds_internal[ 1 ][ 3 ] ), + .D4( tmds_internal[ 1 ][ 4 ] ), + .D5( tmds_internal[ 1 ][ 5 ] ), + .D6( tmds_internal[ 1 ][ 6 ] ), + .D7( tmds_internal[ 1 ][ 7 ] ), + .D8( tmds_internal[ 1 ][ 8 ] ), + .D9( tmds_internal[ 1 ][ 9 ] ), + .PCLK( clk_pixel ), + .FCLK( clk_pixel_x5 ), + .RESET( reset ) ); + + OSER10 gwSer2( + .Q( tmds[ 2 ] ), + .D0( tmds_internal[ 2 ][ 0 ] ), + .D1( tmds_internal[ 2 ][ 1 ] ), + .D2( tmds_internal[ 2 ][ 2 ] ), + .D3( tmds_internal[ 2 ][ 3 ] ), + .D4( tmds_internal[ 2 ][ 4 ] ), + .D5( tmds_internal[ 2 ][ 5 ] ), + .D6( tmds_internal[ 2 ][ 6 ] ), + .D7( tmds_internal[ 2 ][ 7 ] ), + .D8( tmds_internal[ 2 ][ 8 ] ), + .D9( tmds_internal[ 2 ][ 9 ] ), + .PCLK( clk_pixel ), + .FCLK( clk_pixel_x5 ), + .RESET( reset ) ); + + assign tmds_clock = clk_pixel; + + `else + logic [9:0] tmds_reversed [NUM_CHANNELS-1:0]; + genvar i, j; + generate + for (i = 0; i < NUM_CHANNELS; i++) + begin: tmds_rev + for (j = 0; j < 10; j++) + begin: tmds_rev_channel + assign tmds_reversed[i][j] = tmds_internal[i][9-j]; + end + end + endgenerate + `ifdef MODEL_TECH + logic [3:0] position = 4'd0; + always_ff @(posedge clk_pixel_x5) + begin + tmds <= {tmds_reversed[2][position], tmds_reversed[1][position], tmds_reversed[0][position]}; + tmds_clock <= position >= 4'd5; + position <= position == 4'd9 ? 4'd0 : position + 1'd1; + end + always_ff @(negedge clk_pixel_x5) + begin + tmds <= {tmds_reversed[2][position], tmds_reversed[1][position], tmds_reversed[0][position]}; + tmds_clock <= position >= 4'd5; + position <= position == 4'd9 ? 4'd0 : position + 1'd1; + end + `else + `ifdef ALTERA_RESERVED_QIS + altlvds_tx ALTLVDS_TX_component ( + .tx_in ({10'b1111100000, tmds_reversed[2], tmds_reversed[1], tmds_reversed[0]}), + .tx_inclock (clk_pixel_x5), + .tx_out ({tmds_clock, tmds[2], tmds[1], tmds[0]}), + .tx_outclock (), + .pll_areset (1'b0), + .sync_inclock (1'b0), + .tx_coreclock (), + .tx_data_reset (reset), + .tx_enable (1'b1), + .tx_locked (), + .tx_pll_enable (1'b1), + .tx_syncclock (clk_pixel)); + defparam + ALTLVDS_TX_component.center_align_msb = "UNUSED", + ALTLVDS_TX_component.common_rx_tx_pll = "OFF", + ALTLVDS_TX_component.coreclock_divide_by = 1, + // ALTLVDS_TX_component.data_rate = "800.0 Mbps", + ALTLVDS_TX_component.deserialization_factor = 10, + ALTLVDS_TX_component.differential_drive = 0, + ALTLVDS_TX_component.enable_clock_pin_mode = "UNUSED", + ALTLVDS_TX_component.implement_in_les = "OFF", + ALTLVDS_TX_component.inclock_boost = 0, + ALTLVDS_TX_component.inclock_data_alignment = "EDGE_ALIGNED", + ALTLVDS_TX_component.inclock_period = int'(10000000.0 / (VIDEO_RATE * 10.0)), + ALTLVDS_TX_component.inclock_phase_shift = 0, + // ALTLVDS_TX_component.intended_device_family = "Cyclone V", + ALTLVDS_TX_component.lpm_hint = "CBX_MODULE_PREFIX=altlvds_tx_inst", + ALTLVDS_TX_component.lpm_type = "altlvds_tx", + ALTLVDS_TX_component.multi_clock = "OFF", + ALTLVDS_TX_component.number_of_channels = 4, + // ALTLVDS_TX_component.outclock_alignment = "EDGE_ALIGNED", + // ALTLVDS_TX_component.outclock_divide_by = 1, + // ALTLVDS_TX_component.outclock_duty_cycle = 50, + // ALTLVDS_TX_component.outclock_multiply_by = 1, + // ALTLVDS_TX_component.outclock_phase_shift = 0, + // ALTLVDS_TX_component.outclock_resource = "Dual-Regional clock", + ALTLVDS_TX_component.output_data_rate = int'(VIDEO_RATE * 10.0), + ALTLVDS_TX_component.pll_compensation_mode = "AUTO", + ALTLVDS_TX_component.pll_self_reset_on_loss_lock = "OFF", + ALTLVDS_TX_component.preemphasis_setting = 0, + // ALTLVDS_TX_component.refclk_frequency = "20.000000 MHz", + ALTLVDS_TX_component.registered_input = "OFF", + ALTLVDS_TX_component.use_external_pll = "ON", + ALTLVDS_TX_component.use_no_phase_shift = "ON", + ALTLVDS_TX_component.vod_setting = 0, + ALTLVDS_TX_component.clk_src_is_pll = "off"; + `else + // We don't know what the platform is so the best bet is an IP-less implementation. + // Shift registers are loaded with a set of values from tmds_channels every clk_pixel. + // They are shifted out on clk_pixel_x5 by the time the next set is loaded. + logic [9:0] tmds_shift [NUM_CHANNELS-1:0] = '{10'd0, 10'd0, 10'd0}; + + logic tmds_control = 1'd0; + always_ff @(posedge clk_pixel) + tmds_control <= !tmds_control; + + logic [3:0] tmds_control_synchronizer_chain = 4'd0; + always_ff @(posedge clk_pixel_x5) + tmds_control_synchronizer_chain <= {tmds_control, tmds_control_synchronizer_chain[3:1]}; + + logic load; + assign load = tmds_control_synchronizer_chain[1] ^ tmds_control_synchronizer_chain[0]; + logic [9:0] tmds_mux [NUM_CHANNELS-1:0]; + always_comb + begin + if (load) + tmds_mux = tmds_internal; + else + tmds_mux = tmds_shift; + end + + // See Section 5.4.1 + for (i = 0; i < NUM_CHANNELS; i++) + begin: tmds_shifting + always_ff @(posedge clk_pixel_x5) + tmds_shift[i] <= load ? tmds_mux[i] : tmds_shift[i] >> 2; + end + + logic [9:0] tmds_shift_clk_pixel = 10'b0000011111; + always_ff @(posedge clk_pixel_x5) + tmds_shift_clk_pixel <= load ? 10'b0000011111 : {tmds_shift_clk_pixel[1:0], tmds_shift_clk_pixel[9:2]}; + + logic [NUM_CHANNELS-1:0] tmds_shift_negedge_temp; + for (i = 0; i < NUM_CHANNELS; i++) + begin: tmds_driving + always_ff @(posedge clk_pixel_x5) + begin + tmds[i] <= tmds_shift[i][0]; + tmds_shift_negedge_temp[i] <= tmds_shift[i][1]; + end + always_ff @(negedge clk_pixel_x5) + tmds[i] <= tmds_shift_negedge_temp[i]; + end + logic tmds_clock_negedge_temp; + always_ff @(posedge clk_pixel_x5) + begin + tmds_clock <= tmds_shift_clk_pixel[0]; + tmds_clock_negedge_temp <= tmds_shift_clk_pixel[1]; + end + always_ff @(negedge clk_pixel_x5) + tmds_clock <= tmds_shift_negedge_temp; + + `endif + `endif + `endif +`endif +endmodule diff --git a/src/tang/console60k/hdmi/source_product_description_info_frame.sv b/src/tang/console60k/hdmi/source_product_description_info_frame.sv new file mode 100644 index 0000000..d76f941 --- /dev/null +++ b/src/tang/console60k/hdmi/source_product_description_info_frame.sv @@ -0,0 +1,64 @@ +// Implementation of HDMI SPD InfoFrame packet. +// By Sameer Puri https://github.com/sameer + +// See CEA-861-D Section 6.5 page 72 (84 in PDF) +module source_product_description_info_frame +#( + parameter bit [8*8-1:0] VENDOR_NAME = 0, + parameter bit [8*16-1:0] PRODUCT_DESCRIPTION = 0, + parameter bit [7:0] SOURCE_DEVICE_INFORMATION = 0 +) +( + output logic [23:0] header, + output logic [55:0] sub [3:0] +); + +localparam bit [4:0] LENGTH = 5'd25; +localparam bit [7:0] VERSION = 8'd1; +localparam bit [6:0] TYPE = 7'd3; + +assign header = {{3'b0, LENGTH}, VERSION, {1'b1, TYPE}}; + +// PB0-PB6 = sub0 +// PB7-13 = sub1 +// PB14-20 = sub2 +// PB21-27 = sub3 +logic [7:0] packet_bytes [27:0]; + +assign packet_bytes[0] = 8'd1 + ~(header[23:16] + header[15:8] + header[7:0] + packet_bytes[25] + packet_bytes[24] + packet_bytes[23] + packet_bytes[22] + packet_bytes[21] + packet_bytes[20] + packet_bytes[19] + packet_bytes[18] + packet_bytes[17] + packet_bytes[16] + packet_bytes[15] + packet_bytes[14] + packet_bytes[13] + packet_bytes[12] + packet_bytes[11] + packet_bytes[10] + packet_bytes[9] + packet_bytes[8] + packet_bytes[7] + packet_bytes[6] + packet_bytes[5] + packet_bytes[4] + packet_bytes[3] + packet_bytes[2] + packet_bytes[1]); + + +byte vendor_name [0:7]; +byte product_description [0:15]; + +genvar i; +generate + for (i = 0; i < 8; i++) + begin: vendor_to_bytes + assign vendor_name[i] = VENDOR_NAME[(7-i+1)*8-1:(7-i)*8]; + end + for (i = 0; i < 16; i++) + begin: product_to_bytes + assign product_description[i] = PRODUCT_DESCRIPTION[(15-i+1)*8-1:(15-i)*8]; + end + + for (i = 1; i < 9; i++) + begin: pb_vendor + assign packet_bytes[i] = vendor_name[i - 1] == 8'h30 ? 8'h00 : vendor_name[i - 1]; + end + for (i = 9; i < LENGTH; i++) + begin: pb_product + assign packet_bytes[i] = product_description[i - 9] == 8'h30 ? 8'h00 : product_description[i - 9]; + end + assign packet_bytes[LENGTH] = SOURCE_DEVICE_INFORMATION; + for (i = 26; i < 28; i++) + begin: pb_reserved + assign packet_bytes[i] = 8'd0; + end + for (i = 0; i < 4; i++) + begin: pb_to_sub + assign sub[i] = {packet_bytes[6 + i*7], packet_bytes[5 + i*7], packet_bytes[4 + i*7], packet_bytes[3 + i*7], packet_bytes[2 + i*7], packet_bytes[1 + i*7], packet_bytes[0 + i*7]}; + end +endgenerate + +endmodule diff --git a/src/tang/console60k/hdmi/tmds_channel.sv b/src/tang/console60k/hdmi/tmds_channel.sv new file mode 100644 index 0000000..9400c16 --- /dev/null +++ b/src/tang/console60k/hdmi/tmds_channel.sv @@ -0,0 +1,169 @@ +// Implementation of HDMI Spec v1.4a Section 5.4: Encoding, Section 5.2.2.1: Video Guard Band, Section 5.2.3.3: Data Island Guard Bands. +// By Sameer Puri https://github.com/sameer + +module tmds_channel +#( + // TMDS Channel number. + // There are only 3 possible channel numbers in HDMI 1.4a: 0, 1, 2. + parameter int CN = 0 +) +( + input logic clk_pixel, + input logic [7:0] video_data, + input logic [3:0] data_island_data, + input logic [1:0] control_data, + input logic [2:0] mode, // Mode select (0 = control, 1 = video, 2 = video guard, 3 = island, 4 = island guard) + output logic [9:0] tmds = 10'b1101010100 +); + +// See Section 5.4.4.1 +// Below is a direct implementation of Figure 5-7, using the same variable names. + +logic signed [4:0] acc = 5'sd0; + +logic [8:0] q_m; +logic [9:0] q_out; +logic [9:0] video_coding; +assign video_coding = q_out; + +logic [3:0] N1D; +logic signed [4:0] N1q_m07; +logic signed [4:0] N0q_m07; +always_comb +begin + N1D = video_data[0] + video_data[1] + video_data[2] + video_data[3] + video_data[4] + video_data[5] + video_data[6] + video_data[7]; + case(q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7]) + 4'b0000: N1q_m07 = 5'sd0; + 4'b0001: N1q_m07 = 5'sd1; + 4'b0010: N1q_m07 = 5'sd2; + 4'b0011: N1q_m07 = 5'sd3; + 4'b0100: N1q_m07 = 5'sd4; + 4'b0101: N1q_m07 = 5'sd5; + 4'b0110: N1q_m07 = 5'sd6; + 4'b0111: N1q_m07 = 5'sd7; + 4'b1000: N1q_m07 = 5'sd8; + default: N1q_m07 = 5'sd0; + endcase + N0q_m07 = 5'sd8 - N1q_m07; +end + +logic signed [4:0] acc_add; + +integer i; + +always_comb +begin + if (N1D > 4'd4 || (N1D == 4'd4 && video_data[0] == 1'd0)) + begin + q_m[0] = video_data[0]; + for(i = 0; i < 7; i++) + q_m[i + 1] = q_m[i] ~^ video_data[i + 1]; + q_m[8] = 1'b0; + end + else + begin + q_m[0] = video_data[0]; + for(i = 0; i < 7; i++) + q_m[i + 1] = q_m[i] ^ video_data[i + 1]; + q_m[8] = 1'b1; + end + if (acc == 5'sd0 || (N1q_m07 == N0q_m07)) + begin + if (q_m[8]) + begin + acc_add = N1q_m07 - N0q_m07; + q_out = {~q_m[8], q_m[8], q_m[7:0]}; + end + else + begin + acc_add = N0q_m07 - N1q_m07; + q_out = {~q_m[8], q_m[8], ~q_m[7:0]}; + end + end + else + begin + if ((acc > 5'sd0 && N1q_m07 > N0q_m07) || (acc < 5'sd0 && N1q_m07 < N0q_m07)) + begin + q_out = {1'b1, q_m[8], ~q_m[7:0]}; + acc_add = (N0q_m07 - N1q_m07) + (q_m[8] ? 5'sd2 : 5'sd0); + end + else + begin + q_out = {1'b0, q_m[8], q_m[7:0]}; + acc_add = (N1q_m07 - N0q_m07) - (~q_m[8] ? 5'sd2 : 5'sd0); + end + end +end + +always_ff @(posedge clk_pixel) acc <= mode != 3'd1 ? 5'sd0 : acc + acc_add; + +// See Section 5.4.2 +logic [9:0] control_coding; +always_comb +begin + unique case(control_data) + 2'b00: control_coding = 10'b1101010100; + 2'b01: control_coding = 10'b0010101011; + 2'b10: control_coding = 10'b0101010100; + 2'b11: control_coding = 10'b1010101011; + endcase +end + +// See Section 5.4.3 +logic [9:0] terc4_coding; +always_comb +begin + unique case(data_island_data) + 4'b0000 : terc4_coding = 10'b1010011100; + 4'b0001 : terc4_coding = 10'b1001100011; + 4'b0010 : terc4_coding = 10'b1011100100; + 4'b0011 : terc4_coding = 10'b1011100010; + 4'b0100 : terc4_coding = 10'b0101110001; + 4'b0101 : terc4_coding = 10'b0100011110; + 4'b0110 : terc4_coding = 10'b0110001110; + 4'b0111 : terc4_coding = 10'b0100111100; + 4'b1000 : terc4_coding = 10'b1011001100; + 4'b1001 : terc4_coding = 10'b0100111001; + 4'b1010 : terc4_coding = 10'b0110011100; + 4'b1011 : terc4_coding = 10'b1011000110; + 4'b1100 : terc4_coding = 10'b1010001110; + 4'b1101 : terc4_coding = 10'b1001110001; + 4'b1110 : terc4_coding = 10'b0101100011; + 4'b1111 : terc4_coding = 10'b1011000011; + endcase +end + +// See Section 5.2.2.1 +logic [9:0] video_guard_band; +generate + if (CN == 0 || CN == 2) + assign video_guard_band = 10'b1011001100; + else + assign video_guard_band = 10'b0100110011; +endgenerate + +// See Section 5.2.3.3 +logic [9:0] data_guard_band; +generate + if (CN == 1 || CN == 2) + assign data_guard_band = 10'b0100110011; + else + assign data_guard_band = control_data == 2'b00 ? 10'b1010001110 + : control_data == 2'b01 ? 10'b1001110001 + : control_data == 2'b10 ? 10'b0101100011 + : 10'b1011000011; +endgenerate + +// Apply selected mode. +always @(posedge clk_pixel) +begin + case (mode) + 3'd0: tmds <= control_coding; + 3'd1: tmds <= video_coding; + 3'd2: tmds <= video_guard_band; + 3'd3: tmds <= terc4_coding; + 3'd4: tmds <= data_guard_band; + endcase +end + +endmodule diff --git a/src/tang/console60k/hq2x.sv b/src/tang/console60k/hq2x.sv new file mode 100644 index 0000000..deef1fc --- /dev/null +++ b/src/tang/console60k/hq2x.sv @@ -0,0 +1,371 @@ +// +// +// Copyright (c) 2012-2013 Ludvig Strigeus +// Copyright (c) 2017,2018 Sorgelig +// +// This program is GPL Licensed. See COPYING for the full license. +// +// +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +// altera message_off 10030 + +module Hq2x #(parameter LENGTH, parameter HALF_DEPTH) +( + input clk, + + input ce_in, + input [DWIDTH:0] inputpixel, + input mono, + input disable_hq2x, + input reset_frame, + input reset_line, + + input ce_out, + input [1:0] read_y, + input hblank, + output [DWIDTH:0] outpixel +); + + +localparam AWIDTH = $clog2(LENGTH)-1; +localparam DWIDTH = HALF_DEPTH ? 11 : 23; +localparam DWIDTH1 = DWIDTH+1; + +(* romstyle = "MLAB" *) reg [5:0] hqTable[256]; +initial begin + hqTable = '{ + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 47, 35, 23, 15, 55, 39, + 19, 19, 26, 58, 19, 19, 26, 58, 23, 15, 35, 35, 23, 15, 7, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 55, 39, 23, 15, 51, 43, + 19, 19, 26, 58, 19, 19, 26, 58, 23, 15, 51, 35, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 61, 35, 35, 23, 61, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 61, 7, 35, 23, 61, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 58, 23, 15, 51, 35, 23, 61, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 47, 35, 23, 15, 55, 39, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 55, 39, 23, 15, 51, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 39, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 39, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 7, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 7, 35, 23, 15, 7, 43 + }; +end + +wire [5:0] hqrule = hqTable[nextpatt]; + +reg [23:0] Prev0, Prev1, Prev2, Curr0, Curr1, Curr2, Next0, Next1, Next2; +reg [23:0] A, B, D, F, G, H; +reg [7:0] pattern, nextpatt; +reg [1:0] cyc; + +reg curbuf; +reg prevbuf = 0; +wire iobuf = !curbuf; + +wire diff0, diff1; +DiffCheck diffcheck0(Curr1, (cyc == 0) ? Prev0 : (cyc == 1) ? Curr0 : (cyc == 2) ? Prev2 : Next1, diff0); +DiffCheck diffcheck1(Curr1, (cyc == 0) ? Prev1 : (cyc == 1) ? Next0 : (cyc == 2) ? Curr2 : Next2, diff1); + +wire [7:0] new_pattern = {diff1, diff0, pattern[7:2]}; + +wire [23:0] X = (cyc == 0) ? A : (cyc == 1) ? Prev1 : (cyc == 2) ? Next1 : G; +wire [23:0] blend_result_pre; +Blend blender(clk, ce_in, disable_hq2x ? 6'd0 : hqrule, Curr0, X, B, D, F, H, blend_result_pre); + +wire [DWIDTH:0] Curr20tmp; +wire [23:0] Curr20 = HALF_DEPTH ? h2rgb(Curr20tmp) : Curr20tmp; +wire [DWIDTH:0] Curr21tmp; +wire [23:0] Curr21 = HALF_DEPTH ? h2rgb(Curr21tmp) : Curr21tmp; + +reg [AWIDTH:0] wrin_addr2; +reg [DWIDTH:0] wrpix; +reg wrin_en; + +function [23:0] h2rgb; + input [11:0] v; +begin + h2rgb = mono ? {v[7:0], v[7:0], v[7:0]} : {v[11:8],v[11:8],v[7:4],v[7:4],v[3:0],v[3:0]}; +end +endfunction + +function [11:0] rgb2h; + input [23:0] v; +begin + rgb2h = mono ? {4'b0000, v[23:20], v[19:16]} : {v[23:20], v[15:12], v[7:4]}; +end +endfunction + +hq2x_in #(.LENGTH(LENGTH), .DWIDTH(DWIDTH)) hq2x_in +( + .clk(clk), + + .rdaddr(offs), + .rdbuf0(prevbuf), + .rdbuf1(curbuf), + .q0(Curr20tmp), + .q1(Curr21tmp), + + .wraddr(wrin_addr2), + .wrbuf(iobuf), + .data(wrpix), + .wren(wrin_en) +); + +reg [AWIDTH+1:0] read_x; +reg [AWIDTH+1:0] wrout_addr; +reg wrout_en; +reg [DWIDTH1*4-1:0] wrdata, wrdata_pre; +wire [DWIDTH1*4-1:0] outpixel_x4; +reg [DWIDTH1*2-1:0] outpixel_x2; + +assign outpixel = read_x[0] ? outpixel_x2[DWIDTH1*2-1:DWIDTH1] : outpixel_x2[DWIDTH:0]; + +hq2x_buf #(.NUMWORDS(LENGTH*2), .AWIDTH(AWIDTH+1), .DWIDTH(DWIDTH1*4-1)) hq2x_out +( + .clock(clk), + + .rdaddress({read_x[AWIDTH+1:1],read_y[1]}), + .q(outpixel_x4), + + .data(wrdata), + .wraddress(wrout_addr), + .wren(wrout_en) +); + +always @(posedge clk) begin + if(ce_out) begin + if(read_x[0]) outpixel_x2 <= read_y[0] ? outpixel_x4[DWIDTH1*4-1:DWIDTH1*2] : outpixel_x4[DWIDTH1*2-1:0]; + if(~hblank & ~&read_x) read_x <= read_x + 1'd1; + if(hblank) read_x <= 0; + end +end + +wire [DWIDTH:0] blend_result = HALF_DEPTH ? rgb2h(blend_result_pre) : blend_result_pre[DWIDTH:0]; + +reg [AWIDTH:0] offs; +always @(posedge clk) begin + reg old_reset_line; + reg old_reset_frame; + reg [3:0] wrdata_finished; + reg [AWIDTH+1:0] waddr; + + wrout_en <= 0; + wrin_en <= 0; + + if(ce_in) begin + + // blend_result has been delayed by 4 cycles + case(cyc) + 0: wrdata[DWIDTH:0] <= blend_result; + 1: wrdata[DWIDTH1+DWIDTH:DWIDTH1] <= blend_result; + 2: wrdata[DWIDTH1*3+DWIDTH:DWIDTH1*3] <= blend_result; + 3: wrdata[DWIDTH1*2+DWIDTH:DWIDTH1*2] <= blend_result; + endcase + + wrdata_finished <= wrdata_finished << 1; + if(wrdata_finished[3]) begin + wrout_en <= 1; + wrout_addr <= waddr; + end + + if(~&offs) begin + if (cyc == 1) begin + Prev2 <= Curr20; + Curr2 <= Curr21; + Next2 <= HALF_DEPTH ? h2rgb(inputpixel) : inputpixel; + wrpix <= inputpixel; + wrin_addr2 <= offs; + wrin_en <= 1; + end + + if(cyc==3) begin + offs <= offs + 1'd1; + waddr <= {offs, curbuf}; + wrdata_finished[0] <= 1; + end + end + + pattern <= new_pattern; + if(cyc==3) begin + nextpatt <= {new_pattern[7:6], new_pattern[3], new_pattern[5], new_pattern[2], new_pattern[4], new_pattern[1:0]}; + {A, G} <= {Prev0, Next0}; + {B, F, H, D} <= {Prev1, Curr2, Next1, Curr0}; + {Prev0, Prev1} <= {Prev1, Prev2}; + {Curr0, Curr1} <= {Curr1, Curr2}; + {Next0, Next1} <= {Next1, Next2}; + end else begin + nextpatt <= {nextpatt[5], nextpatt[3], nextpatt[0], nextpatt[6], nextpatt[1], nextpatt[7], nextpatt[4], nextpatt[2]}; + {B, F, H, D} <= {F, H, D, B}; + end + + cyc <= cyc + 1'b1; + if(old_reset_line && ~reset_line) begin + old_reset_frame <= reset_frame; + offs <= 0; + cyc <= 0; + curbuf <= ~curbuf; + prevbuf <= curbuf; + {Prev0, Prev1, Prev2, Curr0, Curr1, Curr2, Next0, Next1, Next2} <= '0; + if(old_reset_frame & ~reset_frame) begin + curbuf <= 0; + prevbuf <= 0; + end + end + + old_reset_line <= reset_line; + end +end + +endmodule + +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +module hq2x_in #(parameter LENGTH, parameter DWIDTH) +( + input clk, + + input [AWIDTH:0] rdaddr, + input rdbuf0, rdbuf1, + output[DWIDTH:0] q0,q1, + + input [AWIDTH:0] wraddr, + input wrbuf, + input [DWIDTH:0] data, + input wren +); + +localparam AWIDTH = $clog2(LENGTH)-1; +wire [DWIDTH:0] out[2]; +assign q0 = out[rdbuf0]; +assign q1 = out[rdbuf1]; + +hq2x_buf #(.NUMWORDS(LENGTH), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf0(clk,data,rdaddr,wraddr,wren && (wrbuf == 0),out[0]); +hq2x_buf #(.NUMWORDS(LENGTH), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf1(clk,data,rdaddr,wraddr,wren && (wrbuf == 1),out[1]); + +endmodule + +module hq2x_buf #(parameter NUMWORDS, parameter AWIDTH, parameter DWIDTH) +( + input clock, + input [DWIDTH:0] data, + input [AWIDTH:0] rdaddress, + input [AWIDTH:0] wraddress, + input wren, + output reg [DWIDTH:0] q +); + +reg [DWIDTH:0] ram[0:NUMWORDS-1]; + +always_ff@(posedge clock) begin + if(wren) ram[wraddress] <= data; + q <= ram[rdaddress]; +end + +endmodule + +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +module DiffCheck +( + input [23:0] rgb1, + input [23:0] rgb2, + output result +); + + wire [7:0] r = rgb1[7:1] - rgb2[7:1]; + wire [7:0] g = rgb1[15:9] - rgb2[15:9]; + wire [7:0] b = rgb1[23:17] - rgb2[23:17]; + wire [8:0] t = $signed(r) + $signed(b); + wire [9:0] y = $signed(t) + $signed({g[7], g}); + wire [8:0] u = $signed(r) - $signed(b); + wire [9:0] v = $signed({g, 1'b0}) - $signed(t); + + // if y is inside (-96..96) + wire y_inside = (y < 10'h60 || y >= 10'h3a0); + + // if u is inside (-16, 16) + wire u_inside = (!u[8:4] || &u[8:4]); //(u < 9'h10 || u >= 9'h1f0); + + // if v is inside (-24, 24) + wire v_inside = (v < 10'h18 || v >= 10'h3e8); + assign result = !(y_inside && u_inside && v_inside); + +endmodule + +module Blend +( + input clk, + input clk_en, + input [5:0] rule, + input [23:0] E, + input [23:0] A, + input [23:0] B, + input [23:0] D, + input [23:0] F, + input [23:0] H, + output reg [23:0] Result +); + + localparam BLEND1 = 7'b110_10_00; // (A * 12 + B * 4 ) >> 4 + localparam BLEND2 = 7'b100_10_10; // (A * 8 + B * 4 + C * 4) >> 4 + localparam BLEND3 = 7'b101_10_01; // (A * 10 + B * 4 + C * 2) >> 4 + localparam BLEND4 = 7'b110_01_01; // (A * 12 + B * 2 + C * 2) >> 4 + localparam BLEND5 = 7'b010_11_11; // (A * 4 + B * 6 + C * 6) >> 4 + localparam BLEND6 = 7'b111_00_00; // (A * 14 + B * 1 + C * 1) >> 4 + + reg [23:0] a,b,d,e,h,f; + reg [3:0] bl_rule; + reg [1:0] df_rule; + always @(posedge clk) if (clk_en) begin + {bl_rule,df_rule} <= rule; + a <= A; b <= B; d <= D; e <= E; f <= F; h <= H; + end + + wire is_diff; + DiffCheck diff_checker(df_rule[1] ? b : h, df_rule[0] ? d : f, is_diff); + + reg [23:0] i10,i20,i30; + reg [6:0] op0; + always @(posedge clk) if (clk_en) begin + i10 <= e; + case({!is_diff, bl_rule}) + 1,11,12,13,17: {op0, i20, i30} <= {BLEND1, a, 24'd0}; + 2,14,18: {op0, i20, i30} <= {BLEND1, d, 24'd0}; + 3,15,19: {op0, i20, i30} <= {BLEND1, b, 24'd0}; + 4,20,24,27: {op0, i20, i30} <= {BLEND2, d, b}; + 5,21: {op0, i20, i30} <= {BLEND2, a, b}; + 6,22: {op0, i20, i30} <= {BLEND2, a, d}; + 25,29: {op0, i20, i30} <= {BLEND5, d, b}; + 26: {op0, i20, i30} <= {BLEND6, d, b}; + 28: {op0, i20, i30} <= {BLEND4, d, b}; + 30: {op0, i20, i30} <= {BLEND3, b, d}; + 31: {op0, i20, i30} <= {BLEND3, d, b}; + default: {op0, i20, i30} <= {BLEND1, e, 24'd0}; + endcase + end + + reg [23:0] i1,i2,i3; + reg [6:0] op; + always @(posedge clk) if (clk_en) begin + op <= op0; i1 <= i10; i2 <= i20; i3 <= i30; + end + + function [34:0] mul24x3; + input [23:0] op1; + input [2:0] op2; + begin + mul24x3 = 0; + if(op2[0]) mul24x3 = mul24x3 + {op1[23:16], 4'b0000, op1[15:8], 4'b0000, op1[7:0]}; + if(op2[1]) mul24x3 = mul24x3 + {op1[23:16], 4'b0000, op1[15:8], 4'b0000, op1[7:0], 1'b0}; + if(op2[2]) mul24x3 = mul24x3 + {op1[23:16], 4'b0000, op1[15:8], 4'b0000, op1[7:0], 2'b00}; + end + endfunction + + wire [35:0] res = {mul24x3(i1, op[6:4]), 1'b0} + mul24x3(i2, {op[3:2], !op[3:2]}) + mul24x3(i3, {op[1:0], !op[3:2]}); + + always @(posedge clk) if (clk_en) Result <= {res[35:28],res[23:16],res[11:4]}; + +endmodule diff --git a/src/tang/console60k/pll_27.ipc b/src/tang/console60k/pll_27.ipc new file mode 100644 index 0000000..dc6ef2d --- /dev/null +++ b/src/tang/console60k/pll_27.ipc @@ -0,0 +1,147 @@ +[General] +file=pll_27 +ipc_version=4 +module=pll_27 +target_device=gw5at60b-002 +type=clock_plladv +version=1.0 + +[Config] +AdvancedMode=false +ClkfbDivideFactorStatic=true +ClkfbDivideFactorStaticValue=1 +ClkfbInternal=true +ClkfboutExpectedFrequency=400 +ClkfboutTolerance=0.0 +ClkfboutVCODivideFactorStatic=true +ClkfboutVCODivideFactorStaticValue=27 +ClkfboutVCOFractionalDivideFactorStaticValue=0 +ClkinClockFrequency=50 +ClkinDividerFactorStatic=true +ClkinDividerFactorStaticValue=1 +ClkinDividerReset=false +Clkou1BPhaseStatic=true +Clkou2BPhaseStatic=true +Clkou3BPhaseStatic=true +Clkout0Bypass=false +Clkout0DutyCycleDynamic=false +Clkout0DutyCycleDynamicValue=0 +Clkout0DutyCycleStatic=true +Clkout0DutyTrimStatic=true +Clkout0DutyTrimStaticFalling=false +Clkout0DutyTrimStaticRising=true +Clkout0DutyTrimStaticStep=0 +Clkout0ExpectedFrequency=27 +Clkout0PhaseDynamic=false +Clkout0PhaseStatic=true +Clkout0PhaseStaticValue=0 +Clkout0Tolerance=0.0 +Clkout0VCODivideFactorStatic=true +Clkout0VCODivideFactorStaticValue=50 +Clkout0VCOFractionalDivideFactorStaticValue=0 +Clkout1Bypass=false +Clkout1DutyCycleDynamic=false +Clkout1DutyCycleDynamicValue=0 +Clkout1DutyCycleStatic=true +Clkout1DutyTrimStatic=true +Clkout1DutyTrimStaticFalling=false +Clkout1DutyTrimStaticRising=true +Clkout1DutyTrimStaticStep=0 +Clkout1ExpectedFrequency=400 +Clkout1PhaseDynamic=false +Clkout1PhaseStaticValue=0 +Clkout1Tolerance=0.0 +Clkout1VCODivideFactorStatic=true +Clkout1VCODivideFactorStaticValue=8 +Clkout2Bypass=false +Clkout2DutyCycleDynamic=false +Clkout2DutyCycleDynamicValue=0 +Clkout2DutyCycleStatic=true +Clkout2DutyTrimStatic=true +Clkout2DutyTrimStaticFalling=false +Clkout2DutyTrimStaticRising=true +Clkout2DutyTrimStaticStep=0 +Clkout2ExpectedFrequency=400 +Clkout2PhaseDynamic=false +Clkout2PhaseStaticValue=0 +Clkout2Tolerance=0.0 +Clkout2VCODivideFactorStatic=true +Clkout2VCODivideFactorStaticValue=8 +Clkout3Bypass=false +Clkout3DutyCycleDynamic=false +Clkout3DutyCycleDynamicValue=0 +Clkout3DutyCycleStatic=true +Clkout3DutyTrimStatic=true +Clkout3DutyTrimStaticFalling=false +Clkout3DutyTrimStaticRising=true +Clkout3DutyTrimStaticStep=0 +Clkout3ExpectedFrequency=400 +Clkout3PhaseDynamic=false +Clkout3PhaseStaticValue=0 +Clkout3Tolerance=0.0 +Clkout3VCODivideFactorStatic=true +Clkout3VCODivideFactorStaticValue=8 +Clkout4Bypass=false +Clkout4DutyCycleDynamic=false +Clkout4DutyCycleDynamicValue=0 +Clkout4DutyCycleStatic=true +Clkout4ExpectedFrequency=400 +Clkout4PhaseDynamic=false +Clkout4PhaseStatic=true +Clkout4PhaseStaticValue=0 +Clkout4Tolerance=0.0 +Clkout4VCODivideFactorStatic=true +Clkout4VCODivideFactorStaticValue=8 +Clkout5Bypass=false +Clkout5DutyCycleDynamic=false +Clkout5DutyCycleDynamicValue=0 +Clkout5DutyCycleStatic=true +Clkout5ExpectedFrequency=400 +Clkout5PhaseDynamic=false +Clkout5PhaseStatic=true +Clkout5PhaseStaticValue=0 +Clkout5Tolerance=0.0 +Clkout5VCODivideFactorStatic=true +Clkout5VCODivideFactorStaticValue=8 +Clkout6Bypass=false +Clkout6DutyCycleDynamic=false +Clkout6DutyCycleDynamicValue=0 +Clkout6DutyCycleStatic=true +Clkout6ExpectedFrequency=400 +Clkout6PhaseDynamic=false +Clkout6PhaseStatic=true +Clkout6PhaseStaticValue=0 +Clkout6Tolerance=0.0 +Clkout6VCODivideFactorStatic=true +Clkout6VCODivideFactorStaticValue=8 +ClkoutDividerReset=false +EnableCascade=false +EnableClkfbout=false +EnableClkout0Divider=false +EnableClkout1=false +EnableClkout1Divider=false +EnableClkout2=false +EnableClkout2Divider=false +EnableClkout3=false +EnableClkout3Divider=false +EnableClkout4=false +EnableClkout4Divider=false +EnableClkout5=false +EnableClkout5Divider=false +EnableClkout6=false +EnableClkout6Divider=false +EnableLock=true +EnableSsc=false +GeneralMode=true +ICPSELStatic=true +ICPSELStaticValue=ICP1 +LANG=0 +LPFCAPStaticValue=C0 +LPFRESStaticValue=X +LPFSELStatic=true +PLLPowerDown=false +PLLReset=false +clkfbExternal=false +clkfbExternalValue= +EnableMDRP=false +mDRP_Clock_Frequency=50 diff --git a/src/tang/console60k/pll_27.v b/src/tang/console60k/pll_27.v new file mode 100644 index 0000000..1087770 --- /dev/null +++ b/src/tang/console60k/pll_27.v @@ -0,0 +1,55 @@ +module pll_27( + clkin, + clkout0, + lock, + mdclk +); + + +input clkin; +output clkout0; +output lock; +input mdclk; +wire [7:0] mdrdo; +wire [1:0] wMdOpc; +wire wMdAInc; +wire [7:0] wMdDIn; +wire [7:0] wMdQOut; +wire pll_lock; +wire pll_rst; + + + pll_27_MOD u_pll( + .clkout0(clkout0), + .lock(pll_lock), + .mdrdo(wMdQOut), + .clkin(clkin), + .reset(pll_rst), + .mdclk(mdclk), + .mdopc(wMdOpc), + .mdainc(wMdAInc), + .mdwdi(wMdDIn) + ); + + + PLL_INIT u_pll_init( + .I_RST(1'b0), + .O_RST(pll_rst), + .I_LOCK(pll_lock), + .O_LOCK(lock), + .I_MD_CLK(mdclk), + .O_MD_INC(wMdAInc), + .O_MD_OPC(wMdOpc), + .O_MD_WR_DATA(wMdDIn), + .I_MD_RD_DATA(wMdQOut), + .PLL_INIT_BYPASS(1'b0), + .MDRDO(mdrdo), + .MDOPC(2'b00), + .MDAINC(1'b0), + .MDWDI(8'h0) + ); + defparam u_pll_init.CLK_PERIOD = 20; + defparam u_pll_init.MULTI_FAC = 27; + + +endmodule diff --git a/src/tang/console60k/pll_27_mod.v b/src/tang/console60k/pll_27_mod.v new file mode 100644 index 0000000..3322cc0 --- /dev/null +++ b/src/tang/console60k/pll_27_mod.v @@ -0,0 +1,142 @@ +//Copyright (C)2014-2025 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: IP file +//Tool Version: V1.9.12 (64-bit) +//Part Number: GW5AT-LV60PG484AC1/I0 +//Device: GW5AT-60 +//Device Version: B +//Created Time: Sat Dec 20 13:57:30 2025 + +module pll_27_MOD (lock, clkout0, mdrdo, clkin, reset, mdclk, mdopc, mdainc, mdwdi); + +output lock; +output clkout0; +output [7:0] mdrdo; +input clkin; +input reset; +input mdclk; +input [1:0] mdopc; +input mdainc; +input [7:0] mdwdi; + +wire clkout1; +wire clkout2; +wire clkout3; +wire clkout4; +wire clkout5; +wire clkout6; +wire clkfbout; +wire gw_gnd; + +assign gw_gnd = 1'b0; + +PLLA PLLA_inst ( + .LOCK(lock), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .CLKOUT4(clkout4), + .CLKOUT5(clkout5), + .CLKOUT6(clkout6), + .CLKFBOUT(clkfbout), + .MDRDO(mdrdo), + .CLKIN(clkin), + .CLKFB(gw_gnd), + .RESET(reset), + .PLLPWD(gw_gnd), + .RESET_I(gw_gnd), + .RESET_O(gw_gnd), + .PSSEL({gw_gnd,gw_gnd,gw_gnd}), + .PSDIR(gw_gnd), + .PSPULSE(gw_gnd), + .SSCPOL(gw_gnd), + .SSCON(gw_gnd), + .SSCMDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .SSCMDSEL_FRAC({gw_gnd,gw_gnd,gw_gnd}), + .MDCLK(mdclk), + .MDOPC(mdopc), + .MDAINC(mdainc), + .MDWDI(mdwdi) +); + +defparam PLLA_inst.FCLKIN = "50"; +defparam PLLA_inst.IDIV_SEL = 1; +defparam PLLA_inst.FBDIV_SEL = 1; +defparam PLLA_inst.ODIV0_SEL = 50; +defparam PLLA_inst.ODIV1_SEL = 8; +defparam PLLA_inst.ODIV2_SEL = 8; +defparam PLLA_inst.ODIV3_SEL = 8; +defparam PLLA_inst.ODIV4_SEL = 8; +defparam PLLA_inst.ODIV5_SEL = 8; +defparam PLLA_inst.ODIV6_SEL = 8; +defparam PLLA_inst.MDIV_SEL = 27; +defparam PLLA_inst.MDIV_FRAC_SEL = 0; +defparam PLLA_inst.ODIV0_FRAC_SEL = 0; +defparam PLLA_inst.CLKOUT0_EN = "TRUE"; +defparam PLLA_inst.CLKOUT1_EN = "FALSE"; +defparam PLLA_inst.CLKOUT2_EN = "FALSE"; +defparam PLLA_inst.CLKOUT3_EN = "FALSE"; +defparam PLLA_inst.CLKOUT4_EN = "FALSE"; +defparam PLLA_inst.CLKOUT5_EN = "FALSE"; +defparam PLLA_inst.CLKOUT6_EN = "FALSE"; +defparam PLLA_inst.CLKFB_SEL = "INTERNAL"; +defparam PLLA_inst.CLKOUT0_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT1_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT2_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT3_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT0_DT_STEP = 0; +defparam PLLA_inst.CLKOUT1_DT_STEP = 0; +defparam PLLA_inst.CLKOUT2_DT_STEP = 0; +defparam PLLA_inst.CLKOUT3_DT_STEP = 0; +defparam PLLA_inst.CLK0_IN_SEL = 1'b0; +defparam PLLA_inst.CLK0_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK1_IN_SEL = 1'b0; +defparam PLLA_inst.CLK1_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK2_IN_SEL = 1'b0; +defparam PLLA_inst.CLK2_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK3_IN_SEL = 1'b0; +defparam PLLA_inst.CLK3_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK4_IN_SEL = 2'b00; +defparam PLLA_inst.CLK4_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK5_IN_SEL = 1'b0; +defparam PLLA_inst.CLK5_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK6_IN_SEL = 1'b0; +defparam PLLA_inst.CLK6_OUT_SEL = 1'b0; +defparam PLLA_inst.DYN_DPA_EN = "FALSE"; +defparam PLLA_inst.CLKOUT0_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT0_PE_FINE = 0; +defparam PLLA_inst.CLKOUT1_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT1_PE_FINE = 0; +defparam PLLA_inst.CLKOUT2_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT2_PE_FINE = 0; +defparam PLLA_inst.CLKOUT3_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT3_PE_FINE = 0; +defparam PLLA_inst.CLKOUT4_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT4_PE_FINE = 0; +defparam PLLA_inst.CLKOUT5_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT5_PE_FINE = 0; +defparam PLLA_inst.CLKOUT6_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT6_PE_FINE = 0; +defparam PLLA_inst.DYN_PE0_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE1_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE2_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE3_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE4_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE5_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE6_SEL = "FALSE"; +defparam PLLA_inst.DE0_EN = "FALSE"; +defparam PLLA_inst.DE1_EN = "FALSE"; +defparam PLLA_inst.DE2_EN = "FALSE"; +defparam PLLA_inst.DE3_EN = "FALSE"; +defparam PLLA_inst.DE4_EN = "FALSE"; +defparam PLLA_inst.DE5_EN = "FALSE"; +defparam PLLA_inst.DE6_EN = "FALSE"; +defparam PLLA_inst.RESET_I_EN = "FALSE"; +defparam PLLA_inst.RESET_O_EN = "FALSE"; +defparam PLLA_inst.ICP_SEL = 6'bXXXXXX; +defparam PLLA_inst.LPF_RES = 3'bXXX; +defparam PLLA_inst.LPF_CAP = 2'b00; +defparam PLLA_inst.SSC_EN = "FALSE"; + +endmodule //pll_27_MOD diff --git a/src/tang/console60k/pll_ddr3.ipc b/src/tang/console60k/pll_ddr3.ipc new file mode 100644 index 0000000..07fbbb8 --- /dev/null +++ b/src/tang/console60k/pll_ddr3.ipc @@ -0,0 +1,147 @@ +[General] +file=pll_ddr3 +ipc_version=4 +module=pll_ddr3 +target_device=gw5at60b-002 +type=clock_plladv +version=1.0 + +[Config] +AdvancedMode=false +ClkfbDivideFactorStatic=true +ClkfbDivideFactorStaticValue=1 +ClkfbInternal=true +ClkfboutExpectedFrequency=400 +ClkfboutTolerance=0.0 +ClkfboutVCODivideFactorStatic=true +ClkfboutVCODivideFactorStaticValue=33 +ClkfboutVCOFractionalDivideFactorStaticValue=0 +ClkinClockFrequency=27 +ClkinDividerFactorStatic=true +ClkinDividerFactorStaticValue=1 +ClkinDividerReset=false +Clkou1BPhaseStatic=true +Clkou2BPhaseStatic=true +Clkou3BPhaseStatic=true +Clkout0Bypass=false +Clkout0DutyCycleDynamic=false +Clkout0DutyCycleDynamicValue=0 +Clkout0DutyCycleStatic=true +Clkout0DutyTrimStatic=true +Clkout0DutyTrimStaticFalling=false +Clkout0DutyTrimStaticRising=true +Clkout0DutyTrimStaticStep=0 +Clkout0ExpectedFrequency=297 +Clkout0PhaseDynamic=false +Clkout0PhaseStatic=true +Clkout0PhaseStaticValue=0 +Clkout0Tolerance=0.0 +Clkout0VCODivideFactorStatic=true +Clkout0VCODivideFactorStaticValue=3 +Clkout0VCOFractionalDivideFactorStaticValue=0 +Clkout1Bypass=false +Clkout1DutyCycleDynamic=false +Clkout1DutyCycleDynamicValue=0 +Clkout1DutyCycleStatic=true +Clkout1DutyTrimStatic=true +Clkout1DutyTrimStaticFalling=false +Clkout1DutyTrimStaticRising=true +Clkout1DutyTrimStaticStep=0 +Clkout1ExpectedFrequency=400 +Clkout1PhaseDynamic=false +Clkout1PhaseStaticValue=0 +Clkout1Tolerance=0.0 +Clkout1VCODivideFactorStatic=true +Clkout1VCODivideFactorStaticValue=8 +Clkout2Bypass=false +Clkout2DutyCycleDynamic=false +Clkout2DutyCycleDynamicValue=0 +Clkout2DutyCycleStatic=true +Clkout2DutyTrimStatic=true +Clkout2DutyTrimStaticFalling=false +Clkout2DutyTrimStaticRising=true +Clkout2DutyTrimStaticStep=0 +Clkout2ExpectedFrequency=297 +Clkout2PhaseDynamic=false +Clkout2PhaseStaticValue=0 +Clkout2Tolerance=0.0 +Clkout2VCODivideFactorStatic=true +Clkout2VCODivideFactorStaticValue=3 +Clkout3Bypass=false +Clkout3DutyCycleDynamic=false +Clkout3DutyCycleDynamicValue=0 +Clkout3DutyCycleStatic=true +Clkout3DutyTrimStatic=true +Clkout3DutyTrimStaticFalling=false +Clkout3DutyTrimStaticRising=true +Clkout3DutyTrimStaticStep=0 +Clkout3ExpectedFrequency=400 +Clkout3PhaseDynamic=false +Clkout3PhaseStaticValue=0 +Clkout3Tolerance=0.0 +Clkout3VCODivideFactorStatic=true +Clkout3VCODivideFactorStaticValue=8 +Clkout4Bypass=false +Clkout4DutyCycleDynamic=false +Clkout4DutyCycleDynamicValue=0 +Clkout4DutyCycleStatic=true +Clkout4ExpectedFrequency=400 +Clkout4PhaseDynamic=false +Clkout4PhaseStatic=true +Clkout4PhaseStaticValue=0 +Clkout4Tolerance=0.0 +Clkout4VCODivideFactorStatic=true +Clkout4VCODivideFactorStaticValue=8 +Clkout5Bypass=false +Clkout5DutyCycleDynamic=false +Clkout5DutyCycleDynamicValue=0 +Clkout5DutyCycleStatic=true +Clkout5ExpectedFrequency=400 +Clkout5PhaseDynamic=false +Clkout5PhaseStatic=true +Clkout5PhaseStaticValue=0 +Clkout5Tolerance=0.0 +Clkout5VCODivideFactorStatic=true +Clkout5VCODivideFactorStaticValue=8 +Clkout6Bypass=false +Clkout6DutyCycleDynamic=false +Clkout6DutyCycleDynamicValue=0 +Clkout6DutyCycleStatic=true +Clkout6ExpectedFrequency=400 +Clkout6PhaseDynamic=false +Clkout6PhaseStatic=true +Clkout6PhaseStaticValue=0 +Clkout6Tolerance=0.0 +Clkout6VCODivideFactorStatic=true +Clkout6VCODivideFactorStaticValue=8 +ClkoutDividerReset=false +EnableCascade=false +EnableClkfbout=false +EnableClkout0Divider=false +EnableClkout1=false +EnableClkout1Divider=false +EnableClkout2=true +EnableClkout2Divider=false +EnableClkout3=false +EnableClkout3Divider=false +EnableClkout4=false +EnableClkout4Divider=false +EnableClkout5=false +EnableClkout5Divider=false +EnableClkout6=false +EnableClkout6Divider=false +EnableLock=true +EnableSsc=false +GeneralMode=true +ICPSELStatic=true +ICPSELStaticValue=ICP1 +LANG=0 +LPFCAPStaticValue=C0 +LPFRESStaticValue=X +LPFSELStatic=true +PLLPowerDown=false +PLLReset=true +clkfbExternal=false +clkfbExternalValue= +EnableMDRP=true +mDRP_Clock_Frequency=50 diff --git a/src/tang/console60k/pll_ddr3.v b/src/tang/console60k/pll_ddr3.v new file mode 100644 index 0000000..e25b619 --- /dev/null +++ b/src/tang/console60k/pll_ddr3.v @@ -0,0 +1,69 @@ +module pll_ddr3( + clkin, + clkout0, + clkout2, + lock, + mdopc, + mdainc, + mdwdi, + mdrdo, + pll_init_bypass, + mdclk, + reset +); + + +input clkin; +output clkout0; +output clkout2; +output lock; +input [1:0] mdopc; +input mdainc; +input [7:0] mdwdi; +output [7:0] mdrdo; +input pll_init_bypass; +input mdclk; +input reset; +wire [1:0] wMdOpc; +wire wMdAInc; +wire [7:0] wMdDIn; +wire [7:0] wMdQOut; +wire pll_lock; +wire pll_rst; + + + pll_ddr3_MOD u_pll( + .clkout2(clkout2), + .clkout0(clkout0), + .lock(pll_lock), + .mdrdo(wMdQOut), + .clkin(clkin), + .reset(pll_rst), + .mdclk(mdclk), + .mdopc(wMdOpc), + .mdainc(wMdAInc), + .mdwdi(wMdDIn) + ); + + + PLL_INIT u_pll_init( + .I_RST(reset), + .O_RST(pll_rst), + .I_LOCK(pll_lock), + .O_LOCK(lock), + .I_MD_CLK(mdclk), + .O_MD_INC(wMdAInc), + .O_MD_OPC(wMdOpc), + .O_MD_WR_DATA(wMdDIn), + .I_MD_RD_DATA(wMdQOut), + .PLL_INIT_BYPASS(pll_init_bypass), + .MDRDO(mdrdo), + .MDOPC(mdopc), + .MDAINC(mdainc), + .MDWDI(mdwdi) + ); + defparam u_pll_init.CLK_PERIOD = 20; + defparam u_pll_init.MULTI_FAC = 33; + + +endmodule diff --git a/src/tang/console60k/pll_ddr3_mod.v b/src/tang/console60k/pll_ddr3_mod.v new file mode 100644 index 0000000..dd59f58 --- /dev/null +++ b/src/tang/console60k/pll_ddr3_mod.v @@ -0,0 +1,142 @@ +//Copyright (C)2014-2025 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: IP file +//Tool Version: V1.9.12 (64-bit) +//Part Number: GW5AT-LV60PG484AC1/I0 +//Device: GW5AT-60 +//Device Version: B +//Created Time: Sun Dec 21 21:16:42 2025 + +module pll_ddr3_MOD (lock, clkout0, clkout2, mdrdo, clkin, reset, mdclk, mdopc, mdainc, mdwdi); + +output lock; +output clkout0; +output clkout2; +output [7:0] mdrdo; +input clkin; +input reset; +input mdclk; +input [1:0] mdopc; +input mdainc; +input [7:0] mdwdi; + +wire clkout1; +wire clkout3; +wire clkout4; +wire clkout5; +wire clkout6; +wire clkfbout; +wire gw_gnd; + +assign gw_gnd = 1'b0; + +PLLA PLLA_inst ( + .LOCK(lock), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .CLKOUT4(clkout4), + .CLKOUT5(clkout5), + .CLKOUT6(clkout6), + .CLKFBOUT(clkfbout), + .MDRDO(mdrdo), + .CLKIN(clkin), + .CLKFB(gw_gnd), + .RESET(reset), + .PLLPWD(gw_gnd), + .RESET_I(gw_gnd), + .RESET_O(gw_gnd), + .PSSEL({gw_gnd,gw_gnd,gw_gnd}), + .PSDIR(gw_gnd), + .PSPULSE(gw_gnd), + .SSCPOL(gw_gnd), + .SSCON(gw_gnd), + .SSCMDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .SSCMDSEL_FRAC({gw_gnd,gw_gnd,gw_gnd}), + .MDCLK(mdclk), + .MDOPC(mdopc), + .MDAINC(mdainc), + .MDWDI(mdwdi) +); + +defparam PLLA_inst.FCLKIN = "27"; +defparam PLLA_inst.IDIV_SEL = 1; +defparam PLLA_inst.FBDIV_SEL = 1; +defparam PLLA_inst.ODIV0_SEL = 3; +defparam PLLA_inst.ODIV1_SEL = 8; +defparam PLLA_inst.ODIV2_SEL = 3; +defparam PLLA_inst.ODIV3_SEL = 8; +defparam PLLA_inst.ODIV4_SEL = 8; +defparam PLLA_inst.ODIV5_SEL = 8; +defparam PLLA_inst.ODIV6_SEL = 8; +defparam PLLA_inst.MDIV_SEL = 33; +defparam PLLA_inst.MDIV_FRAC_SEL = 0; +defparam PLLA_inst.ODIV0_FRAC_SEL = 0; +defparam PLLA_inst.CLKOUT0_EN = "TRUE"; +defparam PLLA_inst.CLKOUT1_EN = "FALSE"; +defparam PLLA_inst.CLKOUT2_EN = "TRUE"; +defparam PLLA_inst.CLKOUT3_EN = "FALSE"; +defparam PLLA_inst.CLKOUT4_EN = "FALSE"; +defparam PLLA_inst.CLKOUT5_EN = "FALSE"; +defparam PLLA_inst.CLKOUT6_EN = "FALSE"; +defparam PLLA_inst.CLKFB_SEL = "INTERNAL"; +defparam PLLA_inst.CLKOUT0_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT1_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT2_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT3_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT0_DT_STEP = 0; +defparam PLLA_inst.CLKOUT1_DT_STEP = 0; +defparam PLLA_inst.CLKOUT2_DT_STEP = 0; +defparam PLLA_inst.CLKOUT3_DT_STEP = 0; +defparam PLLA_inst.CLK0_IN_SEL = 1'b0; +defparam PLLA_inst.CLK0_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK1_IN_SEL = 1'b0; +defparam PLLA_inst.CLK1_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK2_IN_SEL = 1'b0; +defparam PLLA_inst.CLK2_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK3_IN_SEL = 1'b0; +defparam PLLA_inst.CLK3_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK4_IN_SEL = 2'b00; +defparam PLLA_inst.CLK4_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK5_IN_SEL = 1'b0; +defparam PLLA_inst.CLK5_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK6_IN_SEL = 1'b0; +defparam PLLA_inst.CLK6_OUT_SEL = 1'b0; +defparam PLLA_inst.DYN_DPA_EN = "FALSE"; +defparam PLLA_inst.CLKOUT0_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT0_PE_FINE = 0; +defparam PLLA_inst.CLKOUT1_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT1_PE_FINE = 0; +defparam PLLA_inst.CLKOUT2_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT2_PE_FINE = 0; +defparam PLLA_inst.CLKOUT3_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT3_PE_FINE = 0; +defparam PLLA_inst.CLKOUT4_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT4_PE_FINE = 0; +defparam PLLA_inst.CLKOUT5_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT5_PE_FINE = 0; +defparam PLLA_inst.CLKOUT6_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT6_PE_FINE = 0; +defparam PLLA_inst.DYN_PE0_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE1_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE2_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE3_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE4_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE5_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE6_SEL = "FALSE"; +defparam PLLA_inst.DE0_EN = "FALSE"; +defparam PLLA_inst.DE1_EN = "FALSE"; +defparam PLLA_inst.DE2_EN = "FALSE"; +defparam PLLA_inst.DE3_EN = "FALSE"; +defparam PLLA_inst.DE4_EN = "FALSE"; +defparam PLLA_inst.DE5_EN = "FALSE"; +defparam PLLA_inst.DE6_EN = "FALSE"; +defparam PLLA_inst.RESET_I_EN = "FALSE"; +defparam PLLA_inst.RESET_O_EN = "FALSE"; +defparam PLLA_inst.ICP_SEL = 6'b000000; +defparam PLLA_inst.LPF_RES = 3'bXXX; +defparam PLLA_inst.LPF_CAP = 2'b00; +defparam PLLA_inst.SSC_EN = "FALSE"; + +endmodule //pll_ddr3_MOD diff --git a/src/tang/console60k/pll_hdmi.ipc b/src/tang/console60k/pll_hdmi.ipc new file mode 100644 index 0000000..b7677d1 --- /dev/null +++ b/src/tang/console60k/pll_hdmi.ipc @@ -0,0 +1,147 @@ +[General] +file=pll_hdmi +ipc_version=4 +module=pll_hdmi +target_device=gw5at60b-002 +type=clock_plladv +version=1.0 + +[Config] +AdvancedMode=false +ClkfbDivideFactorStatic=true +ClkfbDivideFactorStaticValue=1 +ClkfbInternal=true +ClkfboutExpectedFrequency=400 +ClkfboutTolerance=0.0 +ClkfboutVCODivideFactorStatic=true +ClkfboutVCODivideFactorStaticValue=10 +ClkfboutVCOFractionalDivideFactorStaticValue=0 +ClkinClockFrequency=74.25 +ClkinDividerFactorStatic=true +ClkinDividerFactorStaticValue=1 +ClkinDividerReset=false +Clkou1BPhaseStatic=true +Clkou2BPhaseStatic=true +Clkou3BPhaseStatic=true +Clkout0Bypass=false +Clkout0DutyCycleDynamic=false +Clkout0DutyCycleDynamicValue=0 +Clkout0DutyCycleStatic=true +Clkout0DutyTrimStatic=true +Clkout0DutyTrimStaticFalling=false +Clkout0DutyTrimStaticRising=true +Clkout0DutyTrimStaticStep=0 +Clkout0ExpectedFrequency=371.25 +Clkout0PhaseDynamic=false +Clkout0PhaseStatic=true +Clkout0PhaseStaticValue=0 +Clkout0Tolerance=0.0 +Clkout0VCODivideFactorStatic=true +Clkout0VCODivideFactorStaticValue=2 +Clkout0VCOFractionalDivideFactorStaticValue=0 +Clkout1Bypass=false +Clkout1DutyCycleDynamic=false +Clkout1DutyCycleDynamicValue=0 +Clkout1DutyCycleStatic=true +Clkout1DutyTrimStatic=true +Clkout1DutyTrimStaticFalling=false +Clkout1DutyTrimStaticRising=true +Clkout1DutyTrimStaticStep=0 +Clkout1ExpectedFrequency=371.25 +Clkout1PhaseDynamic=false +Clkout1PhaseStaticValue=0 +Clkout1Tolerance=0.0 +Clkout1VCODivideFactorStatic=true +Clkout1VCODivideFactorStaticValue=2 +Clkout2Bypass=false +Clkout2DutyCycleDynamic=false +Clkout2DutyCycleDynamicValue=0 +Clkout2DutyCycleStatic=true +Clkout2DutyTrimStatic=true +Clkout2DutyTrimStaticFalling=false +Clkout2DutyTrimStaticRising=true +Clkout2DutyTrimStaticStep=0 +Clkout2ExpectedFrequency=400 +Clkout2PhaseDynamic=false +Clkout2PhaseStaticValue=0 +Clkout2Tolerance=0.0 +Clkout2VCODivideFactorStatic=true +Clkout2VCODivideFactorStaticValue=8 +Clkout3Bypass=false +Clkout3DutyCycleDynamic=false +Clkout3DutyCycleDynamicValue=0 +Clkout3DutyCycleStatic=true +Clkout3DutyTrimStatic=true +Clkout3DutyTrimStaticFalling=false +Clkout3DutyTrimStaticRising=true +Clkout3DutyTrimStaticStep=0 +Clkout3ExpectedFrequency=400 +Clkout3PhaseDynamic=false +Clkout3PhaseStaticValue=0 +Clkout3Tolerance=0.0 +Clkout3VCODivideFactorStatic=true +Clkout3VCODivideFactorStaticValue=8 +Clkout4Bypass=false +Clkout4DutyCycleDynamic=false +Clkout4DutyCycleDynamicValue=0 +Clkout4DutyCycleStatic=true +Clkout4ExpectedFrequency=400 +Clkout4PhaseDynamic=false +Clkout4PhaseStatic=true +Clkout4PhaseStaticValue=0 +Clkout4Tolerance=0.0 +Clkout4VCODivideFactorStatic=true +Clkout4VCODivideFactorStaticValue=8 +Clkout5Bypass=false +Clkout5DutyCycleDynamic=false +Clkout5DutyCycleDynamicValue=0 +Clkout5DutyCycleStatic=true +Clkout5ExpectedFrequency=400 +Clkout5PhaseDynamic=false +Clkout5PhaseStatic=true +Clkout5PhaseStaticValue=0 +Clkout5Tolerance=0.0 +Clkout5VCODivideFactorStatic=true +Clkout5VCODivideFactorStaticValue=8 +Clkout6Bypass=false +Clkout6DutyCycleDynamic=false +Clkout6DutyCycleDynamicValue=0 +Clkout6DutyCycleStatic=true +Clkout6ExpectedFrequency=400 +Clkout6PhaseDynamic=false +Clkout6PhaseStatic=true +Clkout6PhaseStaticValue=0 +Clkout6Tolerance=0.0 +Clkout6VCODivideFactorStatic=true +Clkout6VCODivideFactorStaticValue=8 +ClkoutDividerReset=false +EnableCascade=false +EnableClkfbout=false +EnableClkout0Divider=false +EnableClkout1=false +EnableClkout1Divider=false +EnableClkout2=false +EnableClkout2Divider=false +EnableClkout3=false +EnableClkout3Divider=false +EnableClkout4=false +EnableClkout4Divider=false +EnableClkout5=false +EnableClkout5Divider=false +EnableClkout6=false +EnableClkout6Divider=false +EnableLock=false +EnableSsc=false +GeneralMode=true +ICPSELStatic=true +ICPSELStaticValue=ICP1 +LANG=0 +LPFCAPStaticValue=C0 +LPFRESStaticValue=X +LPFSELStatic=true +PLLPowerDown=false +PLLReset=false +clkfbExternal=false +clkfbExternalValue= +EnableMDRP=false +mDRP_Clock_Frequency=50 diff --git a/src/tang/console60k/pll_hdmi.v b/src/tang/console60k/pll_hdmi.v new file mode 100644 index 0000000..b133260 --- /dev/null +++ b/src/tang/console60k/pll_hdmi.v @@ -0,0 +1,54 @@ +module pll_hdmi( + clkin, + clkout0, + mdclk +); + + +input clkin; +output clkout0; +input mdclk; +wire [7:0] mdrdo; +wire lock; +wire [1:0] wMdOpc; +wire wMdAInc; +wire [7:0] wMdDIn; +wire [7:0] wMdQOut; +wire pll_lock; +wire pll_rst; + + + pll_hdmi_MOD u_pll( + .clkout0(clkout0), + .lock(pll_lock), + .mdrdo(wMdQOut), + .clkin(clkin), + .reset(pll_rst), + .mdclk(mdclk), + .mdopc(wMdOpc), + .mdainc(wMdAInc), + .mdwdi(wMdDIn) + ); + + + PLL_INIT u_pll_init( + .I_RST(1'b0), + .O_RST(pll_rst), + .I_LOCK(pll_lock), + .O_LOCK(lock), + .I_MD_CLK(mdclk), + .O_MD_INC(wMdAInc), + .O_MD_OPC(wMdOpc), + .O_MD_WR_DATA(wMdDIn), + .I_MD_RD_DATA(wMdQOut), + .PLL_INIT_BYPASS(1'b0), + .MDRDO(mdrdo), + .MDOPC(2'b00), + .MDAINC(1'b0), + .MDWDI(8'h0) + ); + defparam u_pll_init.CLK_PERIOD = 20; + defparam u_pll_init.MULTI_FAC = 10; + + +endmodule diff --git a/src/tang/console60k/pll_hdmi_mod.v b/src/tang/console60k/pll_hdmi_mod.v new file mode 100644 index 0000000..2726ab8 --- /dev/null +++ b/src/tang/console60k/pll_hdmi_mod.v @@ -0,0 +1,142 @@ +//Copyright (C)2014-2025 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: IP file +//Tool Version: V1.9.12 (64-bit) +//Part Number: GW5AT-LV60PG484AC1/I0 +//Device: GW5AT-60 +//Device Version: B +//Created Time: Sat Dec 20 13:58:10 2025 + +module pll_hdmi_MOD (lock, clkout0, mdrdo, clkin, reset, mdclk, mdopc, mdainc, mdwdi); + +output lock; +output clkout0; +output [7:0] mdrdo; +input clkin; +input reset; +input mdclk; +input [1:0] mdopc; +input mdainc; +input [7:0] mdwdi; + +wire clkout1; +wire clkout2; +wire clkout3; +wire clkout4; +wire clkout5; +wire clkout6; +wire clkfbout; +wire gw_gnd; + +assign gw_gnd = 1'b0; + +PLLA PLLA_inst ( + .LOCK(lock), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .CLKOUT4(clkout4), + .CLKOUT5(clkout5), + .CLKOUT6(clkout6), + .CLKFBOUT(clkfbout), + .MDRDO(mdrdo), + .CLKIN(clkin), + .CLKFB(gw_gnd), + .RESET(reset), + .PLLPWD(gw_gnd), + .RESET_I(gw_gnd), + .RESET_O(gw_gnd), + .PSSEL({gw_gnd,gw_gnd,gw_gnd}), + .PSDIR(gw_gnd), + .PSPULSE(gw_gnd), + .SSCPOL(gw_gnd), + .SSCON(gw_gnd), + .SSCMDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .SSCMDSEL_FRAC({gw_gnd,gw_gnd,gw_gnd}), + .MDCLK(mdclk), + .MDOPC(mdopc), + .MDAINC(mdainc), + .MDWDI(mdwdi) +); + +defparam PLLA_inst.FCLKIN = "74.25"; +defparam PLLA_inst.IDIV_SEL = 1; +defparam PLLA_inst.FBDIV_SEL = 1; +defparam PLLA_inst.ODIV0_SEL = 2; +defparam PLLA_inst.ODIV1_SEL = 8; +defparam PLLA_inst.ODIV2_SEL = 8; +defparam PLLA_inst.ODIV3_SEL = 8; +defparam PLLA_inst.ODIV4_SEL = 8; +defparam PLLA_inst.ODIV5_SEL = 8; +defparam PLLA_inst.ODIV6_SEL = 8; +defparam PLLA_inst.MDIV_SEL = 10; +defparam PLLA_inst.MDIV_FRAC_SEL = 0; +defparam PLLA_inst.ODIV0_FRAC_SEL = 0; +defparam PLLA_inst.CLKOUT0_EN = "TRUE"; +defparam PLLA_inst.CLKOUT1_EN = "FALSE"; +defparam PLLA_inst.CLKOUT2_EN = "FALSE"; +defparam PLLA_inst.CLKOUT3_EN = "FALSE"; +defparam PLLA_inst.CLKOUT4_EN = "FALSE"; +defparam PLLA_inst.CLKOUT5_EN = "FALSE"; +defparam PLLA_inst.CLKOUT6_EN = "FALSE"; +defparam PLLA_inst.CLKFB_SEL = "INTERNAL"; +defparam PLLA_inst.CLKOUT0_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT1_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT2_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT3_DT_DIR = 1'b1; +defparam PLLA_inst.CLKOUT0_DT_STEP = 0; +defparam PLLA_inst.CLKOUT1_DT_STEP = 0; +defparam PLLA_inst.CLKOUT2_DT_STEP = 0; +defparam PLLA_inst.CLKOUT3_DT_STEP = 0; +defparam PLLA_inst.CLK0_IN_SEL = 1'b0; +defparam PLLA_inst.CLK0_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK1_IN_SEL = 1'b0; +defparam PLLA_inst.CLK1_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK2_IN_SEL = 1'b0; +defparam PLLA_inst.CLK2_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK3_IN_SEL = 1'b0; +defparam PLLA_inst.CLK3_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK4_IN_SEL = 2'b00; +defparam PLLA_inst.CLK4_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK5_IN_SEL = 1'b0; +defparam PLLA_inst.CLK5_OUT_SEL = 1'b0; +defparam PLLA_inst.CLK6_IN_SEL = 1'b0; +defparam PLLA_inst.CLK6_OUT_SEL = 1'b0; +defparam PLLA_inst.DYN_DPA_EN = "FALSE"; +defparam PLLA_inst.CLKOUT0_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT0_PE_FINE = 0; +defparam PLLA_inst.CLKOUT1_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT1_PE_FINE = 0; +defparam PLLA_inst.CLKOUT2_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT2_PE_FINE = 0; +defparam PLLA_inst.CLKOUT3_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT3_PE_FINE = 0; +defparam PLLA_inst.CLKOUT4_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT4_PE_FINE = 0; +defparam PLLA_inst.CLKOUT5_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT5_PE_FINE = 0; +defparam PLLA_inst.CLKOUT6_PE_COARSE = 0; +defparam PLLA_inst.CLKOUT6_PE_FINE = 0; +defparam PLLA_inst.DYN_PE0_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE1_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE2_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE3_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE4_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE5_SEL = "FALSE"; +defparam PLLA_inst.DYN_PE6_SEL = "FALSE"; +defparam PLLA_inst.DE0_EN = "FALSE"; +defparam PLLA_inst.DE1_EN = "FALSE"; +defparam PLLA_inst.DE2_EN = "FALSE"; +defparam PLLA_inst.DE3_EN = "FALSE"; +defparam PLLA_inst.DE4_EN = "FALSE"; +defparam PLLA_inst.DE5_EN = "FALSE"; +defparam PLLA_inst.DE6_EN = "FALSE"; +defparam PLLA_inst.RESET_I_EN = "FALSE"; +defparam PLLA_inst.RESET_O_EN = "FALSE"; +defparam PLLA_inst.ICP_SEL = 6'bXXXXXX; +defparam PLLA_inst.LPF_RES = 3'bXXX; +defparam PLLA_inst.LPF_CAP = 2'b00; +defparam PLLA_inst.SSC_EN = "FALSE"; + +endmodule //pll_hdmi_MOD diff --git a/src/pll_init.v b/src/tang/console60k/pll_init.v similarity index 100% rename from src/pll_init.v rename to src/tang/console60k/pll_init.v diff --git a/src/tang/console60k/pll_mDRP_intf.v b/src/tang/console60k/pll_mDRP_intf.v new file mode 100644 index 0000000..61853e3 --- /dev/null +++ b/src/tang/console60k/pll_mDRP_intf.v @@ -0,0 +1,116 @@ +module pll_mDRP_intf( + input clk, + input rst_n, + input pll_lock, + input wr, + output reg mdrp_inc, + output reg [1:0] mdrp_op, + output reg [7:0] mdrp_wdata, + input [7:0] mdrp_rdata +); + + reg wr_r; + reg rd_r; + reg [7:0] wdata_r; + reg [7:0] addr_r; + reg [4:0] c_s; + reg [4:0] n_s; + reg [2:0] cnt; + reg pll_lock_r; + + localparam IDLE = 5'b00001; + localparam OP_WR = 5'b00010; + localparam OP_WR1 = 5'b00100; + localparam OP_RD = 5'b01000; + localparam WAIT_R = 5'b10000; + + localparam NOOP = 2'b00; + localparam RDCODE = 2'b10; + localparam WRCODE = 2'b01; + + always@(posedge clk or negedge rst_n)begin + if(!rst_n) + pll_lock_r <= 1'b0; + else + pll_lock_r <= pll_lock; + end + + always@(posedge clk or negedge rst_n)begin + if(!rst_n) + c_s <= IDLE; + else + c_s <= n_s; + end + + always@(*)begin + case(c_s) + IDLE: + if(pll_lock & (~pll_lock_r)) + n_s = OP_RD; + else + n_s = IDLE; + OP_WR: + if(~pll_lock) + n_s = IDLE; + else if(wr) + n_s = OP_WR1; + else + n_s = OP_WR; + OP_WR1: + if(~pll_lock) + n_s = IDLE; + else if(wr) + n_s = IDLE; + else + n_s = OP_WR1; + OP_RD: + if(~pll_lock) + n_s = IDLE; + else if(cnt == 3'd6-1) + n_s = WAIT_R; + else + n_s = OP_RD; + WAIT_R: + if(~pll_lock) + n_s = IDLE; + else + n_s = OP_WR; + default:n_s = IDLE; + endcase + end + + always@(posedge clk or negedge rst_n) + if(!rst_n) + mdrp_op <= NOOP; + else if(c_s == IDLE) + mdrp_op <= NOOP; + else if((c_s == OP_WR | c_s == OP_WR1) & wr) + mdrp_op <= WRCODE; + else + mdrp_op <= RDCODE; + + always@(posedge clk or negedge rst_n) + if(!rst_n) + mdrp_inc <= 1'b0; + else if(c_s == IDLE) + mdrp_inc <= 1'b0; + else if(c_s == OP_RD) + mdrp_inc <= 1'b1; + else + mdrp_inc <= 1'b0; + + always@(posedge clk or negedge rst_n) + if(!rst_n) + cnt <= 'd0; + else if(c_s == OP_RD) + cnt <= cnt + 1'b1; + else + cnt <= 'd0; + always@(posedge clk or negedge rst_n) + if(!rst_n) + mdrp_wdata <= 8'd0; + else if(c_s == OP_WR & wr) + mdrp_wdata <= {1'b0,mdrp_rdata[6:0]}; + else if(c_s == OP_WR1 & wr) + mdrp_wdata[7] <= 1'b1; +endmodule diff --git a/src/tang/console60k/scandoubler.v b/src/tang/console60k/scandoubler.v new file mode 100644 index 0000000..81e7c3f --- /dev/null +++ b/src/tang/console60k/scandoubler.v @@ -0,0 +1,211 @@ +// +// scandoubler.v +// +// Copyright (c) 2015 Till Harbaum +// Copyright (c) 2017-2021 Alexey Melnikov +// +// This source file is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published +// by the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This source file is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . + +// TODO: Delay vsync one line + +module scandoubler #(parameter LENGTH, parameter HALF_DEPTH) +( + // system interface + input clk_vid, + input hq2x, + + // shifter video interface + input ce_pix, + input hs_in, + input vs_in, + input hb_in, + input vb_in, + input [DWIDTH:0] r_in, + input [DWIDTH:0] g_in, + input [DWIDTH:0] b_in, + + // output interface + output ce_pix_out, + output reg hs_out, + output vs_out, + output hb_out, + output vb_out, + output [DWIDTH:0] r_out, + output [DWIDTH:0] g_out, + output [DWIDTH:0] b_out +); + +localparam DWIDTH = HALF_DEPTH ? 3 : 7; + +reg [7:0] pix_len = 0; +wire [7:0] pl = pix_len + 1'b1; + +reg [7:0] pix_in_cnt = 0; +wire [7:0] pc_in = pix_in_cnt + 1'b1; +reg [7:0] pixsz, pixsz2, pixsz4 = 0; + +reg ce_x4i, ce_x1i; +always @(posedge clk_vid) begin + reg old_ce, valid, hs; + + if(~&pix_len) pix_len <= pl; + if(~&pix_in_cnt) pix_in_cnt <= pc_in; + + ce_x4i <= 0; + ce_x1i <= 0; + + // use such odd comparison to place ce_x4 evenly if master clock isn't multiple of 4. + if((pc_in == pixsz4) || (pc_in == pixsz2) || (pc_in == (pixsz2+pixsz4))) ce_x4i <= 1; + + old_ce <= ce_pix; + if(~old_ce & ce_pix) begin + if(valid & ~hb_in & ~vb_in) begin + pixsz <= pl; + pixsz2 <= {1'b0, pl[7:1]}; + pixsz4 <= {2'b00, pl[7:2]}; + end + pix_len <= 0; + valid <= 1; + end + + hs <= hs_in; + if((~hs & hs_in) || (pc_in >= pixsz)) begin + ce_x4i <= 1; + ce_x1i <= 1; + pix_in_cnt <= 0; + end + + if(hb_in | vb_in) valid <= 0; +end + +reg req_line_reset; +reg [DWIDTH:0] r_d, g_d, b_d; +always @(posedge clk_vid) begin + if(ce_x1i) begin + req_line_reset <= hb_in; + r_d <= r_in; + g_d <= g_in; + b_d <= b_in; + end +end + +Hq2x #(.LENGTH(LENGTH), .HALF_DEPTH(HALF_DEPTH)) Hq2x +( + .clk(clk_vid), + + .ce_in(ce_x4i), + .inputpixel({b_d,g_d,r_d}), + .disable_hq2x(~hq2x), + .reset_frame(vb_in), + .reset_line(req_line_reset), + + .ce_out(ce_x4o), + .read_y(sd_line), + .hblank(hbo[0]&hbo[8]), + .outpixel({b_out,g_out,r_out}) +); + +reg [7:0] pix_out_cnt = 0; +wire [7:0] pc_out = pix_out_cnt + 1'b1; + +reg ce_x4o, ce_x2o; +always @(posedge clk_vid) begin + reg hs; + + if(~&pix_out_cnt) pix_out_cnt <= pc_out; + + ce_x4o <= 0; + ce_x2o <= 0; + + // use such odd comparison to place ce_x4 evenly if master clock isn't multiple of 4. + if((pc_out == pixsz4) || (pc_out == pixsz2) || (pc_out == (pixsz2+pixsz4))) ce_x4o <= 1; + if( pc_out == pixsz2) ce_x2o <= 1; + + hs <= hs_out; + if((~hs & hs_out) || (pc_out >= pixsz)) begin + ce_x2o <= 1; + ce_x4o <= 1; + pix_out_cnt <= 0; + end +end + +reg [1:0] sd_line; +reg [3:0] vbo; +reg [3:0] vso; +reg [8:0] hbo; +always @(posedge clk_vid) begin + + reg [31:0] hcnt; + reg [30:0] sd_hcnt; + reg [30:0] hs_start, hs_end; + reg [30:0] hde_start, hde_end; + + reg hs, hb; + + if(ce_x4o) begin + hbo[8:1] <= hbo[7:0]; + end + + // output counter synchronous to input and at twice the rate + sd_hcnt <= sd_hcnt + 1'd1; + if(sd_hcnt == hde_start) begin + sd_hcnt <= 0; + vbo[3:1] <= vbo[2:0]; + end + + if(sd_hcnt == hs_end) begin + sd_line <= sd_line + 1'd1; + if(&vbo[3:2]) sd_line <= 1; + vso[3:1] <= vso[2:0]; + end + + if(sd_hcnt == hde_start)hbo[0] <= 0; + if(sd_hcnt == hde_end) hbo[0] <= 1; + + // replicate horizontal sync at twice the speed + if(sd_hcnt == hs_end) hs_out <= 0; + if(sd_hcnt == hs_start) hs_out <= 1; + + hs <= hs_in; + hb <= hb_in; + + hcnt <= hcnt + 1'd1; + if(hb && !hb_in) begin + hde_start <= hcnt[31:1]; + hbo[0] <= 0; + hcnt <= 0; + sd_hcnt <= 0; + vbo <= {vbo[2:0],vb_in}; + end + + if(!hb && hb_in) hde_end <= hcnt[31:1]; + + // falling edge of hsync indicates start of line + if(hs && !hs_in) begin + hs_end <= hcnt[31:1]; + vso[0] <= vs_in; + end + + // save position of rising edge + if(!hs && hs_in) hs_start <= hcnt[31:1]; +end + +assign vs_out = vso[3]; +assign ce_pix_out = hq2x ? ce_x4o : ce_x2o; + +//Compensate picture shift after HQ2x +assign vb_out = vbo[3]; +assign hb_out = hbo[6]; + +endmodule diff --git a/src/tang/console60k/video_freezer.sv b/src/tang/console60k/video_freezer.sv new file mode 100644 index 0000000..7f0de59 --- /dev/null +++ b/src/tang/console60k/video_freezer.sv @@ -0,0 +1,146 @@ +// +// video freeze with sync +// (C) Alexey Melnikov +// +// +// This program is free software; you can redistribute it and/or modify it +// under the terms of the GNU General Public License as published by the Free +// Software Foundation; either version 2 of the License, or (at your option) +// any later version. +// +// This program is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or +// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for +// more details. +// +// You should have received a copy of the GNU General Public License along +// with this program; if not, write to the Free Software Foundation, Inc., +// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + + +module video_freezer +( + input clk, + + output sync, + input freeze, + + input hs_in, + input vs_in, + input hbl_in, + input vbl_in, + + output hs_out, + output vs_out, + output hbl_out, + output vbl_out +); + +sync_lock #(33) vs_lock +( + .clk(clk), + .sync_in(vs_in), + .sync_out(vs_out), + .de_in(vbl_in), + .de_out(vbl_out), + .freeze(freeze), + .sync_pt(), + .valid() +); + +wire sync_pt; +sync_lock #(21) hs_lock +( + .clk(clk), + .sync_in(hs_in), + .sync_out(hs_out), + .de_in(hbl_in), + .de_out(hbl_out), + .freeze(freeze), + .sync_pt(sync_pt), + .valid() +); + +reg sync_o; +always @(posedge clk) begin + reg old_hs, old_vs; + reg vs_sync; + + old_vs <= vs_out; + + if(~old_vs & vs_out) vs_sync <= 1; + if(sync_pt & vs_sync) begin + vs_sync <= 0; + sync_o <= ~sync_o; + end +end + +assign sync = sync_o; + +endmodule + + +module sync_lock #(parameter WIDTH) +( + input clk, + + input sync_in, + input de_in, + + output sync_out, + output de_out, + + input freeze, + output sync_pt, + output valid +); + +reg [WIDTH-1:0] f_len, s_len, de_start, de_end; +reg sync_valid; + +reg old_sync; +always @(posedge clk) old_sync <= sync_in; + +always @(posedge clk) begin + reg [WIDTH-1:0] cnti; + reg f_valid; + reg old_de; + + cnti <= cnti + 1'd1; + if(~old_sync & sync_in) begin + if(sync_valid) f_len <= cnti; + f_valid <= 1; + sync_valid <= f_valid; + cnti <= 0; + end + + if(old_sync & ~sync_in & sync_valid) s_len <= cnti; + + old_de <= de_in; + if(~old_de & de_in & sync_valid) de_start <= cnti; + if(old_de & ~de_in & sync_valid) de_end <= cnti; + + if(freeze) {f_valid, sync_valid} <= 0; +end + +reg sync_o, de_o, sync_o_pre; +always @(posedge clk) begin + reg [WIDTH-1:0] cnto; + + cnto <= cnto + 1'd1; + if(old_sync & ~sync_in & sync_valid) cnto <= s_len + 2'd2; + if(cnto == f_len) cnto <= 0; + + sync_o_pre <= (cnto == (s_len>>1)); // middle in sync + if(cnto == f_len) sync_o <= 1; + if(cnto == s_len) sync_o <= 0; + if(cnto == de_start) de_o <= 1; + if(cnto == de_end) de_o <= 0; +end + +assign sync_out = freeze ? sync_o : sync_in; +assign valid = sync_valid; +assign sync_pt = sync_o_pre; +assign de_out = freeze ? de_o : de_in; + +endmodule diff --git a/src/tang/console60k/video_mixer.sv b/src/tang/console60k/video_mixer.sv new file mode 100644 index 0000000..76d7114 --- /dev/null +++ b/src/tang/console60k/video_mixer.sv @@ -0,0 +1,174 @@ +// +// +// Copyright (c) 2017,2021 Alexey Melnikov +// +// This program is GPL Licensed. See COPYING for the full license. +// +// +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +`timescale 1ns / 1ps + +// +// LINE_LENGTH: Length of display line in pixels when HBlank = 0; +// HALF_DEPTH: If =1 then color dept is 4 bits per component +// +// altera message_off 10720 +// altera message_off 12161 + +module video_mixer +#( + parameter LINE_LENGTH = 768, + parameter HALF_DEPTH = 0, + parameter GAMMA = 0 +) +( + input CLK_VIDEO, // should be multiple by (ce_pix*4) + output reg CE_PIXEL, // output pixel clock enable + + input ce_pix, // input pixel clock or clock_enable + + input scandoubler, + input hq2x, // high quality 2x scaling + + + // color + input [DWIDTH:0] R, + input [DWIDTH:0] G, + input [DWIDTH:0] B, + + // Positive pulses. + input HSync, + input VSync, + input HBlank, + input VBlank, + + // Freeze engine + // HDMI: displays last frame + // VGA: black screen with HSync and VSync + input HDMI_FREEZE, + output freeze_sync, + + // video output signals + output reg [7:0] VGA_R, + output reg [7:0] VGA_G, + output reg [7:0] VGA_B, + output reg VGA_VS, + output reg VGA_HS, + output reg VGA_DE +); + +localparam DWIDTH = HALF_DEPTH ? 3 : 7; +localparam DWIDTH_SD = GAMMA ? 7 : DWIDTH; +localparam HALF_DEPTH_SD = GAMMA ? 0 : HALF_DEPTH; + +wire frz_hs, frz_vs; +wire frz_hbl, frz_vbl; +video_freezer freezer +( + .clk(CLK_VIDEO), + .freeze(HDMI_FREEZE), + .hs_in(HSync), + .vs_in(VSync), + .hbl_in(HBlank), + .vbl_in(VBlank), + .sync(freeze_sync), + .hs_out(frz_hs), + .vs_out(frz_vs), + .hbl_out(frz_hbl), + .vbl_out(frz_vbl) +); + +reg frz; +always @(posedge CLK_VIDEO) begin + reg frz1; + + frz1 <= HDMI_FREEZE; + frz <= frz1; +end + +wire [DWIDTH:0] R_in = frz ? 1'd0 : R; +wire [DWIDTH:0] G_in = frz ? 1'd0 : G; +wire [DWIDTH:0] B_in = frz ? 1'd0 : B; + +wire hs_g, vs_g; +wire hb_g, vb_g; + +assign {hs_g, vs_g, hb_g, vb_g} = {frz_hs, frz_vs, frz_hbl, frz_vbl}; + +wire [DWIDTH_SD:0] R_sd; +wire [DWIDTH_SD:0] G_sd; +wire [DWIDTH_SD:0] B_sd; +wire hs_sd, vs_sd, hb_sd, vb_sd, ce_pix_sd; + +scandoubler #(.LENGTH(LINE_LENGTH), .HALF_DEPTH(HALF_DEPTH_SD)) sd +( + .clk_vid(CLK_VIDEO), + .hq2x(hq2x), + + .ce_pix(ce_pix), + .hs_in(hs_g), + .vs_in(vs_g), + .hb_in(hb_g), + .vb_in(vb_g), + .r_in(R_in), + .g_in(G_in), + .b_in(B_in), + + .ce_pix_out(ce_pix_sd), + .hs_out(hs_sd), + .vs_out(vs_sd), + .hb_out(hb_sd), + .vb_out(vb_sd), + .r_out(R_sd), + .g_out(G_sd), + .b_out(B_sd) +); + +wire [DWIDTH_SD:0] rt = R_sd; +wire [DWIDTH_SD:0] gt = G_sd; +wire [DWIDTH_SD:0] bt = B_sd; + +always @(posedge CLK_VIDEO) begin + reg [7:0] r,g,b; + reg hde,vde,hs,vs, old_vs; + reg old_hde; + reg old_ce; + reg ce_osc, fs_osc; + + old_ce <= ce_pix; + ce_osc <= ce_osc | (old_ce ^ ce_pix); + + old_vs <= vs; + if(~old_vs & vs) begin + fs_osc <= ce_osc; + ce_osc <= 0; + end + + CE_PIXEL <= scandoubler ? ce_pix_sd : fs_osc ? (~old_ce & ce_pix) : ce_pix; + + + r <= rt; + g <= gt; + b <= bt; + + + hde <= scandoubler ? ~hb_sd : ~hb_g; + vde <= scandoubler ? ~vb_sd : ~vb_g; + vs <= scandoubler ? vs_sd : vs_g; + hs <= scandoubler ? hs_sd : hs_g; + + if(CE_PIXEL) begin + VGA_R <= r; + VGA_G <= g; + VGA_B <= b; + + VGA_VS <= vs; + VGA_HS <= hs; + + old_hde <= hde; + if(old_hde ^ hde) VGA_DE <= vde & hde; + end +end + +endmodule diff --git a/src/tang/console60k/video_sd.v b/src/tang/console60k/video_sd.v new file mode 100644 index 0000000..9612135 --- /dev/null +++ b/src/tang/console60k/video_sd.v @@ -0,0 +1,251 @@ +// video.v + +module video +#( + parameter bit STEREO = 1'b0 +) + ( + input clk, + input clk_pixel_x5, + input pll_lock, + input [8:0] audio_div, + + input ntscmode, + + input hbl_in, + input vbl_in, + + input vs_in_n, + input hs_in_n, + + input [3:0] r_in, + input [3:0] g_in, + input [3:0] b_in, + + input [17:0] audio_l, + input [17:0] audio_r, + + output osd_status, + + // (spi) interface from MCU + input mcu_start, + input mcu_osd_strobe, + input [7:0] mcu_data, + + // values that can be configure by the user via osd + input [1:0] system_scanlines, + input [1:0] system_volume, + input system_wide_screen, + // digital video out for lcd + output lcd_clk, + output lcd_hs_n, + output lcd_vs_n, + output reg lcd_de, + output [7:0] lcd_r, + output [7:0] lcd_g, + output [7:0] lcd_b, + output lcd_bl, + + // audio + output hp_bck, + output hp_ws, + output hp_din, + output pa_en + ); + +/* -------------------- HDMI video and audio -------------------- */ + +// generate 48khz audio clock +reg clk_audio; + +reg [8:0] aclk_cnt; + +always @(posedge clk) begin + // divisor = pixel clock / 48000 / 2 - 1 + if(aclk_cnt < audio_div) + aclk_cnt <= aclk_cnt + 9'd1; + else begin + aclk_cnt <= 9'd0; + clk_audio <= ~clk_audio; + end +end + +wire sd_hs_n, sd_vs_n; +wire hb_out, vb_out; +wire [5:0] sd_r; +wire [5:0] sd_g; +wire [5:0] sd_b; + +scandoubler #(11) scandoubler ( + // system interface + .clk_sys(clk), + .bypass(1'b0), + .ce_divider(3'd1), + .pixel_ena(), + + // scanlines (00-none 01-25% 10-50% 11-75%) + .scanlines(system_scanlines), + + // shifter video interface + .hb_in(hbl_in), + .vb_in(vbl_in), + .hs_in(hs_in_n), + .vs_in(vs_in_n), + .r_in( r_in ), + .g_in( g_in ), + .b_in( b_in ), + + // output interface + .hb_out(hb_out), + .vb_out(vb_out), + .hs_out(sd_hs_n), + .vs_out(sd_vs_n), + .r_out(sd_r), + .g_out(sd_g), + .b_out(sd_b) +); + +wire [5:0] osd_r; +wire [5:0] osd_g; +wire [5:0] osd_b; + +osd_u8g2 osd_u8g2 ( + .clk(clk), + .reset(!pll_lock), + + .data_in_strobe(mcu_osd_strobe), + .data_in_start(mcu_start), + .data_in(mcu_data), + + .hs(sd_hs_n), + .vs(sd_vs_n), + + .r_in(sd_r), + .g_in(sd_g), + .b_in(sd_b), + + .r_out(osd_r), + .g_out(osd_g), + .b_out(osd_b), + .osd_status(osd_status) +); + +wire [2:0] tmds; +wire tmds_clock; + +// Audio c64 core specific +reg [15:0] alo,aro; +always @(posedge clk) begin + reg [16:0] alm,arm; + + arm <= {audio_r[17],audio_r[17:2]}; + alm <= {audio_l[17],audio_l[17:2]}; + alo <= ^alm[16:15] ? {alm[16], {15{alm[15]}}} : alm[15:0]; + aro <= ^arm[16:15] ? {arm[16], {15{arm[15]}}} : arm[15:0]; +end + +// scale audio for valume by signed division +wire [15:0] audio_vol_l = + (system_volume == 2'd0)?16'd0: + (system_volume == 2'd1)?{ {2{alo[15]}}, alo[15:2] }: + (system_volume == 2'd2)?{ alo[15], alo[15:1] }: + alo; + +wire [15:0] audio_vol_r = + (system_volume == 2'd0)?16'd0: + (system_volume == 2'd1)?{ {2{aro[15]}}, aro[15:2] }: + (system_volume == 2'd2)?{ aro[15], aro[15:1] }: + aro; + + +reg i2s_clk; +reg [7:0] i2s_clk_cnt; +always @(posedge clk or negedge pll_lock) begin + if (~pll_lock) begin + i2s_clk_cnt <= 8'd0; + i2s_clk <= 1'b0; + end + else begin + if(i2s_clk_cnt < (ntscmode?32500000:31500000) / (24000*32) / 2 - 1) + i2s_clk_cnt <= i2s_clk_cnt + 8'd1; + else begin + i2s_clk_cnt <= 8'd0; + i2s_clk <= ~i2s_clk; + end + end +end + +// sign expand and add both channels +wire [15:0] audio_mix = { audio_vol_l[14], audio_vol_l} + { audio_vol_r[14], audio_vol_r }; + +// shift audio down to reduce amp output volume to a sane range +localparam AUDIO_SHIFT = (STEREO)?2:3; // 2 TM138k / TM60k and 3 // TN20k +wire [15:0] audio_scaled = { { AUDIO_SHIFT+1{audio_mix[15]}}, audio_mix[14:AUDIO_SHIFT] }; + +// count 32 bits, 16 left and 16 right channel. MAX samples +// on rising edge +reg [15:0] audio; +reg [4:0] audio_bit_cnt; +always @(posedge i2s_clk) begin + if(!pll_lock) audio_bit_cnt <= 5'd0; + else audio_bit_cnt <= audio_bit_cnt + 5'd1; + // latch data so it's stable during transmission + if(audio_bit_cnt == 5'd31) + audio <= audio_scaled; +end + +// generate i2s signals +assign hp_bck = !i2s_clk; +assign hp_ws = !pll_lock?1'b0:audio_bit_cnt[4]; +assign hp_din = !pll_lock?1'b0:audio[15-audio_bit_cnt[3:0]]; +assign pa_en = (STEREO)?~pll_lock:pll_lock; // TM138/60k enable amplifier 0=on and 1= off, TN20k vice versa + +assign lcd_clk = clk; +assign lcd_hs_n = sd_hs_n; +assign lcd_vs_n = sd_vs_n; +assign lcd_bl = pll_lock; +assign lcd_r = {osd_r,2'b00}; +assign lcd_g = {osd_g,2'b00}; +assign lcd_b = {osd_b,2'b00}; + +reg [10:0] hcnt; // max 1040 +reg [9:0] vcnt; // max 624 + +// generate lcd de signal +localparam XNTSC = 11'd1850; +localparam YNTSC = 10'd980; +localparam XPAL = 11'd1880; +localparam YPAL = 10'd940; + +//assign lcd_de = (hcnt < 11'd800) && (vcnt < 10'd480); + +// after scandoubler (with dim lines), ste video is 3*6 bits +// lcd r and b are only 5 bits, so there may be some color +// offset + +always @(posedge clk) begin + reg last_vs_n, last_hs_n; + + last_hs_n <= lcd_hs_n; + + // rising edge/end of hsync + if(lcd_hs_n && !last_hs_n) begin + hcnt <= (ntscmode)?XNTSC:XPAL; + + last_vs_n <= lcd_vs_n; + if(lcd_vs_n && !last_vs_n) begin + vcnt <= (ntscmode)?YNTSC:YPAL; + end else + vcnt <= vcnt + 10'd1; + end else + hcnt <= hcnt + 11'd1; +end + +reg old_hde; +always @(posedge clk) begin + old_hde <= !hb_out; + if(old_hde ^ !hb_out) lcd_de <= !vb_out & !hb_out; + end + +endmodule + diff --git a/src/tang/console60k/video_sync.vhd b/src/tang/console60k/video_sync.vhd new file mode 100644 index 0000000..f488a5a --- /dev/null +++ b/src/tang/console60k/video_sync.vhd @@ -0,0 +1,113 @@ +--------------------------------------------------------------------------------- +-- composite_sync by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr +-- +-- Generate composite sync and blank for tv mode from h/v syncs +-- +--------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.numeric_std.all; + +entity video_sync is +port( + clk32 : in std_logic; + pause : in std_logic; + hsync : in std_logic; + vsync : in std_logic; + ntsc : in std_logic; + wide : in std_logic; + hsync_out : out std_logic; + vsync_out : out std_logic; + hblank : out std_logic; + vblank : out std_logic +); +end; + +architecture struct of video_sync is + + signal clk_cnt : std_logic_vector(1 downto 0); + signal vsync_r : std_logic; + signal hsync_r : std_logic; + signal hsync_r0 : std_logic; + +begin + +process(clk32) + variable dot_count : integer range 0 to 1023 := 0; + variable line_count : integer range 0 to 511 := 0; + variable line_reset : std_logic := '0'; + begin + if falling_edge(clk32) then + hsync_r0 <= hsync; + if hsync_r0 = '0' and hsync = '1' then + clk_cnt <= "11"; + else + clk_cnt <= clk_cnt + '1'; + end if; + end if; + + if rising_edge(clk32) then + if clk_cnt = "00" and pause = '0' then + vsync_r <= vsync; + hsync_r <= hsync; + + if hsync_r = '0' and hsync = '1' then + dot_count := 0; + if line_reset = '1' then + line_count := 0; + line_reset := '0'; + else + line_count := line_count + 1; + end if; + else + dot_count := dot_count + 1; + end if; + + if vsync_r = '0' and vsync = '1' then + line_reset := '1'; + end if; + + if ntsc = '1' then + if dot_count = 054 then hsync_out <= '0'; end if; + if dot_count = 016 then hsync_out <= '1'; + if line_count = 000 then vsync_out <= '1'; end if; + if line_count = 004 then vsync_out <= '0'; end if; + end if; + + if line_count = 000 then vblank <= '1'; end if; + if line_count = 013 then vblank <= '0'; end if; + + if wide = '0' then + if dot_count = 516 then hblank <= '1'; end if; + if dot_count = 112 then hblank <= '0'; end if; + else + if dot_count = 496 then hblank <= '1'; end if; + if dot_count = 132 then hblank <= '0'; end if; + end if; + else + if dot_count = 048 then hsync_out <= '0'; end if; + if dot_count = 010 then hsync_out <= '1'; + if line_count = 307 then vsync_out <= '1'; end if; + if line_count = 311 then vsync_out <= '0'; end if; + end if; + + if line_count = 298 then vblank <= '1'; end if; + if line_count = 028 then vblank <= '0'; end if; + + if wide = '0' then + if dot_count = 489 then hblank <= '1'; end if; + if dot_count = 107 then hblank <= '0'; end if; + else + if dot_count = 463 then hblank <= '1'; end if; + if dot_count = 133 then hblank <= '0'; end if; + end if; + end if; + + end if; + end if; +end process; + +end architecture; \ No newline at end of file diff --git a/src/tang/primer25k/c64nano.vhd b/src/tang/primer25k/c64nano.vhd index 67466ef..904e755 100644 --- a/src/tang/primer25k/c64nano.vhd +++ b/src/tang/primer25k/c64nano.vhd @@ -1658,16 +1658,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1688,12 +1693,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/src/tang/tm138kpro/c64nano.vhd b/src/tang/tm138kpro/c64nano.vhd index 542a35d..1a1f0e9 100644 --- a/src/tang/tm138kpro/c64nano.vhd +++ b/src/tang/tm138kpro/c64nano.vhd @@ -1759,16 +1759,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1789,12 +1794,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/src/tang/tm138kpro_bl616/c64nano.vhd b/src/tang/tm138kpro_bl616/c64nano.vhd index 4eb3398..1aa6f25 100644 --- a/src/tang/tm138kpro_bl616/c64nano.vhd +++ b/src/tang/tm138kpro_bl616/c64nano.vhd @@ -498,6 +498,9 @@ signal kbd_strobe : std_logic; signal int_out_n : std_logic; signal uart_tx_i : std_logic; signal m0s_d, m0s_d1 : std_logic; +signal mos2_filtered : std_logic; +signal osc_clk : std_logic; +signal osc_rstn : std_logic := '0'; -- 64k core ram 0x000000 -- cartridge RAM banks are mapped to 0x010000 @@ -533,6 +536,12 @@ component DCS ); end component; +component Gowin_OSC + port ( + oscout: out std_logic + ); +end component; + begin -- V_JTAGSELN to JTAG mode when both TANG buttons S1 and S2 are pressed @@ -546,18 +555,43 @@ begin -- ----------------- SPI input parser ---------------------- -- by default the internal SPI is being used. Once there is -- a select from the external spi, then the connection is being switched -process (all) + +osc_inst: Gowin_OSC + port map ( + oscout=> osc_clk + ); + +process(osc_clk) +variable rst_cnt : integer := 1000000; + begin + if rising_edge(osc_clk) then + if rst_cnt /= 0 then + rst_cnt := rst_cnt - 1; + elsif rst_cnt = 0 then + osc_rstn <= '1'; + end if; + end if; +end process; + +gf_inst: entity work.glitch_filter + port map ( + clk => osc_clk, + rstn => osc_rstn, + din => m0s(2), + dout => mos2_filtered + ); + +process (osc_clk, osc_rstn) begin - if flash_lock = '0' then + if osc_rstn = '0' then spi_ext <= '0'; m0s_d <= '1'; m0s_d1 <= '1'; - elsif rising_edge(flash_clk) then + elsif rising_edge(osc_clk) then m0s_d <= m0s(2); m0s_d1 <= m0s_d; if m0s_d1 = '1' and m0s_d = '0' then - --spi_ext <= '1'; - spi_ext <= '0'; -- workaround + spi_ext <= '1'; -- check end if; end if; end process; @@ -1805,16 +1839,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1835,12 +1874,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/src/tang/tm60k/c64nano.vhd b/src/tang/tm60k/c64nano.vhd index aae77bf..55a6ac9 100644 --- a/src/tang/tm60k/c64nano.vhd +++ b/src/tang/tm60k/c64nano.vhd @@ -1779,16 +1779,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1809,12 +1814,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/src/tang/tn20k/c64nano.vhd b/src/tang/tn20k/c64nano.vhd index 6fd27c9..0f516ca 100644 --- a/src/tang/tn20k/c64nano.vhd +++ b/src/tang/tn20k/c64nano.vhd @@ -223,9 +223,10 @@ signal mouse_x : signed(7 downto 0); signal mouse_y : signed(7 downto 0); signal mouse_strobe : std_logic; signal freeze : std_logic; +signal freeze_sync : std_logic; signal c64_pause : std_logic; signal old_sync : std_logic; -signal osd_status : std_logic; +signal osd_status : std_logic := '0'; signal ws2812_color : std_logic_vector(23 downto 0); signal system_reset : std_logic_vector(1 downto 0); signal disk_reset : std_logic; @@ -484,6 +485,11 @@ signal kbd_strobe : std_logic; signal int_out_n : std_logic; signal uart_tx_i : std_logic; signal m0s_d, m0s_d1 : std_logic; +signal hblank, vblank, hsync_out, vsync_out : std_logic; +signal frz_hs : std_logic; +signal frz_vs : std_logic; +signal frz_hbl : std_logic; +signal frz_vbl : std_logic; -- 64k core ram 0x000000 -- cartridge RAM banks are mapped to 0x010000 @@ -808,6 +814,30 @@ cass_snd <= cass_read and not cass_run and system_tape_sound and not cass_fin audio_l <= audio_data_l or (5x"00" & cass_snd & 12x"00000"); audio_r <= audio_data_r or (5x"00" & cass_snd & 12x"00000"); +video_sync_inst: entity work.video_sync +port map( + clk32 => clk32, + pause => c64_pause, + hsync => hsync, + vsync => vsync, + ntsc => ntscMode, + wide => system_wide_screen, + hsync_out => hsync_out, + vsync_out => vsync_out, + hblank => hblank, + vblank => vblank +); + +process(clk32) +begin + if rising_edge(clk32) then + old_sync <= freeze_sync; + if old_sync xor freeze_sync then + freeze <= osd_status and system_pause; + end if; + end if; +end process; + video_inst: entity work.video port map( pll_lock => pll_locked, @@ -816,8 +846,10 @@ port map( audio_div => audio_div, ntscmode => ntscMode, - hs_in_n => hsync, - vs_in_n => vsync, + hs_in_n => hsync_out, + vs_in_n => vsync_out, + HBlank => hblank, + VBlank => vblank, r_in => std_logic_vector(r(7 downto 4)), g_in => std_logic_vector(g(7 downto 4)), @@ -827,6 +859,9 @@ port map( audio_r => audio_r, osd_status => osd_status, + HDMI_FREEZE => freeze, + freeze_sync => freeze_sync, + mcu_start => mcu_start, mcu_osd_strobe => mcu_osd_strobe, mcu_data => mcu_data_out, @@ -1357,7 +1392,7 @@ fpga64_sid_iec_inst: entity work.fpga64_sid_iec clk32 => clk32, reset_n => reset_n, bios => "00", - pause => '0', + pause => freeze, pause_out => c64_pause, usb_key => usb_key, @@ -1805,16 +1840,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1835,12 +1875,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/src/tang/tn20k_lcd/c64nano.cst b/src/tang/tn20k_lcd/c64nano.cst index dd0459a..67a8598 100644 --- a/src/tang/tn20k_lcd/c64nano.cst +++ b/src/tang/tn20k_lcd/c64nano.cst @@ -28,63 +28,6 @@ IO_PORT "jtagseln" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3; //IO_LOC "tmds_clk_p" 33,34; //IO_PORT "tmds_clk_p" PULL_MODE=NONE DRIVE=8 BANK_VCCIO=3.3; -// internal LCD -IO_LOC "lcd_clk" 77; -IO_PORT "lcd_clk" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_hs" 25; -IO_PORT "lcd_hs" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_vs" 26; -IO_PORT "lcd_vs" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_bl" 49; -IO_PORT "lcd_bl" PULL_MODE=DOWN IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_de" 48; -IO_PORT "lcd_de" IO_TYPE=LVCMOS33 DRIVE=8; - -IO_LOC "lcd_r[0]" 42; -IO_PORT "lcd_r[0]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_r[1]" 41; -IO_PORT "lcd_r[1]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_r[2]" 40; -IO_PORT "lcd_r[2]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_r[3]" 39; -IO_PORT "lcd_r[3]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_r[4]" 38; -IO_PORT "lcd_r[4]" IO_TYPE=LVCMOS33 DRIVE=8; - -IO_LOC "lcd_g[0]" 37; -IO_PORT "lcd_g[0]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_g[1]" 36; -IO_PORT "lcd_g[1]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_g[2]" 35; -IO_PORT "lcd_g[2]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_g[3]" 34; -IO_PORT "lcd_g[3]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_g[4]" 33; -IO_PORT "lcd_g[4]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_g[5]" 32; -IO_PORT "lcd_g[5]" IO_TYPE=LVCMOS33 DRIVE=8; - -IO_LOC "lcd_b[0]" 31; -IO_PORT "lcd_b[0]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_b[1]" 30; -IO_PORT "lcd_b[1]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_b[2]" 29; -IO_PORT "lcd_b[2]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_b[3]" 28; -IO_PORT "lcd_b[3]" IO_TYPE=LVCMOS33 DRIVE=8; -IO_LOC "lcd_b[4]" 27; -IO_PORT "lcd_b[4]" IO_TYPE=LVCMOS33 DRIVE=8; - -// audio amplifier -IO_LOC "pa_en" 51; -IO_PORT "pa_en" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; -IO_LOC "hp_din" 54; -IO_PORT "hp_din" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; -IO_LOC "hp_ws" 55; -IO_PORT "hp_ws" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; -IO_LOC "hp_bck" 56; -IO_PORT "hp_bck" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; - IO_LOC "leds_n[5]" 20; IO_PORT "leds_n[5]" IO_TYPE=LVCMOS33 PULL_MODE=UP; IO_LOC "leds_n[4]" 19; @@ -126,6 +69,32 @@ IO_PORT "mspi_hold" PULL_MODE=NONE IO_TYPE=LVCMOS33; //IO_LOC "m0s[5]" ; //spare / IO15 //IO_PORT "m0s[5]" PULL_MODE=UP IO_TYPE=LVCMOS33; +// connections to the internal BL616 controller +IO_LOC "spi_csn" 86; +IO_PORT "spi_csn" PULL_MODE=UP IO_TYPE=LVCMOS33; +IO_LOC "spi_sclk" 13; +IO_PORT "spi_sclk" PULL_MODE=NONE IO_TYPE=LVCMOS33; +IO_LOC "spi_dat" 76; +IO_PORT "spi_dat" PULL_MODE=NONE IO_TYPE=LVCMOS33; +IO_LOC "spi_dir" 75; +IO_PORT "spi_dir" PULL_MODE=NONE DRIVE=8 IO_TYPE=LVCMOS33; +IO_LOC "spi_irqn" 69; // UART TX output +IO_PORT "spi_irqn" PULL_MODE=NONE DRIVE=8 IO_TYPE=LVCMOS33; + +// generic IO pins to use for e.g. db9 joystick +//IO_LOC "io[0]" 27; +//IO_PORT "io[0]" PULL_MODE=UP IO_TYPE=LVCMOS33; +//IO_LOC "io[1]" 28; +//IO_PORT "io[1]" PULL_MODE=UP IO_TYPE=LVCMOS33; +//IO_LOC "io[2]" 25; +//IO_PORT "io[2]" PULL_MODE=UP IO_TYPE=LVCMOS33; +//IO_LOC "io[3]" 26; +//IO_PORT "io[3]" PULL_MODE=UP IO_TYPE=LVCMOS33; +//IO_LOC "io[4]" 29; +//IO_PORT "io[4]" PULL_MODE=UP IO_TYPE=LVCMOS33; +//IO_LOC "io[5]" 30; +//IO_PORT "io[5]" PULL_MODE=UP IO_TYPE=LVCMOS33; + IO_LOC "reset" 87; IO_PORT "reset" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3; IO_LOC "user" 88; @@ -148,18 +117,6 @@ IO_PORT "sd_dat[2]" PULL_MODE=NONE IO_TYPE=LVCMOS33; IO_LOC "sd_dat[3]" 81; IO_PORT "sd_dat[3]" PULL_MODE=NONE IO_TYPE=LVCMOS33; -// connections to the internal BL616 controller -IO_LOC "spi_csn" 86; -IO_PORT "spi_csn" PULL_MODE=UP IO_TYPE=LVCMOS33; -IO_LOC "spi_sclk" 13; -IO_PORT "spi_sclk" PULL_MODE=NONE IO_TYPE=LVCMOS33; -IO_LOC "spi_dat" 76; -IO_PORT "spi_dat" PULL_MODE=NONE IO_TYPE=LVCMOS33; -IO_LOC "spi_dir" 75; -IO_PORT "spi_dir" PULL_MODE=NONE DRIVE=8 IO_TYPE=LVCMOS33; -IO_LOC "spi_irqn" 69; // UART TX output -IO_PORT "spi_irqn" PULL_MODE=NONE DRIVE=8 IO_TYPE=LVCMOS33; - IO_LOC "ws2812" 79; IO_PORT "ws2812" PULL_MODE=DOWN IO_TYPE=LVCMOS33; @@ -168,3 +125,75 @@ IO_LOC "midi_tx" 71; IO_PORT "midi_tx" IO_TYPE=LVCMOS33 DRIVE=8 PULL_MODE=NONE BANK_VCCIO=3.3; IO_LOC "midi_rx" 72; IO_PORT "midi_rx" IO_TYPE=LVCMOS33 PULL_MODE=NONE BANK_VCCIO=3.3; + +// Joystick2 and DS2 controller Spare Header on MisteryShield20k +//IO_LOC "ds2_clk" 73; // js1_btn1 +//IO_PORT "ds2_clk" PULL_MODE=UP OPEN_DRAIN=ON IO_TYPE=LVCMOS33; +//IO_LOC "ds2_mosi" 74; // js1_down +//IO_PORT "ds2_mosi" PULL_MODE=UP OPEN_DRAIN=ON IO_TYPE=LVCMOS33; +//IO_LOC "ds2_miso" 77; // js1_up +//IO_PORT "ds2_miso" PULL_MODE=UP IO_TYPE=LVCMOS33; +//IO_LOC "ds2_cs" 31; // js1_right +//IO_PORT "ds2_cs" PULL_MODE=UP OPEN_DRAIN=ON IO_TYPE=LVCMOS33; + +//IO_LOC "js1_left" 49; // js1_left +//IO_PORT "js1_left" PULL_MODE=UP IO_TYPE=LVCMOS33; +//IO_LOC "js1_btn2" 52; // js1_btn2 +//IO_PORT "js1_btn2" PULL_MODE=UP IO_TYPE=LVCMOS33; + +// internal LCD +IO_LOC "lcd_clk" 77; +IO_PORT "lcd_clk" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_hs" 25; +IO_PORT "lcd_hs" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_vs" 26; +IO_PORT "lcd_vs" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_bl" 49; +IO_PORT "lcd_bl" PULL_MODE=DOWN IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_de" 48; +IO_PORT "lcd_de" IO_TYPE=LVCMOS33 DRIVE=8; + +IO_LOC "lcd_r[0]" 42; +IO_PORT "lcd_r[0]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_r[1]" 41; +IO_PORT "lcd_r[1]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_r[2]" 40; +IO_PORT "lcd_r[2]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_r[3]" 39; +IO_PORT "lcd_r[3]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_r[4]" 38; +IO_PORT "lcd_r[4]" IO_TYPE=LVCMOS33 DRIVE=8; + +IO_LOC "lcd_g[0]" 37; +IO_PORT "lcd_g[0]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_g[1]" 36; +IO_PORT "lcd_g[1]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_g[2]" 35; +IO_PORT "lcd_g[2]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_g[3]" 34; +IO_PORT "lcd_g[3]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_g[4]" 33; +IO_PORT "lcd_g[4]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_g[5]" 32; +IO_PORT "lcd_g[5]" IO_TYPE=LVCMOS33 DRIVE=8; + +IO_LOC "lcd_b[0]" 31; +IO_PORT "lcd_b[0]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_b[1]" 30; +IO_PORT "lcd_b[1]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_b[2]" 29; +IO_PORT "lcd_b[2]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_b[3]" 28; +IO_PORT "lcd_b[3]" IO_TYPE=LVCMOS33 DRIVE=8; +IO_LOC "lcd_b[4]" 27; +IO_PORT "lcd_b[4]" IO_TYPE=LVCMOS33 DRIVE=8; + +// audio amplifier +IO_LOC "pa_en" 51; +IO_PORT "pa_en" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; +IO_LOC "hp_din" 54; +IO_PORT "hp_din" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; +IO_LOC "hp_ws" 55; +IO_PORT "hp_ws" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; +IO_LOC "hp_bck" 56; +IO_PORT "hp_bck" PULL_MODE=UP DRIVE=8 IO_TYPE=LVCMOS33; diff --git a/src/tang/tn20k_lcd/c64nano.vhd b/src/tang/tn20k_lcd/c64nano.vhd index a96dd1a..6726b84 100644 --- a/src/tang/tn20k_lcd/c64nano.vhd +++ b/src/tang/tn20k_lcd/c64nano.vhd @@ -1745,16 +1745,21 @@ end process; por <= system_reset(0) or not pll_locked or not ram_ready; -process(clk32) +process(clk32, por) variable reset_counter : integer; begin - if rising_edge(clk32) then + if por = '1' then + reset_counter := 0; + do_erase <= '0'; + reset_n <= '0'; + reset_wait <= '0'; + force_erase <= '0'; + detach <= '0'; + elsif rising_edge(clk32) then detach_reset_d <= detach_reset; - old_download_r <= ioctl_download; - if reset_counter = 0 then reset_n <= '1'; else reset_n <= '0'; end if; - if por = '1' then + if system_reset(1) = '1' then reset_counter := 100000; do_erase <= '1'; reset_n <= '0'; @@ -1775,12 +1780,16 @@ variable reset_counter : integer; elsif erasing = '1' then force_erase <= '0'; elsif reset_counter = 0 then + reset_n <= '1'; do_erase <= '0'; detach <= '0'; if reset_wait = '1' and c64_addr = X"FFCF" then reset_wait <= '0'; end if; else + reset_n <= '0'; reset_counter := reset_counter - 1; - if reset_counter = 100 and do_erase = '1' then force_erase <= '1'; end if; + if reset_counter = 100 and do_erase = '1' then + force_erase <= '1'; + end if; end if; end if; end process; diff --git a/tang_console_60k_c64.gprj b/tang_console_60k_c64.gprj index 36bbdab..6f29fd9 100644 --- a/tang_console_60k_c64.gprj +++ b/tang_console_60k_c64.gprj @@ -7,34 +7,23 @@ + - - - - - - - - - - - - @@ -43,7 +32,32 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -72,6 +86,7 @@ + diff --git a/tang_mega_138kpro_bl616_c64.gprj b/tang_mega_138kpro_bl616_c64.gprj index 168e058..dd3477b 100644 --- a/tang_mega_138kpro_bl616_c64.gprj +++ b/tang_mega_138kpro_bl616_c64.gprj @@ -24,6 +24,7 @@ + @@ -58,6 +59,7 @@ + diff --git a/tang_nano_20k_c64.gprj b/tang_nano_20k_c64.gprj index d955f4b..8286062 100644 --- a/tang_nano_20k_c64.gprj +++ b/tang_nano_20k_c64.gprj @@ -44,6 +44,7 @@ + @@ -69,6 +70,7 @@ +