You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
Something in the log functions seems to be causing the "Error: KERNEL_0060 Stack area is too small, please increase it by the -stack option." error in Active-HDL 15 (Student Edition). There really seems to be something wrong because increasing the stack size does not allow the testbench to run. I think something changed in the log functions that causes the stack to explode in size.
The regression seems to be introduced in this commit: b69eac2
Minimal reproduceable example
library ieee;
context ieee.ieee_std_context;
use ieee.math_real.all;
library vunit_lib;
use vunit_lib.check_pkg.all;
use vunit_lib.run_types_pkg.all;
use vunit_lib.run_pkg.all;
entityaxi_state_transmission_tbisgeneric (
CLOCK_PERIOD : time:=10ns;
TEST_TIMEOUT : time:=1us;
RUNNER_CFG : string:= RUNNER_CFG_DEFAULT
);
endentity;
architecturesimofaxi_state_transmission_tbissignal clock : std_ulogic:='0';
signal n_areset : std_ulogic:='0';
begin
test_runner_watchdog(runner, TEST_TIMEOUT);
processbegin
test_runner_setup(runner, RUNNER_CFG);
vunit_lib.logger_pkg.error("Failed something");
test_runner_cleanup(runner);
endprocess;
endarchitecture;
The text was updated successfully, but these errors were encountered:
I haven't been able to reproduce this problem but I recall seeing it at some point but it disappeared for unknown reasons. I suggest you start updating to the latest VUnit release (clone from Github or do pip install with the --pre option). I'm using Active-HDL 14.0.258.8726.
I was using the latest version of master when I encountered this problem. I will try to reproduce it on my work pc with an actual license. Maybe there are bug fixes in Active-HDL that the student version doesn't have.
I isolated the files that I was having a problem with and I was not able to reproduce it. It seemed to be a problem with Active-HDL and black boxes. This error seemed to be given instead of the actual problem, which was that there were still black box entities in my design.
Something in the log functions seems to be causing the "Error: KERNEL_0060 Stack area is too small, please increase it by the -stack option." error in Active-HDL 15 (Student Edition). There really seems to be something wrong because increasing the stack size does not allow the testbench to run. I think something changed in the log functions that causes the stack to explode in size.
The regression seems to be introduced in this commit: b69eac2
Minimal reproduceable example
The text was updated successfully, but these errors were encountered: