From f88faa0093579ed722ea30ce0f89529ede32acfa Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Wed, 16 Jul 2025 18:04:30 +0200 Subject: [PATCH 01/24] init: xls backend (not working), implemented xls specific layer information in writer --- hls4ml/backends/__init__.py | 2 + hls4ml/backends/xls/__init__.py | 0 hls4ml/backends/xls/passes/transform_types.py | 43 + hls4ml/backends/xls/xls_backend.py | 237 +++ hls4ml/backends/xls/xls_types.py | 29 + .../xls/firmware/ap_types/fixed_point.x | 1689 +++++++++++++++++ hls4ml/templates/xls/firmware/myproject.x | 29 + .../xls/firmware/nnet_utils/multi_dense_fxd.x | 481 +++++ hls4ml/writer/xls_writer.py | 346 ++++ test/pytest/test_keras_api.py | 850 ++++----- 10 files changed, 3282 insertions(+), 424 deletions(-) create mode 100644 hls4ml/backends/xls/__init__.py create mode 100644 hls4ml/backends/xls/passes/transform_types.py create mode 100644 hls4ml/backends/xls/xls_backend.py create mode 100644 hls4ml/backends/xls/xls_types.py create mode 100644 hls4ml/templates/xls/firmware/ap_types/fixed_point.x create mode 100644 hls4ml/templates/xls/firmware/myproject.x create mode 100644 hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x create mode 100644 hls4ml/writer/xls_writer.py diff --git a/hls4ml/backends/__init__.py b/hls4ml/backends/__init__.py index 4a48f072cd..214890b9c7 100644 --- a/hls4ml/backends/__init__.py +++ b/hls4ml/backends/__init__.py @@ -10,6 +10,7 @@ from hls4ml.backends.catapult.catapult_backend import CatapultBackend # isort: skip from hls4ml.backends.vitis.vitis_backend import VitisBackend # isort: skip +from hls4ml.backends.xls.xls_backend import XLSBackend register_backend('Vivado', VivadoBackend) register_backend('VivadoAccelerator', VivadoAcceleratorBackend) @@ -18,3 +19,4 @@ register_backend('Catapult', CatapultBackend) register_backend('SymbolicExpression', SymbolicExpressionBackend) register_backend('oneAPI', OneAPIBackend) +register_backend('XLS', XLSBackend) diff --git a/hls4ml/backends/xls/__init__.py b/hls4ml/backends/xls/__init__.py new file mode 100644 index 0000000000..e69de29bb2 diff --git a/hls4ml/backends/xls/passes/transform_types.py b/hls4ml/backends/xls/passes/transform_types.py new file mode 100644 index 0000000000..84b554bbb1 --- /dev/null +++ b/hls4ml/backends/xls/passes/transform_types.py @@ -0,0 +1,43 @@ +from hls4ml.backends.fpga.fpga_types import APTypeConverter, HLSTypeConverter, StaticWeightVariableConverter +from hls4ml.backends.xls.xls_types import ( + XLSArrayVariableConverter, + XLSInplaceArrayVariableConverter, +) +from hls4ml.model.optimizer import GlobalOptimizerPass +from hls4ml.model.types import InplaceTensorVariable + + +class TransformTypes(GlobalOptimizerPass): + def __init__(self): + self.type_converter = HLSTypeConverter(precision_converter=APTypeConverter()) + self.array_var_converter = XLSArrayVariableConverter(type_converter=self.type_converter) + self.inplace_array_var_converter = XLSInplaceArrayVariableConverter(type_converter=self.type_converter) + self.weight_var_converter = StaticWeightVariableConverter(type_converter=self.type_converter) + + def transform(self, model, node): + io_type = node.model.config.get_config_value('IOType') + + for out_name, var in node.variables.items(): + if io_type == 'io_stream': + raise Exception(f'Not implemented yet IOType {io_type} in {node.name} ({node.__class__.__name__})') + elif io_type == 'io_serial': + raise Exception(f'Not implemented yet IOType {io_type} in {node.name} ({node.__class__.__name__})') + elif io_type == 'io_parallel': + if out_name in node.model.inputs: + new_var = self.array_var_converter.convert(var, pragma='reshape') + elif isinstance(var, InplaceTensorVariable): + new_var = self.inplace_array_var_converter.convert(var, pragma='') + else: + new_var = self.array_var_converter.convert(var, pragma='partition') + else: + raise Exception(f'Unknown IOType {io_type} in {node.name} ({node.__class__.__name__})') + + node.set_attr(out_name, new_var) + + for w_name, weight in node.weights.items(): + new_weight = self.weight_var_converter.convert(weight) + node.set_attr(w_name, new_weight) + + for t_name, type in node.types.items(): + new_type = self.type_converter.convert(type) + node.set_attr(t_name, new_type) diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py new file mode 100644 index 0000000000..e484829e80 --- /dev/null +++ b/hls4ml/backends/xls/xls_backend.py @@ -0,0 +1,237 @@ +import os +import sys +import subprocess, shlex +from warnings import warn + +import numpy as np + +from hls4ml.backends import FPGABackend +from hls4ml.model.optimizer import get_backend_passes, layer_optimizer +from hls4ml.model.flow import register_flow +from hls4ml.model.layers import ( + Dense, + Layer, +) +from hls4ml.model.types import IntegerPrecisionType, NamedType + +class XLSBackend(FPGABackend): + def __init__(self): + super().__init__('XLS') + self._register_layer_attributes() + self._register_flows() + + def _register_layer_attributes(self): + # TODO: implement this + pass + + def _register_flows(self): + initializers = self._get_layer_initializers() + init_flow = register_flow('init_layers', initializers, requires=['optimize'], backend=self.name) + + optimization_passes = [ + 'infer_precision_types', + ] + optimization_flow = register_flow('optimize', optimization_passes, requires=[init_flow], backend=self.name) + + vivado_types = [ + 'xls:transform_types', + ] + vivado_types_flow = register_flow('specific_types', vivado_types, requires=[init_flow], backend=self.name) + + templates = self._get_layer_templates() + template_flow = register_flow('apply_templates', self._get_layer_templates, requires=[init_flow], backend=self.name) + + writer_passes = ['make_stamp', 'xls:write_hls'] + self._writer_flow = register_flow('write', writer_passes, requires=['xls:ip'], backend=self.name) # TODO: what is this xls:ip + + all_passes = get_backend_passes(self.name) + + extras = [ + # Ideally this should be empty + opt_pass + for opt_pass in all_passes + if opt_pass + not in initializers + + templates + + writer_passes + ] + + if len(extras) > 0: + for opt in extras: + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + + ip_flow_requirements = [ + 'optimize', + init_flow, + optimization_flow, + vivado_types_flow, + template_flow, + ] + + self._default_flow = register_flow('ip', None, requires=ip_flow_requirements, backend=self.name) + + def get_default_flow(self): + return self._default_flow + + def get_writer_flow(self): + return self._writer_flow + + def create_initial_config( + self, + part='xcvu13p-flga2577-2-e', + clock_period=5, + clock_uncertainty='12.5%', + io_type='io_parallel', + namespace=None, + write_weights_txt=True, + write_tar=False, + tb_output_stream='both', + **_, + ): + """Create initial configuration of the Vivado backend. + + Args: + part (str, optional): The FPGA part to be used. Defaults to 'xcvu13p-flga2577-2-e'. + clock_period (int, optional): The clock period. Defaults to 5. + clock_uncertainty (str, optional): The clock uncertainty. Defaults to 12.5%. + io_type (str, optional): Type of implementation used. One of + 'io_parallel' or 'io_stream'. Defaults to 'io_parallel'. + namespace (str, optional): If defined, place all generated code within a namespace. Defaults to None. + write_weights_txt (bool, optional): If True, writes weights to .txt files which speeds up compilation. + Defaults to True. + write_tar (bool, optional): If True, compresses the output directory into a .tar.gz file. Defaults to False. + tb_output_stream (str, optional): Controls where to write the output. Options are 'stdout', 'file' and 'both'. + Defaults to 'both'. + + Returns: + dict: initial configuration. + """ + config = {} + + config['Part'] = part if part is not None else 'xcvu13p-flga2577-2-e' + config['ClockPeriod'] = clock_period if clock_period is not None else 5 + config['ClockUncertainty'] = clock_uncertainty if clock_uncertainty is not None else '12.5%' + config['IOType'] = io_type if io_type is not None else 'io_parallel' + config['HLSConfig'] = {} + config['WriterConfig'] = { + 'Namespace': namespace, + 'WriteWeightsTxt': write_weights_txt, + 'WriteTar': write_tar, + 'TBOutputStream': tb_output_stream, + } + + return config + + + def build( + self, + model, + reset=False, + csim=True, + synth=True, + cosim=False, + validation=False, + export=False, + vsynth=False, + fifo_opt=False, + ): + # TODO: include vivado & understand exactly what this does + # TODO: Use the real config + config = { + 'output_dir': 'build', + 'workspace_path': '$HOME/workspace/xls4nn', + 'xls_bazel_bin_path': '$HOME/xls/bazel-bin', + 'kernel_name': 'proc_jet_tagging_dense', + 'codegen_flags': '--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps=5000 --pipeline_stages=2 --reset=reset' + } + + if 'linux' in sys.platform: + workspace = os.path.expandvars(config['workspace_path']) + path = os.path.expandvars(config['xls_bazel_bin_path']) + if os.path.isdir(path) == 0: + raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') + + curr_dir = os.getcwd() + os.chdir(config['output_dir']) + kernel_name = config['kernel_name'] + + ## Run interpreter + interpreter_cmd = [ + f'{path}/xls/dslx/interpreter_main', + f'{workspace}/kernels/end2end/{kernel_name}.x' + ] + subprocess.run(interpreter_cmd, check=True) + + ## Generate IR + with open(f'{kernel_name}.ir', 'w') as ir_file: + gen_cmd = [ + f'{path}/xls/dslx/ir_convert/ir_converter_main', + f'--top={kernel_name}', + f'{workspace}/kernels/end2end/{kernel_name}.x' + ] + subprocess.run(gen_cmd, check=True, stdout=ir_file) + + ## Optimize IR + with open(f'{kernel_name}.opt.ir', 'w') as opt_file: + opt_cmd = [ + f'{path}/xls/tools/opt_main', + f'{kernel_name}.ir' + ] + subprocess.run(opt_cmd, check=True, stdout=opt_file) + + ## Generate RTL + with open(f'{kernel_name}.sv', 'w') as opt_file: + flags = shlex.split(config["codegen_flags"]) + rtl_cmd = [ + f'{path}/xls/tools/codegen_main', + *flags, + f'{kernel_name}.opt.ir', + ] + subprocess.run(rtl_cmd, check=True, stdout=opt_file) + + os.chdir(curr_dir) + + #TODO: return parsed report + # return parse_vivado_report(model.config.get_output_dir()) + + # TODO: What do the layer optimizers achieve? + # @layer_optimizer(Layer) + # def init_base_layer(self, layer): + # reuse_factor = layer.model.config.get_reuse_factor(layer) + # layer.set_attr('reuse_factor', reuse_factor) + + # target_cycles = layer.model.config.get_target_cycles(layer) + # layer.set_attr('target_cycles', target_cycles) + + # @layer_optimizer(Dense) + # def init_dense(self, layer): + # index_t = IntegerPrecisionType(width=1, signed=False) + # compression = layer.model.config.get_compression(layer) + # if layer.model.config.is_resource_strategy(layer): + # n_in, n_out = self.get_layer_mult_size(layer) + # self.set_target_reuse_factor(layer) + # self.set_closest_reuse_factor(layer, n_in, n_out) + # if compression: + # layer.set_attr('strategy', 'compressed') + # index_t = layer.get_weights('weight').type.index_precision + # else: + # layer.set_attr('strategy', 'resource') + # elif layer.model.config.get_strategy(layer).lower() == 'resource_unrolled': + # use_resource_instead = False + # if layer.get_attr('reuse_factor', 1) == 1: + # print( + # f'Unrolled resource strategy cannot be combined with reuse factor 1 in layer "{layer.name}". ' + # 'Using "resource" strategy instead.' + # ) + # use_resource_instead = True + # n_in, n_out = self.get_layer_mult_size(layer) + # self.set_target_reuse_factor(layer) + # if use_resource_instead: + # self.set_closest_reuse_factor(layer, n_in, n_out) + # layer.set_attr('strategy', 'resource') + # else: + # self.set_closest_reuse_factor(layer, n_in, n_out, include_max_rf=False) + # layer.set_attr('strategy', 'resource_unrolled') + # else: + # layer.set_attr('strategy', 'latency') + # layer.set_attr('index_t', NamedType(f'layer{layer.index}_index', index_t)) \ No newline at end of file diff --git a/hls4ml/backends/xls/xls_types.py b/hls4ml/backends/xls/xls_types.py new file mode 100644 index 0000000000..22ccf943fb --- /dev/null +++ b/hls4ml/backends/xls/xls_types.py @@ -0,0 +1,29 @@ +from hls4ml.backends.fpga.fpga_types import ( + ArrayVariableConverter, + VariableDefinition, +) + +# region ArrayVariable + + +class XLSArrayVariableDefinition(VariableDefinition): + def definition_cpp(self, name_suffix='', as_reference=False): + return 'multi_dense_fxd::{type}<{width}, 1, {fraction}>'.format( + type=self.type.name, name=self.name, suffix=name_suffix, width=self.type.precision.width, + fraction=self.type.precision.width - self.type.precision.integer + ) + + +class XLSInplaceArrayVariableDefinition(VariableDefinition): + def definition_cpp(self): + return f'auto& {self.name} = {self.input_var.name}' + + +class XLSArrayVariableConverter(ArrayVariableConverter): + def __init__(self, type_converter): + super().__init__(type_converter=type_converter, prefix='XLS', definition_cls=XLSArrayVariableDefinition) + + +class XLSInplaceArrayVariableConverter(ArrayVariableConverter): + def __init__(self, type_converter): + super().__init__(type_converter=type_converter, prefix='XLS', definition_cls=XLSInplaceArrayVariableDefinition) \ No newline at end of file diff --git a/hls4ml/templates/xls/firmware/ap_types/fixed_point.x b/hls4ml/templates/xls/firmware/ap_types/fixed_point.x new file mode 100644 index 0000000000..bc13a7087e --- /dev/null +++ b/hls4ml/templates/xls/firmware/ap_types/fixed_point.x @@ -0,0 +1,1689 @@ +// Copyright 2025 The XLS Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +// A fixed point number type and operations on it. + +import std; +import apfloat; + +// A fixed point number represented in the type as a number of bits and binary point offset, and at +// runtime by a significand (some bits). To convert this to a Real value, treat significand as an +// integer and multiply by 2^(BINARY_EXPONENT). +// +// Documentation below uses the term 'representable' to mean the bits that could be 1 or 0 in a +// fixed point number. Bits that are always 0 are not considered representable (i.e., the least +// significant integer bits that are always zero for a value with a positive binary exponent that is +// larger than the width, or the most significant fractional bits that are always zero for a value +// with a negative binary exponent and a width that is smaller than the magnitude of the binary +// exponent). +// +// Examples: +// 0.75 would be represented using minimal bits as FixedPoint2<2, -2> { significand: 0b11 } +// 0.75 would be represented using 2 extra bits as FixedPoint2<4, -2> { significand: 0b0011 } +// (1/16 + 1/64) would be represented using minimal bits as FixedPoint2<3, -6> { significand: 0b101 +// } +// 20 would be represented using minimal bits as FixedPoint2<3, 2> { significand: 0b101 } +// +// TODO when https://github.com/google/xls/issues/1841 is resolved, undo the workaround +// that changed BINARY_EXPONENT:s32 to (EXPONENT_IS_NEGATIVE:u32, BINARY_UEXPONENT: u32). +// +// BINARY_UEXPONENT means unsigned exponent. It is the magnitude of the binary exponent. +// +// TODO when https://github.com/google/xls/issues/1848 is resolved, delete the two unused +// fields +// +// TODO when https://github.com/google/xls/issues/1861 is resolved, make the type +// sign-parametric (i.e. xN[sign][NUM_BITS]) +pub struct FixedPoint { + significand: sN[NUM_BITS], // concatenation of integer and fraction bits + // TODO delete when https://github.com/google/xls/issues/1848 is resolved + unused_eis: uN[EXPONENT_IS_NEGATIVE], + // TODO delete when https://github.com/google/xls/issues/1848 is resolved + unused_exp: uN[BINARY_UEXPONENT], +} + +// Creates a fixed point number with the given significand. The two unused fields are set to 0. +// Exists because it's annoying to set the unused fields to 0 manually. +// +// TODO delete when https://github.com/google/xls/issues/1848 is resolved: we won't +// need this helper to set the two dummy fields to 0 +pub fn make_fixed_point_with_zeros + (significand: sN[NUM_BITS]) -> FixedPoint { + FixedPoint { + significand, + unused_eis: uN[EXPONENT_IS_NEGATIVE]:0, + unused_exp: uN[BINARY_UEXPONENT]:0, + } +} + +// Converts from sign & magnitude to two's complement. +// +// TODO delete when https://github.com/google/xls/issues/1848 is resolved: +// we won't need to convert between two's complement and sign & magnitude representations. +pub fn binary_exponent(EXPONENT_IS_NEGATIVE: u32, BINARY_UEXPONENT: u32) -> s32 { + if EXPONENT_IS_NEGATIVE > u32:0 { -BINARY_UEXPONENT as s32 } else { BINARY_UEXPONENT as s32 } +} + +// Converts from two's complement to sign of sign & magnitude representation. +// +// TODO delete when https://github.com/google/xls/issues/1848 is resolved: +// we won't need to convert between two's complement and sign & magnitude representations. +pub fn is_negative(binary_exponent: s32) -> u32 { + if binary_exponent < s32:0 { u32:1 } else { u32:0 } +} + +// Converts from two's complement to magnitude of sign & magnitude representation. +// +// TODO delete when https://github.com/google/xls/issues/1848 is resolved: +// we won't need to convert between two's complement and sign & magnitude representations. +pub fn binary_uexponent(binary_exponent: s32) -> u32 { + if binary_exponent < s32:0 { (-binary_exponent) as u32 } else { binary_exponent as u32 } +} + +// Creates a FixedPoint of with appropriate sign and magnitude representation, given the signed +// binary exponent. This is a convenience function to avoid having to determine the sign and +// magnitude. +// +// Note that BINARY_EXPONENT is located first so that you can specify it and elide the +// other type parameters, as they are inferrable. +// E.g. make_fixed_point(s6:31) = 31 * 2^-2 = 7.75 +// +// TODO change when https://github.com/google/xls/issues/1848 is resolved: +// we won't need to convert between two's complement and sign & magnitude representations. +pub fn make_fixed_point + + (significand: sN[NUM_BITS]) -> FixedPoint { + make_fixed_point_with_zeros(significand) +} + +// Returns a FixedPoint equivalent to the given integer. +pub fn from_integer + (significand: sN[NUM_BITS]) -> FixedPoint { + make_fixed_point_with_zeros(significand) +} + +// Returns the number of integer bits representable by a fixed point number with these parameters. +// Note the third example, where the two least significant integer bits, which must always be zero, +// are not counted. +// +// This does not examine the bits set in a particular value. +// +// Example: +// num_nonzero_integer_bits(4, -8) == 0 +// num_nonzero_integer_bits(4, -1) == 3 +// num_nonzero_integer_bits(4, 6) == 4 +pub fn num_nonzero_integer_bits(NUM_BITS: u32, BINARY_EXPONENT: s32) -> u32 { + if BINARY_EXPONENT < s32:0 { + if std::abs(BINARY_EXPONENT) as s33 >= NUM_BITS as s33 { + u32:0 + } else { + (NUM_BITS as s33 + BINARY_EXPONENT as s33) as u32 + } + } else { + NUM_BITS + } +} + +// Returns the number of fractional bits representable by a fixed point number with these +// parameters. Note the first example, where the four most significant fractional bits, which must +// always be zero, are not counted. +// +// This does not examine the bits set in a particular value. +// +// Example: +// num_nonzero_fractional_bits(4, -8) == 4 +// num_nonzero_fractional_bits(4, -1) == 1 +// num_nonzero_fractional_bits(4, 6) == 0 +pub fn num_nonzero_fractional_bits(NUM_BITS: u32, BINARY_EXPONENT: s32) -> u32 { + NUM_BITS - num_nonzero_integer_bits(NUM_BITS, BINARY_EXPONENT) +} + +// Returns the bits of a fixed point number's fractional part. These bits are _not_ shifted or +// normalized in any sense. E.g. it would be wrong to add the raw fractional parts of two different +// fixed point numbers without first aligning their binary points. +pub fn fractional_bits_raw + + (a: FixedPoint) -> uN[F] { + a.significand[0+:uN[F]] +} + +// Returns the bits of a fixed point number's integer part. These bits are _not_ shifted or +// normalized in any sense. Less-significant bits that are always zero are not included. E.g. it +// would be wrong to add the raw integer parts of two different fixed point numbers without first +// aligning their binary points. +pub fn integer_bits_raw + + (a: FixedPoint) -> uN[I] { + let F = num_nonzero_fractional_bits(NB, BE); + a.significand[F+:uN[I]] +} + +// Multiplies two unsigned fixed point numbers. +// +// The number of bits in the result is the sum of the number of bits in the inputs. +pub fn mul + + (a: FixedPoint, b: FixedPoint) + -> FixedPoint { + make_fixed_point(std::smul(a.significand, b.significand)) +} + +// Returns the position of the most significant bit, where 0 is the bit just left of the binary +// point. +// +// E.g. consider a value like x.xxxb, which corresponds to NB=4 BE=-3. +// most_significant_bit_position(4,-3) is 0 +fn most_significant_bit_position(NB: u32, BE: s32) -> s33 { NB as s33 + BE as s33 - s33:1 } + +// Returns the position of the least significant bit, where 0 is the bit just left of the binary +// point. +// +// E.g. consider a value like xxxx.b, which corresponds to NB=4 BE=0. +// least_significant_bit_position(4,0) is 0 +fn least_significant_bit_position(NB: u32, BE: s32) -> s32 { BE } + +// Returns the number of representable bits where two fixed point numbers overlap. +// +// These examples use x to indicate a representable bit: +// num_bits_overlapping(2,-1, 2,-1) -> x.x and x.x overlap = 2 +// num_bits_overlapping(2, -1, 3, -2) -> x.x and x.xx overlap = 2 +// num_bits_overlapping(4, 0, 2, -1) -> xxxx and x.x overlap = 1 +// num_bits_overlapping(4, 1, 1, 0) -> xxxx0 and x overlap = 0 +// num_bits_overlapping(4, 0, 2, -2) -> xxxx and .xx overlap = 0 +// num_bits_overlapping(4, 0, 2, -3) -> xxxx and .0xx overlap = 0 +pub fn num_bits_overlapping(NB_A: u32, BE_A: s32, NB_B: u32, BE_B: s32) -> u32 { + let msb_a = most_significant_bit_position(NB_A, BE_A); + let msb_b = most_significant_bit_position(NB_B, BE_B); + let lsb_a = least_significant_bit_position(NB_A, BE_A) as s33; + let lsb_b = least_significant_bit_position(NB_B, BE_B) as s33; + let overlap = std::min(msb_a, msb_b) - std::max(lsb_a, lsb_b) + s33:1; + std::max(overlap, s33:0) as u32 +} + +// Returns the total width of two fixed point numbers when their binary points are aligned and the +// representable bits are unioned. Includes the bits that would always be zero if these values were +// aligned and then ANDed or ORed. +pub fn aligned_width(NB_A: u32, BE_A: s32, NB_B: u32, BE_B: s32) -> u32 { + assert!(NB_A > u32:0, "0_width_will_yield_nonsensical_results"); + assert!(NB_B > u32:0, "0_width_will_yield_nonsensical_results"); + + let msb_a = most_significant_bit_position(NB_A, BE_A); + let msb_b = most_significant_bit_position(NB_B, BE_B); + let lsb_a = least_significant_bit_position(NB_A, BE_A); + let lsb_b = least_significant_bit_position(NB_B, BE_B); + let msb = std::max(msb_a, msb_b); + let lsb = std::min(lsb_a, lsb_b) as s33; + let num_bits = msb - lsb + s33:1; + num_bits as u32 +} + +// Adds two fixed point numbers. +// +// Note: when there is no overlap of aligned inputs, then there is no chance of carry out and result +// width is not increased by 1 +pub fn add + + (lhs: FixedPoint, rhs: FixedPoint) + -> FixedPoint { + // Widen before left shifting to avoid overflow + let aligned_lhs = (lhs.significand as sN[NB_R]) << (BE_A - BE_R) as u32; + let aligned_rhs = (rhs.significand as sN[NB_R]) << (BE_B - BE_R) as u32; + + make_fixed_point(aligned_lhs + aligned_rhs) +} + +// Subtracts two unsigned fixed point numbers, returns lhs - rhs +pub fn sub + + (lhs: FixedPoint, rhs: FixedPoint) + -> FixedPoint { + // Widen before left shifting to avoid overflow + let aligned_lhs = (lhs.significand as sN[NB_R]) << (BE_A - BE_R) as u32; + let aligned_rhs = (rhs.significand as sN[NB_R]) << (BE_B - BE_R) as u32; + + make_fixed_point(aligned_lhs - aligned_rhs) +} + +// Returns the binary exponent after truncating or rounding a fixed point number to a smaller width. +fn binary_exponent_after_truncation + (num_bits_result: u32, num_bits_a: u32, binary_exponent_a: s32) -> s32 { + assert!( + num_bits_a >= num_bits_result, "truncation_cannot_increase_the_number_of_bits_in_the_result"); + let bits_reduced_by = num_bits_a - num_bits_result; + (binary_exponent_a as s33 + bits_reduced_by as s33) as s32 +} + +// Truncates a fixed point number to a smaller width, preserving the most significant bits. The +// first type parameter, NB_R, is the number of bits in the result. +pub fn truncate + + (a: FixedPoint) -> FixedPoint { + // Shift the significand to preserve the most significant bits + let truncated_data = a.significand >> NUM_BITS_TRUNCATED; + + make_fixed_point(truncated_data as sN[NB_R]) +} + +// Round to nearest, ties to even: rounds a fixed point number to fewer bits, preserving the +// most significant bits. The first type parameter is the number of bits that are rounded away. +// E.g. round_ne_bits_discarded would reduce the NUM_BITS of the argument by 3. +// +// WARNING: this function does not handle overflow (the result should have 1 more significant +// bit to handle overflow - consider what happens when rounding up and the retained bits are +// already at maximum). +// +// The type of rounding is Round To Nearest, ties to Even (RTNE). +// Imagine the binary point is just left of the discarded bits, such that they have a value in +// [0.0, 1) E.g. they are .xxxxb +// If the discarded bits > half, round up (e.g. .1001b) +// If the discarded bits < half, round down (e.g. .0111b) +// If the discarded bits == half, we have to consider the least significant retained bit: +// * if it is odd, round up (e.g. 01.1000b -> 10.b) +// * if it is even, round down (e.g. 00.1000b -> 00.b) +// +// TODO create a version of this that is wider to accept overflow? +// +// The IEEE 754 standard denotes “round to nearest, ties to even” with the abbreviation RNE. We +// keep "round" in the name to avoid excessive brevity. +pub fn round_ne_bits_discarded + + (a: FixedPoint) -> FixedPoint { + if NUM_BITS_ROUNDED == u32:0 { + // no rounding needed, but we have to make DSLX happy about unifying the types + // (otherwise we'd just return `a`) + make_fixed_point(a.significand as sN[NB_R]) + } else { + // keeps the least significant retained bit + let lsb_bit_mask = uN[NB_A]:1 << NUM_BITS_ROUNDED; + + // the index of the bit that is equal to half of the result's ULP + let halfway_idx = NUM_BITS_ROUNDED as uN[NB_A] - uN[NB_A]:1; + + // keeps the half-ULP bit + let halfway_bit_mask = uN[NB_A]:1 << halfway_idx; + + // keeps the discarded bits + let discarded_mask = std::mask_bits() as uN[NB_A]; + + let unsigned_significand = a.significand as uN[NB_A]; + let discarded_bits = discarded_mask & unsigned_significand; + + let discarded_bits_gt_half = discarded_bits > halfway_bit_mask; + let discarded_bits_equal_half = discarded_bits == halfway_bit_mask; + + let retained_is_odd = (unsigned_significand & lsb_bit_mask) == lsb_bit_mask; + + // do we round up because discarded bits are 0.5 and the retained bits are odd? (if we don't + // round up, then the result will be odd) + let round_up_to_even = discarded_bits_equal_half && retained_is_odd; + + let round_up = discarded_bits_gt_half || round_up_to_even; + + let retained = (a.significand >> NUM_BITS_ROUNDED) as sN[NB_R]; + let raw_significand = if round_up { retained + sN[NB_R]:1 } else { retained }; + make_fixed_point(raw_significand) + } +} + +// Round to nearest, ties to even: rounds a fixed point number to fewer bits, preserving the +// most significant bits. The first type parameter is the number of bits in the result. +// E.g. round_ne_target_width rounds to 20 bits. +// +// WARNING: this function does not handle overflow (the result should have 1 more significant +// bit to handle overflow - consider what happens when rounding up and the retained bits are +// already at maximum). +pub fn round_ne_target_width + + (a: FixedPoint) -> FixedPoint { + // NUM_BITS_ROUNDED must be non-negative + const_assert!(NB_A >= NB_R); + round_ne_bits_discarded(a) +} + +// Round to nearest, ties to even: rounds a fixed point number to fewer bits, preserving the +// most significant bits. The first type parameter is the (signed) binary exponent of the result. +// E.g. round_ne_target_exponent rounds to a binary exponent of -20 (assuming a's +// binary exponent <= -20). +// +// WARNING: this function does not handle overflow (the result should have 1 more significant +// bit to handle overflow - consider what happens when rounding up and the retained bits are +// already at maximum). +pub fn round_ne_target_exponent + + (a: FixedPoint) -> FixedPoint { + // rounding cannot decrease the binary exponent + const_assert!(BE_R >= BE_A); + round_ne_target_width(a) +} + +// Discards the given number of most significant bits of this fixed point number (thereby +// reducing the width). The first type parameter, NUM_DISCARDED, is the number of bits +// discarded. +// +// WARNING: will overflow if the result is too small to hold the input! +// +// Currently only supports discarding bits from the integer part of the number. This means the +// binary exponent can't change. This could be relaxed with a little bit of work. +pub fn narrow_by + + (a: FixedPoint) -> FixedPoint { + assert!(NUM_DISCARDED <= NB_A, "narrow_by_cant_yet_discard_fractional_bits"); + make_fixed_point_with_zeros(a.significand as sN[NB_R]) +} + +// Returns a FixedPoint that uses a common num bits and binary exponent. +// +// The intended usage is so that fixed point constants can be specified in their most reduced form +// (i.e. fewest number of bits used) by the generating program, and then all co-normalized so that +// they have the same type in DSLX. +// +// Assumes that EXPONENT_IS_NEGATIVE of `x` matches the result's EXPONENT_IS_NEGATIVE. +// +// When COMMON_BINARY_UEXPONENT > BINARY_UEXPONENT, the significand is shifted right, and there is +// potential information loss, so this branch is currently a `fail!`. +// +// WARNING:Does not check that the result's bitwidth is wide enough to hold `x.significand` shifted +// appropriately. + +pub fn to_common_type + + (x: FixedPoint) + -> FixedPoint { + let x_exp = binary_exponent(EXPONENT_IS_NEGATIVE, BINARY_UEXPONENT); + let result_exp = binary_exponent(EXPONENT_IS_NEGATIVE, COMMON_BINARY_UEXPONENT); + let significand = if result_exp > x_exp { + // If the exponent is increasing, then the significand needs to decrease. + // let expr = (x.significand as sN[COMMON_NUM_BITS]) >> (result_exp - x_exp) as u32; + // fail!("you_are_losing_information_is_this_really_what_you_want", expr) + // BUGFIX+ENABLE: Andrei + let expr = (x.significand >> (result_exp - x_exp) as u32) as sN[COMMON_NUM_BITS]; + expr + } else { + // If the exponent is decreasing, then the significand needs to increase. + (x.significand as sN[COMMON_NUM_BITS]) << (x_exp - result_exp) as u32 + }; + make_fixed_point(significand) +} + +// Round to nearest, ties to even (aka roundTiesToEven). +// if truncated bits > halfway bit: round up. +// if truncated bits < halfway bit: round down. +// if truncated bits == halfway bit and lsb bit is odd: round up. +// if truncated bits == halfway bit and lsb bit is even: round down. +// +// TODO this is apfloat's rne, because apfloat's is not public. Make apfloat's rne public? +// Consolidate with apfloat' implementation. +fn rne + (fraction: uN[FRACTION_SZ], lsb_idx: uN[LSB_INDEX_SZ]) -> bool { + let lsb_bit_mask = uN[FRACTION_SZ]:1 << lsb_idx; + let halfway_idx = lsb_idx as uN[FRACTION_SZ] - uN[FRACTION_SZ]:1; + let halfway_bit_mask = uN[FRACTION_SZ]:1 << halfway_idx; + let trunc_mask = (uN[FRACTION_SZ]:1 << lsb_idx) - uN[FRACTION_SZ]:1; + let trunc_bits = trunc_mask & fraction; + let trunc_bits_gt_half = trunc_bits > halfway_bit_mask; + let trunc_bits_are_halfway = trunc_bits == halfway_bit_mask; + let to_fraction_is_odd = (fraction & lsb_bit_mask) == lsb_bit_mask; + let round_to_even = trunc_bits_are_halfway && to_fraction_is_odd; + let round_up = trunc_bits_gt_half || round_to_even; + round_up +} + +pub enum SubnormalOutputs : u1 { + Produced = 0, + FlushToZero = 1, +} + +// Converts the fixed point number to a floating point number using round to nearest, ties to +// even as the rounding mode. +pub fn convert_to_float_using_round_ties_to_even + + (src: FixedPoint) + -> apfloat::APFloat { + let magnitude = std::abs(src.significand as sN[NUM_BITS + u32:1]) as uN[NUM_BITS]; + let leading_zeroes = clz(magnitude); + let num_trailing_nonzeros = NUM_BITS - leading_zeroes as u32; + + // A note on terminology: the significand is the 1.ffff where the f's are the fractional + // bits. + const SIGNIFICAND_WIDTH = FRACTION_SZ + u32:1; + const PRE_NORMALIZE_WIDTH = std::max(SIGNIFICAND_WIDTH, NUM_BITS); + let unnormalized_significand = magnitude as uN[PRE_NORMALIZE_WIDTH]; + + // Form the normalized significand: 1.xxxx...xxxx + // When NUM_BITS < SIGNIFICAND_WIDTH we need to shift left to normalize the significand. + // When NUM_BITS = SIGNIFICAND_WIDTH AND num_trailing_nonzeros < SIGNIFICAND_WIDTH we need + // to shift left to normalize the significand. + // When NUM_BITS > SIGNIFICAND_WIDTH we may need to left shift, do nothing, or round. It + // depends on compare(num_trailing_nonzeros, SIGNIFICAND_WIDTH) + + const NUM_BITS_COMPARED_SIGNIFICAND_WIDTH = std::compare(NUM_BITS, SIGNIFICAND_WIDTH); + let (normalized_significand, increment_exponent) = match NUM_BITS_COMPARED_SIGNIFICAND_WIDTH { + std::Ordering::Less => // we need to shift left to normalize the significand + (unnormalized_significand << (SIGNIFICAND_WIDTH - num_trailing_nonzeros), u1:0), + std::Ordering::Equal => ( + unnormalized_significand << (SIGNIFICAND_WIDTH - num_trailing_nonzeros), u1:0 + ), + std::Ordering::Greater => { + match std::compare(num_trailing_nonzeros, SIGNIFICAND_WIDTH) { + std::Ordering::Less => ( + unnormalized_significand << (SIGNIFICAND_WIDTH - num_trailing_nonzeros), u1:0 + ), + std::Ordering::Equal => (unnormalized_significand, u1:0), + std::Ordering::Greater => { + let num_bits_to_round_off = (num_trailing_nonzeros - SIGNIFICAND_WIDTH) as + uN[std::clog2(PRE_NORMALIZE_WIDTH)]; + let right_aligned = unnormalized_significand >> num_bits_to_round_off; + let round_up = rne(unnormalized_significand, num_bits_to_round_off); + let rounded = if round_up { + let rounded_up = right_aligned + uN[PRE_NORMALIZE_WIDTH]:1; + let significand_overflow = + (rounded_up as uN[SIGNIFICAND_WIDTH]) == uN[SIGNIFICAND_WIDTH]:0; + (rounded_up, significand_overflow) + } else { + let significand_overflow = false; + (right_aligned, significand_overflow) + }; + rounded + }, + } + }, + }; + + // We now discard the leading 1 in the normalized significand (however, when + // significand_overflow (see above), the leading 1 is actually one bit to the left, but we + // want fraction to be 0, so the logic works out). + let fraction = normalized_significand as uN[FRACTION_SZ]; + + const BINARY_EXPONENT_OF_X = binary_exponent(EXPONENT_IS_NEGATIVE, BINARY_UEXPONENT); + let exponent = + BINARY_EXPONENT_OF_X + num_trailing_nonzeros as s32 + increment_exponent as s32 - s32:1; + + const MAX_NORMAL_EXP = apfloat::max_normal_exp(); + let exponent_overflows = exponent > MAX_NORMAL_EXP as s32; + + // When implementing SubnormalOutputs::Produced, handle case where exponent_underflows but + // the shifted significand is not zero + const_assert!(SUBNORMAL_OUTPUTS == SubnormalOutputs::FlushToZero); + + const MIN_NORMAL_EXP = apfloat::min_normal_exp(); + let exponent_underflows = exponent < MIN_NORMAL_EXP as s32; + + let biased_exponent = apfloat::bias(exponent as sN[EXP_SZ]); + + let is_negative = src.significand < sN[NUM_BITS]:0; + let is_zero = magnitude == uN[NUM_BITS]:0; + + match (exponent_overflows, exponent_underflows || is_zero) { + (true, _) => apfloat::inf(is_negative), + (_, true) => apfloat::zero(is_negative), + (false, false) => apfloat::APFloat { + sign: is_negative, + bexp: biased_exponent, + fraction, + }, + } +} + +// Converts a FixedPoint to its underlying bits; i.e. the significand. +// +// Note: discards the signedness, hence 'u' in the name. +pub fn to_ubits(x: FixedPoint) -> uN[NB] { + x.significand as uN[NB] +} + +#[test] +fn test_most_significant_bit_position() { + // Test case 1: Standard positive exponents + assert_eq(most_significant_bit_position(u32:4, s32:3), s33:6); + + // Test case 2: Zero exponent + assert_eq(most_significant_bit_position(u32:4, s32:0), s33:3); // xxxx.b + + // Test case 3: Negative exponent + assert_eq(most_significant_bit_position(u32:4, s32:-4), s33:-1); + assert_eq(most_significant_bit_position(u32:4, s32:-3), s33:0); // x.xxxb + assert_eq(most_significant_bit_position(u32:4, s32:-2), s33:1); + + // Test case 4: Maximum u32 value + assert_eq(most_significant_bit_position(u32:4294967295, s32:0), s33:4294967294); + assert_eq(most_significant_bit_position(u32:4294967294, s32:1), s33:4294967294); + + // Test case 5: Minimum s32 exponent + assert_eq(most_significant_bit_position(u32:4294967295, s32:-2147483648), s33:2147483646); +} + +#[test] +fn test_least_significant_bit_position() { + // Test case 1: Standard positive exponents + assert_eq(least_significant_bit_position(u32:1, s32:1), s32:1); // x0.b + assert_eq(least_significant_bit_position(u32:1, s32:2), s32:2); + + // Test case 2: Zero exponent + assert_eq(least_significant_bit_position(u32:4, s32:0), s32:0); + + // Test case 3: Negative exponent + assert_eq(least_significant_bit_position(u32:1, s32:-1), s32:-1); + + // Test case 4: Maximum u32 value + assert_eq(least_significant_bit_position(u32:4294967295, s32:0), s32:0); + + // Test case 5: Minimum s32 exponent + assert_eq(least_significant_bit_position(u32:1, s32:-2147483648), s32:-2147483648); +} + +#[test] +fn test_num_bits_overlapping() { + assert_eq(num_bits_overlapping(u32:0, s32:0, u32:0, s32:0), u32:0); + assert_eq(num_bits_overlapping(u32:1, s32:0, u32:1, s32:0), u32:1); + assert_eq(num_bits_overlapping(u32:1, s32:-1, u32:1, s32:-1), u32:1); + + // Test identical widths and binary exponents + assert_eq(num_bits_overlapping(u32:5, s32:0, u32:5, s32:0), u32:5); + + // Different binary exponents, same widths + assert_eq(num_bits_overlapping(u32:5, s32:0, u32:5, s32:1), u32:4); + assert_eq(num_bits_overlapping(u32:5, s32:1, u32:5, s32:0), u32:4); + assert_eq(num_bits_overlapping(u32:5, s32:1, u32:5, s32:-1), u32:3); + + // Different widths, same binary exponent + assert_eq(num_bits_overlapping(u32:5, s32:0, u32:6, s32:0), u32:5); + + // Different widths and binary exponents + assert_eq(num_bits_overlapping(u32:5, s32:0, u32:6, s32:1), u32:4); + + // Neighboring, excactly zero overlap + assert_eq(num_bits_overlapping(u32:4, s32:0, u32:2, s32:-2), u32:0); + assert_eq(num_bits_overlapping(u32:2, s32:-2, u32:4, s32:0), u32:0); + assert_eq(num_bits_overlapping(u32:32, s32:31, u32:31, s32:0), u32:0); + + // Gap of 1 + assert_eq(num_bits_overlapping(u32:4, s32:0, u32:2, s32:-3), u32:0); + assert_eq(num_bits_overlapping(u32:2, s32:-3, u32:4, s32:0), u32:0); + + // partial overlap + assert_eq(num_bits_overlapping(u32:4, s32:0, u32:2, s32:-1), u32:1); + assert_eq(num_bits_overlapping(u32:2, s32:-1, u32:3, s32:-2), u32:2); + + // big gap + assert_eq(num_bits_overlapping(u32:32, s32:-31, u32:32, s32:31), u32:0); +} + +#[test] +fn test_aligned_width() { + // Test minimum NB and BE + assert_eq(aligned_width(u32:1, s32:0, u32:1, s32:0), u32:1); + + // Test identical NB and BE + assert_eq(aligned_width(u32:8, s32:0, u32:8, s32:0), u32:8); + + // Test different NB values + assert_eq(aligned_width(u32:16, s32:0, u32:8, s32:0), u32:16); + + // Test different BE values + assert_eq(aligned_width(u32:8, s32:2, u32:8, s32:-2), u32:12); + + // There is a gap, so no need to increase width (i.e. no need to account for carry out) + assert_eq(aligned_width(u32:1, s32:1, u32:1, s32:0), u32:2); + assert_eq(aligned_width(u32:8, s32:16, u32:8, s32:0), u32:24); + + // Test negative BE values + assert_eq(aligned_width(u32:8, s32:-8, u32:8, s32:0), u32:16); + + // Test + and - BE values + assert_eq(aligned_width(u32:31, s32:16, u32:37, s32:-15), u32:62); +} + +#[test] +fn test_from_integer() { assert_eq(from_integer(s3:0b111), make_fixed_point(s3:0b111)); } + +#[test] +fn test_mul_zero_zero() { + let a = make_fixed_point(s5:0); + let b = make_fixed_point(s5:0); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:0)); +} + +#[test] +fn test_mul_zero_nonzero() { + let a = make_fixed_point(s4:0); + let b = make_fixed_point(s6:5); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:0)); +} + +#[test] +fn test_mul_exponent_zero() { + // 5 * 2^0 = 5 and 3 * 2^0 = 3 => product = 15 => raw = 15 when exponent is 0 + let a = make_fixed_point(s5:5); + let b = make_fixed_point(s5:3); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:15)); +} + +#[test] +fn test_mul_max_data_bits() { + // 15/16 * 1/16 = 15/256 => raw = 15 for 8 bits => exponent is -8 + let a = make_fixed_point(s5:15); + let b = make_fixed_point(s5:1); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:15)); +} + +#[test] +fn test_mul_half_half() { + // 1/2 * 1/2 = 1/4 => significand = 1 + let a = make_fixed_point(s2:1); + let b = make_fixed_point(s2:1); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s4:0b01)); +} + +#[test] +fn test_mul_one_one() { + // 1/16 * 1/16 = 1/256 => significand = 1 + let a = make_fixed_point(s5:1); + let b = make_fixed_point(s5:1); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:1)); +} + +#[test] +fn test_mul_one_two() { + // 1/16 * 2/16 = 2/256 => significand = 2 + let a = make_fixed_point(s5:1); + let b = make_fixed_point(s5:2); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:2)); +} + +#[test] +fn test_mul_max_max() { + // 15/16 * 15/16 = 225/256 => significand = 225 + let a = make_fixed_point(s5:15); + let b = make_fixed_point(s5:15); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:225)); +} + +#[test] +fn test_mul_large_positive_exponent() { + // 3 * 2^5 = 96 and 2 * 2^5 = 64 => 96 * 64 = 6144 => raw = 6 when exponent is 10 + let a = make_fixed_point(s5:3); + let b = make_fixed_point(s5:2); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:6)); +} + +#[test] +fn test_mul_more_negative_exponent() { + // 3 * 2^-6 = 3/64 and 8 * 2^-6 = 1/8 => product = 3/512 => raw = 24 when exponent is -12 + let a = make_fixed_point(s5:3); + let b = make_fixed_point(s5:8); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:24)); +} + +#[test] +fn test_mul_int_fractional() { + // 7 * 2^2 = 7 and 3 * 2^-5 = 3/16 => product = 21/8 => raw = 21 when exponent is -3 + let a = make_fixed_point(s5:7); + let b = make_fixed_point(s5:3); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:21)); +} + +#[test] +fn test_mul_min_exponent() { + // 1 * 2^-8 = 1/256 and 1 * 2^-8 = 1/256 => product = 1/65536 => raw = 1 when exponent is -16 + let a = make_fixed_point(s5:1); + let b = make_fixed_point(s5:1); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s10:1)); +} + +#[test] +fn test_mul_large_positive_exponents() { + // a: 63 * 2^8 = 16128 + // b: 31 * 2^7 = 3968 + // product: 16128 * 3968 = 1953 * 2^15 = 63,995,904 + let a = make_fixed_point(s7:63); + let b = make_fixed_point(s6:31); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s13:1953)); +} + +#[test] +fn test_mul_different_exponents() { + // 7 * 2^-2 = 7/4 and 4 * 2^3 = 32 => product = 56 => raw = 28 when exponent is 1 + let a = make_fixed_point(s5:7); + let b = make_fixed_point(s4:4); + let result = mul(a, b); + assert_eq(result, make_fixed_point(s9:28)); +} + +#[test] +fn test_uadd_zero_zero() { + let a = make_fixed_point(s5:0); + let b = make_fixed_point(s5:0); + let result = add(a, b); + assert_eq(result, make_fixed_point(s6:0)); + + let a = make_fixed_point(s5:0); + let b = make_fixed_point(s5:0); + let result = add(a, b); + assert_eq(result, make_fixed_point(s6:0)); + + let a = make_fixed_point(s5:0); + let b = make_fixed_point(s5:0); + let result = add(a, b); + assert_eq(result, make_fixed_point(s6:0)); +} + +#[test] +fn test_uadd_zero_five() { + let a = make_fixed_point(s2:0b0); + let b = make_fixed_point(s4:0b101); + let result = add(a, b); + assert_eq(result, make_fixed_point(s5:0b101)); +} + +#[test] +fn test_uadd_1_5() { + let a = make_fixed_point(s2:0b1); // 1 + let b = make_fixed_point(s4:0b101); // 5 + let result = add(a, b); + assert_eq(result, make_fixed_point(s5:0b110)); // 6 +} + +#[test] +fn test_uadd_1_5_exp1() { + let a = make_fixed_point(s2:0b1); // 1*2^1 = 2 + let b = make_fixed_point(s4:0b101); // 5*2^1 = 10 + let result = add(a, b); + assert_eq(result, make_fixed_point(s5:0b110)); // 6*2^1 = 12 +} + +#[test] +fn test_uadd_carry_out() { + let a = make_fixed_point(s5:0b1111); // 15 + let b = make_fixed_point(s5:0b0001); // 1 + let result = add(a, b); + assert_eq(result, make_fixed_point(s6:0b10000)); // 16 +} + +#[test] +fn test_uadd_different_exps() { + let a = make_fixed_point(s3:0b01); // 1*2^1 = 2 + let b = make_fixed_point(s3:0b01); // 1*2^2 = 4 + let result = add(a, b); + assert_eq(result, make_fixed_point(s5:0b11)); // 3*2^1 = 6 + + let a = make_fixed_point(s3:0b11); // 3*2^1 = 6 + let b = make_fixed_point(s3:0b11); // 3*2^2 = 12 + let result = add(a, b); + assert_eq(result, make_fixed_point(s5:0b1001)); // 9*2^1 = 18 +} + +#[test] +fn test_uadd_2_7_exp2() { + let a = make_fixed_point(s2:0b1); // 2*2^2 = 8 + let b = make_fixed_point(s4:0b111); // 7*2^2 = 28 + let result = add(a, b); + assert_eq(result, make_fixed_point(s5:0b1000)); // 8*2^2 = 32 +} + +#[test] +fn test_uadd_4_3_exp1() { + let a = make_fixed_point(s4:0b100); // 4*2^1 = 8 + let b = make_fixed_point(s3:0b11); // 3*2^1 = 6 + let result = add(a, b); + assert_eq(result, make_fixed_point(s5:0b111)); // 7*2^1 = 14 +} + +#[test] +fn test_uadd_1_1_exp3_partial_overlap() { + let a = make_fixed_point(s2:0b1); // 1*2^3 = 8 + let b = make_fixed_point(s5:0b1111); // 15*2^0 = 15 + let result = add(a, b); + assert_eq(result, make_fixed_point(s6:0b10111)); // 23*2^0 = 23 +} + +#[test] +fn test_uadd_2_4_exp0_non_overlap() { + let a = make_fixed_point(s3:0b01); // 1*2^0 = 1 + let b = make_fixed_point(s5:0b1000); // 8*2^2 = 32 + let result = add(a, b); + // Bits don't overlap after alignment so there is no carry out + assert_eq(result, make_fixed_point(s8:0b100001)); // 33*2^0 = 33 +} + +#[test] +fn test_uadd_wide_exp2() { + let a = make_fixed_point(s5:0b1111); // 15*2^0 = 15 + let b = make_fixed_point(s4:0b111); // 7*2^1 = 14 + let result = add(a, b); + // Fully overlapping bits + assert_eq(result, make_fixed_point(s6:0b11101)); // 29*2^0 = 29 +} + +#[test] +fn test_uadd_neg_neg_exp2() { + let a = make_fixed_point(s3:0b01); // 1*2^-2 = 0.25 + let b = make_fixed_point(s3:0b10); // 2*2^-2 = 0.5 + let result = add(a, b); + assert_eq(result, make_fixed_point(s4:0b11)); // 0.75 +} + +#[test] +fn test_uadd_neg_pos_exp1() { + let a = make_fixed_point(s5:0b111); // 7*2^-1 = 3.5 + let b = make_fixed_point(s4:0b111); // 7*2^1 = 14 + let result = add(a, b); + assert_eq(result, make_fixed_point(s7:0b100011)); // 35*2^-1 = 17.5 +} + +#[test] +fn test_uadd_pos_neg_exp0() { + let a = make_fixed_point(s5:0b1001); // 9*2^0 = 9 + let b = make_fixed_point(s4:0b101); // 5*2^-3 = 0.625 + let result = add(a, b); + // no overlap after alignment; no carry out + assert_eq(result, make_fixed_point(s9:0b1001101)); // 77*2^-3= 9.6255 +} + +// ++++ sub tests ++++ +#[test] +fn test_sub_zero_zero_exp0() { + // 0 * 2^0 = 0 + // 0 * 2^0 = 0 + // Expected: 0 + let a = make_fixed_point(s2:0b0); + let b = make_fixed_point(s2:0b0); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s3:0b0)); +} + +#[test] +fn test_sub_3_1_exp0() { + // 3 * 2^0 = 3 + // 1 * 2^0 = 1 + // Expected: 2 * 2^0 = 2 + let a = make_fixed_point(s3:0b11); + let b = make_fixed_point(s3:0b01); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s4:0b10)); +} + +#[test] +fn test_sub_6_2_exp1() { + // 6 * 2^1 = 12 + // 2 * 2^1 = 4 + // Expected: 8 * 2^1 = 16 + let a = make_fixed_point(s4:0b110); + let b = make_fixed_point(s3:0b10); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s5:0b100)); +} + +#[test] +fn test_sub_8_3_exp_neg1() { + // 8 * 2^1 = 16 + // 3 * 2^-1 = 1.5 + // Expected: 14.5 => (29 * 2^-1) in binary = u4:0b0101 + // 1000.00 + //-0000.11 + let a = make_fixed_point(s5:0b1000); + let b = make_fixed_point(s5:0b0011); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s8:0b11101)); +} + +#[test] +fn test_sub_lhs_has_smaller_exponent() { + // 172.75 + // 21 * 2^3 = 168 + // Expected: 14.5 => (29 * 2^-1) in binary = u4:0b0101 + // 1000.00 + //-0000.11 + let a = make_fixed_point(s20:0b1010110011); + let b = make_fixed_point(s6:0b10101); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s21:0b10011)); +} + +#[test] +fn test_sub_negative_result() { + // 1 * 2^0 = 1 + // 3 * 2^0 = 3 + let a = make_fixed_point(s3:1); + let b = make_fixed_point(s3:3); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s4:-2)); // -2 * 2^0 = -2 +} + +#[test] +fn test_sub_negative_result_fractional_only() { + // 0.25 - 0.75 = -0.5 + // -0.5 = -4 * 2^-3 + let a = make_fixed_point(s6:1); + let b = make_fixed_point(s6:6); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s8:-4)); +} + +#[test] +fn test_sub_negative_result_lhs_neg_exponent() { + // 12 * 2^-2 = 3 + // 4 * 2^0 = 4 + // 3 - 4 = -1 = -4 * 2^-2 + let a = make_fixed_point(s6:12); + let b = make_fixed_point(s4:4); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s7:-4)); +} + +#[test] +fn test_sub_negative_result_rhs_neg_exponent() { + // 2.0 - 2.75 = -0.75 + // 2.75 => 11 * 2^-2 + let a = make_fixed_point(s3:2); + let b = make_fixed_point(s6:11); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s7:-3)); +} + +#[test] +fn test_sub_negative_result_both_neg_exponent() { + // 5.5 - 6.0 = -0.5 + // 5.5 => 22 * 2^-2 + // 6.0 => 24 * 2^-2 + let a = make_fixed_point(s6:22); + let b = make_fixed_point(s6:24); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s7:-2)); +} + +#[test] +fn test_sub_result_neg_pos_exponent() { + // 3 * 2^-5 = 3/32 + // 11 * 2^4 = 176 + // 3/32 - 176 = -(175 + 29/32) + // ... = -5629/32 + let a = make_fixed_point(s3:3); + let b = make_fixed_point(s6:11); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s15:-5629)); +} + +#[test] +fn test_sub_result_pos_neg_exponent() { + // 2 * 2^3 = 16 + // 11 * 2^-4 = 0.6875 + // 16 - 0.6875 = 15.3125 + // 15.3125 = 245 * 2^-4 + let a = make_fixed_point(s3:2); + let b = make_fixed_point(s6:11); + let result = sub(a, b); + assert_eq(result, make_fixed_point(s10:245)); +} + +#[test] +fn test_add_overflow() { + // Max s4 value 0b0111 = 7 + let a = make_fixed_point(s4:7); + + // 7 + 7 = 14, overflow an s4 number + let result = add(a, a); + + // Expected result: 7 + 7 = 14 (0b01110) + assert_eq(result, make_fixed_point(s5:14)); +} + +#[test] +fn test_sub_overflow() { + // Max s4 value 0b0111 = 7 + // Min s4 value 0b1000 = -8 + let a = make_fixed_point(s4:7); + let b = make_fixed_point(s4:-8); + + // 7 - (-8) = 15, overflow the s4 number + let result = sub(a, b); + + // Expected result: 7 - (-8) = 15 (0b01111) + assert_eq(result, make_fixed_point(s5:15)); +} + +#[test] +fn test_add_no_overlap() { + // 7 = 0b0111 + let a = make_fixed_point(s4:7); + + // 3 = 0b0011 + let b = make_fixed_point(s4:3); + + // No overlap + let result = add(a, b); + + // Expected result with no overlap + // a = 0b0111_0000 + // b = 0b0000_0011 + // + + // result = 0b0111_0011 + assert_eq(result, make_fixed_point(s8:0b0111_0011)); +} + +#[test] +fn test_sub_no_overlap() { + // 7 = 0b0111 + let a = make_fixed_point(s4:7); + + // 3 = 0b0011 + let b = make_fixed_point(s4:3); + + // No overlap + let result = sub(a, b); + + // Expected result with no overlap + // a = 0b0111_0000 + // b = 0b0000_0011 + // - + // result = 0b0110_1101 + assert_eq(result, make_fixed_point(s8:0b0110_1101)); +} + +#[test] +fn test_binary_exponent_after_truncation_combined() { + // Test no truncation + let result = binary_exponent_after_truncation(u32:8, u32:8, s32:2); + assert_eq(result, s32:2); + + // Test almost all truncated + let result = binary_exponent_after_truncation(u32:1, u32:8, s32:2); + assert_eq(result, s32:9); + + // Test fractional truncated + let result = binary_exponent_after_truncation(u32:6, u32:8, s32:-2); + assert_eq(result, s32:0); + + // Test integer and fractional truncated + let result = binary_exponent_after_truncation(u32:4, u32:9, s32:-3); + assert_eq(result, s32:2); + + // Test negative exponent + let result = binary_exponent_after_truncation(u32:4, u32:8, s32:-1); + assert_eq(result, s32:3); + + // Test zero result bits + let result = binary_exponent_after_truncation(u32:0, u32:8, s32:1); + assert_eq(result, s32:9); +} + +#[test] +fn test_truncate() { + // Test no truncation + assert_eq( + truncate(make_fixed_point(s9:0b10101010)), + make_fixed_point(s9:0b10101010)); + assert_eq( + truncate(make_fixed_point(s9:0b01010101)), + make_fixed_point(s9:0b01010101)); + + // Truncate by 1 bit + assert_eq( + truncate(make_fixed_point(s9:0b10101010)), + make_fixed_point(s8:0b1010101)); + assert_eq( + truncate(make_fixed_point(s9:0b01010101)), + make_fixed_point(s8:0b0101010)); + + // Truncate by 2 bits + assert_eq( + truncate(make_fixed_point(s9:0b01011111)), + make_fixed_point(s7:0b010111)); + assert_eq( + truncate(make_fixed_point(s9:0b10100000)), + make_fixed_point(s7:0b101000)); + + // Truncate by almost all bits + assert_eq( + truncate(make_fixed_point(s9:0b10101010)), make_fixed_point(s2:0b1)); + assert_eq( + truncate(make_fixed_point(s9:0b01111111)), make_fixed_point(s2:0b0)); + + // Truncate, input is 0 + assert_eq( + truncate(make_fixed_point(s9:0b00000000)), make_fixed_point(s6:0b00000)); + assert_eq(truncate(make_fixed_point(s31:0b0)), make_fixed_point(s5:0b0)); + + // Truncate an all-fractional number. exponent will reduce in magnitude + assert_eq( + truncate(make_fixed_point(s13:0b101101101101)), + make_fixed_point(s7:0b101101)); + + // Truncate resulting in zero + assert_eq( + truncate(make_fixed_point(s7:0b001111)), make_fixed_point(s3:0b00)); +} + +#[test] +fn test_round_ne_target_width() { + // Test no rounding + assert_eq( + round_ne_target_width(make_fixed_point(s9:0b10101010)), + make_fixed_point(s9:0b10101010)); + assert_eq( + round_ne_target_width(make_fixed_point(s9:0b01010101)), + make_fixed_point(s9:0b01010101)); + + // We want to test these cases: + // If the discarded bits > half, round up (e.g. .1001b) + // If the discarded bits < half, round down (e.g. .0111b) + // If the discarded bits == half, we have to consider the least significant retained bit: + // * if it is odd, round up (e.g. 01.1000b -> 10.b) + // * if it is even, round down (e.g. 00.1000b -> 00.b) + + // the discarded bits > half, round up (e.g. .1001b) + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10101)), + make_fixed_point(s2:0b11)); + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10110)), + make_fixed_point(s2:0b11)); + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10111)), + make_fixed_point(s2:0b11)); + + // If the discarded bits < half, round down (e.g. .0111b) + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10000)), + make_fixed_point(s2:0b10)); + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10001)), + make_fixed_point(s2:0b10)); + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10010)), + make_fixed_point(s2:0b10)); + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10011)), + make_fixed_point(s2:0b10)); + + // If the discarded bits == half, we have to consider the least significant retained bit: + // * if it is odd, round up (e.g. 01.1000b -> 10.b) + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b01100)), + make_fixed_point(s2:0b10)); + + // If the discarded bits == half, we have to consider the least significant retained bit: + // * if it is even, round down (e.g. 00.1000b -> 00.b) + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b10100)), + make_fixed_point(s2:0b10)); + + // round up and overflow + assert_eq( + round_ne_target_width(make_fixed_point(s5:0b11111)), + make_fixed_point(s2:0b00)); +} + +#[test] +fn test_round_ne_target_exponent() { + // Check that the type arithmetic is correct + assert_eq( + round_ne_target_exponent(make_fixed_point(s10:0)), + make_fixed_point(s7:0)); + assert_eq( + round_ne_target_exponent(make_fixed_point(s10:0)), + make_fixed_point(s8:0)); + + // We're not going to do comprehensive unit testing because the function is just a + // wrapper around round_ne_target_width. We adapt a few of round_ne_target_width's unit tests: + + // If the discarded bits == half, we have to consider the least significant retained bit: + // * if it is odd, round up (e.g. 01.1000b -> 10.b) + assert_eq( + round_ne_target_exponent(make_fixed_point(s5:0b01100)), + make_fixed_point(s2:0b10)); + + // If the discarded bits == half, we have to consider the least significant retained bit: + // * if it is even, round down (e.g. 00.1000b -> 00.b) + assert_eq( + round_ne_target_exponent(make_fixed_point(s5:0b10100)), + make_fixed_point(s2:0b10)); +} + +#[test] +fn test_narrow_by() { + // Test no rounding + let x = make_fixed_point(s9:0b10101010); + assert_eq(narrow_by(x), x); + let x = make_fixed_point(s9:0b10101010); + assert_eq(narrow_by(x), x); + + // Test no overflow case + // posiitve input + assert_eq( + narrow_by(make_fixed_point(s9:0b011111111)), + make_fixed_point(s8:0b11111111)); + // negative input + assert_eq( + narrow_by(make_fixed_point(s9:0b111111111)), + make_fixed_point(s8:0b11111111)); + + // Test overflow occurs but is not detected + // positive input + assert_eq( + narrow_by(make_fixed_point(s9:0b011111111)), + make_fixed_point(s7:0b1111111)); + // negative input + assert_eq( + narrow_by(make_fixed_point(s9:0b100000000)), make_fixed_point(s6:0)); + + // can discard all integer bits + assert_eq( + narrow_by(make_fixed_point(s4:0b1111)), make_fixed_point(s1:0b1)); +} + +#[test] +fn test_to_common_numbits_and_exponent() { + // exponent decrease by 1. numbits increase by 1 + assert_eq( + to_common_type(make_fixed_point(s10:375)), + make_fixed_point(s11:750)); + + // exponent decrease by 2. numbits unchanged. + assert_eq( + to_common_type(make_fixed_point(s12:253)), + make_fixed_point(s12:1012)); + + // exponent decrease by 3. numbits increases by 3. negative significand. If casting before + // shifting is not done, the shift will overflow. + assert_eq( + to_common_type(make_fixed_point(s7:-63)), + make_fixed_point(s10:-504)); +} + +import float32; + +#[test] +fn test_convert_to_float_using_round_ties_to_even() { + type F32 = float32::F32; + type ExpBits = sN[float32::F32_EXP_SZ]; + type FractionBits = uN[float32::F32_FRACTION_SZ]; + + // ↓↓↓↓ fxp is zero with varying {exponents, widths} ↓↓↓↓ + let fxp = make_fixed_point(s2:0); + let expected = float32::zero(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + let fxp = make_fixed_point(s8:0); + let expected = float32::zero(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + let fxp = make_fixed_point(s33:0); + let expected = float32::zero(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + let fxp = make_fixed_point(s17:0); + let expected = float32::zero(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // ↓↓↓↓ fxp is most-negative representable value; does magnitude = + // std::abs(src.significand) + // work? ↓↓↓↓ + let fxp = make_fixed_point(s3:-4); + let expected = float32::from_int32(s32:-4); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // ↓↓↓↓ fxp is ∞ with varying {exponents, widths} ↓↓↓↓ + // testing that 1*2^127 is finite while numbers at least 2x larger are ∞ + let fxp = make_fixed_point(s2:1); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + let fxp = make_fixed_point(s3:1); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + let fxp = make_fixed_point(s3:2); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + let fxp = make_fixed_point(s3:3); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + let fxp = make_fixed_point(s10:1); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + // ±∞ are produced + let fxp = make_fixed_point(s2:1); + let expected = float32::inf(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s2:-1); + let expected = float32::inf(true); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + // exp is smaller but the fixed point significand 2x larger + let fxp = make_fixed_point(s3:2); + let expected = float32::inf(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s3:-2); + let expected = float32::inf(true); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + let fxp = make_fixed_point(s4:4); + let expected = float32::inf(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // ↓↓↓↓ subnormals are flushed to zero ↓↓↓↓ + // 2^-126 is normal, 2^-127 is subnormal and is flushed to zero + let fxp = make_fixed_point(s32:1); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + let fxp = make_fixed_point(s32:1); + let expected = float32::zero(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:-1); + let expected = float32::zero(true); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + // twice as big is normal + let fxp = make_fixed_point(s32:2); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + let fxp = make_fixed_point(s32:-2); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + // reduce exponent by 1 and these are subnormal + let fxp = make_fixed_point(s32:2); + let expected = float32::zero(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:-2); + let expected = float32::zero(true); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + // 3*2^-128 is subnormal + let fxp = make_fixed_point(s32:3); + let expected = float32::zero(false); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:-3); + let expected = float32::zero(true); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + // 4*2^-128 is normal + let fxp = make_fixed_point(s32:4); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + let fxp = make_fixed_point(s32:-4); + assert_eq( + apfloat::tag( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp)), apfloat::APFloatTag::NORMAL); + + // ↓↓↓↓ normalized values ↓↓↓↓ + // ↓↓↓↓ integers created via fxp with non-negative binary exponent ↓↓↓↓ + let fxp = make_fixed_point(s32:1); + let expected = float32::from_int32(s32:1); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:2); + let expected = float32::from_int32(s32:2); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:1); + let expected = float32::from_int32(s32:2); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:1); + let expected = float32::from_int32(s32:1073741824); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:2); + let expected = float32::from_int32(s32:1073741824); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // ↓↓↓↓ integers created via fxp with negative binary exponent ↓↓↓↓ + let fxp = make_fixed_point(s32:2); + let expected = float32::from_int32(s32:1); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:4); + let expected = float32::from_int32(s32:1); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:16); + let expected = float32::from_int32(s32:4); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:12); + let expected = float32::from_int32(s32:3); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:20); + let expected = float32::from_int32(s32:5); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // ↓↓↓↓ integers approach the exactly representable threshold ↓↓↓↓ + let fxp = make_fixed_point(s32:0b100000000000000000000000); + let expected = float32::from_int32(s32:8388608); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:0b111111111111111111111110); + let expected = float32::from_int32(s32:16777214); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:0b111111111111111111111111); + let expected = float32::from_int32(s32:16777215); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // ↓↓↓↓ integers that are not exactly representable ↓↓↓↓ + // smallest int not exactly representable. rounds down to even + let fxp = make_fixed_point(s32:16777217); + let expected = float32::from_int32(s32:16777216); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + // rounds up to even + let fxp = make_fixed_point(s32:16777219); + let expected = float32::from_int32(s32:16777220); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // negative fxp binary exponent + // smallest int not exactly representable. rounds down to even + let fxp = make_fixed_point(s32:33554434); + let expected = float32::from_int32(s32:16777216); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + // rounds up to even + let fxp = make_fixed_point(s32:33554438); + let expected = float32::from_int32(s32:16777220); + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + + // ↓↓↓↓ a wide value that must be rounded, overflows when rounding, increases the + // exponent ↓↓↓↓ + // We start with an exactly representable value (i.e. up to contiguous 24 set bits). Then we + // add 1 to it (producing 25 set bits) and observe that rounding (during conversion) + // increases the result's f32's exponent + let fxp = make_fixed_point(s32:0b1111111111111111111111110); + let expected = F32 { + sign: u1:0, + bexp: float32::bias(s8:24), + fraction: FractionBits:0b11111111111111111111111, + }; + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = add(make_fixed_point(s32:1), fxp); + let expected = F32 { sign: u1:0, bexp: float32::bias(s8:25), fraction: FractionBits:0b0 }; + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + // Let's do it again with a value that has a negative binary exponent + let fxp = make_fixed_point(s32:0b1111111111111111111111110); + let expected = F32 { + sign: u1:0, + bexp: float32::bias(s8:0), + fraction: FractionBits:0b11111111111111111111111, + }; + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); + let fxp = make_fixed_point(s32:0b1111111111111111111111111); + let expected = F32 { sign: u1:0, bexp: float32::bias(s8:1), fraction: FractionBits:0b0 }; + assert_eq( + convert_to_float_using_round_ties_to_even< + SubnormalOutputs::FlushToZero, float32::F32_EXP_SZ, float32::F32_FRACTION_SZ>( + fxp), expected); +} diff --git a/hls4ml/templates/xls/firmware/myproject.x b/hls4ml/templates/xls/firmware/myproject.x new file mode 100644 index 0000000000..bce17ae562 --- /dev/null +++ b/hls4ml/templates/xls/firmware/myproject.x @@ -0,0 +1,29 @@ +import nnet_utils.multi_dense_fxd; + +// hls-fpga-machine-learning xls layer documentation + + +// hls-fpga-machine-learning insert dimensions + + +// **************************************** +// NETWORK INSTANTIATION +// **************************************** +pub fn myproject_architecture< + // hls-fpga-machine-learning architecture type inference + >( + // hls-fpga-machine-learning architecture arguments + ) -> + // hls-fpga-machine-learning output + { + + // hls-fpga-machine-learning insert layers +} + + +pub fn myproject + () + -> { + + // hls-fpga-machine-learning insert load weights +} \ No newline at end of file diff --git a/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x b/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x new file mode 100644 index 0000000000..67cfe47121 --- /dev/null +++ b/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x @@ -0,0 +1,481 @@ +import std; + +import ap_types.fixed_point; +type FixedPoint = fixed_point::FixedPoint; + +// DEFAULT: (16, 6) => +// E.g. make_fixed_point(s6:31) = 31 * 2^-2 = 7.75 + +const NB_COMMON = u32:16; +const EN_COMMON = u32:1; +const BU_COMMON = u32:10; +const BE_COMMON = s32:-10; + +pub const FXP_6_75_NEG = sN[NB_COMMON]:-6912; +pub const FXP_4_0_NEG = sN[NB_COMMON]:-4096; +pub const FXP_3_0_NEG = sN[NB_COMMON]:-3072; +pub const FXP_0_0 = sN[NB_COMMON]:0; +pub const FXP_0_5 = sN[NB_COMMON]:512; +pub const FXP_1_0 = sN[NB_COMMON]:1024; +pub const FXP_1_5 = sN[NB_COMMON]:1536; +pub const FXP_2_0 = sN[NB_COMMON]:2048; +pub const FXP_2_25 = sN[NB_COMMON]:2304; +pub const FXP_4_5 = sN[NB_COMMON]:4608; +pub const FXP_5_5 = sN[NB_COMMON]:5632; +pub const FXP_6_75 = sN[NB_COMMON]:6912; +pub const FXP_12_0 = sN[NB_COMMON]:12288; +pub const FXP_13_5 = sN[NB_COMMON]:13824; + +pub type CommonFxdPoint = FixedPoint; + +// let w0 = fixed_point::mul(x[0], y[0]); +// let w1 = fixed_point::mul(x[1], y[1]); +// let w2 = fixed_point::add(w0, w1); +// let w3 = fixed_point::to_common_type(w2); + + +// ================================================================ +// ----------------------- Fixed Point Lib ------------------------ + +// Returns a FixedPoint that uses a common num bits and binary exponent. +// +// The intended usage is so that fixed point constants can be specified in their most reduced form +// (i.e. fewest number of bits used) by the generating program, and then all co-normalized so that +// they have the same type in DSLX. +// +// Assumes that EXPONENT_IS_NEGATIVE of `x` matches the result's EXPONENT_IS_NEGATIVE. +// +// When COMMON_BINARY_UEXPONENT > BINARY_UEXPONENT, the significand is shifted right, and there is +// potential information loss, so this branch is currently a `fail!`. +// +// WARNING:Does not check that the result's bitwidth is wide enough to hold `x.significand` shifted +// appropriately. +fn to_common_type + + (x: sN[NUM_BITS]) + -> sN[COMMON_NUM_BITS] { + + let x_exp = fixed_point::binary_exponent(EXPONENT_IS_NEGATIVE, BINARY_UEXPONENT); + let result_exp = fixed_point::binary_exponent(EXPONENT_IS_NEGATIVE, COMMON_BINARY_UEXPONENT); + let significand = if result_exp > x_exp { + // If the exponent is increasing, then the significand needs to decrease. + // let expr = (x.significand as sN[COMMON_NUM_BITS]) >> (result_exp - x_exp) as u32; + // fail!("you_are_losing_information_is_this_really_what_you_want", expr) + // BUGFIX+ENABLE: Andrei + let expr = (x >> (result_exp - x_exp) as u32) as sN[COMMON_NUM_BITS]; + expr + } else { + // If the exponent is decreasing, then the significand needs to increase. + (x as sN[COMMON_NUM_BITS]) << (x_exp - result_exp) as u32 + }; + significand +} + +pub fn mul + + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B]) + -> sN[NB_R] { + + std::smul(fxd_a, fxd_b) +} + +pub fn add + + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B]) + -> sN[NB_R] { + // Widen before left shifting to avoid overflow + let aligned_lhs = (fxd_a as sN[NB_R]) << (BE_A - BE_R) as u32; + let aligned_rhs = (fxd_b as sN[NB_R]) << (BE_B - BE_R) as u32; + + aligned_lhs + aligned_rhs +} + + +// Fused-multiply-add. To infer the final precision, we chain the precision calculation as a multiplication +// followed by an add. +fn fmadd + // unsigned exp ADD + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B], + fxd_c: sN[NB_C]) + -> sN[NB_SUM] { + + let prod = mul(fxd_a, fxd_b); + add(prod, fxd_c) +} + +// Performs an add assuming that the rhs is already wide enough to not overflow. +// WARNING: rhs must be wide enough to avoid any overflow +pub fn add_already_widened + + (fxd_a: sN[NB_A], fxd_b: sN[NB_B]) + -> sN[NB_B] { + // Widen before left shifting to avoid overflow + let aligned_lhs = (fxd_a as sN[NB_B]) << (BE_A - BE_B) as u32; // TODO: I think this is also always the same in the dot product use case. Fraction bits stay the same + let aligned_rhs = fxd_b; + + aligned_lhs + aligned_rhs +} + +// Performs an fused-multiply-add assuming that the rhs is already wide enough to not overflow. +// WARNING: the add rhs must be wide enough to avoid any overflow +fn fmadd_already_widened + // unsigned exp MUL> + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B], + fxd_c: sN[NB_C]) + -> sN[NB_C] { + + let prod = mul(fxd_a, fxd_b); + add_already_widened(prod, fxd_c) +} + +// Performs a dot product on 2 vectors. To implement this, the final widened result is +// computed before. An accumulator is instantiated with this final size and the fmadd operation +// is reimplemented in such a way as to not widen the output when summing in the accumulator. +// +// TYPE EXPLANATIONS: +// number bits: a multiplication assumes to always double the number of bits. +// Since our vectors must be of the same type +// (each elem. within each vector follow the same fixed point representation) +// we know the size of all elem. wise multiplications. +// We can also guarantee that all elements will have overlapping positions +// (again because elems. within vectors have the same type). This means that we must +// widen by one bit for each element of the vector minus one. Minus one because we performs VEC_SZ - 1 adds. +// binary exponent: The binary exponent will never change with additions since +// all elem-wise multiplication will result in the same exponent. +// exp is negative: inferred from 'binary exponent' +// unsigned exp: inferred from 'binary exponent' +// WARNINGS: +// 1. made aligned_width() and num_bits_overlapping() public in a copy of the fixed_point module. +// to write the type inference +// 2. We use ''already_widened'' functions. +fn dot_prod + // unsigned exp DOT PROD + (x: sN[NB_X][VEC_SZ], + y: sN[NB_Y][VEC_SZ]) + -> sN[NB_DOT_PROD] { + + for (i, acc): (u32, sN[NB_DOT_PROD]) in u32:0..VEC_SZ { + fmadd_already_widened(x[i], y[i], acc) + }(sN[NB_DOT_PROD]:0) +} + +// // ================================================================ +// // ----------------------- NN Implementation ---------------------- + +pub fn relu + + (fxd_x: sN[NB]) -> sN[NB] { + + if (fxd_x > sN[NB]:0) + { fxd_x } + else + { sN[NB]:0 } +} + +pub fn argmax + + (y: sN[NB][VEC_SZ]) -> sN[NB][VEC_SZ] { + + let max_significand = for (i, acc): (u32, sN[NB]) in u32:0..VEC_SZ { + std::max(y[i], acc) + }((s32:-1 << SHIFT_LIMIT) as sN[NB]); + + for (i, z): (u32, sN[NB][VEC_SZ]) in u32:0..VEC_SZ { + if y[i] == max_significand { + update(z, i, (u32:1< + (x: sN[NB_IN][ROWS], + W: sN[NB_IN][ROWS][COLS], + bias: sN[NB_IN][COLS]) + -> sN[NB_OUT][COLS] { + + for (i, z): (u32, sN[NB_OUT][COLS]) in u32:0..COLS { + let vec_prod = dot_prod(x, W[i]); + let with_bias = add(vec_prod, bias[i]); + let with_bias_common = to_common_type(with_bias); + update(z, i, with_bias_common) + }(sN[NB_OUT][COLS]:[sN[NB_OUT]:0, ...]) +} +// Wx = y +// When called must specify the fixed point precision that is in the output. +// This allows the truncation to be done correctly. +// TODO: remove inference from called functions, only infer at layer level? (Issue due to bottom up approach when writing the lib) +pub fn dense_relu + + (x: sN[NB_IN][ROWS], + W: sN[NB_IN][ROWS][COLS], + bias: sN[NB_IN][COLS]) + -> sN[NB_OUT][COLS] { + + for (i, z): (u32, sN[NB_OUT][COLS]) in u32:0..COLS { + let vec_prod = dot_prod(x, W[i]); + let with_bias = add(vec_prod, bias[i]); + let with_bias_common = to_common_type(with_bias); + let with_relu = relu(with_bias_common); + update(z, i, with_relu) + }(sN[NB_OUT][COLS]:[sN[NB_OUT]:0, ...]) +} + + +pub fn multi_dense_fxd + + (x: sN[NB_COMMON][INPUT_D2][INPUT_D1], + w1: sN[NB_COMMON][IN_L1][OUT_L1], + b1: sN[NB_COMMON][OUT_L1], + w2: sN[NB_COMMON][IN_L2][OUT_L2], + b2: sN[NB_COMMON][OUT_L2]) + -> sN[NB_COMMON][OUT_L2][INPUT_D1] { + + // ---------------- Layer 1 ----------------- + let z1 = for (batch_idx, layer1): (u32, sN[NB_COMMON][OUT_L1][INPUT_D1]) in u32:0..INPUT_D1 { + update( + layer1, + batch_idx, + dense_relu(x[batch_idx], w1, b1) + ) + }(sN[NB_COMMON][OUT_L1][INPUT_D1]:[sN[NB_COMMON][OUT_L1]:[FXP_0_0, ...], ...]); // init matrix w/ zeros + + // ---------------- Layer 2 ----------------- + let z2 = for (batch_idx, layer2): (u32, sN[NB_COMMON][OUT_L2][INPUT_D1]) in u32:0..INPUT_D1 { + update( + layer2, + batch_idx, + dense_relu(z1[batch_idx], w2, b2) + ) + }(sN[NB_COMMON][OUT_L2][INPUT_D1]:[sN[NB_COMMON][OUT_L2]:[FXP_0_0, ...], ...]); // init matrix w/ zeros + + // ------------ Output ------------------- + z2 +} + + +#[test] +fn fadd_test() { + let a = sN[u32:16]:1024; // 1.0 + let b = sN[u32:16]:1024; // 1.0 + let c = sN[u32:16]:1024; // 1.0 + + let result = fmadd(a, b, c); + // Solve: x * 2^(-20) = 2 (x must fit in 33 bits) + let expected = sN[u32:33]:2097152; // 2.0 + assert_eq(expected, result); +} + +#[test] +fn dot_prod_test() { + // [1.5, 1.5] + let x = sN[u32:16][2]:[sN[u32:16]:1536, ...]; + // [2.25, 2.25] + let y = sN[u32:16][2]:[sN[u32:16]:2304, ...]; + // 6.75 + let expected = sN[u32:33]:7077888; + assert_eq(expected, dot_prod(x, y)); + + // [1.0, 1.0, 1.0] + let x = sN[u32:16][3]:[sN[u32:16]:1024, ...]; + // [1.0, 1.0, 1.0] + let y = sN[u32:16][3]:[sN[u32:16]:1024, ...]; + // 3.0 + let expected = sN[u32:34]:3145728; + assert_eq(expected, dot_prod(x, y)); +} + +#[test] +fn argmax_test() { + let x = sN[NB_COMMON][2]:[ + sN[NB_COMMON]:1536, + sN[NB_COMMON]:1024 + ]; + let expected = sN[NB_COMMON][2]:[ + sN[NB_COMMON]:1024, + sN[NB_COMMON]:0 + ]; + assert_eq(expected, argmax(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:0, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, argmax(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:-512, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, argmax(x)); +} + +#[test] +fn dense_relu_test_pos() { + let x = sN[NB_COMMON][2]:[FXP_1_5, FXP_1_5]; + let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b1 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; + let expected = sN[NB_COMMON][2]:[FXP_4_5, FXP_4_5]; + assert_eq(expected, dense_relu(x, w1, b1)); +} + +#[test] +fn dense_relu_test_neg() { + let x = sN[NB_COMMON][2]:[FXP_1_5, FXP_1_5]; + let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b1 = sN[NB_COMMON][2]:[FXP_6_75_NEG, FXP_0_0]; + let expected = sN[NB_COMMON][2]:[FXP_0_0, FXP_4_5]; + assert_eq(expected, dense_relu(x, w1, b1)); +} + +#[test] +fn multi_dense_test_no_bias() { + let x = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b1 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; + let w2 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b2 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; + let expected = sN[NB_COMMON][2][2]:[[FXP_13_5, FXP_13_5], + [FXP_13_5, FXP_13_5]]; + let result = multi_dense_fxd(x, w1, b1, w2, b2); + assert_eq(expected, result); +} diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py new file mode 100644 index 0000000000..40f5f9a8f5 --- /dev/null +++ b/hls4ml/writer/xls_writer.py @@ -0,0 +1,346 @@ +import glob +import os +import numpy as np +from fxpmath import Fxp +from shutil import copyfile, copytree, rmtree +from collections import OrderedDict +from dataclasses import dataclass, asdict +from typing import List + +from hls4ml.writer.writers import Writer + +config_filename = 'hls4ml_config.yml' + +@dataclass(frozen=True) +class XLSLayerConfig: + name: str + in_dim_key: str + in_dim_val: int + out_dim_key: str + out_dim_val: int + in_nb: str + in_en: str + in_bu: str + in_type: str + out_nb: str + out_en: str + out_bu: str + out_type: str + fxp_weights: List[List[int]] + fxp_bias: List[int] + + def to_string(self) -> str: + # build lines of "key: value" + lines = [f"{k}: {v}" for k, v in asdict(self).items()] + return "\n".join(lines) + + def is_activation(self) -> bool: + return self.name in ['Activation', 'Softmax'] + +class XLSLayerConfigBuilder: + def __init__(self): + self._kw = { + "fxp_weights": np.array([]), + "fxp_bias": np.array([]), + } + def name(self, v: int): + self._kw["name"] = v; + return self + def in_dim_key(self, v: str): + self._kw["in_dim_key"] = v; + return self + def in_dim_val(self, v: int): + self._kw["in_dim_val"] = v; + return self + def out_dim_key(self, v: str): + self._kw["out_dim_key"] = v; + return self + def out_dim_val(self, v: int): + self._kw["out_dim_val"] = v; + return self + def fxp_weights(self, fxp_weights, out_dim, in_dim): + for w in fxp_weights: + if (len(list(w)) == out_dim*in_dim): + mat = np.array(list(w)).reshape(in_dim, out_dim) + mat_T = mat.T # in Keras the weights are transposed + fxp_w = Fxp(mat_T, signed=True, n_word=16, n_frac=10).raw() + self._kw["fxp_weights"] = fxp_w + return self + return self + def fxp_bias(self, fxp_weights, out_dim): + for w in fxp_weights: + if (len(list(w)) == out_dim): + fxp_b = Fxp(list(w), signed=True, n_word=16, n_frac=10).raw() + self._kw["fxp_bias"] = fxp_b + return self + def in_nb(self, prev_layer_precision): # TODO: right now we only care about the first defined type in the list + if prev_layer_precision: + for _, type_var in prev_layer_precision.items(): + self._kw["in_nb"] = f'u32:{type_var.precision.width}'; + return self + else: + self._kw["in_nb"] = '' + return self + def in_en(self): + self._kw["in_en"] = 'u32:1' + return self + def in_bu(self, prev_layer_precision): + if prev_layer_precision: + for _, type_var in prev_layer_precision.items(): + self._kw["in_bu"] = f'u32:{type_var.precision.width - type_var.precision.integer}'; + return self + else: + self._kw["in_bu"] = '' + return self + def in_type(self, prev_layer_precision): + if prev_layer_precision: + for _, type_var in prev_layer_precision.items(): + self._kw["in_type"] = f'sN[u32:{type_var.precision.width}]'; + return self + else: + self._kw["in_type"] = '' + return self + def out_nb(self, layer_precision): + if layer_precision: + for _, type_var in layer_precision.items(): + self._kw["out_nb"] = f'u32:{type_var.precision.width}'; + return self + else: + self._kw["out_nb"] = '' + return self + def out_en(self): + self._kw["out_en"] = 'u32:1' + return self + def out_bu(self, layer_precision): + if layer_precision: + for _, type_var in layer_precision.items(): + self._kw["out_bu"] = f'u32:{type_var.precision.width - type_var.precision.integer}'; + return self + else: + self._kw["out_bu"] = '' + return self + def out_type(self, layer_precision): + if layer_precision: + for _, type_var in layer_precision.items(): + self._kw["out_type"] = f'sN[u32:{type_var.precision.width}]'; + return self + else: + self._kw["out_type"] = '' + return self + + def build(self) -> XLSLayerConfig: + return XLSLayerConfig(**self._kw) + +class XLSWriter(Writer): + + def write_project_dir(self, model): + """Write the base project directory + + Args: + model (ModelGraph): the hls4ml model. + """ + if not os.path.isdir(f"{model.config.get_output_dir()}/firmware"): + os.makedirs(f"{model.config.get_output_dir()}/firmware") + + def write_project_dslx(self, model, xls_layers: list[XLSLayerConfig]): + """Write the main architecture source file (myproject.x) + + Args: + model (ModelGraph): the hls4ml model. + """ + + filedir = os.path.dirname(os.path.abspath(__file__)) + + f = open(os.path.join(filedir, '../templates/xls/firmware/myproject.x')) + fout = open(f'{model.config.get_output_dir()}/firmware/{model.config.get_project_name()}.x', 'w') + + model_inputs = model.get_input_variables() + model_outputs = model.get_output_variables() + # model_brams = [var for var in model.get_weight_variables() if var.storage.lower() == 'bram'] + + indent = ' ' + + for line in f.readlines(): + # Add headers to weights and biases + if 'myproject' in line: + newline = line.replace('myproject', model.config.get_project_name()) + + elif '// hls-fpga-machine-learning xls layer documentation' in line: + newline = line + for layer in xls_layers: + newline += layer.to_string() + newline += '\n\n' + + elif '// hls-fpga-machine-learning insert dimensions' in line: + newline = line + for layer in xls_layers: + if layer.is_activation() == False: + newline += f'const {layer.out_dim_key} = {layer.out_dim_val};\n' + + elif '// hls-fpga-machine-learning insert header' in line: + inputs_str = ', '.join([i.definition_cpp(as_reference=True) for i in model_inputs]) + outputs_str = ', '.join([o.definition_cpp(as_reference=True) for o in model_outputs]) + # brams_str = ', \n'.join([indent + b.definition_cpp(as_reference=False) for b in model_brams]) + + newline = '' + newline += indent + inputs_str + ',\n' + newline += indent + outputs_str + # if len(model_brams) > 0: + # newline += ',\n' + brams_str + newline += '\n' + + elif '// hls-fpga-machine-learning insert load weights' in line: + newline = line + if model.config.get_writer_config()['WriteWeightsTxt']: + + newline += '#ifndef __SYNTHESIS__\n' + newline += ' static bool loaded_weights = false;\n' + newline += ' if (!loaded_weights) {\n' + + for layer in model.get_layers(): + for w in layer.get_weights(): + if w.weight_class == 'CompressedWeightVariable': + newline += ( + indent + + ' nnet::load_compressed_weights_from_txt<{}, {}>({}, "{}.txt");\n'.format( + w.type.name, w.nonzeros, w.name, w.name + ) + ) + elif w.weight_class == 'ExponentWeightVariable': + newline += ( + indent + + ' nnet::load_exponent_weights_from_txt<{}, {}>({}, "{}.txt");\n'.format( + w.type.name, w.data_length, w.name, w.name + ) + ) + else: + newline += indent + ' nnet::load_weights_from_txt<{}, {}>({}, "{}.txt");\n'.format( + w.type.name, w.data_length, w.name, w.name + ) + + newline += ' loaded_weights = true;' + newline += ' }\n' + newline += '#endif' + + # Add input/output type + elif '// hls-fpga-machine-learning insert IO' in line: + pass + + elif '// hls-fpga-machine-learning architecture type inference' in line: + indent = ' ' + newline = indent + 'IN_L0: u32, OUT_L0: u32,\n' + for i, layer in enumerate(model.get_layers()): + if i > 0: + newline += indent + f'IN_L{i}: u32 = {{OUT_L{i-1}}}, OUT_L{i}: u32,\n' + + # TODO: infer actual defintion of 'Output_T' + elif '// hls-fpga-machine-learning output ' in line: + indent = ' ' + newline = indent + f'Output_T[OUT_L{len(model.get_layers())-1}],\n' + + elif '// hls-fpga-machine-learning insert layers' in line: + newline = line + '\n' + for i, layer in enumerate(model.get_layers()): + vars = layer.get_variables() + for var in vars: + if var not in model_inputs and var not in model_outputs: + #TODO: might fail for non fixed point types + newline += f' let z{i+1} = ' + f'multi_dense_fxd::{var.type.name}<{var.type.precision.width}, 1, {var.type.precision.integer}>' + f'(z{i}, w{i}, b{i});\n' + newline += f' z{len(model.get_layers()) - 1}\n' + + # Just copy line + else: + newline = line + + fout.write(newline) + + f.close() + fout.close() + + def write_nnet_utils(self, model): + """Copy the nnet_utils, AP types headers to the project output directory + + Args: + model (ModelGraph): the hls4ml model. + """ + + # nnet_utils + filedir = os.path.dirname(os.path.abspath(__file__)) + + srcpath = os.path.join(filedir, '../templates/xls/firmware/nnet_utils/') + dstpath = f'{model.config.get_output_dir()}/firmware/nnet_utils/' + + if os.path.exists(dstpath): + rmtree(dstpath) + + copytree(srcpath, dstpath) + + # ap_types + filedir = os.path.dirname(os.path.abspath(__file__)) + + srcpath = os.path.join(filedir, '../templates/xls/firmware/ap_types/') + dstpath = f'{model.config.get_output_dir()}/firmware/ap_types/' + + if os.path.exists(dstpath): + rmtree(dstpath) + + copytree(srcpath, dstpath) + + # TODO: check if you need this + # # custom source + # filedir = os.path.dirname(os.path.abspath(__file__)) + + # custom_source = model.config.backend.get_custom_source() + # for dst, srcpath in custom_source.items(): + # dstpath = f'{model.config.get_output_dir()}/firmware/{dst}' + # copyfile(srcpath, dstpath) + + + + def write_hls(self, model): + xls_layers = [] + builder = XLSLayerConfigBuilder() + + prev_out_dim_key = '' + prev_out_dim_val = -1 + prev_layer_precision = None + for layer in model.get_layers(): + # print('\n========== Layer: ') + # for name, val in layer.__dict__.items(): + # print(f"{name}: {val!r}") + # print('\nMODEL: ') + # for name, val in layer.model.__dict__.items(): + # print(f"{name}: {val!r}") + # print() + cur_out_dim_key = list(layer.get_output_variable().get_shape())[0][0] + cur_out_dim_val = list(layer.get_output_variable().get_shape())[0][1] + new_layer = ( + builder + .name(layer.class_name) + .in_dim_key(prev_out_dim_key) + .in_dim_val(prev_out_dim_val) + .out_dim_key(cur_out_dim_key) # TODO: investigate if this is always good + .out_dim_val(cur_out_dim_val) + .in_nb(prev_layer_precision) + .in_en() + .in_bu(prev_layer_precision) + .in_type(prev_layer_precision) + .out_type(layer.get_layer_precision()) + .out_nb(layer.get_layer_precision()) + .out_en() + .out_bu(layer.get_layer_precision()) + .fxp_weights(layer.get_weights(), out_dim=cur_out_dim_val, in_dim=prev_out_dim_val) + .fxp_bias(layer.get_weights(), out_dim=cur_out_dim_val) + .build() + ) + xls_layers.append(new_layer) + + prev_out_dim_key = new_layer.out_dim_key + prev_out_dim_val = new_layer.out_dim_val + prev_layer_precision = layer.get_layer_precision() + + print('Writing HLS project') + self.write_project_dir(model) + self.write_project_dslx(model, xls_layers) + self.write_nnet_utils(model) + print('Done') \ No newline at end of file diff --git a/test/pytest/test_keras_api.py b/test/pytest/test_keras_api.py index 4bb9f03751..369e0f66ad 100644 --- a/test/pytest/test_keras_api.py +++ b/test/pytest/test_keras_api.py @@ -25,8 +25,10 @@ test_root_path = Path(__file__).parent -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) -@pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) +# @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) +@pytest.mark.parametrize('backend', ['XLS']) +@pytest.mark.parametrize('io_type', ['io_parallel']) def test_dense(backend, io_type): model = tf.keras.models.Sequential() model.add( @@ -44,7 +46,7 @@ def test_dense(backend, io_type): bias_constraint=None, ) ) - model.add(Activation(activation='elu', name='Activation')) + model.add(Activation(activation='relu', name='Activation')) model.compile(optimizer='adam', loss='mse') X_input = np.random.rand(100, 1) @@ -67,7 +69,7 @@ def test_dense(backend, io_type): assert len(model.layers) + 1 == len(hls_model.get_layers()) assert list(hls_model.get_layers())[0].attributes['class_name'] == "InputLayer" assert list(hls_model.get_layers())[1].attributes["class_name"] == model.layers[0]._name - assert list(hls_model.get_layers())[2].attributes['class_name'] == 'ELU' + assert list(hls_model.get_layers())[2].attributes['class_name'] == 'Activation' assert list(hls_model.get_layers())[0].attributes['input_shape'] == list(model.layers[0].input_shape[1:]) assert list(hls_model.get_layers())[1].attributes['n_in'] == model.layers[0].input_shape[1:][0] assert list(hls_model.get_layers())[1].attributes['n_out'] == model.layers[0].output_shape[1:][0] @@ -75,423 +77,423 @@ def test_dense(backend, io_type): assert list(hls_model.get_layers())[1].attributes['activation'] == str(model.layers[0].activation).split()[1] -# TODO: add ThresholdedReLU test when it can be made to pass -# https://github.com/fastmachinelearning/hls4ml/issues/376 -@pytest.mark.parametrize( - "activation_function", - [ - Activation(activation='relu', name='relu'), - LeakyReLU(alpha=1.0), - ELU(alpha=1.0), - PReLU( - alpha_initializer="zeros", - ), - Activation(activation='sigmoid', name='sigmoid'), - ], -) -# ThresholdedReLU(theta=1.0)]) -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) -@pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -def test_activations(activation_function, backend, io_type): - model = tf.keras.models.Sequential() - model.add(Dense(64, input_shape=(1,), name='Dense', kernel_initializer='lecun_uniform', kernel_regularizer=None)) - model.add(activation_function) - - model.compile(optimizer='adam', loss='mse') - X_input = np.random.rand(100, 1) - keras_prediction = model.predict(X_input) - config = hls4ml.utils.config_from_keras_model(model) - output_dir = str(test_root_path / f'hls4mlprj_keras_api_activations_{activation_function.name}_{backend}_{io_type}') - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type - ) - hls_model.compile() - hls_prediction = hls_model.predict(X_input) - - np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=1e-2, atol=0.01) - - assert len(model.layers) + 1 == len(hls_model.get_layers()) - - assert list(hls_model.get_layers())[2].attributes['class_name'] == activation_function.__class__.__name__ - - -padds_options = ['same', 'valid'] - - -@pytest.mark.parametrize('padds', padds_options) -@pytest.mark.parametrize( - 'backend,strategy', - [ - ('Vivado', 'Resource'), - ('Vivado', 'Latency'), - ('Vitis', 'Resource'), - ('Vitis', 'Latency'), - ('Quartus', 'Resource'), - ('oneAPI', 'Resource'), - ], -) -@pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -def test_conv1d(padds, backend, strategy, io_type): - model = tf.keras.models.Sequential() - input_shape = (10, 128, 4) - model.add( - Conv1D( - filters=32, - kernel_size=3, - strides=1, - padding=padds, - activation='relu', - input_shape=input_shape[1:], - kernel_initializer='normal', - use_bias=False, - data_format='channels_last', - ) - ) - model.add(Activation(activation='relu')) - model.compile(optimizer='adam', loss='mse') - - X_input = np.random.rand(10, 128, 4) - keras_prediction = model.predict(X_input) - - config = hls4ml.utils.config_from_keras_model(model) - config['Model']['Strategy'] = strategy - output_dir = str(test_root_path / f'hls4mlprj_keras_api_conv1d_{padds}_{backend}_{strategy}_{io_type}') - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type - ) - hls_model.compile() - hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) - - # 5e-2 might be too high - np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=5e-2) - - if not (backend in ['Vivado', 'Vitis'] and io_type == 'io_stream' and padds == 'same'): - # Vivado/Vitis inserts and additional layer for 'same' padding in io_stream - assert len(model.layers) + 2 == len(hls_model.get_layers()) - assert list(hls_model.get_layers())[1].attributes['name'] == model.layers[0]._name - assert list(hls_model.get_layers())[1].attributes['class_name'] == 'Conv1D' - assert list(hls_model.get_layers())[1].attributes['activation'] == str(model.layers[0].activation).split()[1] - assert list(hls_model.get_layers())[1].attributes["in_width"] == model.layers[0]._batch_input_shape[1] - assert list(hls_model.get_layers())[1].attributes['filt_width'] == model.layers[0].kernel_size[0] - assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0].input_shape[2] - assert list(hls_model.get_layers())[1].attributes['n_filt'] == model.layers[0].filters - assert list(hls_model.get_layers())[1].attributes['stride_width'] == model.layers[0].strides[0] - assert list(hls_model.get_layers())[1].attributes['data_format'] == model.layers[0].data_format - assert list(hls_model.get_layers())[1].attributes["out_width"] == list(model.layers[0].output_shape)[1] - - out_width = math.ceil(float(model.layers[0]._batch_input_shape[2]) / float(model.layers[0].strides[0])) - pad_along_width = max( - (out_width - 1) * model.layers[0].strides[0] - + model.layers[0].kernel_size[0] - - model.layers[0]._batch_input_shape[2], - 0, - ) - pad_left = pad_along_width // 2 - pad_right = pad_along_width - pad_left - - if model.layers[0].padding == 'same': - assert list(hls_model.get_layers())[1].attributes['pad_left'] == pad_left - assert list(hls_model.get_layers())[1].attributes['pad_right'] == pad_right - elif model.layers[0].padding == 'valid': - assert list(hls_model.get_layers())[1].attributes['pad_left'] == 0 - assert list(hls_model.get_layers())[1].attributes['pad_right'] == 0 - - -chans_options = ['channels_last'] -padds_options = ['same', 'valid'] - - -@pytest.mark.parametrize('chans', chans_options) -@pytest.mark.parametrize('padds', padds_options) -@pytest.mark.parametrize( - 'backend,strategy', - [ - ('Vivado', 'Resource'), - ('Vivado', 'Latency'), - ('Vitis', 'Resource'), - ('Vitis', 'Latency'), - ('Quartus', 'Resource'), - ('oneAPI', 'Resource'), - ], -) -@pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -def test_conv2d(chans, padds, backend, strategy, io_type): - model = tf.keras.models.Sequential() - input_shape = (28, 28, 3) - model.add( - Conv2D( - filters=32, - kernel_size=(4, 4), - strides=(4, 4), - padding=padds, - input_shape=input_shape, - kernel_initializer='normal', - use_bias=False, - data_format=chans, - ) - ) - model.compile(optimizer='adam', loss='mse') - - X_input = np.random.rand(100, *input_shape) - keras_prediction = model.predict(X_input) - - config = hls4ml.utils.config_from_keras_model(model) - config['Model']['Strategy'] = strategy - output_dir = str(test_root_path / f'hls4mlprj_keras_api_conv2d_{backend}_{strategy}_{chans}_{padds}_{io_type}') - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type - ) - hls_model.compile() - hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) - - # A high tolerance, simply to verify correct functionality - np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=5e-2) - - assert len(model.layers) + 1 == len(hls_model.get_layers()) - assert list(hls_model.get_layers())[1].attributes['name'] == model.layers[0]._name - assert list(hls_model.get_layers())[1].attributes['class_name'] == 'Conv2D' - assert list(hls_model.get_layers())[1].attributes['activation'] == str(model.layers[0].activation).split()[1] - assert list(hls_model.get_layers())[1].attributes['filt_width'] == model.layers[0].kernel_size[1] - assert list(hls_model.get_layers())[1].attributes['filt_height'] == model.layers[0].kernel_size[0] - assert list(hls_model.get_layers())[1].attributes['n_filt'] == model.layers[0].filters - assert list(hls_model.get_layers())[1].attributes['stride_width'] == model.layers[0].strides[1] - assert list(hls_model.get_layers())[1].attributes['stride_height'] == model.layers[0].strides[0] - assert list(hls_model.get_layers())[1].attributes['data_format'] == model.layers[0].data_format - - if model.layers[0].data_format == 'channels_first': - assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[1] - assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[2] - assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[3] - assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[2] - assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[3] - elif model.layers[0].data_format == 'channels_last': - assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[3] - assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[1] - assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[2] - assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[1] - assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[2] - - if model.layers[0].padding == 'same': - if model.layers[0].data_format == 'channels_first': - out_height = model.layers[0].output_shape[2] - out_width = model.layers[0].output_shape[3] - pad_along_height = max( - (out_height - 1) * model.layers[0].strides[0] - + model.layers[0].kernel_size[0] - - model.layers[0]._batch_input_shape[2], - 0, - ) - pad_along_width = max( - (out_width - 1) * model.layers[0].strides[1] - + model.layers[0].kernel_size[1] - - model.layers[0]._batch_input_shape[3], - 0, - ) - elif model.layers[0].data_format == 'channels_last': - out_height = model.layers[0].output_shape[1] - out_width = model.layers[0].output_shape[2] - pad_along_height = max( - (out_height - 1) * model.layers[0].strides[0] - + model.layers[0].kernel_size[0] - - model.layers[0]._batch_input_shape[1], - 0, - ) - pad_along_width = max( - (out_width - 1) * model.layers[0].strides[1] - + model.layers[0].kernel_size[1] - - model.layers[0]._batch_input_shape[2], - 0, - ) - pad_top = pad_along_height // 2 - pad_bottom = pad_along_height - pad_top - pad_left = pad_along_width // 2 - pad_right = pad_along_width - pad_left - assert list(hls_model.get_layers())[1].attributes['pad_top'] == pad_top - assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == pad_bottom - assert list(hls_model.get_layers())[1].attributes['pad_left'] == pad_left - assert list(hls_model.get_layers())[1].attributes['pad_right'] == pad_right - elif model.layers[0].padding == 'valid': - assert list(hls_model.get_layers())[1].attributes['pad_top'] == 0 - assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == 0 - assert list(hls_model.get_layers())[1].attributes['pad_left'] == 0 - assert list(hls_model.get_layers())[1].attributes['pad_right'] == 0 - - -# Currently only Vivado and Vitis is supported for io_stream. -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis']) -@pytest.mark.parametrize('io_type', ['io_stream']) -def test_depthwise2d(backend, io_type): - ''' - Test proper handling of DepthwiseConv2D - ''' - X = np.random.rand(10, 32, 32, 3) - X = np.round(X * 2**10) * 2**-10 # make it an exact ap_fixed<16,6> - model = tf.keras.models.Sequential() - model.add(DepthwiseConv2D(kernel_size=(3, 3), input_shape=(32, 32, 3))) - model.compile() - - config = hls4ml.utils.config_from_keras_model( - model, granularity='name', default_precision='fixed<32,12>', backend=backend - ) - output_dir = str(test_root_path / f'hls4mlprj_keras_api_depthwiseconv2d_{backend}_{io_type}') - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type - ) - hls_model.compile() - - y_qkeras = model.predict(X) - y_hls4ml = hls_model.predict(X) - - np.testing.assert_allclose(y_qkeras, y_hls4ml.reshape(y_qkeras.shape), rtol=1e-2, atol=0.01) - - -# Currently only Vivado and Vitis is supported for io_stream. -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis']) -@pytest.mark.parametrize('io_type', ['io_stream']) -def test_depthwise1d(backend, io_type): - ''' - Test proper handling of DepthwiseConv1D. - ''' - X = np.random.rand(10, 32, 3) - X = np.round(X * 2**10) * 2**-10 # make it an exact ap_fixed<16,6> - model = tf.keras.models.Sequential() - model.add(DepthwiseConv1D(kernel_size=3, input_shape=(32, 3))) - model.compile() - - config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - output_dir = str(test_root_path / f'hls4mlprj_keras_api_depthwiseconv1d_{backend}_{io_type}') - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type - ) - hls_model.compile() - - y_qkeras = model.predict(X) - y_hls4ml = hls_model.predict(X) - - np.testing.assert_allclose(y_qkeras, y_hls4ml.reshape(y_qkeras.shape), rtol=1e-2, atol=0.01) - - -pooling_layers = [MaxPooling1D, MaxPooling2D, AveragePooling1D, AveragePooling2D] - - -@pytest.mark.parametrize('pooling', pooling_layers) -@pytest.mark.parametrize('padds', padds_options) -@pytest.mark.parametrize('chans', chans_options) -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) -def test_pooling(pooling, padds, chans, backend): - assert '1D' in pooling.__name__ or '2D' in pooling.__name__ - - input_shape = (18, 15, 3) if '2D' in pooling.__name__ else (121, 3) - X_input = np.random.rand(100, *input_shape) - - keras_model = tf.keras.models.Sequential() - keras_model.add(pooling(padding=padds, input_shape=input_shape)) - keras_model.compile() - - hls_cfg = hls4ml.utils.config_from_keras_model(keras_model) - output_dir = str( - test_root_path / f'hls4mlprj_keras_api_pooling_{pooling.__name__}_channels_{chans}_padds_{padds}_backend_{backend}' - ) - hls_model = hls4ml.converters.convert_from_keras_model( - keras_model, hls_config=hls_cfg, output_dir=output_dir, backend=backend - ) - hls_model.compile() - - # Verify accuracy - keras_prediction = keras_model.predict(X_input) - hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) - np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=3e-2) - - # Verify correct parsing of layer - hls_pool = list(hls_model.get_layers())[-1] - ker_pool = keras_model.layers[-1] - if '2D' in pooling.__name__: - assert hls_pool.attributes['name'] == ker_pool._name - assert hls_pool.attributes['class_name'][-2] == str(2) - assert hls_pool.attributes['stride_height'] == ker_pool.strides[0] - assert hls_pool.attributes['stride_width'] == ker_pool.strides[1] - assert hls_pool.attributes['pool_height'] == ker_pool.pool_size[1] - assert hls_pool.attributes['pool_width'] == ker_pool.pool_size[0] - - if hls_pool.attributes['data_format'] == 'channels_last': - assert hls_pool.attributes['in_height'] == ker_pool.input_shape[1] - assert hls_pool.attributes['in_width'] == ker_pool.input_shape[2] - assert hls_pool.attributes['n_filt'] == ker_pool.input_shape[3] - elif hls_pool.attributes['data_format'] == 'channels_first': - assert hls_pool.attributes['in_height'] == ker_pool.input_shape[2] - assert hls_pool.attributes['in_width'] == ker_pool.input_shape[3] - assert hls_pool.attributes['n_filt'] == ker_pool.input_shape[1] - - if ker_pool.padding == 'same': - # Height - in_height = ker_pool.input_shape[1] - if ker_pool.data_format == 'channels_first': - in_height = ker_pool.input_shape[2] - out_height = int(math.ceil(float(in_height) / float(ker_pool.strides[0]))) - assert out_height == hls_pool.attributes['out_height'] - if in_height % ker_pool.strides[0] == 0: - pad_along_height = max(ker_pool.pool_size[1] - ker_pool.strides[0], 0) - else: - pad_along_height = max(ker_pool.pool_size[1] - (in_height % ker_pool.strides[0]), 0) - pad_top = pad_along_height // 2 - pad_bottom = pad_along_height - pad_top - assert pad_bottom == hls_pool.attributes['pad_bottom'] - assert pad_top == hls_pool.attributes['pad_top'] - - # Width - in_width = ker_pool.input_shape[2] - if ker_pool.data_format == 'channels_first': - in_height = keras_model.layers[1].input_shape[-1] - out_width = int(math.ceil(float(in_width) / float(ker_pool.strides[1]))) - assert out_width == hls_pool.attributes['out_width'] - if in_width % ker_pool.strides[1] == 0: - pad_along_width = max(ker_pool.pool_size[0] - ker_pool.strides[1], 0) - else: - pad_along_width = max(ker_pool.pool_size[0] - (in_width % ker_pool.strides[1]), 0) - pad_left = pad_along_width // 2 - pad_right = pad_along_width - pad_left - assert pad_left == hls_pool.attributes['pad_left'] - assert pad_right == hls_pool.attributes['pad_right'] - - elif ker_pool.padding == 'valid': - if hls_pool.attributes['data_format'] == 'channels_first': - in_height = ker_pool.input_shape[2] - in_width = ker_pool.input_shape[3] - elif hls_pool.attributes['data_format'] == 'channels_last': - in_height = ker_pool.input_shape[1] - in_width = ker_pool.input_shape[2] - - out_width = int(math.ceil(float(in_width - ker_pool.pool_size[0] + 1) / float(ker_pool.strides[1]))) - out_height = int(math.ceil(float(in_height - ker_pool.pool_size[1] + 1) / float(ker_pool.strides[0]))) - - assert hls_pool.attributes['out_height'] == out_height - assert hls_pool.attributes['out_width'] == out_width - assert hls_pool.attributes['pad_top'] == 0 - assert hls_pool.attributes['pad_bottom'] == 0 - assert hls_pool.attributes['pad_left'] == 0 - assert hls_pool.attributes['pad_right'] == 0 - - elif '1D' in pooling.__name__: - assert hls_pool.attributes['name'] == ker_pool._name - assert hls_pool.attributes['class_name'][-2] == str(1) - assert hls_pool.attributes['n_in'] == ker_pool.input_shape[1] - assert hls_pool.attributes['n_filt'] == ker_pool.input_shape[2] - assert hls_pool.attributes['pool_width'] == ker_pool.pool_size[0] - assert hls_pool.attributes['stride_width'] == ker_pool.strides[0] - - out_same = math.ceil(float(ker_pool.input_shape[1]) / float(ker_pool.strides[0])) - out_valid = math.ceil(float(ker_pool.input_shape[1] - ker_pool.pool_size[0] + 1) / ker_pool.strides[0]) - - if ker_pool.padding == 'same': - assert hls_pool.attributes['n_out'] == out_same - if ker_pool.input_shape[1] % ker_pool.strides[0] == 0: - pad_along_width = max(ker_pool.pool_size[0] - ker_pool.strides[0], 0) - else: - pad_along_width = max(ker_pool.pool_size[0] - (ker_pool.input_shape[1] % ker_pool.strides[0]), 0) - assert hls_pool.attributes['pad_left'] == pad_along_width // 2 - assert hls_pool.attributes['pad_right'] == pad_along_width - pad_along_width // 2 - - elif ker_pool.padding == 'valid': - assert hls_pool.attributes['n_out'] == out_valid - assert hls_pool.attributes['pad_left'] == 0 - assert hls_pool.attributes['pad_right'] == 0 +# # TODO: add ThresholdedReLU test when it can be made to pass +# # https://github.com/fastmachinelearning/hls4ml/issues/376 +# @pytest.mark.parametrize( +# "activation_function", +# [ +# Activation(activation='relu', name='relu'), +# LeakyReLU(alpha=1.0), +# ELU(alpha=1.0), +# PReLU( +# alpha_initializer="zeros", +# ), +# Activation(activation='sigmoid', name='sigmoid'), +# ], +# ) +# # ThresholdedReLU(theta=1.0)]) +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) +# @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) +# def test_activations(activation_function, backend, io_type): +# model = tf.keras.models.Sequential() +# model.add(Dense(64, input_shape=(1,), name='Dense', kernel_initializer='lecun_uniform', kernel_regularizer=None)) +# model.add(activation_function) + +# model.compile(optimizer='adam', loss='mse') +# X_input = np.random.rand(100, 1) +# keras_prediction = model.predict(X_input) +# config = hls4ml.utils.config_from_keras_model(model) +# output_dir = str(test_root_path / f'hls4mlprj_keras_api_activations_{activation_function.name}_{backend}_{io_type}') +# hls_model = hls4ml.converters.convert_from_keras_model( +# model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type +# ) +# hls_model.compile() +# hls_prediction = hls_model.predict(X_input) + +# np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=1e-2, atol=0.01) + +# assert len(model.layers) + 1 == len(hls_model.get_layers()) + +# assert list(hls_model.get_layers())[2].attributes['class_name'] == activation_function.__class__.__name__ + + +# padds_options = ['same', 'valid'] + + +# @pytest.mark.parametrize('padds', padds_options) +# @pytest.mark.parametrize( +# 'backend,strategy', +# [ +# ('Vivado', 'Resource'), +# ('Vivado', 'Latency'), +# ('Vitis', 'Resource'), +# ('Vitis', 'Latency'), +# ('Quartus', 'Resource'), +# ('oneAPI', 'Resource'), +# ], +# ) +# @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) +# def test_conv1d(padds, backend, strategy, io_type): +# model = tf.keras.models.Sequential() +# input_shape = (10, 128, 4) +# model.add( +# Conv1D( +# filters=32, +# kernel_size=3, +# strides=1, +# padding=padds, +# activation='relu', +# input_shape=input_shape[1:], +# kernel_initializer='normal', +# use_bias=False, +# data_format='channels_last', +# ) +# ) +# model.add(Activation(activation='relu')) +# model.compile(optimizer='adam', loss='mse') + +# X_input = np.random.rand(10, 128, 4) +# keras_prediction = model.predict(X_input) + +# config = hls4ml.utils.config_from_keras_model(model) +# config['Model']['Strategy'] = strategy +# output_dir = str(test_root_path / f'hls4mlprj_keras_api_conv1d_{padds}_{backend}_{strategy}_{io_type}') +# hls_model = hls4ml.converters.convert_from_keras_model( +# model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type +# ) +# hls_model.compile() +# hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) + +# # 5e-2 might be too high +# np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=5e-2) + +# if not (backend in ['Vivado', 'Vitis'] and io_type == 'io_stream' and padds == 'same'): +# # Vivado/Vitis inserts and additional layer for 'same' padding in io_stream +# assert len(model.layers) + 2 == len(hls_model.get_layers()) +# assert list(hls_model.get_layers())[1].attributes['name'] == model.layers[0]._name +# assert list(hls_model.get_layers())[1].attributes['class_name'] == 'Conv1D' +# assert list(hls_model.get_layers())[1].attributes['activation'] == str(model.layers[0].activation).split()[1] +# assert list(hls_model.get_layers())[1].attributes["in_width"] == model.layers[0]._batch_input_shape[1] +# assert list(hls_model.get_layers())[1].attributes['filt_width'] == model.layers[0].kernel_size[0] +# assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0].input_shape[2] +# assert list(hls_model.get_layers())[1].attributes['n_filt'] == model.layers[0].filters +# assert list(hls_model.get_layers())[1].attributes['stride_width'] == model.layers[0].strides[0] +# assert list(hls_model.get_layers())[1].attributes['data_format'] == model.layers[0].data_format +# assert list(hls_model.get_layers())[1].attributes["out_width"] == list(model.layers[0].output_shape)[1] + +# out_width = math.ceil(float(model.layers[0]._batch_input_shape[2]) / float(model.layers[0].strides[0])) +# pad_along_width = max( +# (out_width - 1) * model.layers[0].strides[0] +# + model.layers[0].kernel_size[0] +# - model.layers[0]._batch_input_shape[2], +# 0, +# ) +# pad_left = pad_along_width // 2 +# pad_right = pad_along_width - pad_left + +# if model.layers[0].padding == 'same': +# assert list(hls_model.get_layers())[1].attributes['pad_left'] == pad_left +# assert list(hls_model.get_layers())[1].attributes['pad_right'] == pad_right +# elif model.layers[0].padding == 'valid': +# assert list(hls_model.get_layers())[1].attributes['pad_left'] == 0 +# assert list(hls_model.get_layers())[1].attributes['pad_right'] == 0 + + +# chans_options = ['channels_last'] +# padds_options = ['same', 'valid'] + + +# @pytest.mark.parametrize('chans', chans_options) +# @pytest.mark.parametrize('padds', padds_options) +# @pytest.mark.parametrize( +# 'backend,strategy', +# [ +# ('Vivado', 'Resource'), +# ('Vivado', 'Latency'), +# ('Vitis', 'Resource'), +# ('Vitis', 'Latency'), +# ('Quartus', 'Resource'), +# ('oneAPI', 'Resource'), +# ], +# ) +# @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) +# def test_conv2d(chans, padds, backend, strategy, io_type): +# model = tf.keras.models.Sequential() +# input_shape = (28, 28, 3) +# model.add( +# Conv2D( +# filters=32, +# kernel_size=(4, 4), +# strides=(4, 4), +# padding=padds, +# input_shape=input_shape, +# kernel_initializer='normal', +# use_bias=False, +# data_format=chans, +# ) +# ) +# model.compile(optimizer='adam', loss='mse') + +# X_input = np.random.rand(100, *input_shape) +# keras_prediction = model.predict(X_input) + +# config = hls4ml.utils.config_from_keras_model(model) +# config['Model']['Strategy'] = strategy +# output_dir = str(test_root_path / f'hls4mlprj_keras_api_conv2d_{backend}_{strategy}_{chans}_{padds}_{io_type}') +# hls_model = hls4ml.converters.convert_from_keras_model( +# model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type +# ) +# hls_model.compile() +# hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) + +# # A high tolerance, simply to verify correct functionality +# np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=5e-2) + +# assert len(model.layers) + 1 == len(hls_model.get_layers()) +# assert list(hls_model.get_layers())[1].attributes['name'] == model.layers[0]._name +# assert list(hls_model.get_layers())[1].attributes['class_name'] == 'Conv2D' +# assert list(hls_model.get_layers())[1].attributes['activation'] == str(model.layers[0].activation).split()[1] +# assert list(hls_model.get_layers())[1].attributes['filt_width'] == model.layers[0].kernel_size[1] +# assert list(hls_model.get_layers())[1].attributes['filt_height'] == model.layers[0].kernel_size[0] +# assert list(hls_model.get_layers())[1].attributes['n_filt'] == model.layers[0].filters +# assert list(hls_model.get_layers())[1].attributes['stride_width'] == model.layers[0].strides[1] +# assert list(hls_model.get_layers())[1].attributes['stride_height'] == model.layers[0].strides[0] +# assert list(hls_model.get_layers())[1].attributes['data_format'] == model.layers[0].data_format + +# if model.layers[0].data_format == 'channels_first': +# assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[1] +# assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[2] +# assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[3] +# assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[2] +# assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[3] +# elif model.layers[0].data_format == 'channels_last': +# assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[3] +# assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[1] +# assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[2] +# assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[1] +# assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[2] + +# if model.layers[0].padding == 'same': +# if model.layers[0].data_format == 'channels_first': +# out_height = model.layers[0].output_shape[2] +# out_width = model.layers[0].output_shape[3] +# pad_along_height = max( +# (out_height - 1) * model.layers[0].strides[0] +# + model.layers[0].kernel_size[0] +# - model.layers[0]._batch_input_shape[2], +# 0, +# ) +# pad_along_width = max( +# (out_width - 1) * model.layers[0].strides[1] +# + model.layers[0].kernel_size[1] +# - model.layers[0]._batch_input_shape[3], +# 0, +# ) +# elif model.layers[0].data_format == 'channels_last': +# out_height = model.layers[0].output_shape[1] +# out_width = model.layers[0].output_shape[2] +# pad_along_height = max( +# (out_height - 1) * model.layers[0].strides[0] +# + model.layers[0].kernel_size[0] +# - model.layers[0]._batch_input_shape[1], +# 0, +# ) +# pad_along_width = max( +# (out_width - 1) * model.layers[0].strides[1] +# + model.layers[0].kernel_size[1] +# - model.layers[0]._batch_input_shape[2], +# 0, +# ) +# pad_top = pad_along_height // 2 +# pad_bottom = pad_along_height - pad_top +# pad_left = pad_along_width // 2 +# pad_right = pad_along_width - pad_left +# assert list(hls_model.get_layers())[1].attributes['pad_top'] == pad_top +# assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == pad_bottom +# assert list(hls_model.get_layers())[1].attributes['pad_left'] == pad_left +# assert list(hls_model.get_layers())[1].attributes['pad_right'] == pad_right +# elif model.layers[0].padding == 'valid': +# assert list(hls_model.get_layers())[1].attributes['pad_top'] == 0 +# assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == 0 +# assert list(hls_model.get_layers())[1].attributes['pad_left'] == 0 +# assert list(hls_model.get_layers())[1].attributes['pad_right'] == 0 + + +# # Currently only Vivado and Vitis is supported for io_stream. +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis']) +# @pytest.mark.parametrize('io_type', ['io_stream']) +# def test_depthwise2d(backend, io_type): +# ''' +# Test proper handling of DepthwiseConv2D +# ''' +# X = np.random.rand(10, 32, 32, 3) +# X = np.round(X * 2**10) * 2**-10 # make it an exact ap_fixed<16,6> +# model = tf.keras.models.Sequential() +# model.add(DepthwiseConv2D(kernel_size=(3, 3), input_shape=(32, 32, 3))) +# model.compile() + +# config = hls4ml.utils.config_from_keras_model( +# model, granularity='name', default_precision='fixed<32,12>', backend=backend +# ) +# output_dir = str(test_root_path / f'hls4mlprj_keras_api_depthwiseconv2d_{backend}_{io_type}') +# hls_model = hls4ml.converters.convert_from_keras_model( +# model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type +# ) +# hls_model.compile() + +# y_qkeras = model.predict(X) +# y_hls4ml = hls_model.predict(X) + +# np.testing.assert_allclose(y_qkeras, y_hls4ml.reshape(y_qkeras.shape), rtol=1e-2, atol=0.01) + + +# # Currently only Vivado and Vitis is supported for io_stream. +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis']) +# @pytest.mark.parametrize('io_type', ['io_stream']) +# def test_depthwise1d(backend, io_type): +# ''' +# Test proper handling of DepthwiseConv1D. +# ''' +# X = np.random.rand(10, 32, 3) +# X = np.round(X * 2**10) * 2**-10 # make it an exact ap_fixed<16,6> +# model = tf.keras.models.Sequential() +# model.add(DepthwiseConv1D(kernel_size=3, input_shape=(32, 3))) +# model.compile() + +# config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) +# output_dir = str(test_root_path / f'hls4mlprj_keras_api_depthwiseconv1d_{backend}_{io_type}') +# hls_model = hls4ml.converters.convert_from_keras_model( +# model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type +# ) +# hls_model.compile() + +# y_qkeras = model.predict(X) +# y_hls4ml = hls_model.predict(X) + +# np.testing.assert_allclose(y_qkeras, y_hls4ml.reshape(y_qkeras.shape), rtol=1e-2, atol=0.01) + + +# pooling_layers = [MaxPooling1D, MaxPooling2D, AveragePooling1D, AveragePooling2D] + + +# @pytest.mark.parametrize('pooling', pooling_layers) +# @pytest.mark.parametrize('padds', padds_options) +# @pytest.mark.parametrize('chans', chans_options) +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) +# def test_pooling(pooling, padds, chans, backend): +# assert '1D' in pooling.__name__ or '2D' in pooling.__name__ + +# input_shape = (18, 15, 3) if '2D' in pooling.__name__ else (121, 3) +# X_input = np.random.rand(100, *input_shape) + +# keras_model = tf.keras.models.Sequential() +# keras_model.add(pooling(padding=padds, input_shape=input_shape)) +# keras_model.compile() + +# hls_cfg = hls4ml.utils.config_from_keras_model(keras_model) +# output_dir = str( +# test_root_path / f'hls4mlprj_keras_api_pooling_{pooling.__name__}_channels_{chans}_padds_{padds}_backend_{backend}' +# ) +# hls_model = hls4ml.converters.convert_from_keras_model( +# keras_model, hls_config=hls_cfg, output_dir=output_dir, backend=backend +# ) +# hls_model.compile() + +# # Verify accuracy +# keras_prediction = keras_model.predict(X_input) +# hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) +# np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=3e-2) + +# # Verify correct parsing of layer +# hls_pool = list(hls_model.get_layers())[-1] +# ker_pool = keras_model.layers[-1] +# if '2D' in pooling.__name__: +# assert hls_pool.attributes['name'] == ker_pool._name +# assert hls_pool.attributes['class_name'][-2] == str(2) +# assert hls_pool.attributes['stride_height'] == ker_pool.strides[0] +# assert hls_pool.attributes['stride_width'] == ker_pool.strides[1] +# assert hls_pool.attributes['pool_height'] == ker_pool.pool_size[1] +# assert hls_pool.attributes['pool_width'] == ker_pool.pool_size[0] + +# if hls_pool.attributes['data_format'] == 'channels_last': +# assert hls_pool.attributes['in_height'] == ker_pool.input_shape[1] +# assert hls_pool.attributes['in_width'] == ker_pool.input_shape[2] +# assert hls_pool.attributes['n_filt'] == ker_pool.input_shape[3] +# elif hls_pool.attributes['data_format'] == 'channels_first': +# assert hls_pool.attributes['in_height'] == ker_pool.input_shape[2] +# assert hls_pool.attributes['in_width'] == ker_pool.input_shape[3] +# assert hls_pool.attributes['n_filt'] == ker_pool.input_shape[1] + +# if ker_pool.padding == 'same': +# # Height +# in_height = ker_pool.input_shape[1] +# if ker_pool.data_format == 'channels_first': +# in_height = ker_pool.input_shape[2] +# out_height = int(math.ceil(float(in_height) / float(ker_pool.strides[0]))) +# assert out_height == hls_pool.attributes['out_height'] +# if in_height % ker_pool.strides[0] == 0: +# pad_along_height = max(ker_pool.pool_size[1] - ker_pool.strides[0], 0) +# else: +# pad_along_height = max(ker_pool.pool_size[1] - (in_height % ker_pool.strides[0]), 0) +# pad_top = pad_along_height // 2 +# pad_bottom = pad_along_height - pad_top +# assert pad_bottom == hls_pool.attributes['pad_bottom'] +# assert pad_top == hls_pool.attributes['pad_top'] + +# # Width +# in_width = ker_pool.input_shape[2] +# if ker_pool.data_format == 'channels_first': +# in_height = keras_model.layers[1].input_shape[-1] +# out_width = int(math.ceil(float(in_width) / float(ker_pool.strides[1]))) +# assert out_width == hls_pool.attributes['out_width'] +# if in_width % ker_pool.strides[1] == 0: +# pad_along_width = max(ker_pool.pool_size[0] - ker_pool.strides[1], 0) +# else: +# pad_along_width = max(ker_pool.pool_size[0] - (in_width % ker_pool.strides[1]), 0) +# pad_left = pad_along_width // 2 +# pad_right = pad_along_width - pad_left +# assert pad_left == hls_pool.attributes['pad_left'] +# assert pad_right == hls_pool.attributes['pad_right'] + +# elif ker_pool.padding == 'valid': +# if hls_pool.attributes['data_format'] == 'channels_first': +# in_height = ker_pool.input_shape[2] +# in_width = ker_pool.input_shape[3] +# elif hls_pool.attributes['data_format'] == 'channels_last': +# in_height = ker_pool.input_shape[1] +# in_width = ker_pool.input_shape[2] + +# out_width = int(math.ceil(float(in_width - ker_pool.pool_size[0] + 1) / float(ker_pool.strides[1]))) +# out_height = int(math.ceil(float(in_height - ker_pool.pool_size[1] + 1) / float(ker_pool.strides[0]))) + +# assert hls_pool.attributes['out_height'] == out_height +# assert hls_pool.attributes['out_width'] == out_width +# assert hls_pool.attributes['pad_top'] == 0 +# assert hls_pool.attributes['pad_bottom'] == 0 +# assert hls_pool.attributes['pad_left'] == 0 +# assert hls_pool.attributes['pad_right'] == 0 + +# elif '1D' in pooling.__name__: +# assert hls_pool.attributes['name'] == ker_pool._name +# assert hls_pool.attributes['class_name'][-2] == str(1) +# assert hls_pool.attributes['n_in'] == ker_pool.input_shape[1] +# assert hls_pool.attributes['n_filt'] == ker_pool.input_shape[2] +# assert hls_pool.attributes['pool_width'] == ker_pool.pool_size[0] +# assert hls_pool.attributes['stride_width'] == ker_pool.strides[0] + +# out_same = math.ceil(float(ker_pool.input_shape[1]) / float(ker_pool.strides[0])) +# out_valid = math.ceil(float(ker_pool.input_shape[1] - ker_pool.pool_size[0] + 1) / ker_pool.strides[0]) + +# if ker_pool.padding == 'same': +# assert hls_pool.attributes['n_out'] == out_same +# if ker_pool.input_shape[1] % ker_pool.strides[0] == 0: +# pad_along_width = max(ker_pool.pool_size[0] - ker_pool.strides[0], 0) +# else: +# pad_along_width = max(ker_pool.pool_size[0] - (ker_pool.input_shape[1] % ker_pool.strides[0]), 0) +# assert hls_pool.attributes['pad_left'] == pad_along_width // 2 +# assert hls_pool.attributes['pad_right'] == pad_along_width - pad_along_width // 2 + +# elif ker_pool.padding == 'valid': +# assert hls_pool.attributes['n_out'] == out_valid +# assert hls_pool.attributes['pad_left'] == 0 +# assert hls_pool.attributes['pad_right'] == 0 From 4496252db8164036379504268d9db2dba9b6c3d3 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Wed, 16 Jul 2025 18:14:43 +0200 Subject: [PATCH 02/24] test --- hls4ml/writer/xls_writer.py | 5 +- test/pytest/junk.txt | 166 ++++++++++++++++++++++++++++++++++++ 2 files changed, 169 insertions(+), 2 deletions(-) create mode 100644 test/pytest/junk.txt diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 40f5f9a8f5..c3b15217cd 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -166,7 +166,8 @@ def write_project_dslx(self, model, xls_layers: list[XLSLayerConfig]): newline = line.replace('myproject', model.config.get_project_name()) elif '// hls-fpga-machine-learning xls layer documentation' in line: - newline = line + print("================= HERE\n") + newline = line + "TESTEST" for layer in xls_layers: newline += layer.to_string() newline += '\n\n' @@ -343,4 +344,4 @@ def write_hls(self, model): self.write_project_dir(model) self.write_project_dslx(model, xls_layers) self.write_nnet_utils(model) - print('Done') \ No newline at end of file + print('Done writing') \ No newline at end of file diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt new file mode 100644 index 0000000000..6c73c985d5 --- /dev/null +++ b/test/pytest/junk.txt @@ -0,0 +1,166 @@ +============================= test session starts ============================== +platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 +pytest-randomly: reseed with 3292553549 +Using --randomly-seed=3292553549 +rootdir: /home/girji/workspace/forks/hls4ml +configfile: pyproject.toml +plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 +pytest-randomly: reseed with 3292553549 +collected 1 item + +test_keras_api.py F [100%] + +=================================== FAILURES =================================== +_________________________ test_dense[io_parallel-XLS] __________________________ + +backend = 'XLS', io_type = 'io_parallel' + + @pytest.mark.parametrize('backend', ['XLS']) + @pytest.mark.parametrize('io_type', ['io_parallel']) + def test_dense(backend, io_type): + model = tf.keras.models.Sequential() + model.add( + Dense( + 2, + input_shape=(1,), + name='Dense', + use_bias=True, + kernel_initializer=tf.keras.initializers.RandomUniform(minval=1, maxval=10), + bias_initializer='zeros', + kernel_regularizer=None, + bias_regularizer=None, + activity_regularizer=None, + kernel_constraint=None, + bias_constraint=None, + ) + ) + model.add(Activation(activation='relu', name='Activation')) + model.compile(optimizer='adam', loss='mse') + + X_input = np.random.rand(100, 1) + + keras_prediction = model.predict(X_input) + + config = hls4ml.utils.config_from_keras_model(model) + output_dir = str(test_root_path / f'hls4mlprj_keras_api_dense_{backend}_{io_type}') + + hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type + ) + +> hls_model.compile() + +test_keras_api.py:63: +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ +../../../../repos/hls4ml/hls4ml/model/graph.py:795: in compile + self._compile() +../../../../repos/hls4ml/hls4ml/model/graph.py:798: in _compile + lib_name = self.config.backend.compile(self) +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ + +self = +model = + + def compile(self, model): + """Compile the generated project that can be linked into Python runtime. + + Args: + model (ModelGraph): Model to compile. + + Raises: + Exception: If the project failed to compile + + Returns: + string: Returns the name of the compiled library. + """ + + lib_name = None + ret_val = subprocess.run( + ['./build_lib.sh'], + shell=True, + text=True, + stdout=subprocess.PIPE, + stderr=subprocess.STDOUT, + cwd=model.config.get_output_dir(), + ) + if ret_val.returncode != 0: + print(ret_val.stdout) +> raise Exception(f'Failed to compile project "{model.config.get_project_name()}"') +E Exception: Failed to compile project "myproject" + +../../../../repos/hls4ml/hls4ml/backends/fpga/fpga_backend.py:178: Exception +---------------------------- Captured stdout setup ----------------------------- +pytest-randomly: reseed with 3292553548 +----------------------------- Captured stdout call ----------------------------- +pytest-randomly: reseed with 3292553549 + 1/4 [======>.......................] - ETA: 0s 4/4 [==============================] - 0s 595us/step +Interpreting Sequential +Topology: +Layer name: Dense_input, layer type: InputLayer, input shapes: [[None, 1]], output shape: [None, 1] +Layer name: Dense, layer type: Dense, input shapes: [[None, 1]], output shape: [None, 2] +Layer name: Activation, layer type: Activation, input shapes: [[None, 2]], output shape: [None, 2] +Interpreting Sequential +Topology: +Layer name: Dense_input, layer type: InputLayer, input shapes: [[None, 1]], output shape: [None, 1] +Layer name: Dense, layer type: Dense, input shapes: [[None, 1]], output shape: [None, 2] +Layer name: Activation, layer type: Activation, input shapes: [[None, 2]], output shape: [None, 2] +Creating HLS model +Writing HLS project +Done +/bin/sh: 1: ./build_lib.sh: not found + +--------------------------- Captured stdout teardown --------------------------- +pytest-randomly: reseed with 3292553550 +=============================== warnings summary =============================== +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 + /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:transform_types" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +-- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html +=========================== short test summary info ============================ +FAILED test_keras_api.py::test_dense[io_parallel-XLS] - Exception: Failed to ... +======================== 1 failed, 12 warnings in 1.81s ======================== From d8b2415e8d52ee304a674dd54a4a4cb011891176 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 17 Jul 2025 12:53:10 +0200 Subject: [PATCH 03/24] feat: loading weights and creating infrastructure added to writer --- hls4ml/templates/xls/firmware/myproject.x | 20 +- hls4ml/writer/__init__.py | 2 + hls4ml/writer/writers.py | 2 +- hls4ml/writer/xls_writer.py | 212 ++++++++++++---------- test/pytest/junk.txt | 20 +- 5 files changed, 138 insertions(+), 118 deletions(-) diff --git a/hls4ml/templates/xls/firmware/myproject.x b/hls4ml/templates/xls/firmware/myproject.x index bce17ae562..37473628f4 100644 --- a/hls4ml/templates/xls/firmware/myproject.x +++ b/hls4ml/templates/xls/firmware/myproject.x @@ -1,6 +1,6 @@ import nnet_utils.multi_dense_fxd; -// hls-fpga-machine-learning xls layer documentation +// hls-fpga-machine-learning debugging // hls-fpga-machine-learning insert dimensions @@ -9,9 +9,7 @@ import nnet_utils.multi_dense_fxd; // **************************************** // NETWORK INSTANTIATION // **************************************** -pub fn myproject_architecture< - // hls-fpga-machine-learning architecture type inference - >( +pub fn myproject_architecture( // hls-fpga-machine-learning architecture arguments ) -> // hls-fpga-machine-learning output @@ -21,9 +19,15 @@ pub fn myproject_architecture< } -pub fn myproject - () - -> { +pub fn myproject( + // hls-fpga-machine-learning top function input + )-> + // hls-fpga-machine-learning top function output + { - // hls-fpga-machine-learning insert load weights + // hls-fpga-machine-learning load weights + + myproject_architecture( + // hls-fpga-machine-learning call inlined weights + ) } \ No newline at end of file diff --git a/hls4ml/writer/__init__.py b/hls4ml/writer/__init__.py index 8de19fe1d2..150d046d63 100644 --- a/hls4ml/writer/__init__.py +++ b/hls4ml/writer/__init__.py @@ -5,6 +5,7 @@ from hls4ml.writer.vitis_writer import VitisWriter from hls4ml.writer.vivado_accelerator_writer import VivadoAcceleratorWriter from hls4ml.writer.vivado_writer import VivadoWriter +from hls4ml.writer.xls_writer import XLSWriter from hls4ml.writer.writers import Writer, get_writer, register_writer # noqa: F401 register_writer('Vivado', VivadoWriter) @@ -14,3 +15,4 @@ register_writer('oneAPI', OneAPIWriter) register_writer('Catapult', CatapultWriter) register_writer('SymbolicExpression', SymbolicExpressionWriter) +register_writer('XLS', XLSWriter) diff --git a/hls4ml/writer/writers.py b/hls4ml/writer/writers.py index 54caec1d11..88d7fc1680 100644 --- a/hls4ml/writer/writers.py +++ b/hls4ml/writer/writers.py @@ -2,7 +2,7 @@ class Writer: def __init__(self): pass - def write_hls(self, model): + def write_hls(self, model) -> None: raise NotImplementedError diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index c3b15217cd..a46ef68f2b 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -1,3 +1,11 @@ + +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Any, TYPE_CHECKING +if TYPE_CHECKING: + from hls4ml.model.graph import ModelGraph + + import glob import os import numpy as np @@ -5,15 +13,14 @@ from shutil import copyfile, copytree, rmtree from collections import OrderedDict from dataclasses import dataclass, asdict -from typing import List - from hls4ml.writer.writers import Writer + config_filename = 'hls4ml_config.yml' @dataclass(frozen=True) class XLSLayerConfig: - name: str + class_name: str in_dim_key: str in_dim_val: int out_dim_key: str @@ -35,16 +42,16 @@ def to_string(self) -> str: return "\n".join(lines) def is_activation(self) -> bool: - return self.name in ['Activation', 'Softmax'] + return self.class_name in ['Activation', 'Softmax'] class XLSLayerConfigBuilder: def __init__(self): - self._kw = { + self._kw: dict[str, Any] = { "fxp_weights": np.array([]), "fxp_bias": np.array([]), } - def name(self, v: int): - self._kw["name"] = v; + def class_name(self, v: int): + self._kw["class_name"] = v; return self def in_dim_key(self, v: str): self._kw["in_dim_key"] = v; @@ -101,10 +108,9 @@ def in_type(self, prev_layer_precision): self._kw["in_type"] = '' return self def out_nb(self, layer_precision): - if layer_precision: - for _, type_var in layer_precision.items(): - self._kw["out_nb"] = f'u32:{type_var.precision.width}'; - return self + for _, type_var in layer_precision.items(): + self._kw["out_nb"] = f'u32:{type_var.precision.width}'; + return self else: self._kw["out_nb"] = '' return self @@ -112,18 +118,16 @@ def out_en(self): self._kw["out_en"] = 'u32:1' return self def out_bu(self, layer_precision): - if layer_precision: - for _, type_var in layer_precision.items(): - self._kw["out_bu"] = f'u32:{type_var.precision.width - type_var.precision.integer}'; - return self + for _, type_var in layer_precision.items(): + self._kw["out_bu"] = f'u32:{type_var.precision.width - type_var.precision.integer}'; + return self else: self._kw["out_bu"] = '' return self def out_type(self, layer_precision): - if layer_precision: - for _, type_var in layer_precision.items(): - self._kw["out_type"] = f'sN[u32:{type_var.precision.width}]'; - return self + for _, type_var in layer_precision.items(): + self._kw["out_type"] = f'sN[u32:{type_var.precision.width}]'; + return self else: self._kw["out_type"] = '' return self @@ -133,7 +137,7 @@ def build(self) -> XLSLayerConfig: class XLSWriter(Writer): - def write_project_dir(self, model): + def write_project_dir(self, model: ModelGraph) -> None: """Write the base project directory Args: @@ -142,7 +146,7 @@ def write_project_dir(self, model): if not os.path.isdir(f"{model.config.get_output_dir()}/firmware"): os.makedirs(f"{model.config.get_output_dir()}/firmware") - def write_project_dslx(self, model, xls_layers: list[XLSLayerConfig]): + def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig]): """Write the main architecture source file (myproject.x) Args: @@ -154,23 +158,19 @@ def write_project_dslx(self, model, xls_layers: list[XLSLayerConfig]): f = open(os.path.join(filedir, '../templates/xls/firmware/myproject.x')) fout = open(f'{model.config.get_output_dir()}/firmware/{model.config.get_project_name()}.x', 'w') - model_inputs = model.get_input_variables() - model_outputs = model.get_output_variables() - # model_brams = [var for var in model.get_weight_variables() if var.storage.lower() == 'bram'] - indent = ' ' - + last_layer_dim_key = '' for line in f.readlines(): # Add headers to weights and biases if 'myproject' in line: newline = line.replace('myproject', model.config.get_project_name()) - elif '// hls-fpga-machine-learning xls layer documentation' in line: - print("================= HERE\n") - newline = line + "TESTEST" - for layer in xls_layers: - newline += layer.to_string() - newline += '\n\n' + # elif '// hls-fpga-machine-learning debugging' in line: + # print("================= HERE\n") + # newline = line + # for layer in xls_layers: + # newline += layer.to_string() + # newline += '\n\n' elif '// hls-fpga-machine-learning insert dimensions' in line: newline = line @@ -178,76 +178,90 @@ def write_project_dslx(self, model, xls_layers: list[XLSLayerConfig]): if layer.is_activation() == False: newline += f'const {layer.out_dim_key} = {layer.out_dim_val};\n' - elif '// hls-fpga-machine-learning insert header' in line: - inputs_str = ', '.join([i.definition_cpp(as_reference=True) for i in model_inputs]) - outputs_str = ', '.join([o.definition_cpp(as_reference=True) for o in model_outputs]) - # brams_str = ', \n'.join([indent + b.definition_cpp(as_reference=False) for b in model_brams]) + # elif '// hls-fpga-machine-learning architecture type inference' in line: + # newline = indent + 'IN_L0: u32, OUT_L0: u32,\n' + # for i, layer in enumerate(xls_layers): + # if i > 0 and layer.is_activation() == False: + # newline += indent + f'IN_L{i}: u32 = {{OUT_L{i-1}}}, OUT_L{i}: u32,\n' + # last_layer_dim_key = f'OUT_L{i}' + elif '// hls-fpga-machine-learning architecture arguments' in line: newline = '' - newline += indent + inputs_str + ',\n' - newline += indent + outputs_str - # if len(model_brams) > 0: - # newline += ',\n' + brams_str - newline += '\n' + for i, layer in enumerate(xls_layers): + if layer.class_name == 'Input': + newline += indent + f'x: {layer.out_type}[{layer.out_dim_key}],\n' + elif layer.is_activation() == False: + newline += indent + f'w{i}: {layer.out_type}[{layer.in_dim_key}][{layer.out_dim_key}],\n' + newline += indent + f'b{i}: {layer.out_type}[{layer.out_dim_key}]' + if i < len([layer for layer in xls_layers if layer.is_activation() == False]) - 1: + newline += ',\n' + else: + newline += '\n' - elif '// hls-fpga-machine-learning insert load weights' in line: - newline = line - if model.config.get_writer_config()['WriteWeightsTxt']: - - newline += '#ifndef __SYNTHESIS__\n' - newline += ' static bool loaded_weights = false;\n' - newline += ' if (!loaded_weights) {\n' - - for layer in model.get_layers(): - for w in layer.get_weights(): - if w.weight_class == 'CompressedWeightVariable': - newline += ( - indent - + ' nnet::load_compressed_weights_from_txt<{}, {}>({}, "{}.txt");\n'.format( - w.type.name, w.nonzeros, w.name, w.name - ) - ) - elif w.weight_class == 'ExponentWeightVariable': - newline += ( - indent - + ' nnet::load_exponent_weights_from_txt<{}, {}>({}, "{}.txt");\n'.format( - w.type.name, w.data_length, w.name, w.name - ) - ) - else: - newline += indent + ' nnet::load_weights_from_txt<{}, {}>({}, "{}.txt");\n'.format( - w.type.name, w.data_length, w.name, w.name - ) - - newline += ' loaded_weights = true;' - newline += ' }\n' - newline += '#endif' - - # Add input/output type - elif '// hls-fpga-machine-learning insert IO' in line: - pass - - elif '// hls-fpga-machine-learning architecture type inference' in line: - indent = ' ' - newline = indent + 'IN_L0: u32, OUT_L0: u32,\n' - for i, layer in enumerate(model.get_layers()): - if i > 0: - newline += indent + f'IN_L{i}: u32 = {{OUT_L{i-1}}}, OUT_L{i}: u32,\n' - - # TODO: infer actual defintion of 'Output_T' elif '// hls-fpga-machine-learning output ' in line: indent = ' ' - newline = indent + f'Output_T[OUT_L{len(model.get_layers())-1}],\n' + last_layer_type = xls_layers[-1].out_type + last_layer_dim_key = xls_layers[-1].out_dim_key + newline = indent + f'{last_layer_type}[{last_layer_dim_key}]\n' elif '// hls-fpga-machine-learning insert layers' in line: - newline = line + '\n' - for i, layer in enumerate(model.get_layers()): - vars = layer.get_variables() - for var in vars: - if var not in model_inputs and var not in model_outputs: - #TODO: might fail for non fixed point types - newline += f' let z{i+1} = ' + f'multi_dense_fxd::{var.type.name}<{var.type.precision.width}, 1, {var.type.precision.integer}>' + f'(z{i}, w{i}, b{i});\n' - newline += f' z{len(model.get_layers()) - 1}\n' + newline = line + prev_var = '' + for i, layer in enumerate(xls_layers): + next_layer = xls_layers[i + 1] if i < len(xls_layers) - 1 else None + if layer.class_name == 'Dense' and next_layer.class_name == 'Activation': + if prev_var is '': + newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' + prev_var = f'z{i}' + else: + newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' + prev_var = f'z{i}' + if layer.class_name == 'Dense' and next_layer.class_name == 'Softmax': + if prev_var is '': + newline += indent + f'let y{i} = multi_dense_fxd::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' + prev_var = f'y{i}' + else: + newline += indent + f'let y{i} = multi_dense_fxd::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' + prev_var = f'y{i}' + if layer.class_name == 'Softmax': + newline += indent + f'let z{i} = multi_dense_fxd::argmax<{layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var});\n' + prev_var = f'z{i}' + + newline += indent + prev_var + '\n' + + elif '// hls-fpga-machine-learning top function input' in line: + newline = indent + f'x: {xls_layers[0].out_type}[{xls_layers[0].out_dim_key}]\n' + + elif '// hls-fpga-machine-learning top function output' in line: + newline = indent + f'{xls_layers[-1].out_type}[{xls_layers[-1].out_dim_key}]\n' + + elif '// hls-fpga-machine-learning load weights' in line: + newline = line + for i, layer in enumerate(xls_layers): + if layer.class_name == 'Dense': + # Weights + newline += indent + f'let w{i} = {layer.out_type}[{layer.in_dim_key}][{layer.out_dim_key}]:[\n' + for idx_row, row in enumerate(layer.fxp_weights): + newline += indent + indent + '[' + for idx_col, w in enumerate(row): + newline += f'{layer.out_type}:{w}' + if idx_col < len(row) - 1: + newline += ',' + newline += ']' + if idx_row < len(layer.fxp_weights) - 1: + newline += ',\n' + else: + newline += '\n' + newline += indent + '];\n' + # Bias + newline += indent + f'let b{i} = {layer.out_type}[{layer.out_dim_key}]:[\n' + newline += indent + indent + for idx_b, b in enumerate(layer.fxp_bias): + newline += f'{layer.out_type}:{b}' + if idx_b < len(layer.fxp_bias) - 1: + newline += ',' + newline += '\n' + indent + '];\n' + # Just copy line else: @@ -258,7 +272,7 @@ def write_project_dslx(self, model, xls_layers: list[XLSLayerConfig]): f.close() fout.close() - def write_nnet_utils(self, model): + def write_nnet_utils(self, model: ModelGraph) -> None: """Copy the nnet_utils, AP types headers to the project output directory Args: @@ -298,8 +312,8 @@ def write_nnet_utils(self, model): - def write_hls(self, model): - xls_layers = [] + def write_hls(self, model: ModelGraph) -> None: + xls_layers: list[XLSLayerConfig] = [] builder = XLSLayerConfigBuilder() prev_out_dim_key = '' @@ -317,7 +331,7 @@ def write_hls(self, model): cur_out_dim_val = list(layer.get_output_variable().get_shape())[0][1] new_layer = ( builder - .name(layer.class_name) + .class_name(layer.class_name) .in_dim_key(prev_out_dim_key) .in_dim_val(prev_out_dim_val) .out_dim_key(cur_out_dim_key) # TODO: investigate if this is always good diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt index 6c73c985d5..4f51f6ed04 100644 --- a/test/pytest/junk.txt +++ b/test/pytest/junk.txt @@ -1,11 +1,11 @@ ============================= test session starts ============================== platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 -pytest-randomly: reseed with 3292553549 -Using --randomly-seed=3292553549 +pytest-randomly: reseed with 844314803 +Using --randomly-seed=844314803 rootdir: /home/girji/workspace/forks/hls4ml configfile: pyproject.toml plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 -pytest-randomly: reseed with 3292553549 +pytest-randomly: reseed with 844314803 collected 1 item test_keras_api.py F [100%] @@ -58,8 +58,8 @@ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ lib_name = self.config.backend.compile(self) _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ -self = -model = +self = +model = def compile(self, model): """Compile the generated project that can be linked into Python runtime. @@ -90,10 +90,10 @@ E Exception: Failed to compile project "myproject" ../../../../repos/hls4ml/hls4ml/backends/fpga/fpga_backend.py:178: Exception ---------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 3292553548 +pytest-randomly: reseed with 844314802 ----------------------------- Captured stdout call ----------------------------- -pytest-randomly: reseed with 3292553549 - 1/4 [======>.......................] - ETA: 0s 4/4 [==============================] - 0s 595us/step +pytest-randomly: reseed with 844314803 + 1/4 [======>.......................] - ETA: 0s 4/4 [==============================] - 0s 1ms/step Interpreting Sequential Topology: Layer name: Dense_input, layer type: InputLayer, input shapes: [[None, 1]], output shape: [None, 1] @@ -110,7 +110,7 @@ Done /bin/sh: 1: ./build_lib.sh: not found --------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 3292553550 +pytest-randomly: reseed with 844314804 =============================== warnings summary =============================== ../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. @@ -163,4 +163,4 @@ pytest-randomly: reseed with 3292553550 -- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html =========================== short test summary info ============================ FAILED test_keras_api.py::test_dense[io_parallel-XLS] - Exception: Failed to ... -======================== 1 failed, 12 warnings in 1.81s ======================== +======================== 1 failed, 12 warnings in 1.78s ======================== From fbe2e8295aec67cd775087f9e42b832b8595d2f3 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 17 Jul 2025 14:08:59 +0200 Subject: [PATCH 04/24] feat: init writer complete --- hls4ml/writer/xls_writer.py | 82 +++++++++++++++++++++++++++---------- 1 file changed, 61 insertions(+), 21 deletions(-) diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index a46ef68f2b..808b76dcdc 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -7,7 +7,8 @@ import glob -import os +import sys, os +import subprocess import numpy as np from fxpmath import Fxp from shutil import copyfile, copytree, rmtree @@ -44,6 +45,9 @@ def to_string(self) -> str: def is_activation(self) -> bool: return self.class_name in ['Activation', 'Softmax'] + def has_weights(self) -> bool: + return self.class_name in ['Dense'] + class XLSLayerConfigBuilder: def __init__(self): self._kw: dict[str, Any] = { @@ -176,7 +180,7 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] newline = line for layer in xls_layers: if layer.is_activation() == False: - newline += f'const {layer.out_dim_key} = {layer.out_dim_val};\n' + newline += f'const {layer.out_dim_key} = u32:{layer.out_dim_val};\n' # elif '// hls-fpga-machine-learning architecture type inference' in line: # newline = indent + 'IN_L0: u32, OUT_L0: u32,\n' @@ -238,7 +242,7 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] elif '// hls-fpga-machine-learning load weights' in line: newline = line for i, layer in enumerate(xls_layers): - if layer.class_name == 'Dense': + if layer.has_weights(): # Weights newline += indent + f'let w{i} = {layer.out_type}[{layer.in_dim_key}][{layer.out_dim_key}]:[\n' for idx_row, row in enumerate(layer.fxp_weights): @@ -262,6 +266,20 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] newline += ',' newline += '\n' + indent + '];\n' + elif '// hls-fpga-machine-learning call inlined weights' in line: + newline = indent + indent + for i, layer in enumerate(xls_layers): + if layer.class_name == 'Input': + newline += 'x,' + elif layer.has_weights(): + newline += f'w{i}, b{i}' + if i < len(xls_layers) - 1: + print(', ') + newline += '\n' + + + + # Just copy line else: @@ -300,17 +318,45 @@ def write_nnet_utils(self, model: ModelGraph) -> None: rmtree(dstpath) copytree(srcpath, dstpath) - - # TODO: check if you need this - # # custom source - # filedir = os.path.dirname(os.path.abspath(__file__)) - - # custom_source = model.config.backend.get_custom_source() - # for dst, srcpath in custom_source.items(): - # dstpath = f'{model.config.get_output_dir()}/firmware/{dst}' - # copyfile(srcpath, dstpath) - - + + + def gen_interpretable_ir(self, model: ModelGraph): + XLS_BAZEL_BIN_PATH = '$HOME/xls/bazel-bin' + + if 'linux' in sys.platform: + path = os.path.expandvars(XLS_BAZEL_BIN_PATH) + if os.path.isdir(path) == 0: + raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') + + curr_dir = os.getcwd() + os.chdir(f'{model.config.get_output_dir()}/firmware') + kernel_name = model.config.get_project_name() + + ## Run interpreter + interpreter_cmd = [ + f'{path}/xls/dslx/interpreter_main', + f'{kernel_name}.x' + ] + subprocess.run(interpreter_cmd, check=True) + + ## Generate IR + with open(f'{kernel_name}.ir', 'w') as ir_file: + gen_cmd = [ + f'{path}/xls/dslx/ir_convert/ir_converter_main', + f'--top={kernel_name}', + f'{kernel_name}.x' + ] + subprocess.run(gen_cmd, check=True, stdout=ir_file) + + ## Optimize IR + with open(f'{kernel_name}.opt.ir', 'w') as opt_file: + opt_cmd = [ + f'{path}/xls/tools/opt_main', + f'{kernel_name}.ir' + ] + subprocess.run(opt_cmd, check=True, stdout=opt_file) + + os.chdir(curr_dir) def write_hls(self, model: ModelGraph) -> None: xls_layers: list[XLSLayerConfig] = [] @@ -320,13 +366,6 @@ def write_hls(self, model: ModelGraph) -> None: prev_out_dim_val = -1 prev_layer_precision = None for layer in model.get_layers(): - # print('\n========== Layer: ') - # for name, val in layer.__dict__.items(): - # print(f"{name}: {val!r}") - # print('\nMODEL: ') - # for name, val in layer.model.__dict__.items(): - # print(f"{name}: {val!r}") - # print() cur_out_dim_key = list(layer.get_output_variable().get_shape())[0][0] cur_out_dim_val = list(layer.get_output_variable().get_shape())[0][1] new_layer = ( @@ -358,4 +397,5 @@ def write_hls(self, model: ModelGraph) -> None: self.write_project_dir(model) self.write_project_dslx(model, xls_layers) self.write_nnet_utils(model) + self.gen_interpretable_ir(model) print('Done writing') \ No newline at end of file From f9b28634e703742d1e3f9d966c1198b147b34e35 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 18 Jul 2025 16:34:27 +0200 Subject: [PATCH 05/24] feat: first end2end working test --- hls4ml/backends/backend.py | 12 +- hls4ml/backends/fpga/fpga_backend.py | 9 +- hls4ml/backends/xls/xls_backend.py | 164 ++++++++++++++++++++++++-- hls4ml/model/flow/flow.py | 2 +- hls4ml/model/graph.py | 24 +++- hls4ml/writer/xls_writer.py | 113 +++++++++--------- test/pytest/junk.txt | 166 ++++++--------------------- test/pytest/test_keras_api.py | 2 +- 8 files changed, 284 insertions(+), 208 deletions(-) diff --git a/hls4ml/backends/backend.py b/hls4ml/backends/backend.py index eff87bef88..81a657adae 100644 --- a/hls4ml/backends/backend.py +++ b/hls4ml/backends/backend.py @@ -1,3 +1,10 @@ +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Any, TYPE_CHECKING +if TYPE_CHECKING: + pass # Add typing classes here + +from numpy.lib._iotools import str2bool import inspect import os from pathlib import Path @@ -56,7 +63,7 @@ def _get_layer_initializers(self): def _get_layer_templates(self): return [name for name in get_backend_passes(self.name) if isinstance(get_optimizer(name), Template)] - def create_initial_config(self, **kwargs): + def create_initial_config(self, **kwargs) -> dict[str, Any]: """Create the minimal conversion config for the backend. Subclasses should implement this method to provide the initial configuration for the conversion. @@ -82,7 +89,7 @@ def get_available_flows(self): """ return get_backend_flows(self.name) - def get_default_flow(self): + def get_default_flow(self) -> str: """The name of the default flow of the backend. Default flow is used as the conversion target if the target flow has not been specified. @@ -152,7 +159,6 @@ def register_template(self, template_cls): backend_map = {} - def register_backend(name, backend_cls): """Create the backend instance and add it to the registry. diff --git a/hls4ml/backends/fpga/fpga_backend.py b/hls4ml/backends/fpga/fpga_backend.py index f0b603ab24..9cc361f375 100644 --- a/hls4ml/backends/fpga/fpga_backend.py +++ b/hls4ml/backends/fpga/fpga_backend.py @@ -1,3 +1,9 @@ +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Any, TYPE_CHECKING +if TYPE_CHECKING: + pass # Add typing classes here + import math import re import subprocess @@ -187,6 +193,7 @@ def compile(self, model): return lib_name + def write(self, model): """Write the generated project to disk. @@ -199,7 +206,7 @@ def write(self, model): model.apply_flow(self.get_writer_flow()) - def get_writer_flow(self): + def get_writer_flow(self) -> str: raise NotImplementedError def get_layer_mult_size(self, layer): diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index e484829e80..395b6995a8 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -1,9 +1,15 @@ -import os -import sys +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Any, TYPE_CHECKING +if TYPE_CHECKING: + from hls4ml.model.graph import ModelGraph + +import os, sys +import re import subprocess, shlex -from warnings import warn - import numpy as np +from warnings import warn +from fxpmath import Fxp from hls4ml.backends import FPGABackend from hls4ml.model.optimizer import get_backend_passes, layer_optimizer @@ -15,8 +21,11 @@ from hls4ml.model.types import IntegerPrecisionType, NamedType class XLSBackend(FPGABackend): - def __init__(self): + def __init__(self) -> None: super().__init__('XLS') + self._writer_flow = '' + self._default_flow = '' + self._register_layer_attributes() self._register_flows() @@ -24,19 +33,19 @@ def _register_layer_attributes(self): # TODO: implement this pass - def _register_flows(self): + def _register_flows(self) -> None: initializers = self._get_layer_initializers() - init_flow = register_flow('init_layers', initializers, requires=['optimize'], backend=self.name) + init_flow: str = register_flow('init_layers', initializers, requires=['optimize'], backend=self.name) optimization_passes = [ 'infer_precision_types', ] - optimization_flow = register_flow('optimize', optimization_passes, requires=[init_flow], backend=self.name) + optimization_flow: str = register_flow('optimize', optimization_passes, requires=[init_flow], backend=self.name) vivado_types = [ 'xls:transform_types', ] - vivado_types_flow = register_flow('specific_types', vivado_types, requires=[init_flow], backend=self.name) + vivado_types_flow: str = register_flow('specific_types', vivado_types, requires=[init_flow], backend=self.name) templates = self._get_layer_templates() template_flow = register_flow('apply_templates', self._get_layer_templates, requires=[init_flow], backend=self.name) @@ -70,10 +79,10 @@ def _register_flows(self): self._default_flow = register_flow('ip', None, requires=ip_flow_requirements, backend=self.name) - def get_default_flow(self): + def get_default_flow(self) -> str: return self._default_flow - def get_writer_flow(self): + def get_writer_flow(self) -> str: return self._writer_flow def create_initial_config( @@ -87,7 +96,7 @@ def create_initial_config( write_tar=False, tb_output_stream='both', **_, - ): + ) -> dict[str, Any]: """Create initial configuration of the Vivado backend. Args: @@ -119,9 +128,140 @@ def create_initial_config( 'WriteTar': write_tar, 'TBOutputStream': tb_output_stream, } + #TODO: update to a better way to access the bazel-vin project + config['xls_bazel_bin_path'] = '$HOME/xls/bazel-bin' return config + #TODO: this return value conflicts with the expected return value in ModelGraph of compile() + def compile(self, model: ModelGraph): + + if 'linux' in sys.platform: + path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) + if os.path.isdir(path) == 0: + raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') + + curr_dir = os.getcwd() + os.chdir(f'{model.config.get_output_dir()}/firmware') + kernel_name = model.config.get_project_name() + + # ## Run interpreter + # interpreter_cmd = [ + # f'{path}/xls/dslx/interpreter_main', + # f'{kernel_name}.x' + # ] + # subprocess.run(interpreter_cmd, check=True) + + ## Generate IR + with open(f'{kernel_name}.ir', 'w') as ir_file: + gen_cmd = [ + f'{path}/xls/dslx/ir_convert/ir_converter_main', + f'--top={kernel_name}', + f'{kernel_name}.x' + ] + subprocess.run(gen_cmd, check=True, stdout=ir_file) + + ## Optimize IR + with open(f'{kernel_name}.opt.ir', 'w') as opt_file: + opt_cmd = [ + f'{path}/xls/tools/opt_main', + f'{kernel_name}.ir' + ] + subprocess.run(opt_cmd, check=True, stdout=opt_file) + + os.chdir(curr_dir) + + def predict(self, model: ModelGraph, x): + + if 'linux' in sys.platform: + path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) + if os.path.isdir(path) == 0: + raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') + + n_samples = model._compute_n_samples(x) + n_inputs = len(model.get_input_variables()) + n_outputs = len(model.get_output_variables()) + + # extract type info + if n_inputs == 1: + input_type = x.dtype + else: + input_type = x[0].dtype + + output = [] + if n_samples == 1 and n_inputs == 1: + x = [x] + + curr_dir = os.getcwd() + os.chdir(f'{model.config.get_output_dir()}/predictions') + # write input file + scale = 2 ** 10 + with open(f'input.txt', 'w') as input_file: + newline = '' + for i in range(n_samples): + newline += '[' + # predictions: list[ndarray[tuple[int], dtype[float64]]] = [np.zeros(yj.size()) for yj in model.get_output_variables()] + fxp_x = Fxp(x[i], signed=True, n_word=16, n_frac=10).raw() + if n_inputs == 1: + #TODO: not always 16 bits + newline += f'bits[16]:{fxp_x[0]}' + else: + for i, inp in enumerate(fxp_x[i]): + newline += f'bits[16]:{inp}' + if i < len(fxp_x[i]) - 1: + newline += ',' + newline += ']\n' + input_file.write(newline) + + # predict to output + interpret_cmd = [ + f'{path}/xls/tools/eval_ir_main', + f'../firmware/{model.config.get_project_name()}.opt.ir', + f'--input_file=input.txt' + ] + result = subprocess.run(interpret_cmd, check=True, stdout=subprocess.PIPE, text=True) + + + # extract from output file + hex_pat = re.compile(r"0x([0-9A-Fa-f]+)") + output_type_pat = re.compile(r"bits\[(\d+)\]") + + # process output + rows = [] + for line in result.stdout.splitlines(): + raw_outputs = hex_pat.findall(line) + m = output_type_pat.search(line) + output_width = int(m.group(1)) + if not raw_outputs: + continue + int_outputs = [int(o, 16) for o in raw_outputs] + + # signed interpretation w/ 2's complement + sign_bit = 1 << (output_width - 1) + full_mask = 1 << output_width + sint_output = [(v - full_mask) if (v & sign_bit) else v for v in int_outputs] + + rows.append([sint_output]) + + # scale back from fixed point + output = np.array(rows, dtype=np.int32) + output = output.astype(input_type) / scale + output = [np.asarray([output[i_sample][i_output] for i_sample in range(n_samples)]) for i_output in range(n_outputs)] + + if n_samples == 1 and n_outputs == 1: + print('A') + return output[0][0] + elif n_outputs == 1: + print(output[0].shape) + print('B', output) + return output[0] + elif n_samples == 1: + print('C') + return [output_i[0] for output_i in output] + else: + print('D') + return output + def build( self, diff --git a/hls4ml/model/flow/flow.py b/hls4ml/model/flow/flow.py index 43415f5ac0..f67fde9c7a 100644 --- a/hls4ml/model/flow/flow.py +++ b/hls4ml/model/flow/flow.py @@ -78,7 +78,7 @@ def _get_backend_name_prefix(name, backend): return name -def register_flow(name, optimizers, requires=None, backend=None): +def register_flow(name, optimizers, requires=None, backend=None) -> str: """Create a flow and add it to the registry. Args: diff --git a/hls4ml/model/graph.py b/hls4ml/model/graph.py index d8f26efb9d..7290e68d69 100644 --- a/hls4ml/model/graph.py +++ b/hls4ml/model/graph.py @@ -1,3 +1,9 @@ +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Any, TYPE_CHECKING +if TYPE_CHECKING: + from hls4ml.backends.backend import Backend + import concurrent.futures import copy import ctypes @@ -872,12 +878,12 @@ def _compute_n_samples(self, x): return int(n_sample) - def predict(self, x): + def _predict(self, x): top_function, ctype = self._get_top_function(x) n_samples = self._compute_n_samples(x) n_inputs = len(self.get_input_variables()) n_outputs = len(self.get_output_variables()) - + output = [] if n_samples == 1 and n_inputs == 1: x = [x] @@ -897,14 +903,27 @@ def predict(self, x): output = [np.asarray([output[i_sample][i_output] for i_sample in range(n_samples)]) for i_output in range(n_outputs)] if n_samples == 1 and n_outputs == 1: + print('A') return output[0][0] elif n_outputs == 1: + print(output[0].shape) + print('B', output) return output[0] elif n_samples == 1: + print('C') return [output_i[0] for output_i in output] else: + print('D') return output + def predict(self, x): + backend: Backend = self.config.backend + #TODO: add predict to Backend class + if hasattr(backend, 'predict') and callable(getattr(backend, 'predict')): + return backend.predict(self, x) + else: + return self._predict(x) + def trace(self, x): print(f'Recompiling {self.config.get_project_name()} with tracing') self.config.trace_output = True @@ -1305,6 +1324,7 @@ def write(self): def compile(self): self.write() + print("HERERERE") self._compile() def predict(self, x, sim='csim'): diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 808b76dcdc..3f3fd170e1 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -1,4 +1,3 @@ - # Typing imports from __future__ import annotations # makes all annotations into strings from typing import List, Any, TYPE_CHECKING @@ -21,7 +20,7 @@ @dataclass(frozen=True) class XLSLayerConfig: - class_name: str + class_name: str in_dim_key: str in_dim_val: int out_dim_key: str @@ -69,8 +68,8 @@ def out_dim_key(self, v: str): def out_dim_val(self, v: int): self._kw["out_dim_val"] = v; return self - def fxp_weights(self, fxp_weights, out_dim, in_dim): - for w in fxp_weights: + def fxp_weights(self, weights, out_dim, in_dim): + for w in weights: if (len(list(w)) == out_dim*in_dim): mat = np.array(list(w)).reshape(in_dim, out_dim) mat_T = mat.T # in Keras the weights are transposed @@ -78,8 +77,8 @@ def fxp_weights(self, fxp_weights, out_dim, in_dim): self._kw["fxp_weights"] = fxp_w return self return self - def fxp_bias(self, fxp_weights, out_dim): - for w in fxp_weights: + def fxp_bias(self, weights, out_dim): + for w in weights: if (len(list(w)) == out_dim): fxp_b = Fxp(list(w), signed=True, n_word=16, n_frac=10).raw() self._kw["fxp_bias"] = fxp_b @@ -139,6 +138,42 @@ def out_type(self, layer_precision): def build(self) -> XLSLayerConfig: return XLSLayerConfig(**self._kw) + def build_xls_layers(self, model: ModelGraph) -> list[XLSLayerConfig]: + xls_layers: list[XLSLayerConfig] = [] + + prev_out_dim_key = '' + prev_out_dim_val = -1 + prev_layer_precision = None + for layer in model.get_layers(): + cur_out_dim_key = list(layer.get_output_variable().get_shape())[0][0] + cur_out_dim_val = list(layer.get_output_variable().get_shape())[0][1] + new_layer = ( + self + .class_name(layer.class_name) + .in_dim_key(prev_out_dim_key) + .in_dim_val(prev_out_dim_val) + .out_dim_key(cur_out_dim_key) # TODO: investigate if this is always good + .out_dim_val(cur_out_dim_val) + .in_nb(prev_layer_precision) + .in_en() + .in_bu(prev_layer_precision) + .in_type(prev_layer_precision) + .out_type(layer.get_layer_precision()) + .out_nb(layer.get_layer_precision()) + .out_en() + .out_bu(layer.get_layer_precision()) + .fxp_weights(layer.get_weights(), out_dim=cur_out_dim_val, in_dim=prev_out_dim_val) + .fxp_bias(layer.get_weights(), out_dim=cur_out_dim_val) + .build() + ) + xls_layers.append(new_layer) + + prev_out_dim_key = new_layer.out_dim_key + prev_out_dim_val = new_layer.out_dim_val + prev_layer_precision = layer.get_layer_precision() + + return xls_layers + class XLSWriter(Writer): def write_project_dir(self, model: ModelGraph) -> None: @@ -150,7 +185,10 @@ def write_project_dir(self, model: ModelGraph) -> None: if not os.path.isdir(f"{model.config.get_output_dir()}/firmware"): os.makedirs(f"{model.config.get_output_dir()}/firmware") - def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig]): + if not os.path.isdir(f"{model.config.get_output_dir()}/predictions"): + os.makedirs(f"{model.config.get_output_dir()}/predictions") + + def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig]) -> None: """Write the main architecture source file (myproject.x) Args: @@ -213,14 +251,14 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] prev_var = '' for i, layer in enumerate(xls_layers): next_layer = xls_layers[i + 1] if i < len(xls_layers) - 1 else None - if layer.class_name == 'Dense' and next_layer.class_name == 'Activation': + if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Activation'): if prev_var is '': newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' prev_var = f'z{i}' else: newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' prev_var = f'z{i}' - if layer.class_name == 'Dense' and next_layer.class_name == 'Softmax': + if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Softmax'): if prev_var is '': newline += indent + f'let y{i} = multi_dense_fxd::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' prev_var = f'y{i}' @@ -277,10 +315,6 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] print(', ') newline += '\n' - - - - # Just copy line else: newline = line @@ -318,13 +352,12 @@ def write_nnet_utils(self, model: ModelGraph) -> None: rmtree(dstpath) copytree(srcpath, dstpath) - + def gen_interpretable_ir(self, model: ModelGraph): - XLS_BAZEL_BIN_PATH = '$HOME/xls/bazel-bin' if 'linux' in sys.platform: - path = os.path.expandvars(XLS_BAZEL_BIN_PATH) + path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) if os.path.isdir(path) == 0: raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') @@ -332,12 +365,12 @@ def gen_interpretable_ir(self, model: ModelGraph): os.chdir(f'{model.config.get_output_dir()}/firmware') kernel_name = model.config.get_project_name() - ## Run interpreter - interpreter_cmd = [ - f'{path}/xls/dslx/interpreter_main', - f'{kernel_name}.x' - ] - subprocess.run(interpreter_cmd, check=True) + # ## Run interpreter + # interpreter_cmd = [ + # f'{path}/xls/dslx/interpreter_main', + # f'{kernel_name}.x' + # ] + # subprocess.run(interpreter_cmd, check=True) ## Generate IR with open(f'{kernel_name}.ir', 'w') as ir_file: @@ -358,44 +391,14 @@ def gen_interpretable_ir(self, model: ModelGraph): os.chdir(curr_dir) + def write_hls(self, model: ModelGraph) -> None: - xls_layers: list[XLSLayerConfig] = [] builder = XLSLayerConfigBuilder() - - prev_out_dim_key = '' - prev_out_dim_val = -1 - prev_layer_precision = None - for layer in model.get_layers(): - cur_out_dim_key = list(layer.get_output_variable().get_shape())[0][0] - cur_out_dim_val = list(layer.get_output_variable().get_shape())[0][1] - new_layer = ( - builder - .class_name(layer.class_name) - .in_dim_key(prev_out_dim_key) - .in_dim_val(prev_out_dim_val) - .out_dim_key(cur_out_dim_key) # TODO: investigate if this is always good - .out_dim_val(cur_out_dim_val) - .in_nb(prev_layer_precision) - .in_en() - .in_bu(prev_layer_precision) - .in_type(prev_layer_precision) - .out_type(layer.get_layer_precision()) - .out_nb(layer.get_layer_precision()) - .out_en() - .out_bu(layer.get_layer_precision()) - .fxp_weights(layer.get_weights(), out_dim=cur_out_dim_val, in_dim=prev_out_dim_val) - .fxp_bias(layer.get_weights(), out_dim=cur_out_dim_val) - .build() - ) - xls_layers.append(new_layer) - - prev_out_dim_key = new_layer.out_dim_key - prev_out_dim_val = new_layer.out_dim_val - prev_layer_precision = layer.get_layer_precision() + xls_layers: list[XLSLayerConfig] = builder.build_xls_layers(model) print('Writing HLS project') self.write_project_dir(model) self.write_project_dslx(model, xls_layers) self.write_nnet_utils(model) - self.gen_interpretable_ir(model) + print('Done writing') \ No newline at end of file diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt index 4f51f6ed04..c610d184d4 100644 --- a/test/pytest/junk.txt +++ b/test/pytest/junk.txt @@ -1,166 +1,66 @@ ============================= test session starts ============================== platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 -pytest-randomly: reseed with 844314803 -Using --randomly-seed=844314803 +pytest-randomly: reseed with 2358155611 +Using --randomly-seed=2358155611 rootdir: /home/girji/workspace/forks/hls4ml configfile: pyproject.toml plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 -pytest-randomly: reseed with 844314803 -collected 1 item +pytest-randomly: reseed with 2358155611 +collected 2 items -test_keras_api.py F [100%] +test_keras_api.py -=================================== FAILURES =================================== -_________________________ test_dense[io_parallel-XLS] __________________________ - -backend = 'XLS', io_type = 'io_parallel' - - @pytest.mark.parametrize('backend', ['XLS']) - @pytest.mark.parametrize('io_type', ['io_parallel']) - def test_dense(backend, io_type): - model = tf.keras.models.Sequential() - model.add( - Dense( - 2, - input_shape=(1,), - name='Dense', - use_bias=True, - kernel_initializer=tf.keras.initializers.RandomUniform(minval=1, maxval=10), - bias_initializer='zeros', - kernel_regularizer=None, - bias_regularizer=None, - activity_regularizer=None, - kernel_constraint=None, - bias_constraint=None, - ) - ) - model.add(Activation(activation='relu', name='Activation')) - model.compile(optimizer='adam', loss='mse') - - X_input = np.random.rand(100, 1) - - keras_prediction = model.predict(X_input) - - config = hls4ml.utils.config_from_keras_model(model) - output_dir = str(test_root_path / f'hls4mlprj_keras_api_dense_{backend}_{io_type}') - - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type - ) - -> hls_model.compile() - -test_keras_api.py:63: -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ -../../../../repos/hls4ml/hls4ml/model/graph.py:795: in compile - self._compile() -../../../../repos/hls4ml/hls4ml/model/graph.py:798: in _compile - lib_name = self.config.backend.compile(self) -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ - -self = -model = - - def compile(self, model): - """Compile the generated project that can be linked into Python runtime. - - Args: - model (ModelGraph): Model to compile. - - Raises: - Exception: If the project failed to compile - - Returns: - string: Returns the name of the compiled library. - """ - - lib_name = None - ret_val = subprocess.run( - ['./build_lib.sh'], - shell=True, - text=True, - stdout=subprocess.PIPE, - stderr=subprocess.STDOUT, - cwd=model.config.get_output_dir(), - ) - if ret_val.returncode != 0: - print(ret_val.stdout) -> raise Exception(f'Failed to compile project "{model.config.get_project_name()}"') -E Exception: Failed to compile project "myproject" - -../../../../repos/hls4ml/hls4ml/backends/fpga/fpga_backend.py:178: Exception ----------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 844314802 ------------------------------ Captured stdout call ----------------------------- -pytest-randomly: reseed with 844314803 - 1/4 [======>.......................] - ETA: 0s 4/4 [==============================] - 0s 1ms/step -Interpreting Sequential -Topology: -Layer name: Dense_input, layer type: InputLayer, input shapes: [[None, 1]], output shape: [None, 1] -Layer name: Dense, layer type: Dense, input shapes: [[None, 1]], output shape: [None, 2] -Layer name: Activation, layer type: Activation, input shapes: [[None, 2]], output shape: [None, 2] -Interpreting Sequential -Topology: -Layer name: Dense_input, layer type: InputLayer, input shapes: [[None, 1]], output shape: [None, 1] -Layer name: Dense, layer type: Dense, input shapes: [[None, 1]], output shape: [None, 2] -Layer name: Activation, layer type: Activation, input shapes: [[None, 2]], output shape: [None, 2] -Creating HLS model -Writing HLS project -Done -/bin/sh: 1: ./build_lib.sh: not found - ---------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 844314804 =============================== warnings summary =============================== -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../../../repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61 - /home/girji/workspace/repos/hls4ml/hls4ml/backends/xls/xls_backend.py:61: UserWarning: WARNING: Optimizer "xls:transform_types" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:70 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:transform_types" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html -=========================== short test summary info ============================ -FAILED test_keras_api.py::test_dense[io_parallel-XLS] - Exception: Failed to ... -======================== 1 failed, 12 warnings in 1.78s ======================== +!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! KeyboardInterrupt !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! +/home/girji/miniconda3/envs/hls4ml/lib/python3.10/site-packages/fxpmath/objects.py:976: KeyboardInterrupt +(to show a full traceback on KeyboardInterrupt use --full-trace) +============================= 12 warnings in 3.07s ============================= diff --git a/test/pytest/test_keras_api.py b/test/pytest/test_keras_api.py index 369e0f66ad..aabb610ab4 100644 --- a/test/pytest/test_keras_api.py +++ b/test/pytest/test_keras_api.py @@ -27,7 +27,7 @@ # @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) # @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -@pytest.mark.parametrize('backend', ['XLS']) +@pytest.mark.parametrize('backend', ['Vivado', 'XLS']) @pytest.mark.parametrize('io_type', ['io_parallel']) def test_dense(backend, io_type): model = tf.keras.models.Sequential() From 0952f1bac0f46eea2246031f687c41a4611dd504 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 18 Jul 2025 18:10:16 +0200 Subject: [PATCH 06/24] fix: vector input support, change back to current directory --- hls4ml/backends/xls/xls_backend.py | 17 +++++++++++------ hls4ml/writer/xls_writer.py | 10 +++++++--- test/pytest/test_keras_api.py | 4 ++-- 3 files changed, 20 insertions(+), 11 deletions(-) diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index 395b6995a8..b5d6897f56 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -179,7 +179,7 @@ def predict(self, model: ModelGraph, x): raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') n_samples = model._compute_n_samples(x) - n_inputs = len(model.get_input_variables()) + n_inputs = list(list(model.get_layers())[0].get_output_variable().get_shape())[0][1] # Get input dimensions n_outputs = len(model.get_output_variables()) # extract type info @@ -199,17 +199,21 @@ def predict(self, model: ModelGraph, x): with open(f'input.txt', 'w') as input_file: newline = '' for i in range(n_samples): + if n_inputs == 1: + inp = [np.asarray(x[i])] + else: + inp = [np.asarray(xj) for xj in x[i]] newline += '[' # predictions: list[ndarray[tuple[int], dtype[float64]]] = [np.zeros(yj.size()) for yj in model.get_output_variables()] - fxp_x = Fxp(x[i], signed=True, n_word=16, n_frac=10).raw() + fxp_x = Fxp(inp, signed=True, n_word=16, n_frac=10).raw() if n_inputs == 1: #TODO: not always 16 bits - newline += f'bits[16]:{fxp_x[0]}' + newline += f'bits[16]:{fxp_x[0][0]}' else: - for i, inp in enumerate(fxp_x[i]): + for i, inp in enumerate(fxp_x): newline += f'bits[16]:{inp}' - if i < len(fxp_x[i]) - 1: - newline += ',' + if i < len(fxp_x) - 1: + newline += ',' newline += ']\n' input_file.write(newline) @@ -248,6 +252,7 @@ def predict(self, model: ModelGraph, x): output = output.astype(input_type) / scale output = [np.asarray([output[i_sample][i_output] for i_sample in range(n_samples)]) for i_output in range(n_outputs)] + os.chdir(curr_dir) if n_samples == 1 and n_outputs == 1: print('A') return output[0][0] diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 3f3fd170e1..9b98186028 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -229,14 +229,16 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] elif '// hls-fpga-machine-learning architecture arguments' in line: newline = '' + weighted_layers_count = 0 for i, layer in enumerate(xls_layers): if layer.class_name == 'Input': newline += indent + f'x: {layer.out_type}[{layer.out_dim_key}],\n' elif layer.is_activation() == False: newline += indent + f'w{i}: {layer.out_type}[{layer.in_dim_key}][{layer.out_dim_key}],\n' newline += indent + f'b{i}: {layer.out_type}[{layer.out_dim_key}]' - if i < len([layer for layer in xls_layers if layer.is_activation() == False]) - 1: + if weighted_layers_count < len([layer for layer in xls_layers if layer.has_weights()]) - 1: newline += ',\n' + weighted_layers_count += 1 else: newline += '\n' @@ -306,13 +308,15 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] elif '// hls-fpga-machine-learning call inlined weights' in line: newline = indent + indent + weighted_layers_count = 0 for i, layer in enumerate(xls_layers): if layer.class_name == 'Input': newline += 'x,' elif layer.has_weights(): newline += f'w{i}, b{i}' - if i < len(xls_layers) - 1: - print(', ') + if weighted_layers_count < len([layer for layer in xls_layers if layer.has_weights()]) - 1: + newline += ', ' + weighted_layers_count += 1 newline += '\n' # Just copy line diff --git a/test/pytest/test_keras_api.py b/test/pytest/test_keras_api.py index aabb610ab4..e15ea017da 100644 --- a/test/pytest/test_keras_api.py +++ b/test/pytest/test_keras_api.py @@ -34,7 +34,7 @@ def test_dense(backend, io_type): model.add( Dense( 2, - input_shape=(1,), + input_shape=(2,), name='Dense', use_bias=True, kernel_initializer=tf.keras.initializers.RandomUniform(minval=1, maxval=10), @@ -49,7 +49,7 @@ def test_dense(backend, io_type): model.add(Activation(activation='relu', name='Activation')) model.compile(optimizer='adam', loss='mse') - X_input = np.random.rand(100, 1) + X_input = np.random.rand(100, 2) keras_prediction = model.predict(X_input) From b32405b55740302a16de13631315e53d74dad111 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 24 Jul 2025 12:22:37 +0200 Subject: [PATCH 07/24] refactoring: predict function call --- hls4ml/backends/xls/xls_backend.py | 309 ++++++++---------- hls4ml/model/graph.py | 2 +- .../xls/firmware/nnet_utils/multi_dense_fxd.x | 4 +- hls4ml/writer/xls_writer.py | 27 +- test/pytest/test_activations.py | 31 +- test/pytest/test_keras_api.py | 64 ++-- 6 files changed, 202 insertions(+), 235 deletions(-) diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index b5d6897f56..8930fb81d2 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -1,8 +1,11 @@ # Typing imports from __future__ import annotations # makes all annotations into strings from typing import List, Any, TYPE_CHECKING +from numpy.typing import NDArray if TYPE_CHECKING: from hls4ml.model.graph import ModelGraph + from hls4ml.model.layers import Layer + from subprocess import CompletedProcess import os, sys import re @@ -42,10 +45,10 @@ def _register_flows(self) -> None: ] optimization_flow: str = register_flow('optimize', optimization_passes, requires=[init_flow], backend=self.name) - vivado_types = [ - 'xls:transform_types', - ] - vivado_types_flow: str = register_flow('specific_types', vivado_types, requires=[init_flow], backend=self.name) + # vivado_types = [ + # 'xls:transform_types', + # ] + # vivado_types_flow: str = register_flow('specific_types', vivado_types, requires=[init_flow], backend=self.name) templates = self._get_layer_templates() template_flow = register_flow('apply_templates', self._get_layer_templates, requires=[init_flow], backend=self.name) @@ -73,7 +76,6 @@ def _register_flows(self) -> None: 'optimize', init_flow, optimization_flow, - vivado_types_flow, template_flow, ] @@ -133,13 +135,17 @@ def create_initial_config( return config - #TODO: this return value conflicts with the expected return value in ModelGraph of compile() - def compile(self, model: ModelGraph): - + def _get_backend_exec_path(self, model: ModelGraph) -> str: if 'linux' in sys.platform: - path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) + path: str = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) if os.path.isdir(path) == 0: raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') + return path + + #TODO: this return value conflicts with the expected return value in ModelGraph of compile() + def compile(self, model: ModelGraph): + + path = self._get_backend_exec_path(model) curr_dir = os.getcwd() os.chdir(f'{model.config.get_output_dir()}/firmware') @@ -160,7 +166,6 @@ def compile(self, model: ModelGraph): f'{kernel_name}.x' ] subprocess.run(gen_cmd, check=True, stdout=ir_file) - ## Optimize IR with open(f'{kernel_name}.opt.ir', 'w') as opt_file: opt_cmd = [ @@ -171,103 +176,134 @@ def compile(self, model: ModelGraph): os.chdir(curr_dir) - def predict(self, model: ModelGraph, x): + def predict(self, model: ModelGraph, x: np.floating | NDArray[np.floating[Any]]): - if 'linux' in sys.platform: - path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) - if os.path.isdir(path) == 0: - raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') - - n_samples = model._compute_n_samples(x) - n_inputs = list(list(model.get_layers())[0].get_output_variable().get_shape())[0][1] # Get input dimensions - n_outputs = len(model.get_output_variables()) - - # extract type info - if n_inputs == 1: - input_type = x.dtype - else: - input_type = x[0].dtype - - output = [] - if n_samples == 1 and n_inputs == 1: - x = [x] - - curr_dir = os.getcwd() - os.chdir(f'{model.config.get_output_dir()}/predictions') - # write input file - scale = 2 ** 10 - with open(f'input.txt', 'w') as input_file: + def _interpret_input(model: ModelGraph, + path: str, + x_list: NDArray[np.floating], + n_samples: int, + n_inputs: int, + input_width: int, + input_frac: int) -> CompletedProcess[str]: newline = '' for i in range(n_samples): if n_inputs == 1: - inp = [np.asarray(x[i])] + inp = [np.asarray(x_list[i])] else: - inp = [np.asarray(xj) for xj in x[i]] + inp = [np.asarray(xj) for xj in x_list[i]] newline += '[' - # predictions: list[ndarray[tuple[int], dtype[float64]]] = [np.zeros(yj.size()) for yj in model.get_output_variables()] - fxp_x = Fxp(inp, signed=True, n_word=16, n_frac=10).raw() + fxp_x: list = Fxp(inp, signed=True, n_word=input_width, n_frac=input_frac).raw() if n_inputs == 1: - #TODO: not always 16 bits - newline += f'bits[16]:{fxp_x[0][0]}' + newline += f'bits[{input_width}]:{fxp_x[0][0]}' else: for i, inp in enumerate(fxp_x): - newline += f'bits[16]:{inp}' + newline += f'bits[{input_width}]:{inp}' if i < len(fxp_x) - 1: newline += ',' newline += ']\n' - input_file.write(newline) - # predict to output - interpret_cmd = [ - f'{path}/xls/tools/eval_ir_main', - f'../firmware/{model.config.get_project_name()}.opt.ir', - f'--input_file=input.txt' - ] - result = subprocess.run(interpret_cmd, check=True, stdout=subprocess.PIPE, text=True) - - - # extract from output file - hex_pat = re.compile(r"0x([0-9A-Fa-f]+)") - output_type_pat = re.compile(r"bits\[(\d+)\]") - - # process output - rows = [] - for line in result.stdout.splitlines(): - raw_outputs = hex_pat.findall(line) - m = output_type_pat.search(line) - output_width = int(m.group(1)) - if not raw_outputs: - continue - int_outputs = [int(o, 16) for o in raw_outputs] - - # signed interpretation w/ 2's complement - sign_bit = 1 << (output_width - 1) - full_mask = 1 << output_width - sint_output = [(v - full_mask) if (v & sign_bit) else v for v in int_outputs] - - rows.append([sint_output]) - - # scale back from fixed point - output = np.array(rows, dtype=np.int32) - output = output.astype(input_type) / scale - output = [np.asarray([output[i_sample][i_output] for i_sample in range(n_samples)]) for i_output in range(n_outputs)] + # run command + interpret_cmd = [ + f'{path}/xls/tools/eval_ir_main', + f'../firmware/{model.config.get_project_name()}.opt.ir', + f'--input_file=-' + ] + result = subprocess.run( + interpret_cmd, + input=newline, + text=True, + check=True, + stdout=subprocess.PIPE, + ) + return result + + def _format_output(result: CompletedProcess[str]) -> list: + hex_pat = re.compile(r"0x([0-9A-Fa-f]+)") + output_type_pat = re.compile(r"bits\[(\d+)\]") + + # process output + rows = [] + for line in result.stdout.splitlines(): + raw_outputs = hex_pat.findall(line) + m = output_type_pat.search(line) + output_width = int(m.group(1)) + if not raw_outputs: + continue + int_outputs = [int(o, output_width) for o in raw_outputs] + + # signed interpretation w/ 2's complement + sign_bit = 1 << (output_width - 1) + full_mask = 1 << output_width + sint_output = [(v - full_mask) if (v & sign_bit) else v for v in int_outputs] + + rows.append([sint_output]) + + return rows + + def _go_to_original_type(rows: list, + n_samples: int, + n_outputs: int, + python_input_type: np.dtype[np.floating], + scale) -> list[NDArray[np.floating]]: + output = np.array(rows, dtype=np.int32) + output = output.astype(python_input_type) / scale + output = [np.asarray([output[i_sample][i_output] for i_sample in range(n_samples)]) for i_output in range(n_outputs)] + return output - os.chdir(curr_dir) - if n_samples == 1 and n_outputs == 1: - print('A') - return output[0][0] - elif n_outputs == 1: - print(output[0].shape) - print('B', output) - return output[0] - elif n_samples == 1: - print('C') - return [output_i[0] for output_i in output] + def _correct_dims(results_floats: list[NDArray[np.floating]], n_samples: int, n_outputs: int) -> list[NDArray[np.floating]]: + if n_samples == 1 and n_outputs == 1: + return result_floats[0][0] + elif n_outputs == 1: + return result_floats[0] + elif n_samples == 1: + return [output_i[0] for output_i in result_floats] + else: + return result_floats + + path: str = self._get_backend_exec_path(model) + layers: list[Layer] = list(model.get_layers()) + + # Extract dimensions + n_samples: int = model._compute_n_samples(x) + n_inputs: int = list(layers[0].get_output_variable().get_shape())[0][1] # Get input dimensions + n_outputs: int = len(model.get_output_variables()) + + # Extract type + input_width: int = list(layers[0].get_layer_precision().items())[0][1].precision.width + input_frac: int = input_width - list(layers[0].get_layer_precision().items())[0][1].precision.integer + output_width: int = list(layers[len(layers)-1].get_layer_precision().items())[0][1].precision.width + output_frac: int = output_width - list(layers[len(layers)-1].get_layer_precision().items())[0][1].precision.integer + + # extract python type (float/double) + if isinstance(x, np.ndarray): + python_input_type: np.dtype[np.floating] = x[0].dtype else: - print('D') - return output + python_input_type: np.dtype[np.floating] = x.dtype + + if n_samples == 1 and n_inputs == 1 and isinstance(x, np.floating): + x_list: NDArray[np.floating] = np.array([x], dtype=x.dtype) + elif isinstance(x, np.ndarray): + x_list: NDArray[np.floating] = x + # Change dirs + curr_dir = os.getcwd() + os.chdir(f'{model.config.get_output_dir()}/predictions') + # Result processing pipeling + result = _interpret_input(model, path, x_list, n_samples, n_inputs, input_width, input_frac) + os.chdir(curr_dir) + result_formatted = _format_output(result) + result_floats: list[NDArray[np.floating]] = _go_to_original_type(result_formatted, + n_samples, + n_outputs, + python_input_type, + scale=2 ** output_frac + ) + result_corrected_dims: list[NDArray[np.floating]] = _correct_dims(result_floats, n_samples, n_outputs) + return result_corrected_dims + + + #TODO: use the other flags def build( self, model, @@ -279,104 +315,29 @@ def build( export=False, vsynth=False, fifo_opt=False, + codegen_flags='--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps=5000 --reset=reset', ): - # TODO: include vivado & understand exactly what this does - # TODO: Use the real config - config = { - 'output_dir': 'build', - 'workspace_path': '$HOME/workspace/xls4nn', - 'xls_bazel_bin_path': '$HOME/xls/bazel-bin', - 'kernel_name': 'proc_jet_tagging_dense', - 'codegen_flags': '--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps=5000 --pipeline_stages=2 --reset=reset' - } - + print("IN BUILD!") if 'linux' in sys.platform: - workspace = os.path.expandvars(config['workspace_path']) - path = os.path.expandvars(config['xls_bazel_bin_path']) + path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) if os.path.isdir(path) == 0: raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') curr_dir = os.getcwd() - os.chdir(config['output_dir']) - kernel_name = config['kernel_name'] - - ## Run interpreter - interpreter_cmd = [ - f'{path}/xls/dslx/interpreter_main', - f'{workspace}/kernels/end2end/{kernel_name}.x' - ] - subprocess.run(interpreter_cmd, check=True) - - ## Generate IR - with open(f'{kernel_name}.ir', 'w') as ir_file: - gen_cmd = [ - f'{path}/xls/dslx/ir_convert/ir_converter_main', - f'--top={kernel_name}', - f'{workspace}/kernels/end2end/{kernel_name}.x' - ] - subprocess.run(gen_cmd, check=True, stdout=ir_file) - - ## Optimize IR - with open(f'{kernel_name}.opt.ir', 'w') as opt_file: - opt_cmd = [ - f'{path}/xls/tools/opt_main', - f'{kernel_name}.ir' - ] - subprocess.run(opt_cmd, check=True, stdout=opt_file) + os.chdir(f'{model.config.get_output_dir()}/firmware') + kernel_name = model.config.get_project_name() ## Generate RTL - with open(f'{kernel_name}.sv', 'w') as opt_file: - flags = shlex.split(config["codegen_flags"]) - rtl_cmd = [ + with open(f'{kernel_name}.sv', 'w') as synth_file: + flags = shlex.split(codegen_flags) + synth_cmd = [ f'{path}/xls/tools/codegen_main', *flags, f'{kernel_name}.opt.ir', ] - subprocess.run(rtl_cmd, check=True, stdout=opt_file) + subprocess.run(synth_cmd, check=True, stdout=synth_file) os.chdir(curr_dir) #TODO: return parsed report # return parse_vivado_report(model.config.get_output_dir()) - - # TODO: What do the layer optimizers achieve? - # @layer_optimizer(Layer) - # def init_base_layer(self, layer): - # reuse_factor = layer.model.config.get_reuse_factor(layer) - # layer.set_attr('reuse_factor', reuse_factor) - - # target_cycles = layer.model.config.get_target_cycles(layer) - # layer.set_attr('target_cycles', target_cycles) - - # @layer_optimizer(Dense) - # def init_dense(self, layer): - # index_t = IntegerPrecisionType(width=1, signed=False) - # compression = layer.model.config.get_compression(layer) - # if layer.model.config.is_resource_strategy(layer): - # n_in, n_out = self.get_layer_mult_size(layer) - # self.set_target_reuse_factor(layer) - # self.set_closest_reuse_factor(layer, n_in, n_out) - # if compression: - # layer.set_attr('strategy', 'compressed') - # index_t = layer.get_weights('weight').type.index_precision - # else: - # layer.set_attr('strategy', 'resource') - # elif layer.model.config.get_strategy(layer).lower() == 'resource_unrolled': - # use_resource_instead = False - # if layer.get_attr('reuse_factor', 1) == 1: - # print( - # f'Unrolled resource strategy cannot be combined with reuse factor 1 in layer "{layer.name}". ' - # 'Using "resource" strategy instead.' - # ) - # use_resource_instead = True - # n_in, n_out = self.get_layer_mult_size(layer) - # self.set_target_reuse_factor(layer) - # if use_resource_instead: - # self.set_closest_reuse_factor(layer, n_in, n_out) - # layer.set_attr('strategy', 'resource') - # else: - # self.set_closest_reuse_factor(layer, n_in, n_out, include_max_rf=False) - # layer.set_attr('strategy', 'resource_unrolled') - # else: - # layer.set_attr('strategy', 'latency') - # layer.set_attr('index_t', NamedType(f'layer{layer.index}_index', index_t)) \ No newline at end of file diff --git a/hls4ml/model/graph.py b/hls4ml/model/graph.py index 7290e68d69..a7ef3abdfc 100644 --- a/hls4ml/model/graph.py +++ b/hls4ml/model/graph.py @@ -859,7 +859,7 @@ def _get_top_function(self, x): return top_function, ctype - def _compute_n_samples(self, x): + def _compute_n_samples(self, x) -> int: if len(self.get_input_variables()) == 1: xlist = [x] else: diff --git a/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x b/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x index 67cfe47121..131dff980d 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x @@ -34,8 +34,8 @@ pub type CommonFxdPoint = FixedPoint; // let w3 = fixed_point::to_common_type(w2); -// ================================================================ -// ----------------------- Fixed Point Lib ------------------------ +// ============================================================================= +// ----------------------- Required Fixed Point Changes ------------------------ // Returns a FixedPoint that uses a common num bits and binary exponent. // diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 9b98186028..117ce789b9 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -68,19 +68,25 @@ def out_dim_key(self, v: str): def out_dim_val(self, v: int): self._kw["out_dim_val"] = v; return self - def fxp_weights(self, weights, out_dim, in_dim): + def fxp_weights(self, weights, precisions, out_dim, in_dim): + #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. + width = list(precisions.items())[0][1].precision.width + frac = width - list(precisions.items())[0][1].precision.integer for w in weights: if (len(list(w)) == out_dim*in_dim): mat = np.array(list(w)).reshape(in_dim, out_dim) mat_T = mat.T # in Keras the weights are transposed - fxp_w = Fxp(mat_T, signed=True, n_word=16, n_frac=10).raw() + fxp_w = Fxp(mat_T, signed=True, n_word=width, n_frac=frac).raw() self._kw["fxp_weights"] = fxp_w return self return self - def fxp_bias(self, weights, out_dim): + def fxp_bias(self, weights, precisions, out_dim): + #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. + width = list(precisions.items())[0][1].precision.width + frac = width - list(precisions.items())[0][1].precision.integer for w in weights: if (len(list(w)) == out_dim): - fxp_b = Fxp(list(w), signed=True, n_word=16, n_frac=10).raw() + fxp_b = Fxp(list(w), signed=True, n_word=width, n_frac=frac).raw() self._kw["fxp_bias"] = fxp_b return self def in_nb(self, prev_layer_precision): # TODO: right now we only care about the first defined type in the list @@ -162,8 +168,8 @@ def build_xls_layers(self, model: ModelGraph) -> list[XLSLayerConfig]: .out_nb(layer.get_layer_precision()) .out_en() .out_bu(layer.get_layer_precision()) - .fxp_weights(layer.get_weights(), out_dim=cur_out_dim_val, in_dim=prev_out_dim_val) - .fxp_bias(layer.get_weights(), out_dim=cur_out_dim_val) + .fxp_weights(layer.get_weights(), layer.get_layer_precision(), out_dim=cur_out_dim_val, in_dim=prev_out_dim_val) + .fxp_bias(layer.get_weights(), layer.get_layer_precision(), out_dim=cur_out_dim_val) .build() ) xls_layers.append(new_layer) @@ -254,14 +260,14 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] for i, layer in enumerate(xls_layers): next_layer = xls_layers[i + 1] if i < len(xls_layers) - 1 else None if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Activation'): - if prev_var is '': + if prev_var == '': newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' prev_var = f'z{i}' else: newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' prev_var = f'z{i}' if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Softmax'): - if prev_var is '': + if prev_var == '': newline += indent + f'let y{i} = multi_dense_fxd::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' prev_var = f'y{i}' else: @@ -400,9 +406,6 @@ def write_hls(self, model: ModelGraph) -> None: builder = XLSLayerConfigBuilder() xls_layers: list[XLSLayerConfig] = builder.build_xls_layers(model) - print('Writing HLS project') self.write_project_dir(model) self.write_project_dslx(model, xls_layers) - self.write_nnet_utils(model) - - print('Done writing') \ No newline at end of file + self.write_nnet_utils(model) \ No newline at end of file diff --git a/test/pytest/test_activations.py b/test/pytest/test_activations.py index d1ccba512c..296043196f 100644 --- a/test/pytest/test_activations.py +++ b/test/pytest/test_activations.py @@ -12,26 +12,27 @@ # Variable 'name' is simply used as an identifier for the activation -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Catapult', 'Quartus', 'oneAPI']) +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Catapult', 'Quartus', 'oneAPI']) +@pytest.mark.parametrize('backend', ['XLS']) @pytest.mark.parametrize('shape, io_type', [((8,), 'io_parallel'), ((8,), 'io_stream'), ((8, 8, 3), 'io_stream')]) @pytest.mark.parametrize( 'activation, name', [ (ReLU(), 'relu'), - (LeakyReLU(alpha=1.5), 'leaky_relu'), - (Activation('leaky_relu'), 'leaky_relu_act'), - (ThresholdedReLU(theta=0.75), 'threshold_relu'), - (ELU(alpha=1.25), 'elu'), - (Activation('selu'), 'selu'), - # Tensorflow exception of multi-dimensional PReLU (8, 8, 3) - # (PReLU(alpha_initializer='zeros'), 'prelu'), - (Activation('softplus'), 'softplus'), - (Activation('softsign'), 'softsign'), - (Activation(activation='tanh'), 'tanh'), - (Activation('sigmoid'), 'sigmoid'), - # Theano and Tensorflow might have different definitions for hard sigmoid - # Result is likely to be different when |x| > 1 (see TF/Theano docs) - (Activation('hard_sigmoid'), 'hard_sigmoid'), + # (LeakyReLU(alpha=1.5), 'leaky_relu'), + # (Activation('leaky_relu'), 'leaky_relu_act'), + # (ThresholdedReLU(theta=0.75), 'threshold_relu'), + # (ELU(alpha=1.25), 'elu'), + # (Activation('selu'), 'selu'), + # # Tensorflow exception of multi-dimensional PReLU (8, 8, 3) + # # (PReLU(alpha_initializer='zeros'), 'prelu'), + # (Activation('softplus'), 'softplus'), + # (Activation('softsign'), 'softsign'), + # (Activation(activation='tanh'), 'tanh'), + # (Activation('sigmoid'), 'sigmoid'), + # # Theano and Tensorflow might have different definitions for hard sigmoid + # # Result is likely to be different when |x| > 1 (see TF/Theano docs) + # (Activation('hard_sigmoid'), 'hard_sigmoid'), ], ) def test_activations(backend, activation, name, shape, io_type): diff --git a/test/pytest/test_keras_api.py b/test/pytest/test_keras_api.py index e15ea017da..7f78bcf6c6 100644 --- a/test/pytest/test_keras_api.py +++ b/test/pytest/test_keras_api.py @@ -27,7 +27,7 @@ # @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) # @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -@pytest.mark.parametrize('backend', ['Vivado', 'XLS']) +@pytest.mark.parametrize('backend', ['XLS']) @pytest.mark.parametrize('io_type', ['io_parallel']) def test_dense(backend, io_type): model = tf.keras.models.Sequential() @@ -79,42 +79,44 @@ def test_dense(backend, io_type): # # TODO: add ThresholdedReLU test when it can be made to pass # # https://github.com/fastmachinelearning/hls4ml/issues/376 -# @pytest.mark.parametrize( -# "activation_function", -# [ -# Activation(activation='relu', name='relu'), -# LeakyReLU(alpha=1.0), -# ELU(alpha=1.0), -# PReLU( -# alpha_initializer="zeros", -# ), -# Activation(activation='sigmoid', name='sigmoid'), -# ], -# ) -# # ThresholdedReLU(theta=1.0)]) +@pytest.mark.parametrize( + "activation_function", + [ + Activation(activation='relu', name='relu'), + # LeakyReLU(alpha=1.0), + # ELU(alpha=1.0), + # PReLU( + # alpha_initializer="zeros", + # ), + # Activation(activation='sigmoid', name='sigmoid'), + ], +) +# ThresholdedReLU(theta=1.0)]) # @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'oneAPI']) # @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -# def test_activations(activation_function, backend, io_type): -# model = tf.keras.models.Sequential() -# model.add(Dense(64, input_shape=(1,), name='Dense', kernel_initializer='lecun_uniform', kernel_regularizer=None)) -# model.add(activation_function) +@pytest.mark.parametrize('backend', ['XLS']) +@pytest.mark.parametrize('io_type', ['io_parallel']) +def test_activations(activation_function, backend, io_type): + model = tf.keras.models.Sequential() + model.add(Dense(64, input_shape=(1,), name='Dense', kernel_initializer='lecun_uniform', kernel_regularizer=None)) + model.add(activation_function) -# model.compile(optimizer='adam', loss='mse') -# X_input = np.random.rand(100, 1) -# keras_prediction = model.predict(X_input) -# config = hls4ml.utils.config_from_keras_model(model) -# output_dir = str(test_root_path / f'hls4mlprj_keras_api_activations_{activation_function.name}_{backend}_{io_type}') -# hls_model = hls4ml.converters.convert_from_keras_model( -# model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type -# ) -# hls_model.compile() -# hls_prediction = hls_model.predict(X_input) + model.compile(optimizer='adam', loss='mse') + X_input = np.random.rand(100, 1) + keras_prediction = model.predict(X_input) + config = hls4ml.utils.config_from_keras_model(model) + output_dir = str(test_root_path / f'hls4mlprj_keras_api_activations_{activation_function.name}_{backend}_{io_type}') + hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type + ) + hls_model.compile() + hls_prediction = hls_model.predict(X_input) -# np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=1e-2, atol=0.01) + np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=1e-2, atol=0.01) -# assert len(model.layers) + 1 == len(hls_model.get_layers()) + assert len(model.layers) + 1 == len(hls_model.get_layers()) -# assert list(hls_model.get_layers())[2].attributes['class_name'] == activation_function.__class__.__name__ + assert list(hls_model.get_layers())[2].attributes['class_name'] == activation_function.__class__.__name__ # padds_options = ['same', 'valid'] From 6d9166650d9274603cfbbc84fe98337f9fe4009d Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 24 Jul 2025 13:04:01 +0200 Subject: [PATCH 08/24] feat: solo relu activation test pass --- hls4ml/backends/xls/xls_backend.py | 2 +- .../xls/firmware/nnet_utils/multi_dense_fxd.x | 60 +++++++++++++++++-- hls4ml/writer/xls_writer.py | 18 +++--- test/pytest/test_activations.py | 7 ++- 4 files changed, 70 insertions(+), 17 deletions(-) diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index 8930fb81d2..94a6c4f8d4 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -176,7 +176,7 @@ def compile(self, model: ModelGraph): os.chdir(curr_dir) - def predict(self, model: ModelGraph, x: np.floating | NDArray[np.floating[Any]]): + def predict(self, model: ModelGraph, x: np.floating | NDArray[np.floating[Any]]) -> list[NDArray[np.floating]]: def _interpret_input(model: ModelGraph, path: str, diff --git a/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x b/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x index 131dff980d..1329239a62 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x @@ -34,8 +34,8 @@ pub type CommonFxdPoint = FixedPoint; // let w3 = fixed_point::to_common_type(w2); -// ============================================================================= -// ----------------------- Required Fixed Point Changes ------------------------ +// ================================================================ +// ----------------------- Fixed Point Lib ------------------------ // Returns a FixedPoint that uses a common num bits and binary exponent. // @@ -227,8 +227,7 @@ fn dot_prod // // ----------------------- NN Implementation ---------------------- pub fn relu - + (fxd_x: sN[NB]) -> sN[NB] { if (fxd_x > sN[NB]:0) @@ -237,6 +236,16 @@ pub fn relu { sN[NB]:0 } } +pub fn relu_activation + + (y: sN[NB][VEC_SZ]) -> sN[NB][VEC_SZ] { + + for (i, z): (u32, sN[NB][VEC_SZ]) in u32:0..VEC_SZ { + let with_relu = relu(y[i]); + update(z, i, with_relu) + }(y) +} + pub fn argmax (x, W[i]); let with_bias = add(vec_prod, bias[i]); let with_bias_common = to_common_type(with_bias); - let with_relu = relu(with_bias_common); + let with_relu = relu(with_bias_common); update(z, i, with_relu) }(sN[NB_OUT][COLS]:[sN[NB_OUT]:0, ...]) } @@ -444,6 +453,47 @@ fn argmax_test() { assert_eq(expected, argmax(x)); } +#[test] +fn relu_activation_test() { + let x = sN[NB_COMMON][2]:[ + sN[NB_COMMON]:1536, + sN[NB_COMMON]:1024 + ]; + let expected = sN[NB_COMMON][2]:[ + sN[NB_COMMON]:1536, + sN[NB_COMMON]:1024 + ]; + assert_eq(expected, relu_activation(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:0, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, relu_activation(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, relu_activation(x)); +} + #[test] fn dense_relu_test_pos() { let x = sN[NB_COMMON][2]:[FXP_1_5, FXP_1_5]; diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 117ce789b9..d48d9a8bea 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -256,26 +256,26 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] elif '// hls-fpga-machine-learning insert layers' in line: newline = line - prev_var = '' + prev_layer: XLSLayerConfig = xls_layers[0] + prev_var = 'x' for i, layer in enumerate(xls_layers): next_layer = xls_layers[i + 1] if i < len(xls_layers) - 1 else None if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Activation'): - if prev_var == '': - newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' - prev_var = f'z{i}' - else: newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' prev_var = f'z{i}' + prev_layer = layer if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Softmax'): - if prev_var == '': - newline += indent + f'let y{i} = multi_dense_fxd::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>(x, w{i}, b{i});\n' - prev_var = f'y{i}' - else: newline += indent + f'let y{i} = multi_dense_fxd::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' prev_var = f'y{i}' + prev_layer = layer + if layer.class_name == 'Activation' and (prev_layer is not None and prev_layer.class_name != 'Dense'): + newline += indent + f'let z{i} = multi_dense_fxd::relu_activation<{layer.out_nb}>({prev_var});\n' + prev_var = f'z{i}' + prev_layer = layer if layer.class_name == 'Softmax': newline += indent + f'let z{i} = multi_dense_fxd::argmax<{layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var});\n' prev_var = f'z{i}' + prev_layer = layer newline += indent + prev_var + '\n' diff --git a/test/pytest/test_activations.py b/test/pytest/test_activations.py index 296043196f..51f6885135 100644 --- a/test/pytest/test_activations.py +++ b/test/pytest/test_activations.py @@ -14,7 +14,8 @@ # @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Catapult', 'Quartus', 'oneAPI']) @pytest.mark.parametrize('backend', ['XLS']) -@pytest.mark.parametrize('shape, io_type', [((8,), 'io_parallel'), ((8,), 'io_stream'), ((8, 8, 3), 'io_stream')]) +# @pytest.mark.parametrize('shape, io_type', [((8,), 'io_parallel'), ((8,), 'io_stream'), ((8, 8, 3), 'io_stream')]) +@pytest.mark.parametrize('shape, io_type', [((8,), 'io_parallel')]) @pytest.mark.parametrize( 'activation, name', [ @@ -45,10 +46,12 @@ def test_activations(backend, activation, name, shape, io_type): hls_config = hls4ml.utils.config_from_keras_model(keras_model, granularity='name', backend=backend) output_dir = str(test_root_path / 'hls4mlprj_activations_{}_{}_{}_{}').format(backend, io_type, str(shape), name) - + hls_model = hls4ml.converters.convert_from_keras_model( keras_model, hls_config=hls_config, io_type=io_type, output_dir=output_dir, backend=backend ) + print('HERE =====================') + print(list(hls_model.get_layers())[1].attributes['class_name']) hls_model.compile() keras_prediction = keras_model.predict(X) From 86dd94acfb4d9b92de6efa199dbfc1100743be2f Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Sat, 26 Jul 2025 11:32:36 +0200 Subject: [PATCH 09/24] debt cleanup: split dslx templates in multiple files --- hls4ml/backends/xls/xls_backend.py | 10 +- .../xls/firmware/ap_types/fixed_point_fix.x | 225 ++++++++ .../{fixed_point.x => fixed_point_lib.x} | 0 hls4ml/templates/xls/firmware/myproject.x | 3 +- .../xls/firmware/nnet_utils/activations.x | 132 +++++ hls4ml/templates/xls/firmware/nnet_utils/fc.x | 179 ++++++ .../xls/firmware/nnet_utils/multi_dense_fxd.x | 531 ------------------ hls4ml/writer/xls_writer.py | 8 +- 8 files changed, 543 insertions(+), 545 deletions(-) create mode 100644 hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x rename hls4ml/templates/xls/firmware/ap_types/{fixed_point.x => fixed_point_lib.x} (100%) create mode 100644 hls4ml/templates/xls/firmware/nnet_utils/activations.x create mode 100644 hls4ml/templates/xls/firmware/nnet_utils/fc.x delete mode 100644 hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index 94a6c4f8d4..bd6ac7f178 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -151,13 +151,6 @@ def compile(self, model: ModelGraph): os.chdir(f'{model.config.get_output_dir()}/firmware') kernel_name = model.config.get_project_name() - # ## Run interpreter - # interpreter_cmd = [ - # f'{path}/xls/dslx/interpreter_main', - # f'{kernel_name}.x' - # ] - # subprocess.run(interpreter_cmd, check=True) - ## Generate IR with open(f'{kernel_name}.ir', 'w') as ir_file: gen_cmd = [ @@ -315,9 +308,8 @@ def build( export=False, vsynth=False, fifo_opt=False, - codegen_flags='--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps=5000 --reset=reset', + codegen_flags='--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps=100 --reset=reset', ): - print("IN BUILD!") if 'linux' in sys.platform: path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) if os.path.isdir(path) == 0: diff --git a/hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x b/hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x new file mode 100644 index 0000000000..00f6d6bc75 --- /dev/null +++ b/hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x @@ -0,0 +1,225 @@ + +import std; + +import ap_types.fixed_point_lib; + +// ================================================================ +// ----------------------- Fixed Point Lib ------------------------ + +// Returns a FixedPoint that uses a common num bits and binary exponent. +// +// The intended usage is so that fixed point constants can be specified in their most reduced form +// (i.e. fewest number of bits used) by the generating program, and then all co-normalized so that +// they have the same type in DSLX. +// +// Assumes that EXPONENT_IS_NEGATIVE of `x` matches the result's EXPONENT_IS_NEGATIVE. +// +// When COMMON_BINARY_UEXPONENT > BINARY_UEXPONENT, the significand is shifted right, and there is +// potential information loss, so this branch is currently a `fail!`. +// +// WARNING:Does not check that the result's bitwidth is wide enough to hold `x.significand` shifted +// appropriately. +pub fn to_common_type + + (x: sN[NUM_BITS]) + -> sN[COMMON_NUM_BITS] { + + let x_exp = fixed_point_lib::binary_exponent(EXPONENT_IS_NEGATIVE, BINARY_UEXPONENT); + let result_exp = fixed_point_lib::binary_exponent(EXPONENT_IS_NEGATIVE, COMMON_BINARY_UEXPONENT); + let significand = if result_exp > x_exp { + // If the exponent is increasing, then the significand needs to decrease. + // let expr = (x.significand as sN[COMMON_NUM_BITS]) >> (result_exp - x_exp) as u32; + // fail!("you_are_losing_information_is_this_really_what_you_want", expr) + // BUGFIX+ENABLE: Andrei + let expr = (x >> (result_exp - x_exp) as u32) as sN[COMMON_NUM_BITS]; + expr + } else { + // If the exponent is decreasing, then the significand needs to increase. + (x as sN[COMMON_NUM_BITS]) << (x_exp - result_exp) as u32 + }; + significand +} + +pub fn mul + + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B]) + -> sN[NB_R] { + + std::smul(fxd_a, fxd_b) +} + +pub fn add + + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B]) + -> sN[NB_R] { + // Widen before left shifting to avoid overflow + let aligned_lhs = (fxd_a as sN[NB_R]) << (BE_A - BE_R) as u32; + let aligned_rhs = (fxd_b as sN[NB_R]) << (BE_B - BE_R) as u32; + + aligned_lhs + aligned_rhs +} + + +// Fused-multiply-add. To infer the final precision, we chain the precision calculation as a multiplication +// followed by an add. +pub fn fmadd + // unsigned exp ADD + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B], + fxd_c: sN[NB_C]) + -> sN[NB_SUM] { + + let prod = mul(fxd_a, fxd_b); + add(prod, fxd_c) +} + +// Performs an add assuming that the rhs is already wide enough to not overflow. +// WARNING: rhs must be wide enough to avoid any overflow +pub fn add_already_widened + + (fxd_a: sN[NB_A], fxd_b: sN[NB_B]) + -> sN[NB_B] { + // Widen before left shifting to avoid overflow + let aligned_lhs = (fxd_a as sN[NB_B]) << (BE_A - BE_B) as u32; // TODO: I think this is also always the same in the dot product use case. Fraction bits stay the same + let aligned_rhs = fxd_b; + + aligned_lhs + aligned_rhs +} + +// Performs an fused-multiply-add assuming that the rhs is already wide enough to not overflow. +// WARNING: the add rhs must be wide enough to avoid any overflow +pub fn fmadd_already_widened + // unsigned exp MUL> + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B], + fxd_c: sN[NB_C]) + -> sN[NB_C] { + + let prod = mul(fxd_a, fxd_b); + add_already_widened(prod, fxd_c) +} + +// Performs a dot product on 2 vectors. To implement this, the final widened result is +// computed before. An accumulator is instantiated with this final size and the fmadd operation +// is reimplemented in such a way as to not widen the output when summing in the accumulator. +// +// TYPE EXPLANATIONS: +// number bits: a multiplication assumes to always double the number of bits. +// Since our vectors must be of the same type +// (each elem. within each vector follow the same fixed point representation) +// we know the size of all elem. wise multiplications. +// We can also guarantee that all elements will have overlapping positions +// (again because elems. within vectors have the same type). This means that we must +// widen by one bit for each element of the vector minus one. Minus one because we performs VEC_SZ - 1 adds. +// binary exponent: The binary exponent will never change with additions since +// all elem-wise multiplication will result in the same exponent. +// exp is negative: inferred from 'binary exponent' +// unsigned exp: inferred from 'binary exponent' +// WARNINGS: +// 1. made aligned_width() and num_bits_overlapping() public in a copy of the fixed_point_lib module. +// to write the type inference +// 2. We use ''already_widened'' functions. +pub fn dot_prod + // unsigned exp DOT PROD + (x: sN[NB_X][VEC_SZ], + y: sN[NB_Y][VEC_SZ]) + -> sN[NB_DOT_PROD] { + + for (i, acc): (u32, sN[NB_DOT_PROD]) in u32:0..VEC_SZ { + fmadd_already_widened(x[i], y[i], acc) + }(sN[NB_DOT_PROD]:0) +} + + +#[test] +fn fadd_test() { + let a = sN[u32:16]:1024; // 1.0 + let b = sN[u32:16]:1024; // 1.0 + let c = sN[u32:16]:1024; // 1.0 + + let result = fmadd(a, b, c); + // Solve: x * 2^(-20) = 2 (x must fit in 33 bits) + let expected = sN[u32:33]:2097152; // 2.0 + assert_eq(expected, result); +} + +#[test] +fn dot_prod_test() { + // [1.5, 1.5] + let x = sN[u32:16][2]:[sN[u32:16]:1536, ...]; + // [2.25, 2.25] + let y = sN[u32:16][2]:[sN[u32:16]:2304, ...]; + // 6.75 + let expected = sN[u32:33]:7077888; + assert_eq(expected, dot_prod(x, y)); + + // [1.0, 1.0, 1.0] + let x = sN[u32:16][3]:[sN[u32:16]:1024, ...]; + // [1.0, 1.0, 1.0] + let y = sN[u32:16][3]:[sN[u32:16]:1024, ...]; + // 3.0 + let expected = sN[u32:34]:3145728; + assert_eq(expected, dot_prod(x, y)); +} \ No newline at end of file diff --git a/hls4ml/templates/xls/firmware/ap_types/fixed_point.x b/hls4ml/templates/xls/firmware/ap_types/fixed_point_lib.x similarity index 100% rename from hls4ml/templates/xls/firmware/ap_types/fixed_point.x rename to hls4ml/templates/xls/firmware/ap_types/fixed_point_lib.x diff --git a/hls4ml/templates/xls/firmware/myproject.x b/hls4ml/templates/xls/firmware/myproject.x index 37473628f4..a67f055332 100644 --- a/hls4ml/templates/xls/firmware/myproject.x +++ b/hls4ml/templates/xls/firmware/myproject.x @@ -1,4 +1,5 @@ -import nnet_utils.multi_dense_fxd; +import nnet_utils.activations; +import nnet_utils.fc; // hls-fpga-machine-learning debugging diff --git a/hls4ml/templates/xls/firmware/nnet_utils/activations.x b/hls4ml/templates/xls/firmware/nnet_utils/activations.x new file mode 100644 index 0000000000..c70c364c07 --- /dev/null +++ b/hls4ml/templates/xls/firmware/nnet_utils/activations.x @@ -0,0 +1,132 @@ +import std; + +import ap_types.fixed_point_fix; +import ap_types.fixed_point_lib; + +const NB_COMMON = u32:16; +const EN_COMMON = u32:1; +const BU_COMMON = u32:10; +const BE_COMMON = s32:-10; + + +pub fn relu_1elem + + (fxd_x: sN[NB]) -> sN[NB] { + + if (fxd_x > sN[NB]:0) + { fxd_x } + else + { sN[NB]:0 } +} + +pub fn relu + + (y: sN[NB][VEC_SZ]) -> sN[NB][VEC_SZ] { + + for (i, z): (u32, sN[NB][VEC_SZ]) in u32:0..VEC_SZ { + let with_relu = relu_1elem(y[i]); + update(z, i, with_relu) + }(y) +} + +pub fn argmax + + (y: sN[NB][VEC_SZ]) -> sN[NB][VEC_SZ] { + + let max_significand = for (i, acc): (u32, sN[NB]) in u32:0..VEC_SZ { + std::max(y[i], acc) + }((s32:-1 << SHIFT_LIMIT) as sN[NB]); + + for (i, z): (u32, sN[NB][VEC_SZ]) in u32:0..VEC_SZ { + if y[i] == max_significand { + update(z, i, (u32:1<(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:0, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, argmax(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:-512, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, argmax(x)); +} + +#[test] +fn relu_test() { + let x = sN[NB_COMMON][2]:[ + sN[NB_COMMON]:1536, + sN[NB_COMMON]:1024 + ]; + let expected = sN[NB_COMMON][2]:[ + sN[NB_COMMON]:1536, + sN[NB_COMMON]:1024 + ]; + assert_eq(expected, relu(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:0, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, relu(x)); + + let x = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:-1536, + sN[NB_COMMON]:-1024, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:-1024 + ]; + let expected = sN[NB_COMMON][4]:[ + sN[NB_COMMON]:0, + sN[NB_COMMON]:0, + sN[NB_COMMON]:1024, + sN[NB_COMMON]:0, + ]; + assert_eq(expected, relu(x)); +} \ No newline at end of file diff --git a/hls4ml/templates/xls/firmware/nnet_utils/fc.x b/hls4ml/templates/xls/firmware/nnet_utils/fc.x new file mode 100644 index 0000000000..73981a94f3 --- /dev/null +++ b/hls4ml/templates/xls/firmware/nnet_utils/fc.x @@ -0,0 +1,179 @@ +import std; + +import ap_types.fixed_point_fix; +import ap_types.fixed_point_lib; + +import nnet_utils.activations; + +const NB_COMMON = u32:16; +const EN_COMMON = u32:1; +const BU_COMMON = u32:10; +const BE_COMMON = s32:-10; + +pub const FXP_6_75_NEG = sN[NB_COMMON]:-6912; +pub const FXP_4_0_NEG = sN[NB_COMMON]:-4096; +pub const FXP_3_0_NEG = sN[NB_COMMON]:-3072; +pub const FXP_0_0 = sN[NB_COMMON]:0; +pub const FXP_0_5 = sN[NB_COMMON]:512; +pub const FXP_1_0 = sN[NB_COMMON]:1024; +pub const FXP_1_5 = sN[NB_COMMON]:1536; +pub const FXP_2_0 = sN[NB_COMMON]:2048; +pub const FXP_2_25 = sN[NB_COMMON]:2304; +pub const FXP_4_5 = sN[NB_COMMON]:4608; +pub const FXP_5_5 = sN[NB_COMMON]:5632; +pub const FXP_6_75 = sN[NB_COMMON]:6912; +pub const FXP_12_0 = sN[NB_COMMON]:12288; +pub const FXP_13_5 = sN[NB_COMMON]:13824; + + + +// Wx = y +// When called must specify the fixed point precision that is in the output. +// This allows the truncation to be done correctly. +pub fn dense + + (x: sN[NB_IN][ROWS], + W: sN[NB_IN][ROWS][COLS], + bias: sN[NB_IN][COLS]) + -> sN[NB_OUT][COLS] { + + for (i, z): (u32, sN[NB_OUT][COLS]) in u32:0..COLS { + let vec_prod = fixed_point_fix::dot_prod(x, W[i]); + let with_bias = fixed_point_fix::add(vec_prod, bias[i]); + let with_bias_common = fixed_point_fix::to_common_type(with_bias); + update(z, i, with_bias_common) + }(sN[NB_OUT][COLS]:[sN[NB_OUT]:0, ...]) +} +// Wx = y +// When called must specify the fixed point precision that is in the output. +// This allows the truncation to be done correctly. +pub fn dense_relu + + (x: sN[NB_IN][ROWS], + W: sN[NB_IN][ROWS][COLS], + bias: sN[NB_IN][COLS]) + -> sN[NB_OUT][COLS] { + + for (i, z): (u32, sN[NB_OUT][COLS]) in u32:0..COLS { + let vec_prod = fixed_point_fix::dot_prod(x, W[i]); + let with_bias = fixed_point_fix::add(vec_prod, bias[i]); + let with_bias_common = fixed_point_fix::to_common_type(with_bias); + let with_relu = activations::relu_1elem(with_bias_common); + update(z, i, with_relu) + }(sN[NB_OUT][COLS]:[sN[NB_OUT]:0, ...]) +} + + + +#[test] +fn dense_relu_test_pos() { + let x = sN[NB_COMMON][2]:[FXP_1_5, FXP_1_5]; + let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b1 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; + let expected = sN[NB_COMMON][2]:[FXP_4_5, FXP_4_5]; + assert_eq(expected, dense_relu(x, w1, b1)); +} + +#[test] +fn dense_relu_test_neg() { + let x = sN[NB_COMMON][2]:[FXP_1_5, FXP_1_5]; + let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b1 = sN[NB_COMMON][2]:[FXP_6_75_NEG, FXP_0_0]; + let expected = sN[NB_COMMON][2]:[FXP_0_0, FXP_4_5]; + assert_eq(expected, dense_relu(x, w1, b1)); +} + +fn integration_nn + + (x: sN[NB_COMMON][INPUT_D2][INPUT_D1], + w1: sN[NB_COMMON][IN_L1][OUT_L1], + b1: sN[NB_COMMON][OUT_L1], + w2: sN[NB_COMMON][IN_L2][OUT_L2], + b2: sN[NB_COMMON][OUT_L2]) + -> sN[NB_COMMON][OUT_L2][INPUT_D1] { + + // ---------------- Layer 1 ----------------- + let z1 = for (batch_idx, layer1): (u32, sN[NB_COMMON][OUT_L1][INPUT_D1]) in u32:0..INPUT_D1 { + update( + layer1, + batch_idx, + dense_relu(x[batch_idx], w1, b1) + ) + }(sN[NB_COMMON][OUT_L1][INPUT_D1]:[sN[NB_COMMON][OUT_L1]:[FXP_0_0, ...], ...]); // init matrix w/ zeros + + // ---------------- Layer 2 ----------------- + let z2 = for (batch_idx, layer2): (u32, sN[NB_COMMON][OUT_L2][INPUT_D1]) in u32:0..INPUT_D1 { + update( + layer2, + batch_idx, + dense_relu(z1[batch_idx], w2, b2) + ) + }(sN[NB_COMMON][OUT_L2][INPUT_D1]:[sN[NB_COMMON][OUT_L2]:[FXP_0_0, ...], ...]); // init matrix w/ zeros + + // ------------ Output ------------------- + z2 +} + +#[test] +fn integration_test() { + let x = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b1 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; + let w2 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], + [FXP_1_5, FXP_1_5]]; + let b2 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; + let expected = sN[NB_COMMON][2][2]:[[FXP_13_5, FXP_13_5], + [FXP_13_5, FXP_13_5]]; + let result = integration_nn(x, w1, b1, w2, b2); + assert_eq(expected, result); +} diff --git a/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x b/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x deleted file mode 100644 index 1329239a62..0000000000 --- a/hls4ml/templates/xls/firmware/nnet_utils/multi_dense_fxd.x +++ /dev/null @@ -1,531 +0,0 @@ -import std; - -import ap_types.fixed_point; -type FixedPoint = fixed_point::FixedPoint; - -// DEFAULT: (16, 6) => -// E.g. make_fixed_point(s6:31) = 31 * 2^-2 = 7.75 - -const NB_COMMON = u32:16; -const EN_COMMON = u32:1; -const BU_COMMON = u32:10; -const BE_COMMON = s32:-10; - -pub const FXP_6_75_NEG = sN[NB_COMMON]:-6912; -pub const FXP_4_0_NEG = sN[NB_COMMON]:-4096; -pub const FXP_3_0_NEG = sN[NB_COMMON]:-3072; -pub const FXP_0_0 = sN[NB_COMMON]:0; -pub const FXP_0_5 = sN[NB_COMMON]:512; -pub const FXP_1_0 = sN[NB_COMMON]:1024; -pub const FXP_1_5 = sN[NB_COMMON]:1536; -pub const FXP_2_0 = sN[NB_COMMON]:2048; -pub const FXP_2_25 = sN[NB_COMMON]:2304; -pub const FXP_4_5 = sN[NB_COMMON]:4608; -pub const FXP_5_5 = sN[NB_COMMON]:5632; -pub const FXP_6_75 = sN[NB_COMMON]:6912; -pub const FXP_12_0 = sN[NB_COMMON]:12288; -pub const FXP_13_5 = sN[NB_COMMON]:13824; - -pub type CommonFxdPoint = FixedPoint; - -// let w0 = fixed_point::mul(x[0], y[0]); -// let w1 = fixed_point::mul(x[1], y[1]); -// let w2 = fixed_point::add(w0, w1); -// let w3 = fixed_point::to_common_type(w2); - - -// ================================================================ -// ----------------------- Fixed Point Lib ------------------------ - -// Returns a FixedPoint that uses a common num bits and binary exponent. -// -// The intended usage is so that fixed point constants can be specified in their most reduced form -// (i.e. fewest number of bits used) by the generating program, and then all co-normalized so that -// they have the same type in DSLX. -// -// Assumes that EXPONENT_IS_NEGATIVE of `x` matches the result's EXPONENT_IS_NEGATIVE. -// -// When COMMON_BINARY_UEXPONENT > BINARY_UEXPONENT, the significand is shifted right, and there is -// potential information loss, so this branch is currently a `fail!`. -// -// WARNING:Does not check that the result's bitwidth is wide enough to hold `x.significand` shifted -// appropriately. -fn to_common_type - - (x: sN[NUM_BITS]) - -> sN[COMMON_NUM_BITS] { - - let x_exp = fixed_point::binary_exponent(EXPONENT_IS_NEGATIVE, BINARY_UEXPONENT); - let result_exp = fixed_point::binary_exponent(EXPONENT_IS_NEGATIVE, COMMON_BINARY_UEXPONENT); - let significand = if result_exp > x_exp { - // If the exponent is increasing, then the significand needs to decrease. - // let expr = (x.significand as sN[COMMON_NUM_BITS]) >> (result_exp - x_exp) as u32; - // fail!("you_are_losing_information_is_this_really_what_you_want", expr) - // BUGFIX+ENABLE: Andrei - let expr = (x >> (result_exp - x_exp) as u32) as sN[COMMON_NUM_BITS]; - expr - } else { - // If the exponent is decreasing, then the significand needs to increase. - (x as sN[COMMON_NUM_BITS]) << (x_exp - result_exp) as u32 - }; - significand -} - -pub fn mul - - (fxd_a: sN[NB_A], - fxd_b: sN[NB_B]) - -> sN[NB_R] { - - std::smul(fxd_a, fxd_b) -} - -pub fn add - - (fxd_a: sN[NB_A], - fxd_b: sN[NB_B]) - -> sN[NB_R] { - // Widen before left shifting to avoid overflow - let aligned_lhs = (fxd_a as sN[NB_R]) << (BE_A - BE_R) as u32; - let aligned_rhs = (fxd_b as sN[NB_R]) << (BE_B - BE_R) as u32; - - aligned_lhs + aligned_rhs -} - - -// Fused-multiply-add. To infer the final precision, we chain the precision calculation as a multiplication -// followed by an add. -fn fmadd - // unsigned exp ADD - (fxd_a: sN[NB_A], - fxd_b: sN[NB_B], - fxd_c: sN[NB_C]) - -> sN[NB_SUM] { - - let prod = mul(fxd_a, fxd_b); - add(prod, fxd_c) -} - -// Performs an add assuming that the rhs is already wide enough to not overflow. -// WARNING: rhs must be wide enough to avoid any overflow -pub fn add_already_widened - - (fxd_a: sN[NB_A], fxd_b: sN[NB_B]) - -> sN[NB_B] { - // Widen before left shifting to avoid overflow - let aligned_lhs = (fxd_a as sN[NB_B]) << (BE_A - BE_B) as u32; // TODO: I think this is also always the same in the dot product use case. Fraction bits stay the same - let aligned_rhs = fxd_b; - - aligned_lhs + aligned_rhs -} - -// Performs an fused-multiply-add assuming that the rhs is already wide enough to not overflow. -// WARNING: the add rhs must be wide enough to avoid any overflow -fn fmadd_already_widened - // unsigned exp MUL> - (fxd_a: sN[NB_A], - fxd_b: sN[NB_B], - fxd_c: sN[NB_C]) - -> sN[NB_C] { - - let prod = mul(fxd_a, fxd_b); - add_already_widened(prod, fxd_c) -} - -// Performs a dot product on 2 vectors. To implement this, the final widened result is -// computed before. An accumulator is instantiated with this final size and the fmadd operation -// is reimplemented in such a way as to not widen the output when summing in the accumulator. -// -// TYPE EXPLANATIONS: -// number bits: a multiplication assumes to always double the number of bits. -// Since our vectors must be of the same type -// (each elem. within each vector follow the same fixed point representation) -// we know the size of all elem. wise multiplications. -// We can also guarantee that all elements will have overlapping positions -// (again because elems. within vectors have the same type). This means that we must -// widen by one bit for each element of the vector minus one. Minus one because we performs VEC_SZ - 1 adds. -// binary exponent: The binary exponent will never change with additions since -// all elem-wise multiplication will result in the same exponent. -// exp is negative: inferred from 'binary exponent' -// unsigned exp: inferred from 'binary exponent' -// WARNINGS: -// 1. made aligned_width() and num_bits_overlapping() public in a copy of the fixed_point module. -// to write the type inference -// 2. We use ''already_widened'' functions. -fn dot_prod - // unsigned exp DOT PROD - (x: sN[NB_X][VEC_SZ], - y: sN[NB_Y][VEC_SZ]) - -> sN[NB_DOT_PROD] { - - for (i, acc): (u32, sN[NB_DOT_PROD]) in u32:0..VEC_SZ { - fmadd_already_widened(x[i], y[i], acc) - }(sN[NB_DOT_PROD]:0) -} - -// // ================================================================ -// // ----------------------- NN Implementation ---------------------- - -pub fn relu - - (fxd_x: sN[NB]) -> sN[NB] { - - if (fxd_x > sN[NB]:0) - { fxd_x } - else - { sN[NB]:0 } -} - -pub fn relu_activation - - (y: sN[NB][VEC_SZ]) -> sN[NB][VEC_SZ] { - - for (i, z): (u32, sN[NB][VEC_SZ]) in u32:0..VEC_SZ { - let with_relu = relu(y[i]); - update(z, i, with_relu) - }(y) -} - -pub fn argmax - - (y: sN[NB][VEC_SZ]) -> sN[NB][VEC_SZ] { - - let max_significand = for (i, acc): (u32, sN[NB]) in u32:0..VEC_SZ { - std::max(y[i], acc) - }((s32:-1 << SHIFT_LIMIT) as sN[NB]); - - for (i, z): (u32, sN[NB][VEC_SZ]) in u32:0..VEC_SZ { - if y[i] == max_significand { - update(z, i, (u32:1< - (x: sN[NB_IN][ROWS], - W: sN[NB_IN][ROWS][COLS], - bias: sN[NB_IN][COLS]) - -> sN[NB_OUT][COLS] { - - for (i, z): (u32, sN[NB_OUT][COLS]) in u32:0..COLS { - let vec_prod = dot_prod(x, W[i]); - let with_bias = add(vec_prod, bias[i]); - let with_bias_common = to_common_type(with_bias); - update(z, i, with_bias_common) - }(sN[NB_OUT][COLS]:[sN[NB_OUT]:0, ...]) -} -// Wx = y -// When called must specify the fixed point precision that is in the output. -// This allows the truncation to be done correctly. -// TODO: remove inference from called functions, only infer at layer level? (Issue due to bottom up approach when writing the lib) -pub fn dense_relu - - (x: sN[NB_IN][ROWS], - W: sN[NB_IN][ROWS][COLS], - bias: sN[NB_IN][COLS]) - -> sN[NB_OUT][COLS] { - - for (i, z): (u32, sN[NB_OUT][COLS]) in u32:0..COLS { - let vec_prod = dot_prod(x, W[i]); - let with_bias = add(vec_prod, bias[i]); - let with_bias_common = to_common_type(with_bias); - let with_relu = relu(with_bias_common); - update(z, i, with_relu) - }(sN[NB_OUT][COLS]:[sN[NB_OUT]:0, ...]) -} - - -pub fn multi_dense_fxd - - (x: sN[NB_COMMON][INPUT_D2][INPUT_D1], - w1: sN[NB_COMMON][IN_L1][OUT_L1], - b1: sN[NB_COMMON][OUT_L1], - w2: sN[NB_COMMON][IN_L2][OUT_L2], - b2: sN[NB_COMMON][OUT_L2]) - -> sN[NB_COMMON][OUT_L2][INPUT_D1] { - - // ---------------- Layer 1 ----------------- - let z1 = for (batch_idx, layer1): (u32, sN[NB_COMMON][OUT_L1][INPUT_D1]) in u32:0..INPUT_D1 { - update( - layer1, - batch_idx, - dense_relu(x[batch_idx], w1, b1) - ) - }(sN[NB_COMMON][OUT_L1][INPUT_D1]:[sN[NB_COMMON][OUT_L1]:[FXP_0_0, ...], ...]); // init matrix w/ zeros - - // ---------------- Layer 2 ----------------- - let z2 = for (batch_idx, layer2): (u32, sN[NB_COMMON][OUT_L2][INPUT_D1]) in u32:0..INPUT_D1 { - update( - layer2, - batch_idx, - dense_relu(z1[batch_idx], w2, b2) - ) - }(sN[NB_COMMON][OUT_L2][INPUT_D1]:[sN[NB_COMMON][OUT_L2]:[FXP_0_0, ...], ...]); // init matrix w/ zeros - - // ------------ Output ------------------- - z2 -} - - -#[test] -fn fadd_test() { - let a = sN[u32:16]:1024; // 1.0 - let b = sN[u32:16]:1024; // 1.0 - let c = sN[u32:16]:1024; // 1.0 - - let result = fmadd(a, b, c); - // Solve: x * 2^(-20) = 2 (x must fit in 33 bits) - let expected = sN[u32:33]:2097152; // 2.0 - assert_eq(expected, result); -} - -#[test] -fn dot_prod_test() { - // [1.5, 1.5] - let x = sN[u32:16][2]:[sN[u32:16]:1536, ...]; - // [2.25, 2.25] - let y = sN[u32:16][2]:[sN[u32:16]:2304, ...]; - // 6.75 - let expected = sN[u32:33]:7077888; - assert_eq(expected, dot_prod(x, y)); - - // [1.0, 1.0, 1.0] - let x = sN[u32:16][3]:[sN[u32:16]:1024, ...]; - // [1.0, 1.0, 1.0] - let y = sN[u32:16][3]:[sN[u32:16]:1024, ...]; - // 3.0 - let expected = sN[u32:34]:3145728; - assert_eq(expected, dot_prod(x, y)); -} - -#[test] -fn argmax_test() { - let x = sN[NB_COMMON][2]:[ - sN[NB_COMMON]:1536, - sN[NB_COMMON]:1024 - ]; - let expected = sN[NB_COMMON][2]:[ - sN[NB_COMMON]:1024, - sN[NB_COMMON]:0 - ]; - assert_eq(expected, argmax(x)); - - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:0, - sN[NB_COMMON]:-1024 - ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:0, - ]; - assert_eq(expected, argmax(x)); - - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:-512, - sN[NB_COMMON]:-1024 - ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:0, - ]; - assert_eq(expected, argmax(x)); -} - -#[test] -fn relu_activation_test() { - let x = sN[NB_COMMON][2]:[ - sN[NB_COMMON]:1536, - sN[NB_COMMON]:1024 - ]; - let expected = sN[NB_COMMON][2]:[ - sN[NB_COMMON]:1536, - sN[NB_COMMON]:1024 - ]; - assert_eq(expected, relu_activation(x)); - - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:0, - sN[NB_COMMON]:-1024 - ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - ]; - assert_eq(expected, relu_activation(x)); - - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:-1024 - ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:0, - ]; - assert_eq(expected, relu_activation(x)); -} - -#[test] -fn dense_relu_test_pos() { - let x = sN[NB_COMMON][2]:[FXP_1_5, FXP_1_5]; - let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], - [FXP_1_5, FXP_1_5]]; - let b1 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; - let expected = sN[NB_COMMON][2]:[FXP_4_5, FXP_4_5]; - assert_eq(expected, dense_relu(x, w1, b1)); -} - -#[test] -fn dense_relu_test_neg() { - let x = sN[NB_COMMON][2]:[FXP_1_5, FXP_1_5]; - let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], - [FXP_1_5, FXP_1_5]]; - let b1 = sN[NB_COMMON][2]:[FXP_6_75_NEG, FXP_0_0]; - let expected = sN[NB_COMMON][2]:[FXP_0_0, FXP_4_5]; - assert_eq(expected, dense_relu(x, w1, b1)); -} - -#[test] -fn multi_dense_test_no_bias() { - let x = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], - [FXP_1_5, FXP_1_5]]; - let w1 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], - [FXP_1_5, FXP_1_5]]; - let b1 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; - let w2 = sN[NB_COMMON][2][2]:[[FXP_1_5, FXP_1_5], - [FXP_1_5, FXP_1_5]]; - let b2 = sN[NB_COMMON][2]:[FXP_0_0, FXP_0_0]; - let expected = sN[NB_COMMON][2][2]:[[FXP_13_5, FXP_13_5], - [FXP_13_5, FXP_13_5]]; - let result = multi_dense_fxd(x, w1, b1, w2, b2); - assert_eq(expected, result); -} diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index d48d9a8bea..c715c17b66 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -261,19 +261,19 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] for i, layer in enumerate(xls_layers): next_layer = xls_layers[i + 1] if i < len(xls_layers) - 1 else None if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Activation'): - newline += indent + f'let z{i} = multi_dense_fxd::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' + newline += indent + f'let z{i} = fc::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' prev_var = f'z{i}' prev_layer = layer if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Softmax'): - newline += indent + f'let y{i} = multi_dense_fxd::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' + newline += indent + f'let y{i} = fc::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' prev_var = f'y{i}' prev_layer = layer if layer.class_name == 'Activation' and (prev_layer is not None and prev_layer.class_name != 'Dense'): - newline += indent + f'let z{i} = multi_dense_fxd::relu_activation<{layer.out_nb}>({prev_var});\n' + newline += indent + f'let z{i} = activations::relu<{layer.out_nb}>({prev_var});\n' prev_var = f'z{i}' prev_layer = layer if layer.class_name == 'Softmax': - newline += indent + f'let z{i} = multi_dense_fxd::argmax<{layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var});\n' + newline += indent + f'let z{i} = activations::argmax<{layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var});\n' prev_var = f'z{i}' prev_layer = layer From 0b9ad5714beff6d511cfae932cfa6541076fd842 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Mon, 28 Jul 2025 14:44:38 +0200 Subject: [PATCH 10/24] refactoring: simplified writer -> attribute factory written as an opt pass, merge of dense_relu written as an opt pass --- hls4ml/backends/xls/passes/build_attr.py | 226 +++++++++++++ .../backends/xls/passes/merge_dense_relu.py | 32 ++ hls4ml/backends/xls/passes/transform_types.py | 43 --- hls4ml/backends/xls/xls_backend.py | 49 ++- hls4ml/model/optimizer/optimizer.py | 6 +- hls4ml/writer/xls_writer.py | 308 +++--------------- test/pytest/junk.txt | 133 ++++++-- test/pytest/test_activations.py | 2 - test/pytest/test_keras_api.py | 11 + 9 files changed, 444 insertions(+), 366 deletions(-) create mode 100644 hls4ml/backends/xls/passes/build_attr.py create mode 100644 hls4ml/backends/xls/passes/merge_dense_relu.py delete mode 100644 hls4ml/backends/xls/passes/transform_types.py diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py new file mode 100644 index 0000000000..c68f34c81d --- /dev/null +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -0,0 +1,226 @@ +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Literal, Any, Optional, Callable, TYPE_CHECKING +from numpy.typing import NDArray +if TYPE_CHECKING: + from hls4ml.model.graph import ModelGraph + from hls4ml.model.layers import Layer + + +from hls4ml.model.optimizer import OptimizerPass + +from functools import wraps +import numpy as np +from fxpmath import Fxp + + +class XLSAttrBuilder: + """A helper class that sets XLS specific attributes for the layers of the original ModelGraph. + In doing so, we simplify the process of creating new optimization passes + and constructing the writer class. + The new attributes must be accessed with '.get_attr(...)' + + New attributes: + write_weights (bool): the layer contains weights that should be explicitly defined in the project file + write_dims (bool): the layer dimensions should be explicitly written in the project file + write_func (bool): the layer has a corresponding function call that should be explicitly written + as part of the NN architecture in the project file + func_call (str): the corresponding layer DSLX function call + + in_dim_key, out_dim_key (str): the variable name containing the layer dimensions (that goes in and out the layer) + in_dim_val, out_dim_val (int): the value of each layer dimension (that goes in and out the layer) + + fxp_weights (np.ndarray): already quantized weight matrix + fxp_bias (np.ndarray): already quantized bias vector + + in_nb, in_en, in_bu (str): parameters used for fixed point computation in DSLX + the parameters of the input vector + number of bits (width), is negative, binary unsigned exponent (frac bits) + out_nb, out_en, out_bu (str): parameters used for fixed point computation in DSLX + the parameters of the output vector + number of bits (width), is negative, binary unsigned exponent (frac bits) + + Args: + node (Layer): A layer of the model graph + """ + + def __init__(self, node) -> None: + self.node = node + + @staticmethod + def attach_to_node(attr_name: Optional[str] = None) : + """A decorator-factory to easily chain 'set_attr' commands to the node. + It calls the provided function. This eliminates a lot of boiler plate code. + All the added attributes can be chained in one call since the wrapped function returns self. + """ + def decorator(fn) -> Callable: + name = attr_name or fn.__name__ + @wraps(fn) + def wrapped(self, *args, **kwargs): + val = fn(self, *args, **kwargs) + self.node.set_attr(name, val) + return self + return wrapped + return decorator + + @attach_to_node() + def write_weights(self) -> bool: + return self.node.class_name in ['Dense'] + + @attach_to_node() + def write_dims(self) -> bool: + return self.node.class_name in ['Input', 'Dense'] + + @attach_to_node() + def write_func(self) -> bool: + return self.node.class_name in ['Input', 'Dense', 'Activation', 'Softmax'] + + + @attach_to_node() + def in_dim_key(self, v: str) -> str: + return v + + @attach_to_node() + def in_dim_val(self, v: int) -> int: + return v + + @attach_to_node() + def out_dim_key(self, v: str) -> str: + return v + + @attach_to_node() + def out_dim_val(self, v: int) -> int: + return v + + @attach_to_node() + def fxp_weights(self, weights, precisions: dict, out_dim: int, in_dim: int) -> NDArray[NDArray[np.int_]]: + #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. + width: int = list(precisions.items())[0][1].precision.width + frac: int = width - list(precisions.items())[0][1].precision.integer + # has weights + if len(weights) >= 1: + mat = np.array(list(list(weights)[0])).reshape(in_dim, out_dim) + mat_T = mat.T # in Keras the weights are transposed + fxp_w: NDArray[NDArray[np.int_]] = Fxp(mat_T, signed=True, n_word=width, n_frac=frac).raw() + return fxp_w + return np.array([]) + + @attach_to_node() + def fxp_bias(self, weights, precisions: dict) -> NDArray[np.int_]: + #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. + width: int = list(precisions.items())[0][1].precision.width + frac: int = width - list(precisions.items())[0][1].precision.integer + # has bias + if len(weights) >= 2: + fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() + return fxp_b + return np.array([]) + + @attach_to_node() + def in_nb(self, prev_layer_precision: dict | None) -> str: # TODO: right now we only care about the first defined type in the list + if prev_layer_precision: + for _, type_var in prev_layer_precision.items(): + return f'u32:{type_var.precision.width}' + return '' + + @attach_to_node() + def in_en(self) -> Literal['u32:1']: + return 'u32:1' + + @attach_to_node() + def in_bu(self, prev_layer_precision: dict | None) -> str: + if prev_layer_precision: + for _, type_var in prev_layer_precision.items(): + return f'u32:{type_var.precision.width - type_var.precision.integer}' + return '' + + @attach_to_node() + def in_type(self, prev_layer_precision: dict | None) -> str: + if prev_layer_precision: + for _, type_var in prev_layer_precision.items(): + return f'sN[u32:{type_var.precision.width}]' + return '' + + @attach_to_node() + def out_nb(self, layer_precision: dict) -> str: + for _, type_var in layer_precision.items(): + return f'u32:{type_var.precision.width}' + return '' + + @attach_to_node() + def out_en(self) -> Literal['u32:1']: + return 'u32:1' + + @attach_to_node() + def out_bu(self, layer_precision) -> str: + for _, type_var in layer_precision.items(): + return f'u32:{type_var.precision.width - type_var.precision.integer}' + return '' + + @attach_to_node() + def out_type(self, layer_precision) -> str: + for _, type_var in layer_precision.items(): + return f'sN[u32:{type_var.precision.width}]' + return '' + + @attach_to_node() + def func_call(self) -> str: + func_call_str = '' + if self.node.class_name == 'Dense': + func_call_str = f'fc::dense<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' + elif self.node.class_name == 'Activation': + func_call_str = f'activations::relu<{self.node.get_attr("out_nb")}>' + elif self.node.class_name == 'Softmax': + func_call_str = f'activations::argmax<{self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' + return func_call_str + +class BuildAttr(OptimizerPass): + """Builds all the XLS specific attributes for all layers. + """ + + def match(self, node: Layer) -> bool: + if node.class_name == 'Input': + return True + return False + + def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: + prev_out_dim_key = '' + prev_out_dim_val = -1 + prev_layer_precision = None + + for layer in model.get_layers(): + curr_out_dim_key: str = list(layer.get_output_variable().get_shape())[0][0] + curr_out_dim_val: int = list(layer.get_output_variable().get_shape())[0][1] + curr_weights = layer.get_weights() + curr_prec: dict = layer.get_layer_precision() + + # uses the builder to add all the attributes + b = XLSAttrBuilder(layer) + (b + .write_dims() + .write_weights() + .write_func() + .in_dim_key(prev_out_dim_key) + .in_dim_val(prev_out_dim_val) + .out_dim_key(curr_out_dim_key) + .out_dim_val(curr_out_dim_val) + .in_nb(prev_layer_precision) + .in_en() + .in_bu(prev_layer_precision) + .in_type(prev_layer_precision) + .out_type(curr_prec) + .out_nb(curr_prec) + .out_en() + .out_bu(curr_prec) + .fxp_weights(curr_weights, curr_prec, out_dim=curr_out_dim_val, in_dim=prev_out_dim_val) + .fxp_bias(curr_weights, curr_prec) + .func_call() + + ) + + prev_out_dim_key = curr_out_dim_key + prev_out_dim_val = curr_out_dim_val + prev_layer_precision = curr_prec + + return False + diff --git a/hls4ml/backends/xls/passes/merge_dense_relu.py b/hls4ml/backends/xls/passes/merge_dense_relu.py new file mode 100644 index 0000000000..829df163ce --- /dev/null +++ b/hls4ml/backends/xls/passes/merge_dense_relu.py @@ -0,0 +1,32 @@ +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Literal, Any, TYPE_CHECKING +if TYPE_CHECKING: + from hls4ml.model.graph import ModelGraph + from hls4ml.model.layers import Layer + +from hls4ml.model.optimizer import OptimizerPass + + +class MergeDenseRelu(OptimizerPass): + """Merges a dense layer followed by a relu layer in one layer by + applying the relu function immediately after each dot product. + """ + + def match(self, node) -> bool: + if node.class_name == 'Dense': + return True + return False + + def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: + + layers: list[Layer] = list(model.get_layers()) + for i, layer in enumerate(layers[:-1]): + next_layer = layers[i + 1] + if layer == node and next_layer.class_name == 'Activation': + new_func_call = f'fc::dense_relu<{layer.get_attr("in_nb")}, {layer.get_attr("in_en")}, {layer.get_attr("in_bu")}, {layer.get_attr("out_nb")}, {layer.get_attr("out_en")}, {layer.get_attr("out_bu")}>' + layer.set_attr('func_call', new_func_call) + next_layer.set_attr('write_func', False) + + return False + diff --git a/hls4ml/backends/xls/passes/transform_types.py b/hls4ml/backends/xls/passes/transform_types.py deleted file mode 100644 index 84b554bbb1..0000000000 --- a/hls4ml/backends/xls/passes/transform_types.py +++ /dev/null @@ -1,43 +0,0 @@ -from hls4ml.backends.fpga.fpga_types import APTypeConverter, HLSTypeConverter, StaticWeightVariableConverter -from hls4ml.backends.xls.xls_types import ( - XLSArrayVariableConverter, - XLSInplaceArrayVariableConverter, -) -from hls4ml.model.optimizer import GlobalOptimizerPass -from hls4ml.model.types import InplaceTensorVariable - - -class TransformTypes(GlobalOptimizerPass): - def __init__(self): - self.type_converter = HLSTypeConverter(precision_converter=APTypeConverter()) - self.array_var_converter = XLSArrayVariableConverter(type_converter=self.type_converter) - self.inplace_array_var_converter = XLSInplaceArrayVariableConverter(type_converter=self.type_converter) - self.weight_var_converter = StaticWeightVariableConverter(type_converter=self.type_converter) - - def transform(self, model, node): - io_type = node.model.config.get_config_value('IOType') - - for out_name, var in node.variables.items(): - if io_type == 'io_stream': - raise Exception(f'Not implemented yet IOType {io_type} in {node.name} ({node.__class__.__name__})') - elif io_type == 'io_serial': - raise Exception(f'Not implemented yet IOType {io_type} in {node.name} ({node.__class__.__name__})') - elif io_type == 'io_parallel': - if out_name in node.model.inputs: - new_var = self.array_var_converter.convert(var, pragma='reshape') - elif isinstance(var, InplaceTensorVariable): - new_var = self.inplace_array_var_converter.convert(var, pragma='') - else: - new_var = self.array_var_converter.convert(var, pragma='partition') - else: - raise Exception(f'Unknown IOType {io_type} in {node.name} ({node.__class__.__name__})') - - node.set_attr(out_name, new_var) - - for w_name, weight in node.weights.items(): - new_weight = self.weight_var_converter.convert(weight) - node.set_attr(w_name, new_weight) - - for t_name, type in node.types.items(): - new_type = self.type_converter.convert(type) - node.set_attr(t_name, new_type) diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index bd6ac7f178..e5d8ca93c3 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -17,10 +17,14 @@ from hls4ml.backends import FPGABackend from hls4ml.model.optimizer import get_backend_passes, layer_optimizer from hls4ml.model.flow import register_flow +from hls4ml.model.attributes import ChoiceAttribute, ConfigurableAttribute, TypeAttribute from hls4ml.model.layers import ( Dense, Layer, + Activation, + Softmax ) +from hls4ml.utils import attribute_descriptions as descriptions from hls4ml.model.types import IntegerPrecisionType, NamedType class XLSBackend(FPGABackend): @@ -32,12 +36,24 @@ def __init__(self) -> None: self._register_layer_attributes() self._register_flows() - def _register_layer_attributes(self): - # TODO: implement this + def _register_layer_attributes(self) -> None: pass + # all_layers = [ + # Layer, + # Dense, + # Activation, + # Softmax, + # ] + + # for layer in all_layers: + # attrs = self.attribute_map.get(layer, []) + # attrs.append( + # ConfigurableAttribute('skip', value_type=bool, default=True, description=descriptions.softmax_skip) + # ) + # self.attribute_map[layer] = attrs def _register_flows(self) -> None: - initializers = self._get_layer_initializers() + initializers: list = self._get_layer_initializers() init_flow: str = register_flow('init_layers', initializers, requires=['optimize'], backend=self.name) optimization_passes = [ @@ -45,26 +61,28 @@ def _register_flows(self) -> None: ] optimization_flow: str = register_flow('optimize', optimization_passes, requires=[init_flow], backend=self.name) - # vivado_types = [ - # 'xls:transform_types', - # ] - # vivado_types_flow: str = register_flow('specific_types', vivado_types, requires=[init_flow], backend=self.name) + xls_attributes = [ + 'xls:build_attr', + ] + xls_attributes_flow: str = register_flow('specific_attributes', xls_attributes, requires=[optimization_flow], backend=self.name) - templates = self._get_layer_templates() - template_flow = register_flow('apply_templates', self._get_layer_templates, requires=[init_flow], backend=self.name) + xls_optimization_passes = [ + 'xls:merge_dense_relu', + ] + xls_optimization_passes_flow: str = register_flow('merge_dense_relu_layers', xls_optimization_passes, requires=[xls_attributes_flow], backend=self.name) writer_passes = ['make_stamp', 'xls:write_hls'] - self._writer_flow = register_flow('write', writer_passes, requires=['xls:ip'], backend=self.name) # TODO: what is this xls:ip + self._writer_flow = register_flow('write', writer_passes, requires=['xls:ip'], backend=self.name) - all_passes = get_backend_passes(self.name) + all_passes: list = get_backend_passes(self.name) + #TODO: what is this extras structure here extras = [ # Ideally this should be empty opt_pass for opt_pass in all_passes if opt_pass not in initializers - + templates + writer_passes ] @@ -76,7 +94,8 @@ def _register_flows(self) -> None: 'optimize', init_flow, optimization_flow, - template_flow, + xls_attributes_flow, + xls_optimization_passes_flow, ] self._default_flow = register_flow('ip', None, requires=ip_flow_requirements, backend=self.name) @@ -143,7 +162,7 @@ def _get_backend_exec_path(self, model: ModelGraph) -> str: return path #TODO: this return value conflicts with the expected return value in ModelGraph of compile() - def compile(self, model: ModelGraph): + def compile(self, model: ModelGraph) -> None: path = self._get_backend_exec_path(model) @@ -185,7 +204,7 @@ def _interpret_input(model: ModelGraph, else: inp = [np.asarray(xj) for xj in x_list[i]] newline += '[' - fxp_x: list = Fxp(inp, signed=True, n_word=input_width, n_frac=input_frac).raw() + fxp_x: list[NDArray[np.int_]] = Fxp(inp, signed=True, n_word=input_width, n_frac=input_frac).raw() if n_inputs == 1: newline += f'bits[{input_width}]:{fxp_x[0][0]}' else: diff --git a/hls4ml/model/optimizer/optimizer.py b/hls4ml/model/optimizer/optimizer.py index bd9cfb1061..c225b9ad53 100644 --- a/hls4ml/model/optimizer/optimizer.py +++ b/hls4ml/model/optimizer/optimizer.py @@ -10,10 +10,10 @@ class OptimizerPass: name = None - def __init__(self): + def __init__(self) -> None: pass - def match(self, node): + def match(self, node) -> bool: """Predicate to match on a given node. Args: @@ -21,7 +21,7 @@ def match(self, node): """ raise NotImplementedError - def transform(self, model, node): + def transform(self, model, node) -> bool: """Transformation to apply if matching was successful. Transform should return a boolean value indicating if the model graph was altered (by adding/removing nodes). diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index c715c17b66..8bc3742375 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -4,181 +4,13 @@ if TYPE_CHECKING: from hls4ml.model.graph import ModelGraph - -import glob -import sys, os -import subprocess -import numpy as np -from fxpmath import Fxp +import os from shutil import copyfile, copytree, rmtree -from collections import OrderedDict -from dataclasses import dataclass, asdict from hls4ml.writer.writers import Writer config_filename = 'hls4ml_config.yml' -@dataclass(frozen=True) -class XLSLayerConfig: - class_name: str - in_dim_key: str - in_dim_val: int - out_dim_key: str - out_dim_val: int - in_nb: str - in_en: str - in_bu: str - in_type: str - out_nb: str - out_en: str - out_bu: str - out_type: str - fxp_weights: List[List[int]] - fxp_bias: List[int] - - def to_string(self) -> str: - # build lines of "key: value" - lines = [f"{k}: {v}" for k, v in asdict(self).items()] - return "\n".join(lines) - - def is_activation(self) -> bool: - return self.class_name in ['Activation', 'Softmax'] - - def has_weights(self) -> bool: - return self.class_name in ['Dense'] - -class XLSLayerConfigBuilder: - def __init__(self): - self._kw: dict[str, Any] = { - "fxp_weights": np.array([]), - "fxp_bias": np.array([]), - } - def class_name(self, v: int): - self._kw["class_name"] = v; - return self - def in_dim_key(self, v: str): - self._kw["in_dim_key"] = v; - return self - def in_dim_val(self, v: int): - self._kw["in_dim_val"] = v; - return self - def out_dim_key(self, v: str): - self._kw["out_dim_key"] = v; - return self - def out_dim_val(self, v: int): - self._kw["out_dim_val"] = v; - return self - def fxp_weights(self, weights, precisions, out_dim, in_dim): - #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. - width = list(precisions.items())[0][1].precision.width - frac = width - list(precisions.items())[0][1].precision.integer - for w in weights: - if (len(list(w)) == out_dim*in_dim): - mat = np.array(list(w)).reshape(in_dim, out_dim) - mat_T = mat.T # in Keras the weights are transposed - fxp_w = Fxp(mat_T, signed=True, n_word=width, n_frac=frac).raw() - self._kw["fxp_weights"] = fxp_w - return self - return self - def fxp_bias(self, weights, precisions, out_dim): - #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. - width = list(precisions.items())[0][1].precision.width - frac = width - list(precisions.items())[0][1].precision.integer - for w in weights: - if (len(list(w)) == out_dim): - fxp_b = Fxp(list(w), signed=True, n_word=width, n_frac=frac).raw() - self._kw["fxp_bias"] = fxp_b - return self - def in_nb(self, prev_layer_precision): # TODO: right now we only care about the first defined type in the list - if prev_layer_precision: - for _, type_var in prev_layer_precision.items(): - self._kw["in_nb"] = f'u32:{type_var.precision.width}'; - return self - else: - self._kw["in_nb"] = '' - return self - def in_en(self): - self._kw["in_en"] = 'u32:1' - return self - def in_bu(self, prev_layer_precision): - if prev_layer_precision: - for _, type_var in prev_layer_precision.items(): - self._kw["in_bu"] = f'u32:{type_var.precision.width - type_var.precision.integer}'; - return self - else: - self._kw["in_bu"] = '' - return self - def in_type(self, prev_layer_precision): - if prev_layer_precision: - for _, type_var in prev_layer_precision.items(): - self._kw["in_type"] = f'sN[u32:{type_var.precision.width}]'; - return self - else: - self._kw["in_type"] = '' - return self - def out_nb(self, layer_precision): - for _, type_var in layer_precision.items(): - self._kw["out_nb"] = f'u32:{type_var.precision.width}'; - return self - else: - self._kw["out_nb"] = '' - return self - def out_en(self): - self._kw["out_en"] = 'u32:1' - return self - def out_bu(self, layer_precision): - for _, type_var in layer_precision.items(): - self._kw["out_bu"] = f'u32:{type_var.precision.width - type_var.precision.integer}'; - return self - else: - self._kw["out_bu"] = '' - return self - def out_type(self, layer_precision): - for _, type_var in layer_precision.items(): - self._kw["out_type"] = f'sN[u32:{type_var.precision.width}]'; - return self - else: - self._kw["out_type"] = '' - return self - - def build(self) -> XLSLayerConfig: - return XLSLayerConfig(**self._kw) - - def build_xls_layers(self, model: ModelGraph) -> list[XLSLayerConfig]: - xls_layers: list[XLSLayerConfig] = [] - - prev_out_dim_key = '' - prev_out_dim_val = -1 - prev_layer_precision = None - for layer in model.get_layers(): - cur_out_dim_key = list(layer.get_output_variable().get_shape())[0][0] - cur_out_dim_val = list(layer.get_output_variable().get_shape())[0][1] - new_layer = ( - self - .class_name(layer.class_name) - .in_dim_key(prev_out_dim_key) - .in_dim_val(prev_out_dim_val) - .out_dim_key(cur_out_dim_key) # TODO: investigate if this is always good - .out_dim_val(cur_out_dim_val) - .in_nb(prev_layer_precision) - .in_en() - .in_bu(prev_layer_precision) - .in_type(prev_layer_precision) - .out_type(layer.get_layer_precision()) - .out_nb(layer.get_layer_precision()) - .out_en() - .out_bu(layer.get_layer_precision()) - .fxp_weights(layer.get_weights(), layer.get_layer_precision(), out_dim=cur_out_dim_val, in_dim=prev_out_dim_val) - .fxp_bias(layer.get_weights(), layer.get_layer_precision(), out_dim=cur_out_dim_val) - .build() - ) - xls_layers.append(new_layer) - - prev_out_dim_key = new_layer.out_dim_key - prev_out_dim_val = new_layer.out_dim_val - prev_layer_precision = layer.get_layer_precision() - - return xls_layers class XLSWriter(Writer): @@ -194,18 +26,18 @@ def write_project_dir(self, model: ModelGraph) -> None: if not os.path.isdir(f"{model.config.get_output_dir()}/predictions"): os.makedirs(f"{model.config.get_output_dir()}/predictions") - def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig]) -> None: + def write_project_dslx(self, model: ModelGraph) -> None: """Write the main architecture source file (myproject.x) Args: model (ModelGraph): the hls4ml model. """ - filedir = os.path.dirname(os.path.abspath(__file__)) f = open(os.path.join(filedir, '../templates/xls/firmware/myproject.x')) fout = open(f'{model.config.get_output_dir()}/firmware/{model.config.get_project_name()}.x', 'w') + layers = list(model.get_layers()) indent = ' ' last_layer_dim_key = '' for line in f.readlines(): @@ -213,36 +45,22 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] if 'myproject' in line: newline = line.replace('myproject', model.config.get_project_name()) - # elif '// hls-fpga-machine-learning debugging' in line: - # print("================= HERE\n") - # newline = line - # for layer in xls_layers: - # newline += layer.to_string() - # newline += '\n\n' - elif '// hls-fpga-machine-learning insert dimensions' in line: newline = line - for layer in xls_layers: - if layer.is_activation() == False: - newline += f'const {layer.out_dim_key} = u32:{layer.out_dim_val};\n' - - # elif '// hls-fpga-machine-learning architecture type inference' in line: - # newline = indent + 'IN_L0: u32, OUT_L0: u32,\n' - # for i, layer in enumerate(xls_layers): - # if i > 0 and layer.is_activation() == False: - # newline += indent + f'IN_L{i}: u32 = {{OUT_L{i-1}}}, OUT_L{i}: u32,\n' - # last_layer_dim_key = f'OUT_L{i}' + for layer in layers: + if layer.get_attr("write_dims"): + newline += f'const {layer.get_attr("out_dim_key")} = u32:{layer.get_attr("out_dim_val")};\n' elif '// hls-fpga-machine-learning architecture arguments' in line: newline = '' weighted_layers_count = 0 - for i, layer in enumerate(xls_layers): + for i, layer in enumerate(layers): if layer.class_name == 'Input': - newline += indent + f'x: {layer.out_type}[{layer.out_dim_key}],\n' - elif layer.is_activation() == False: - newline += indent + f'w{i}: {layer.out_type}[{layer.in_dim_key}][{layer.out_dim_key}],\n' - newline += indent + f'b{i}: {layer.out_type}[{layer.out_dim_key}]' - if weighted_layers_count < len([layer for layer in xls_layers if layer.has_weights()]) - 1: + newline += indent + f'x: {layer.get_attr("out_type")}[{layer.get_attr("out_dim_key")}],\n' + elif layer.get_attr("write_weights"): + newline += indent + f'w{i}: {layer.get_attr("out_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}],\n' + newline += indent + f'b{i}: {layer.get_attr("out_type")}[{layer.get_attr("out_dim_key")}]' + if weighted_layers_count < len([layer for layer in layers if layer.get_attr("write_weights")]) - 1: newline += ',\n' weighted_layers_count += 1 else: @@ -250,77 +68,66 @@ def write_project_dslx(self, model: ModelGraph, xls_layers: list[XLSLayerConfig] elif '// hls-fpga-machine-learning output ' in line: indent = ' ' - last_layer_type = xls_layers[-1].out_type - last_layer_dim_key = xls_layers[-1].out_dim_key + last_layer_type = layers[-1].get_attr("out_type") + last_layer_dim_key = layers[-1].get_attr("out_dim_key") newline = indent + f'{last_layer_type}[{last_layer_dim_key}]\n' elif '// hls-fpga-machine-learning insert layers' in line: newline = line - prev_layer: XLSLayerConfig = xls_layers[0] prev_var = 'x' - for i, layer in enumerate(xls_layers): - next_layer = xls_layers[i + 1] if i < len(xls_layers) - 1 else None - if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Activation'): - newline += indent + f'let z{i} = fc::dense_relu<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' + for i, layer in enumerate(layers): + if layer.get_attr('write_func'): + if layer.get_attr('write_weights'): + newline += indent + f'let z{i} = {layer.get_attr("func_call")}({prev_var}, w{i}, b{i});\n' + prev_var = f'z{i}' + else: + newline += indent + f'let z{i} = {layer.get_attr("func_call")}({prev_var});\n' prev_var = f'z{i}' - prev_layer = layer - if layer.class_name == 'Dense' and (next_layer is not None and next_layer.class_name == 'Softmax'): - newline += indent + f'let y{i} = fc::dense<{layer.in_nb}, {layer.in_en}, {layer.in_bu}, {layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var}, w{i}, b{i});\n' - prev_var = f'y{i}' - prev_layer = layer - if layer.class_name == 'Activation' and (prev_layer is not None and prev_layer.class_name != 'Dense'): - newline += indent + f'let z{i} = activations::relu<{layer.out_nb}>({prev_var});\n' - prev_var = f'z{i}' - prev_layer = layer - if layer.class_name == 'Softmax': - newline += indent + f'let z{i} = activations::argmax<{layer.out_nb}, {layer.out_en}, {layer.out_bu}>({prev_var});\n' - prev_var = f'z{i}' - prev_layer = layer newline += indent + prev_var + '\n' elif '// hls-fpga-machine-learning top function input' in line: - newline = indent + f'x: {xls_layers[0].out_type}[{xls_layers[0].out_dim_key}]\n' + newline = indent + f'x: {layers[0].get_attr("out_type")}[{layers[0].get_attr("out_dim_key")}]\n' elif '// hls-fpga-machine-learning top function output' in line: - newline = indent + f'{xls_layers[-1].out_type}[{xls_layers[-1].out_dim_key}]\n' + newline = indent + f'{layers[-1].get_attr("out_type")}[{layers[-1].get_attr("out_dim_key")}]\n' elif '// hls-fpga-machine-learning load weights' in line: newline = line - for i, layer in enumerate(xls_layers): - if layer.has_weights(): + for i, layer in enumerate(layers): + if layer.get_attr("write_weights"): # Weights - newline += indent + f'let w{i} = {layer.out_type}[{layer.in_dim_key}][{layer.out_dim_key}]:[\n' - for idx_row, row in enumerate(layer.fxp_weights): + newline += indent + f'let w{i} = {layer.get_attr("out_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}]:[\n' + for idx_row, row in enumerate(layer.get_attr('fxp_weights')): newline += indent + indent + '[' for idx_col, w in enumerate(row): - newline += f'{layer.out_type}:{w}' + newline += f'{layer.get_attr("out_type")}:{w}' if idx_col < len(row) - 1: newline += ',' newline += ']' - if idx_row < len(layer.fxp_weights) - 1: + if idx_row < len(layer.get_attr("fxp_weights")) - 1: newline += ',\n' else: newline += '\n' newline += indent + '];\n' # Bias - newline += indent + f'let b{i} = {layer.out_type}[{layer.out_dim_key}]:[\n' + newline += indent + f'let b{i} = {layer.get_attr("out_type")}[{layer.get_attr("out_dim_key")}]:[\n' newline += indent + indent - for idx_b, b in enumerate(layer.fxp_bias): - newline += f'{layer.out_type}:{b}' - if idx_b < len(layer.fxp_bias) - 1: + for idx_b, b in enumerate(layer.get_attr("fxp_bias")): + newline += f'{layer.get_attr("out_type")}:{b}' + if idx_b < len(layer.get_attr("fxp_bias")) - 1: newline += ',' newline += '\n' + indent + '];\n' elif '// hls-fpga-machine-learning call inlined weights' in line: newline = indent + indent weighted_layers_count = 0 - for i, layer in enumerate(xls_layers): + for i, layer in enumerate(layers): if layer.class_name == 'Input': newline += 'x,' - elif layer.has_weights(): + elif layer.get_attr("write_weights"): newline += f'w{i}, b{i}' - if weighted_layers_count < len([layer for layer in xls_layers if layer.has_weights()]) - 1: + if weighted_layers_count < len([layer for layer in layers if layer.get_attr("write_weights")]) - 1: newline += ', ' weighted_layers_count += 1 newline += '\n' @@ -363,49 +170,8 @@ def write_nnet_utils(self, model: ModelGraph) -> None: copytree(srcpath, dstpath) - - def gen_interpretable_ir(self, model: ModelGraph): - - if 'linux' in sys.platform: - path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) - if os.path.isdir(path) == 0: - raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') - - curr_dir = os.getcwd() - os.chdir(f'{model.config.get_output_dir()}/firmware') - kernel_name = model.config.get_project_name() - - # ## Run interpreter - # interpreter_cmd = [ - # f'{path}/xls/dslx/interpreter_main', - # f'{kernel_name}.x' - # ] - # subprocess.run(interpreter_cmd, check=True) - - ## Generate IR - with open(f'{kernel_name}.ir', 'w') as ir_file: - gen_cmd = [ - f'{path}/xls/dslx/ir_convert/ir_converter_main', - f'--top={kernel_name}', - f'{kernel_name}.x' - ] - subprocess.run(gen_cmd, check=True, stdout=ir_file) - - ## Optimize IR - with open(f'{kernel_name}.opt.ir', 'w') as opt_file: - opt_cmd = [ - f'{path}/xls/tools/opt_main', - f'{kernel_name}.ir' - ] - subprocess.run(opt_cmd, check=True, stdout=opt_file) - - os.chdir(curr_dir) - - def write_hls(self, model: ModelGraph) -> None: - builder = XLSLayerConfigBuilder() - xls_layers: list[XLSLayerConfig] = builder.build_xls_layers(model) self.write_project_dir(model) - self.write_project_dslx(model, xls_layers) + self.write_project_dslx(model) self.write_nnet_utils(model) \ No newline at end of file diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt index c610d184d4..4f8f855a56 100644 --- a/test/pytest/junk.txt +++ b/test/pytest/junk.txt @@ -1,66 +1,135 @@ ============================= test session starts ============================== platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 -pytest-randomly: reseed with 2358155611 -Using --randomly-seed=2358155611 +pytest-randomly: reseed with 1317154935 +Using --randomly-seed=1317154935 rootdir: /home/girji/workspace/forks/hls4ml configfile: pyproject.toml plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 -pytest-randomly: reseed with 2358155611 +pytest-randomly: reseed with 1317154935 collected 2 items -test_keras_api.py +test_keras_api.py F. [100%] +=================================== FAILURES =================================== +_________________________ test_dense[io_parallel-XLS] __________________________ + +backend = 'XLS', io_type = 'io_parallel' + + @pytest.mark.parametrize('backend', ['XLS']) + @pytest.mark.parametrize('io_type', ['io_parallel']) + def test_dense(backend, io_type): + model = tf.keras.models.Sequential() + model.add( + Dense( + 2, + input_shape=(2,), + name='Dense', + use_bias=True, + kernel_initializer=tf.keras.initializers.RandomUniform(minval=1, maxval=10), + bias_initializer='zeros', + kernel_regularizer=None, + bias_regularizer=None, + activity_regularizer=None, + kernel_constraint=None, + bias_constraint=None, + ) + ) + model.add(Activation(activation='relu', name='Activation')) + model.compile(optimizer='adam', loss='mse') + + X_input = np.random.rand(100, 2) + + keras_prediction = model.predict(X_input) + + config = hls4ml.utils.config_from_keras_model(model) + output_dir = str(test_root_path / f'hls4mlprj_keras_api_dense_{backend}_{io_type}') + + hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type + ) + + hls_model.compile() + + hls_prediction = hls_model.predict(X_input) + + np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=1e-2, atol=0.01) + + assert len(model.layers) + 1 == len(hls_model.get_layers()) +> assert list(hls_model.get_layers())[0].attributes['class_name'] == "InputLayer" +E AssertionError: assert 'Input' == 'InputLayer' +E +E - InputLayer +E + Input + +test_keras_api.py:70: AssertionError +---------------------------- Captured stdout setup ----------------------------- +pytest-randomly: reseed with 1317154934 +----------------------------- Captured stdout call ----------------------------- +pytest-randomly: reseed with 1317154935 + 1/4 [======>.......................] - ETA: 0s 4/4 [==============================] - 0s 3ms/step +IN MATCH +IN TRUE MATCH +IN TRANSFORM +IN MATCH +IN MATCH +HERERE =========== +Node: u32:1 +HERERE =========== +Node: u32:1 +HERERE =========== +Node: u32:1 +--------------------------- Captured stdout teardown --------------------------- +pytest-randomly: reseed with 1317154936 =============================== warnings summary =============================== -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:70 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:70: UserWarning: WARNING: Optimizer "xls:transform_types" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:89 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:build_attr" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html -!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! KeyboardInterrupt !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! -/home/girji/miniconda3/envs/hls4ml/lib/python3.10/site-packages/fxpmath/objects.py:976: KeyboardInterrupt -(to show a full traceback on KeyboardInterrupt use --full-trace) -============================= 12 warnings in 3.07s ============================= +=========================== short test summary info ============================ +FAILED test_keras_api.py::test_dense[io_parallel-XLS] - AssertionError: asser... +=================== 1 failed, 1 passed, 12 warnings in 5.93s =================== diff --git a/test/pytest/test_activations.py b/test/pytest/test_activations.py index 51f6885135..2b6d25c9be 100644 --- a/test/pytest/test_activations.py +++ b/test/pytest/test_activations.py @@ -50,8 +50,6 @@ def test_activations(backend, activation, name, shape, io_type): hls_model = hls4ml.converters.convert_from_keras_model( keras_model, hls_config=hls_config, io_type=io_type, output_dir=output_dir, backend=backend ) - print('HERE =====================') - print(list(hls_model.get_layers())[1].attributes['class_name']) hls_model.compile() keras_prediction = keras_model.predict(X) diff --git a/test/pytest/test_keras_api.py b/test/pytest/test_keras_api.py index 7f78bcf6c6..870a248798 100644 --- a/test/pytest/test_keras_api.py +++ b/test/pytest/test_keras_api.py @@ -104,8 +104,19 @@ def test_activations(activation_function, backend, io_type): model.compile(optimizer='adam', loss='mse') X_input = np.random.rand(100, 1) keras_prediction = model.predict(X_input) + + # Print Keras model weights + print("Keras model weights:") + for layer in model.layers: + weights = layer.get_weights() + if weights: + print(f"Layer {layer.name}:") + for w in weights: + print(w) + config = hls4ml.utils.config_from_keras_model(model) output_dir = str(test_root_path / f'hls4mlprj_keras_api_activations_{activation_function.name}_{backend}_{io_type}') + hls_model = hls4ml.converters.convert_from_keras_model( model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type ) From a78bd1b452adbb539494a521bc3071926f96426c Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 31 Jul 2025 13:08:35 +0200 Subject: [PATCH 11/24] feat: softmax xls implementation of table lookup --- hls4ml/backends/xls/passes/build_attr.py | 5 +- hls4ml/backends/xls/passes/build_tables.py | 59 +++ hls4ml/backends/xls/xls_backend.py | 13 +- .../vivado/nnet_utils/nnet_activation.h | 2 +- .../xls/firmware/ap_types/lookup_tables.x | 35 ++ .../xls/firmware/nnet_utils/activations.x | 296 ++++++++++----- hls4ml/writer/xls_writer.py | 58 ++- test/pytest/junk.txt | 344 ++++++++++++++---- test/pytest/test_softmax.py | 80 ++-- 9 files changed, 682 insertions(+), 210 deletions(-) create mode 100644 hls4ml/backends/xls/passes/build_tables.py create mode 100644 hls4ml/templates/xls/firmware/ap_types/lookup_tables.x diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index c68f34c81d..55404d0c46 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -73,7 +73,7 @@ def write_dims(self) -> bool: @attach_to_node() def write_func(self) -> bool: - return self.node.class_name in ['Input', 'Dense', 'Activation', 'Softmax'] + return self.node.class_name in ['Dense', 'Activation', 'Softmax'] @attach_to_node() @@ -171,9 +171,10 @@ def func_call(self) -> str: elif self.node.class_name == 'Activation': func_call_str = f'activations::relu<{self.node.get_attr("out_nb")}>' elif self.node.class_name == 'Softmax': - func_call_str = f'activations::argmax<{self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' + func_call_str = f'activations::argmax<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' return func_call_str + class BuildAttr(OptimizerPass): """Builds all the XLS specific attributes for all layers. """ diff --git a/hls4ml/backends/xls/passes/build_tables.py b/hls4ml/backends/xls/passes/build_tables.py new file mode 100644 index 0000000000..158c87612e --- /dev/null +++ b/hls4ml/backends/xls/passes/build_tables.py @@ -0,0 +1,59 @@ +# Typing imports +from __future__ import annotations # makes all annotations into strings +from typing import List, Literal, Any, Optional, Callable, TYPE_CHECKING +from numpy.typing import NDArray +if TYPE_CHECKING: + from hls4ml.model.graph import ModelGraph + from hls4ml.model.layers import Layer + + +from hls4ml.model.optimizer import OptimizerPass + +from functools import wraps +import numpy as np +import math +from fxpmath import Fxp + + + +class BuildTables(OptimizerPass): + + def match(self, node: Layer) -> bool: + if node.class_name == 'Softmax': + return True + return False + + def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: + + # i * 2^{integer_part - clog2(table_size)} + def get_real_val_from_idx(i, type_var, table_size): + return i * (2 ** (type_var.precision.integer - math.ceil(math.log2(table_size)))) + + table_size = dict(node.attributes)['table_size'] + exp_table = [] + inv_table = [] + + _, type_var = list(node.get_layer_precision().items())[0] + width = type_var.precision.width + frac = type_var.precision.width - type_var.precision.integer + + # create exp table + for i in range(table_size): + real_val = get_real_val_from_idx(i, type_var, table_size) + e = math.exp(real_val) + fxp_e = Fxp(e, signed=True, n_word=width, n_frac=frac, rounding='around', overflow='saturate').raw() + exp_table.append(fxp_e) + + # create div table + for i in range(table_size): + real_val = get_real_val_from_idx(i, type_var, table_size) + inv = 1.0 / real_val if real_val != 0 else 2**(type_var.precision.width - 1) + fxp_inv = Fxp(inv, signed=True, n_word=width, n_frac=frac, rounding='around', overflow='saturate').raw() + inv_table.append(fxp_inv) + + node.set_attr('write_table', True) + node.set_attr('exp_table_xls', exp_table) + node.set_attr('inv_table_xls', inv_table) + + return False + diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index e5d8ca93c3..c715bbef5a 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -66,6 +66,11 @@ def _register_flows(self) -> None: ] xls_attributes_flow: str = register_flow('specific_attributes', xls_attributes, requires=[optimization_flow], backend=self.name) + xls_build_graph_ir = [ + 'xls:build_tables', + ] + xls_build_graph_ir_flow: str = register_flow('build_tables_ir', xls_build_graph_ir, requires=[xls_attributes_flow], backend=self.name) + xls_optimization_passes = [ 'xls:merge_dense_relu', ] @@ -84,6 +89,9 @@ def _register_flows(self) -> None: if opt_pass not in initializers + writer_passes + + optimization_passes + + xls_attributes + + xls_optimization_passes ] if len(extras) > 0: @@ -95,6 +103,7 @@ def _register_flows(self) -> None: init_flow, optimization_flow, xls_attributes_flow, + xls_build_graph_ir_flow, xls_optimization_passes_flow, ] @@ -217,7 +226,7 @@ def _interpret_input(model: ModelGraph, # run command interpret_cmd = [ f'{path}/xls/tools/eval_ir_main', - f'../firmware/{model.config.get_project_name()}.opt.ir', + f'firmware/{model.config.get_project_name()}.opt.ir', f'--input_file=-' ] result = subprocess.run( @@ -299,7 +308,7 @@ def _correct_dims(results_floats: list[NDArray[np.floating]], n_samples: int, n_ # Change dirs curr_dir = os.getcwd() - os.chdir(f'{model.config.get_output_dir()}/predictions') + os.chdir(f'{model.config.get_output_dir()}') # Result processing pipeling result = _interpret_input(model, path, x_list, n_samples, n_inputs, input_width, input_frac) diff --git a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h index 1edf9e6641..199ef9db2e 100644 --- a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h +++ b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h @@ -829,4 +829,4 @@ void ternary_tanh(data_T data[CONFIG_T::n_in], res_T res[CONFIG_T::n_in]) { } // namespace nnet -#endif +#endif \ No newline at end of file diff --git a/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x b/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x new file mode 100644 index 0000000000..364d347c3e --- /dev/null +++ b/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x @@ -0,0 +1,35 @@ + +import std; + + +pub fn idx_from_real_val + + (x: sN[NB]) -> uN[N] { + + let unsgined_x = x as uN[NB]; + let idx = (unsgined_x >> LOW_END) & ((uN[NB]:1 << N) - uN[NB]:1); + idx as uN[N] +} + +#[test] +fn idx_from_real_val_test() { + let x = sN[16]:1024; + let expected = uN[10]:1; + assert_eq(expected, idx_from_real_val(x)); + + let x = sN[16]:4096; + let expected = uN[10]:4; + assert_eq(expected, idx_from_real_val(x)); + + let x = sN[18]:4096; + let expected = uN[10]:4; + assert_eq(expected, idx_from_real_val(x)); +} + +// hls-fpga-machine-learning insert exponent table + + +// hls-fpga-machine-learning insert inversion table + diff --git a/hls4ml/templates/xls/firmware/nnet_utils/activations.x b/hls4ml/templates/xls/firmware/nnet_utils/activations.x index c70c364c07..eea765ccad 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/activations.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/activations.x @@ -2,12 +2,11 @@ import std; import ap_types.fixed_point_fix; import ap_types.fixed_point_lib; +import ap_types.lookup_tables; -const NB_COMMON = u32:16; -const EN_COMMON = u32:1; -const BU_COMMON = u32:10; -const BE_COMMON = s32:-10; +// ========================================================================= +// --------------------------------- ReLU ---------------------------------- pub fn relu_1elem @@ -29,104 +28,231 @@ pub fn relu }(y) } -pub fn argmax - - (y: sN[NB][VEC_SZ]) -> sN[NB][VEC_SZ] { - - let max_significand = for (i, acc): (u32, sN[NB]) in u32:0..VEC_SZ { - std::max(y[i], acc) - }((s32:-1 << SHIFT_LIMIT) as sN[NB]); - - for (i, z): (u32, sN[NB][VEC_SZ]) in u32:0..VEC_SZ { - if y[i] == max_significand { - update(z, i, (u32:1<(x)); + assert_eq(expected, relu(x)); - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:0, - sN[NB_COMMON]:-1024 + let x = sN[16][4]:[ + sN[16]:-1536, + sN[16]:-1024, + sN[16]:0, + sN[16]:-1024 ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:0, + let expected = sN[16][4]:[ + sN[16]:0, + sN[16]:0, + sN[16]:0, + sN[16]:0, ]; - assert_eq(expected, argmax(x)); + assert_eq(expected, relu(x)); - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:-512, - sN[NB_COMMON]:-1024 + let x = sN[16][4]:[ + sN[16]:-1536, + sN[16]:-1024, + sN[16]:1024, + sN[16]:-1024 ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:0, + let expected = sN[16][4]:[ + sN[16]:0, + sN[16]:0, + sN[16]:1024, + sN[16]:0, ]; - assert_eq(expected, argmax(x)); + assert_eq(expected, relu(x)); } +// ========================================================================= +// ------------------------------- Softmax --------------------------------- + +fn get_exp + + (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { + + // Compute exp() with Lookup Tables + let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + let exp_table_idx = lookup_tables::idx_from_real_val(y[i]); + update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) + }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + + exp_result +} + #[test] -fn relu_test() { - let x = sN[NB_COMMON][2]:[ - sN[NB_COMMON]:1536, - sN[NB_COMMON]:1024 +fn get_exp_test() { + let x = sN[16][4]:[ + sN[16]:4096, + sN[16]:4096, + sN[16]:4096, + sN[16]:4096 + ]; + let expected = sN[18][4]:[ + sN[18]:2784, + sN[18]:2784, + sN[18]:2784, + sN[18]:2784 + ]; + assert_eq(expected, get_exp(x)); +} + +fn get_accum + // unsigned exp ACCUM + (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT] { + + // Compute exp() with Lookup Tables + let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + let exp_table_idx = lookup_tables::idx_from_real_val(y[i]); + update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) + }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + + let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { + fixed_point_fix::add_already_widened(exp_result[i], acc) + }(sN[NB_ACCUM]:0); + let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(sum)]; + + inv_exp_sum +} + +#[test] +fn get_accum_test() { + let x = sN[16][4]:[ + sN[16]:4096, + sN[16]:4096, + sN[16]:4096, + sN[16]:4096 + ]; + let expected = sN[18]:410; // ideal 256 + assert_eq(expected, get_accum(x)); +} + +pub fn softmax_latency + + (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { + + // Compute exp() with Lookup Tables + let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + let exp_table_idx = lookup_tables::idx_from_real_val(y[i]); + update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) + }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + + let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { + fixed_point_fix::add_already_widened(exp_result[i], acc) + }(sN[NB_ACCUM]:0); + let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(sum)]; + + let inv_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) + }(exp_result); + + inv_result +} + +pub fn argmax + + (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { + + let max_significand = for (i, acc): (u32, sN[NB_IN]) in u32:0..VEC_SZ { + std::max(y[i], acc) + }((s32:-1 << SHIFT_LIMIT) as sN[NB_IN]); + + for (i, z): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + if y[i] == max_significand { + update(z, i, (u32:1<(x)); +} + +#[test] +fn argmax_test() { + let x = sN[16][2]:[ + sN[16]:1536, + sN[16]:1024 ]; - let expected = sN[NB_COMMON][2]:[ - sN[NB_COMMON]:1536, - sN[NB_COMMON]:1024 + let expected = sN[18][2]:[ + sN[18]:1024, + sN[18]:0 ]; - assert_eq(expected, relu(x)); + assert_eq(expected, argmax(x)); - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:0, - sN[NB_COMMON]:-1024 + let x = sN[16][4]:[ + sN[16]:-1536, + sN[16]:-1024, + sN[16]:0, + sN[16]:-1024 ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, + let expected = sN[18][4]:[ + sN[18]:0, + sN[18]:0, + sN[18]:1024, + sN[18]:0, ]; - assert_eq(expected, relu(x)); + assert_eq(expected, argmax(x)); - let x = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:-1536, - sN[NB_COMMON]:-1024, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:-1024 + let x = sN[16][4]:[ + sN[16]:-1536, + sN[16]:-1024, + sN[16]:-512, + sN[16]:-1024 ]; - let expected = sN[NB_COMMON][4]:[ - sN[NB_COMMON]:0, - sN[NB_COMMON]:0, - sN[NB_COMMON]:1024, - sN[NB_COMMON]:0, + let expected = sN[18][4]:[ + sN[18]:0, + sN[18]:0, + sN[18]:1024, + sN[18]:0, ]; - assert_eq(expected, relu(x)); -} \ No newline at end of file + assert_eq(expected, argmax(x)); +} diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 8bc3742375..5b12e10f31 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -23,8 +23,8 @@ def write_project_dir(self, model: ModelGraph) -> None: if not os.path.isdir(f"{model.config.get_output_dir()}/firmware"): os.makedirs(f"{model.config.get_output_dir()}/firmware") - if not os.path.isdir(f"{model.config.get_output_dir()}/predictions"): - os.makedirs(f"{model.config.get_output_dir()}/predictions") + # if not os.path.isdir(f"{model.config.get_output_dir()}/predictions"): + # os.makedirs(f"{model.config.get_output_dir()}/predictions") def write_project_dslx(self, model: ModelGraph) -> None: """Write the main architecture source file (myproject.x) @@ -67,7 +67,6 @@ def write_project_dslx(self, model: ModelGraph) -> None: newline += '\n' elif '// hls-fpga-machine-learning output ' in line: - indent = ' ' last_layer_type = layers[-1].get_attr("out_type") last_layer_dim_key = layers[-1].get_attr("out_dim_key") newline = indent + f'{last_layer_type}[{last_layer_dim_key}]\n' @@ -141,6 +140,56 @@ def write_project_dslx(self, model: ModelGraph) -> None: f.close() fout.close() + def write_lookup_tables(self, model: ModelGraph) -> None: + filedir = os.path.dirname(os.path.abspath(__file__)) + + f = open(os.path.join(filedir, '../templates/xls/firmware/ap_types/lookup_tables.x')) + fout = open(f'{model.config.get_output_dir()}/firmware/ap_types/lookup_tables.x', 'w') + + layers = list(model.get_layers()) + indent = ' ' + elems_per_line = 8 + for line in f.readlines(): + + if '// hls-fpga-machine-learning insert exponent table' in line: + newline = line + for layer in layers: + if layer.get_attr('write_table'): + newline += f'pub const EXP_TABLE = sN[{layer.get_attr("out_nb")}][u32:{dict(layer.attributes)["table_size"]}]:[\n' + newline += indent + for i, elem in enumerate(layer.get_attr("exp_table_xls")): + newline += f'sN[{layer.get_attr("out_nb")}]:{elem}' + if i < len(layer.get_attr("exp_table_xls")) - 1: + newline += ',' + if (i+1) % elems_per_line == 0: + newline += '\n' + if i < len(layer.get_attr("inv_table_xls")) - 1: + newline += indent + newline += '];\n' + + elif '// hls-fpga-machine-learning insert inversion table' in line: + newline = line + for layer in layers: + if layer.get_attr('write_table'): + newline += f'pub const INV_TABLE = sN[{layer.get_attr("out_nb")}][u32:{dict(layer.attributes)["table_size"]}]:[\n' + newline += indent + for i, elem in enumerate(layer.get_attr("inv_table_xls")): + newline += f'sN[{layer.get_attr("out_nb")}]:{elem}' + if i < len(layer.get_attr("inv_table_xls")) - 1: + newline += ', ' + if (i+1) % elems_per_line == 0: + newline += '\n' + if i < len(layer.get_attr("inv_table_xls")) - 1: + newline += indent + newline += '];\n' + + else: + newline = line + fout.write(newline) + + f.close() + fout.close() + def write_nnet_utils(self, model: ModelGraph) -> None: """Copy the nnet_utils, AP types headers to the project output directory @@ -174,4 +223,5 @@ def write_hls(self, model: ModelGraph) -> None: self.write_project_dir(model) self.write_project_dslx(model) - self.write_nnet_utils(model) \ No newline at end of file + self.write_nnet_utils(model) + self.write_lookup_tables(model) \ No newline at end of file diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt index 4f8f855a56..b67469e18a 100644 --- a/test/pytest/junk.txt +++ b/test/pytest/junk.txt @@ -1,135 +1,319 @@ ============================= test session starts ============================== platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 -pytest-randomly: reseed with 1317154935 -Using --randomly-seed=1317154935 +pytest-randomly: reseed with 4196337682 +Using --randomly-seed=4196337682 rootdir: /home/girji/workspace/forks/hls4ml configfile: pyproject.toml plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 -pytest-randomly: reseed with 1317154935 +pytest-randomly: reseed with 4196337682 collected 2 items -test_keras_api.py F. [100%] +test_softmax.py FF [100%] =================================== FAILURES =================================== -_________________________ test_dense[io_parallel-XLS] __________________________ +______ test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-XLS] ______ -backend = 'XLS', io_type = 'io_parallel' +backend = 'XLS', strategy = 'latency' +generate_data = array([[ -9.06465089, 23.22051406, 6.93603944, ..., 31. , + 10.8794157 , 12.70340042], + [ 14....0.72062448], + [ 16.65739911, 1.49536802, 8.77838192, ..., -10.51041717, + 15.60206778, 16.67082722]]) +input_bits = '16,6', input_shape = (8,), table_bits = '18,8' +io_type = 'io_parallel', custom_accum = False @pytest.mark.parametrize('backend', ['XLS']) - @pytest.mark.parametrize('io_type', ['io_parallel']) - def test_dense(backend, io_type): + @pytest.mark.parametrize('strategy', ['latency', 'argmax']) + @pytest.mark.parametrize( + 'input_bits,input_shape,table_bits,io_type,custom_accum', + [ + ('16,6', (8,), '18,8', 'io_parallel', False), + ], + ) + def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): + X = generate_data model = tf.keras.models.Sequential() - model.add( - Dense( - 2, - input_shape=(2,), - name='Dense', - use_bias=True, - kernel_initializer=tf.keras.initializers.RandomUniform(minval=1, maxval=10), - bias_initializer='zeros', - kernel_regularizer=None, - bias_regularizer=None, - activity_regularizer=None, - kernel_constraint=None, - bias_constraint=None, + model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) + model.compile() + + table_type = f'fixed<{table_bits}, RND, SAT>' + + cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) + cfg['LayerName']['softmax']['Strategy'] = strategy + cfg['LayerName']['softmax']['inv_table_t'] = table_type + cfg['LayerName']['softmax']['exp_table_t'] = table_type + cfg['LayerName']['softmax']['accum_t'] = table_type + cfg['LayerName']['softmax']['inv_inp_t'] = table_type + if custom_accum: + if backend not in ['Vivado', 'Vitis']: + pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') + W, I = map(int, input_bits.split(',')) # noqa: E741 + cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' + cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' + inp_layer_name = next(iter(cfg['LayerName'].keys())) + cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' + + odir = str( + test_root_path + / ( + f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' + f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' ) ) - model.add(Activation(activation='relu', name='Activation')) - model.compile(optimizer='adam', loss='mse') +> hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend + ) + +test_softmax.py:76: +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ +../../hls4ml/utils/dependency.py:46: in inner + return f(*args, **kwargs) +../../hls4ml/converters/__init__.py:225: in convert_from_keras_model + return keras_v2_to_hls(config) +../../hls4ml/converters/keras_v2_to_hls.py:351: in keras_v2_to_hls + return ModelGraph.from_layer_list(config, layer_list, input_layers, output_layers) +../../hls4ml/model/graph.py:457: in from_layer_list + model.apply_flow(flow) +../../hls4ml/model/graph.py:525: in apply_flow + self._apply_sub_flow(flow, applied_flows) +../../hls4ml/model/graph.py:534: in _apply_sub_flow + self._apply_sub_flow(sub_flow, applied_flows) +../../hls4ml/model/graph.py:537: in _apply_sub_flow + applied_passes = optimize_model(self, flow.optimizers) +../../hls4ml/model/optimizer/optimizer.py:319: in optimize_model + res = opt.transform(model, node) +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ + +self = +model = +node = + + def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: + + # i * 2^{integer_part - clog2(table_size)} + def get_real_val_from_idx(i, type_var, table_size): + return i * (2 ** (type_var.precision.integer - math.ceil(math.log2(table_size)))) + + table_size = dict(node.attributes)['table_size'] + exp_table = [] + div_table = [] + + _, type_var = list(node.get_layer_precision().items())[0] - X_input = np.random.rand(100, 2) + # create exp table + for i in range(table_size): + real_val = get_real_val_from_idx(i, type_var, table_size) + e = math.exp(real_val) + exp_table.append(e) + + print("TESTETSTS ------------------") + print(dict(node.attributes)) + + # create div table + for i in range(table_size): + real_val = get_real_val_from_idx(i, type_var, table_size) +> inv = 1.0 / real_val +E ZeroDivisionError: float division by zero + +../../hls4ml/backends/xls/passes/build_tables.py:50: ZeroDivisionError +---------------------------- Captured stdout setup ----------------------------- +pytest-randomly: reseed with 4196337681 +----------------------------- Captured stdout call ----------------------------- +pytest-randomly: reseed with 4196337682 +WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) +TESTETSTS ------------------ +{'name': 'softmax', 'class_name': 'Softmax', 'data_format': 'channels_last', 'activation': 'softmax', 'axis': -1, 'index': 2, 'accum_t': , 'trace': False, 'precision': {'result': 'auto', 'table': 'fixed<18,8,TRN,WRAP,0>', 'exp_table': 'fixed<18,8,RND,SAT,0>', 'inv_table': 'fixed<18,8,RND,SAT,0>', 'inv_inp': 'fixed<18,8,RND,SAT,0>', 'accum': 'fixed<18,8,RND,SAT,0>'}, 'reuse_factor': 1, 'table_size': 1024, 'implementation': 'stable', 'skip': False, 'strategy': 'latency', 'inv_table_t': , 'exp_table_t': , 'inv_inp_t': , 'result_t': , 'softmax': , 'n_in': 8, 'table_t': , 'n_outer': 1, 'n_inner': 1, 'write_dims': False, 'write_weights': False, 'write_func': True, 'in_dim_key': 'N_INPUT_1_1', 'in_dim_val': 8, 'out_dim_key': 'N_INPUT_1_1', 'out_dim_val': 8, 'in_nb': 'u32:16', 'in_en': 'u32:1', 'in_bu': 'u32:10', 'in_type': 'sN[u32:16]', 'out_type': 'sN[u32:18]', 'out_nb': 'u32:18', 'out_en': 'u32:1', 'out_bu': 'u32:10', 'fxp_weights': array([], dtype=float64), 'fxp_bias': array([], dtype=float64), 'func_call': 'activations::argmax'} +--------------------------- Captured stdout teardown --------------------------- +pytest-randomly: reseed with 4196337683 +______ test_softmax[16,6-input_shape0-18,8-io_parallel-False-argmax-XLS] _______ + +backend = 'XLS', strategy = 'argmax' +generate_data = array([[ -9.06465089, 23.22051406, 6.93603944, ..., 31. , + 10.8794157 , 12.70340042], + [ 14....0.72062448], + [ 16.65739911, 1.49536802, 8.77838192, ..., -10.51041717, + 15.60206778, 16.67082722]]) +input_bits = '16,6', input_shape = (8,), table_bits = '18,8' +io_type = 'io_parallel', custom_accum = False + + @pytest.mark.parametrize('backend', ['XLS']) + @pytest.mark.parametrize('strategy', ['latency', 'argmax']) + @pytest.mark.parametrize( + 'input_bits,input_shape,table_bits,io_type,custom_accum', + [ + ('16,6', (8,), '18,8', 'io_parallel', False), + ], + ) + def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): + X = generate_data + model = tf.keras.models.Sequential() + model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) + model.compile() - keras_prediction = model.predict(X_input) + table_type = f'fixed<{table_bits}, RND, SAT>' - config = hls4ml.utils.config_from_keras_model(model) - output_dir = str(test_root_path / f'hls4mlprj_keras_api_dense_{backend}_{io_type}') + cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) + cfg['LayerName']['softmax']['Strategy'] = strategy + cfg['LayerName']['softmax']['inv_table_t'] = table_type + cfg['LayerName']['softmax']['exp_table_t'] = table_type + cfg['LayerName']['softmax']['accum_t'] = table_type + cfg['LayerName']['softmax']['inv_inp_t'] = table_type + if custom_accum: + if backend not in ['Vivado', 'Vitis']: + pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') + W, I = map(int, input_bits.split(',')) # noqa: E741 + cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' + cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' + inp_layer_name = next(iter(cfg['LayerName'].keys())) + cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type + odir = str( + test_root_path + / ( + f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' + f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' + ) + ) +> hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend ) + +test_softmax.py:76: +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ +../../hls4ml/utils/dependency.py:46: in inner + return f(*args, **kwargs) +../../hls4ml/converters/__init__.py:225: in convert_from_keras_model + return keras_v2_to_hls(config) +../../hls4ml/converters/keras_v2_to_hls.py:351: in keras_v2_to_hls + return ModelGraph.from_layer_list(config, layer_list, input_layers, output_layers) +../../hls4ml/model/graph.py:457: in from_layer_list + model.apply_flow(flow) +../../hls4ml/model/graph.py:525: in apply_flow + self._apply_sub_flow(flow, applied_flows) +../../hls4ml/model/graph.py:534: in _apply_sub_flow + self._apply_sub_flow(sub_flow, applied_flows) +../../hls4ml/model/graph.py:537: in _apply_sub_flow + applied_passes = optimize_model(self, flow.optimizers) +../../hls4ml/model/optimizer/optimizer.py:319: in optimize_model + res = opt.transform(model, node) +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ + +self = +model = +node = + + def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: - hls_model.compile() + # i * 2^{integer_part - clog2(table_size)} + def get_real_val_from_idx(i, type_var, table_size): + return i * (2 ** (type_var.precision.integer - math.ceil(math.log2(table_size)))) - hls_prediction = hls_model.predict(X_input) + table_size = dict(node.attributes)['table_size'] + exp_table = [] + div_table = [] - np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=1e-2, atol=0.01) + _, type_var = list(node.get_layer_precision().items())[0] - assert len(model.layers) + 1 == len(hls_model.get_layers()) -> assert list(hls_model.get_layers())[0].attributes['class_name'] == "InputLayer" -E AssertionError: assert 'Input' == 'InputLayer' -E -E - InputLayer -E + Input + # create exp table + for i in range(table_size): + real_val = get_real_val_from_idx(i, type_var, table_size) + e = math.exp(real_val) + exp_table.append(e) + + print("TESTETSTS ------------------") + print(dict(node.attributes)) + + # create div table + for i in range(table_size): + real_val = get_real_val_from_idx(i, type_var, table_size) +> inv = 1.0 / real_val +E ZeroDivisionError: float division by zero -test_keras_api.py:70: AssertionError +../../hls4ml/backends/xls/passes/build_tables.py:50: ZeroDivisionError ---------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 1317154934 +pytest-randomly: reseed with 4196337681 ----------------------------- Captured stdout call ----------------------------- -pytest-randomly: reseed with 1317154935 - 1/4 [======>.......................] - ETA: 0s 4/4 [==============================] - 0s 3ms/step -IN MATCH -IN TRUE MATCH -IN TRANSFORM -IN MATCH -IN MATCH -HERERE =========== -Node: u32:1 -HERERE =========== -Node: u32:1 -HERERE =========== -Node: u32:1 +pytest-randomly: reseed with 4196337682 +WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) +TESTETSTS ------------------ +{'name': 'softmax', 'class_name': 'Softmax', 'data_format': 'channels_last', 'activation': 'softmax', 'axis': -1, 'index': 2, 'accum_t': , 'trace': False, 'precision': {'result': 'auto', 'table': 'fixed<18,8,TRN,WRAP,0>', 'exp_table': 'fixed<18,8,RND,SAT,0>', 'inv_table': 'fixed<18,8,RND,SAT,0>', 'inv_inp': 'fixed<18,8,RND,SAT,0>', 'accum': 'fixed<18,8,RND,SAT,0>'}, 'reuse_factor': 1, 'table_size': 1024, 'implementation': 'stable', 'skip': False, 'strategy': 'argmax', 'inv_table_t': , 'exp_table_t': , 'inv_inp_t': , 'result_t': , 'softmax': , 'n_in': 8, 'table_t': , 'n_outer': 1, 'n_inner': 1, 'write_dims': False, 'write_weights': False, 'write_func': True, 'in_dim_key': 'N_INPUT_1_1', 'in_dim_val': 8, 'out_dim_key': 'N_INPUT_1_1', 'out_dim_val': 8, 'in_nb': 'u32:16', 'in_en': 'u32:1', 'in_bu': 'u32:10', 'in_type': 'sN[u32:16]', 'out_type': 'sN[u32:18]', 'out_nb': 'u32:18', 'out_en': 'u32:1', 'out_bu': 'u32:10', 'fxp_weights': array([], dtype=float64), 'fxp_bias': array([], dtype=float64), 'func_call': 'activations::argmax'} --------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 1317154936 +pytest-randomly: reseed with 4196337683 =============================== warnings summary =============================== -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:repack_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fixedpointquantizer_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:unarylut_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_function_template" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_config_template" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_function_template" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -../../hls4ml/backends/xls/xls_backend.py:89 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:89: UserWarning: WARNING: Optimizer "xls:build_attr" is not part of any flow and will not be executed. +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:build_tables" is not part of any flow and will not be executed. warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') -- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html =========================== short test summary info ============================ -FAILED test_keras_api.py::test_dense[io_parallel-XLS] - AssertionError: asser... -=================== 1 failed, 1 passed, 12 warnings in 5.93s =================== +FAILED test_softmax.py::test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-XLS] +FAILED test_softmax.py::test_softmax[16,6-input_shape0-18,8-io_parallel-False-argmax-XLS] +======================== 2 failed, 18 warnings in 2.07s ======================== diff --git a/test/pytest/test_softmax.py b/test/pytest/test_softmax.py index 73c54711c8..8a0bab60e3 100644 --- a/test/pytest/test_softmax.py +++ b/test/pytest/test_softmax.py @@ -19,20 +19,28 @@ def generate_data(input_shape): return np.clip(d, -32, 31) -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'Catapult']) -@pytest.mark.parametrize('strategy', ['stable', 'latency', 'argmax']) +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'Catapult']) +# @pytest.mark.parametrize('strategy', ['stable', 'latency', 'argmax']) +# @pytest.mark.parametrize( +# 'input_bits,input_shape,table_bits,io_type,custom_accum', +# [ +# ('16,6', (8,), '18,8', 'io_parallel', False), +# ('16,6', (8,), '18,8', 'io_stream', False), +# ('16,6', (8,), '18,8', 'io_parallel', True), +# ('16,6', (8,), '18,8', 'io_stream', True), +# ('16,6', (8,), '9,6', 'io_parallel', False), +# ('16,6', (8,), '9,6', 'io_stream', False), +# ('9,6', (8,), '18,8', 'io_parallel', False), +# ('9,6', (8,), '18,8', 'io_stream', False), +# ('16,6', (8, 8, 3), '18,8', 'io_stream', False), +# ], +# ) +@pytest.mark.parametrize('backend', ['XLS']) +@pytest.mark.parametrize('strategy', ['latency', 'argmax']) @pytest.mark.parametrize( 'input_bits,input_shape,table_bits,io_type,custom_accum', [ ('16,6', (8,), '18,8', 'io_parallel', False), - ('16,6', (8,), '18,8', 'io_stream', False), - ('16,6', (8,), '18,8', 'io_parallel', True), - ('16,6', (8,), '18,8', 'io_stream', True), - ('16,6', (8,), '9,6', 'io_parallel', False), - ('16,6', (8,), '9,6', 'io_stream', False), - ('9,6', (8,), '18,8', 'io_parallel', False), - ('9,6', (8,), '18,8', 'io_stream', False), - ('16,6', (8, 8, 3), '18,8', 'io_stream', False), ], ) def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): @@ -79,29 +87,29 @@ def test_softmax(backend, strategy, generate_data, input_bits, input_shape, tabl assert acc_hls4ml >= 0.98 -@pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'Catapult']) -@pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -def test_softmax_skipped(backend, io_type): - X = np.random.rand(100, 10) - dense = tf.keras.layers.Dense(14, input_shape=(10,), name='dense') - softmax = tf.keras.layers.Activation(activation='softmax', name='softmax') - model = tf.keras.models.Sequential([dense, softmax]) - model.compile() - - cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['skip'] = True - - odir = str(test_root_path / 'hls4mlprj_softmax_skipped_{}_{}').format(backend, io_type) - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend - ) - hls_model.compile() - - # Verify Softmax was removed - hls_layers = list(hls_model.get_layers()) # 0 is Input, 1 is Dense, 2 is Softmax (if not removed) - assert len(hls_layers) == 2 - - # Verify hls4ml output is equal to Dense output - y_keras_dense = dense(X).numpy() # type: ignore - y_hls4ml = hls_model.predict(X).reshape(y_keras_dense.shape) # type: ignore - np.testing.assert_allclose(y_hls4ml, y_keras_dense, rtol=0, atol=2e-2) +# @pytest.mark.parametrize('backend', ['Vivado', 'Vitis', 'Quartus', 'Catapult']) +# @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) +# def test_softmax_skipped(backend, io_type): +# X = np.random.rand(100, 10) +# dense = tf.keras.layers.Dense(14, input_shape=(10,), name='dense') +# softmax = tf.keras.layers.Activation(activation='softmax', name='softmax') +# model = tf.keras.models.Sequential([dense, softmax]) +# model.compile() + +# cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) +# cfg['LayerName']['softmax']['skip'] = True + +# odir = str(test_root_path / 'hls4mlprj_softmax_skipped_{}_{}').format(backend, io_type) +# hls_model = hls4ml.converters.convert_from_keras_model( +# model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend +# ) +# hls_model.compile() + +# # Verify Softmax was removed +# hls_layers = list(hls_model.get_layers()) # 0 is Input, 1 is Dense, 2 is Softmax (if not removed) +# assert len(hls_layers) == 2 + +# # Verify hls4ml output is equal to Dense output +# y_keras_dense = dense(X).numpy() # type: ignore +# y_hls4ml = hls_model.predict(X).reshape(y_keras_dense.shape) # type: ignore +# np.testing.assert_allclose(y_hls4ml, y_keras_dense, rtol=0, atol=2e-2) From b24e5811f2110929b96344332b123662b2ede48d Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 31 Jul 2025 13:20:54 +0200 Subject: [PATCH 12/24] integrated strategies for the softmax implementation --- hls4ml/backends/xls/passes/build_attr.py | 9 ++++++++- hls4ml/backends/xls/passes/build_tables.py | 8 ++++---- 2 files changed, 12 insertions(+), 5 deletions(-) diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index 55404d0c46..4f16674b23 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -168,10 +168,17 @@ def func_call(self) -> str: func_call_str = '' if self.node.class_name == 'Dense': func_call_str = f'fc::dense<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' + elif self.node.class_name == 'Activation': func_call_str = f'activations::relu<{self.node.get_attr("out_nb")}>' + elif self.node.class_name == 'Softmax': - func_call_str = f'activations::argmax<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' + strategy = dict(self.node.attributes)['strategy'] + if strategy == 'latency': + table_size = dict(self.node.attributes)['table_size'] + func_call_str = f'activations::softmax_latency<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}, u32:{table_size}>' + elif strategy == 'argmax': + func_call_str = f'activations::argmax<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' return func_call_str diff --git a/hls4ml/backends/xls/passes/build_tables.py b/hls4ml/backends/xls/passes/build_tables.py index 158c87612e..92b454cfde 100644 --- a/hls4ml/backends/xls/passes/build_tables.py +++ b/hls4ml/backends/xls/passes/build_tables.py @@ -1,6 +1,6 @@ # Typing imports from __future__ import annotations # makes all annotations into strings -from typing import List, Literal, Any, Optional, Callable, TYPE_CHECKING +from typing import Literal, TYPE_CHECKING from numpy.typing import NDArray if TYPE_CHECKING: from hls4ml.model.graph import ModelGraph @@ -9,14 +9,14 @@ from hls4ml.model.optimizer import OptimizerPass -from functools import wraps -import numpy as np import math from fxpmath import Fxp - class BuildTables(OptimizerPass): + """Builds attributes that store the softmax and multiplication inverse for the approximation + of the Softmax function. + """ def match(self, node: Layer) -> bool: if node.class_name == 'Softmax': From 039c514c607e29abedc481c86c03f88a302e49b8 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 1 Aug 2025 13:17:49 +0200 Subject: [PATCH 13/24] bugfix: softmax latency implementation --- hls4ml/backends/xls/passes/build_attr.py | 9 +- hls4ml/backends/xls/passes/build_tables.py | 30 +- .../vivado/nnet_utils/nnet_activation.h | 27 +- .../xls/firmware/ap_types/lookup_tables.x | 13 +- .../xls/firmware/nnet_utils/activations.x | 32 +- hls4ml/writer/xls_writer.py | 1 + test/pytest/junk.txt | 61346 +++++++++++++++- test/pytest/test_softmax.py | 6 +- 8 files changed, 61286 insertions(+), 178 deletions(-) diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index 4f16674b23..66ab758e39 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -173,11 +173,14 @@ def func_call(self) -> str: func_call_str = f'activations::relu<{self.node.get_attr("out_nb")}>' elif self.node.class_name == 'Softmax': - strategy = dict(self.node.attributes)['strategy'] - if strategy == 'latency': + implementation = dict(self.node.attributes).get('implementation', 'stable') + if implementation == 'stable': + table_size = dict(self.node.attributes)['table_size'] + func_call_str = f'activations::softmax_stable<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}, u32:{table_size}>' + elif implementation == 'latency': table_size = dict(self.node.attributes)['table_size'] func_call_str = f'activations::softmax_latency<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}, u32:{table_size}>' - elif strategy == 'argmax': + elif implementation == 'argmax': func_call_str = f'activations::argmax<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' return func_call_str diff --git a/hls4ml/backends/xls/passes/build_tables.py b/hls4ml/backends/xls/passes/build_tables.py index 92b454cfde..fa9bdb8d3f 100644 --- a/hls4ml/backends/xls/passes/build_tables.py +++ b/hls4ml/backends/xls/passes/build_tables.py @@ -26,27 +26,47 @@ def match(self, node: Layer) -> bool: def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: # i * 2^{integer_part - clog2(table_size)} - def get_real_val_from_idx(i, type_var, table_size): - return i * (2 ** (type_var.precision.integer - math.ceil(math.log2(table_size)))) + def get_real_val_from_idx(i, table_size, integer=8): + N = math.ceil(math.log2(table_size)) + + exp = 2 ** (integer - N) + if i < table_size / 2: + base = i + else: + base = -(table_size - i) + return base * exp table_size = dict(node.attributes)['table_size'] exp_table = [] inv_table = [] - _, type_var = list(node.get_layer_precision().items())[0] + # Types: + # softmax_accum_t: 18 + # softmax_inv_table_t: 18 + # softmax_exp_table_t: 18 + # softamx_inv_inp_t: 18 + # result_t: 16 + # softmax_table_t: 18 + + # TODO: manage the differnet types + type_var = node.get_layer_precision()['softmax_table_t'] width = type_var.precision.width frac = type_var.precision.width - type_var.precision.integer + nb = int(node.get_attr('in_nb').split(':', 1)[1]) + bu = int(node.get_attr('in_bu').split(':', 1)[1]) + in_integer = nb - bu + # create exp table for i in range(table_size): - real_val = get_real_val_from_idx(i, type_var, table_size) + real_val = get_real_val_from_idx(i, table_size, integer=in_integer) e = math.exp(real_val) fxp_e = Fxp(e, signed=True, n_word=width, n_frac=frac, rounding='around', overflow='saturate').raw() exp_table.append(fxp_e) # create div table for i in range(table_size): - real_val = get_real_val_from_idx(i, type_var, table_size) + real_val = get_real_val_from_idx(i, table_size, integer=8) inv = 1.0 / real_val if real_val != 0 else 2**(type_var.precision.width - 1) fxp_inv = Fxp(inv, signed=True, n_word=width, n_frac=frac, rounding='around', overflow='saturate').raw() inv_table.append(fxp_inv) diff --git a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h index 199ef9db2e..06390c8b52 100644 --- a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h +++ b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h @@ -86,7 +86,7 @@ template void init_sigmoid_table(typename CONFI float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = sigmoid_fcn_float(in_val); - // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; + // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -134,7 +134,9 @@ template inline float softmax_real_val_from_ // Treat the index as the top N bits static constexpr int N = ceillog2(table_size); // number of address bits for table data_T x(0); + // std::cout << "Width: " << x.width << " N: " << N << std::endl; x(x.width - 1, x.width - N) = i; + // std::cout << " X: " << x << std::endl; return (float)x; } @@ -142,6 +144,7 @@ template inline unsigned softmax_idx_from_re // Slice the top N bits to get an index into the table static constexpr int N = ceillog2(table_size); // number of address bits for table ap_uint y = x(x.width - 1, x.width - N); // slice the top N bits of input + // std::cout << "INDEX GOES BRRR: " << (unsigned)y(N - 1, 0) << " X: "<< (float)x << std::endl; return (unsigned)y(N - 1, 0); } @@ -154,10 +157,12 @@ void init_exp_table(typename CONFIG_T::exp_table_t table_out[CONFIG_T::exp_table if (negative) { // for normalized inputs, we keep the normalization values positive (x_bar = x_max - x) // so we need to negate the input (exp(-x_bar) = exp(x - x_max)) + // std::cout << " INVERSE: " << x << std::endl; x = -x; } typename CONFIG_T::exp_table_t exp_x = exp_fcn_float(x); table_out[i] = exp_x; + // std::cout << "exp_table[" << i << "] = " << exp_x << " X: " << x << std::endl; } } @@ -168,6 +173,7 @@ void init_invert_table(typename CONFIG_T::inv_table_t table_out[CONFIG_T::inv_ta float x = softmax_real_val_from_idx(i); typename CONFIG_T::inv_table_t inv_x = 1 / x; table_out[i] = inv_x; + // std::cout << "inv_table[" << i << "] = " << inv_x << " X: " << x << std::endl; } } @@ -192,8 +198,8 @@ void softmax_latency(data_T data[CONFIG_T::n_slice], res_T res[CONFIG_T::n_slice init_invert_table(invert_table); initialized = true; } - // Calculate all the e^x's + // std::cout << "NOW EXPONENT TIME" << std::endl; typename CONFIG_T::accum_t exp_res[CONFIG_T::n_slice]; #pragma HLS array_partition variable=exp_res complete typename CONFIG_T::inv_inp_t exp_sum(0); @@ -207,7 +213,7 @@ void softmax_latency(data_T data[CONFIG_T::n_slice], res_T res[CONFIG_T::n_slice // Rounding & Saturation mode, which improve accuracy, prevent Vivado from expression balancing Op_add op_add; exp_sum = reduce>(exp_res, op_add); - + // std::cout << "NOW INVERSE TIME" << std::endl; typename CONFIG_T::inv_table_t inv_exp_sum = invert_table[softmax_idx_from_real_val(exp_sum)]; for (unsigned i = 0; i < CONFIG_T::n_slice; i++) { @@ -237,7 +243,6 @@ void softmax_stable(data_T data[CONFIG_T::n_slice], res_T res[CONFIG_T::n_slice] init_invert_table(invert_table); initialized = true; } - // Find the max and compute all delta(x_i, x_max) Op_max op_max; data_T x_max = reduce>(data, op_max); @@ -277,7 +282,7 @@ template void init_exp_table_legacy(typename CO float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = exp_fcn_float(in_val); - // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; + // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -429,7 +434,7 @@ template void init_tanh_table(typename CONFIG_T float in_val = 2 * 4.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = tanh(in_val); - // std::cout << "Tanh: Lookup table Index: " << ii<< " In Value: " << in_val << " Result: " << real_val << + // // std::cout << "Tanh: Lookup table Index: " << ii<< " In Value: " << in_val << " Result: " << real_val << // std::endl; table_out[ii] = real_val; } @@ -457,7 +462,7 @@ template void tanh(data_T data[CO for (int ii = 0; ii < CONFIG_T::n_in; ii++) { data_round = data[ii] * CONFIG_T::table_size / 8; index = data_round + 4 * CONFIG_T::table_size / 8; - // std::cout << "Input: " << data[ii] << " Round: " << data_round << " Index: " << index << std::endl; + // // std::cout << "Input: " << data[ii] << " Round: " << data_round << " Index: " << index << std::endl; if (index < 0) index = 0; if (index > CONFIG_T::table_size - 1) @@ -568,7 +573,7 @@ template void init_softplus_table(typename CONF float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = softplus_fcn_float(in_val); - // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; + // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -617,7 +622,7 @@ template void init_softsign_table(typename CONF float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = softsign_fcn_float(in_val); - // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; + // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -666,7 +671,7 @@ template void init_elu_table(typename CONFIG_T: float in_val = -8.0 * ii / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = elu_fcn_float(in_val); - // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; + // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -723,7 +728,7 @@ template void init_selu_table(typename CONFIG_T float in_val = -8.0 * ii / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = selu_fcn_float(in_val); - // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; + // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } diff --git a/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x b/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x index 364d347c3e..3daca09019 100644 --- a/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x +++ b/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x @@ -1,33 +1,34 @@ - import std; pub fn idx_from_real_val + LOW_END: u32 = {NB - N}> // NB-N but it the generated table influences this factor as well (x: sN[NB]) -> uN[N] { let unsgined_x = x as uN[NB]; - let idx = (unsgined_x >> LOW_END) & ((uN[NB]:1 << N) - uN[NB]:1); + //let idx = (unsgined_x >> LOW_END) & ((uN[NB]:1 << N) - uN[NB]:1); + let idx = (unsgined_x >> LOW_END); idx as uN[N] } #[test] fn idx_from_real_val_test() { - let x = sN[16]:1024; + let x = sN[16]:256; let expected = uN[10]:1; assert_eq(expected, idx_from_real_val(x)); - let x = sN[16]:4096; + let x = sN[16]:1024; let expected = uN[10]:4; assert_eq(expected, idx_from_real_val(x)); - let x = sN[18]:4096; + let x = sN[18]:1024; let expected = uN[10]:4; assert_eq(expected, idx_from_real_val(x)); } + // hls-fpga-machine-learning insert exponent table diff --git a/hls4ml/templates/xls/firmware/nnet_utils/activations.x b/hls4ml/templates/xls/firmware/nnet_utils/activations.x index eea765ccad..b3742b9ae5 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/activations.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/activations.x @@ -91,10 +91,10 @@ fn get_exp #[test] fn get_exp_test() { let x = sN[16][4]:[ - sN[16]:4096, - sN[16]:4096, - sN[16]:4096, - sN[16]:4096 + sN[16]:1024, + sN[16]:1024, + sN[16]:1024, + sN[16]:1024 ]; let expected = sN[18][4]:[ sN[18]:2784, @@ -127,7 +127,8 @@ fn get_accum let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { fixed_point_fix::add_already_widened(exp_result[i], acc) }(sN[NB_ACCUM]:0); - let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(sum)]; + let truncate = fixed_point_fix::to_common_type(sum); + let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(truncate)]; inv_exp_sum } @@ -135,12 +136,12 @@ fn get_accum #[test] fn get_accum_test() { let x = sN[16][4]:[ - sN[16]:4096, - sN[16]:4096, - sN[16]:4096, - sN[16]:4096 + sN[16]:1024, + sN[16]:1024, + sN[16]:1024, + sN[16]:1024 ]; - let expected = sN[18]:410; // ideal 256 + let expected = sN[18]:95; // ideal 95 assert_eq(expected, get_accum(x)); } @@ -171,7 +172,8 @@ pub fn softmax_latency let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { fixed_point_fix::add_already_widened(exp_result[i], acc) }(sN[NB_ACCUM]:0); - let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(sum)]; + let truncate = fixed_point_fix::to_common_type(sum); + let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(truncate)]; let inv_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) @@ -208,10 +210,10 @@ fn softmax_latency_test() { sN[16]:1024 ]; let expected = sN[18][4]:[ - sN[18]:1051, // We want 256 - sN[18]:1051, - sN[18]:1051, - sN[18]:1051 + sN[18]:258, // Ideal 256 + sN[18]:258, + sN[18]:258, + sN[18]:258 ]; assert_eq(expected, softmax_latency(x)); } diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 5b12e10f31..c0016df537 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -140,6 +140,7 @@ def write_project_dslx(self, model: ModelGraph) -> None: f.close() fout.close() + #TODO: modify with actual table types def write_lookup_tables(self, model: ModelGraph) -> None: filedir = os.path.dirname(os.path.abspath(__file__)) diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt index b67469e18a..0464c869a1 100644 --- a/test/pytest/junk.txt +++ b/test/pytest/junk.txt @@ -1,29 +1,29 @@ ============================= test session starts ============================== platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 -pytest-randomly: reseed with 4196337682 -Using --randomly-seed=4196337682 +pytest-randomly: reseed with 1383688645 +Using --randomly-seed=1383688645 rootdir: /home/girji/workspace/forks/hls4ml configfile: pyproject.toml plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 -pytest-randomly: reseed with 4196337682 +pytest-randomly: reseed with 1383688645 collected 2 items test_softmax.py FF [100%] =================================== FAILURES =================================== -______ test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-XLS] ______ +____ test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-Vivado] _____ -backend = 'XLS', strategy = 'latency' -generate_data = array([[ -9.06465089, 23.22051406, 6.93603944, ..., 31. , - 10.8794157 , 12.70340042], - [ 14....0.72062448], - [ 16.65739911, 1.49536802, 8.77838192, ..., -10.51041717, - 15.60206778, 16.67082722]]) +backend = 'Vivado', strategy = 'latency' +generate_data = array([[ -7.25649101, 8.67699524, 12.02508133, ..., 6.22344919, + 5.5511721 , 20.79199156], + [ 10....8.81829702], + [ 11.59752574, 11.14109969, 31. , ..., -5.9268755 , + 11.10483525, 13.93947172]]) input_bits = '16,6', input_shape = (8,), table_bits = '18,8' io_type = 'io_parallel', custom_accum = False - @pytest.mark.parametrize('backend', ['XLS']) - @pytest.mark.parametrize('strategy', ['latency', 'argmax']) + @pytest.mark.parametrize('backend', ['XLS', 'Vivado']) + @pytest.mark.parametrize('strategy', ['latency']) @pytest.mark.parametrize( 'input_bits,input_shape,table_bits,io_type,custom_accum', [ @@ -39,7 +39,7 @@ io_type = 'io_parallel', custom_accum = False table_type = f'fixed<{table_bits}, RND, SAT>' cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['Strategy'] = strategy + cfg['LayerName']['softmax']['Implementation'] = strategy cfg['LayerName']['softmax']['inv_table_t'] = table_type cfg['LayerName']['softmax']['exp_table_t'] = table_type cfg['LayerName']['softmax']['accum_t'] = table_type @@ -60,84 +60,61201 @@ io_type = 'io_parallel', custom_accum = False f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' ) ) -> hls_model = hls4ml.converters.convert_from_keras_model( + hls_model = hls4ml.converters.convert_from_keras_model( model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend ) - -test_softmax.py:76: -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ -../../hls4ml/utils/dependency.py:46: in inner - return f(*args, **kwargs) -../../hls4ml/converters/__init__.py:225: in convert_from_keras_model - return keras_v2_to_hls(config) -../../hls4ml/converters/keras_v2_to_hls.py:351: in keras_v2_to_hls - return ModelGraph.from_layer_list(config, layer_list, input_layers, output_layers) -../../hls4ml/model/graph.py:457: in from_layer_list - model.apply_flow(flow) -../../hls4ml/model/graph.py:525: in apply_flow - self._apply_sub_flow(flow, applied_flows) -../../hls4ml/model/graph.py:534: in _apply_sub_flow - self._apply_sub_flow(sub_flow, applied_flows) -../../hls4ml/model/graph.py:537: in _apply_sub_flow - applied_passes = optimize_model(self, flow.optimizers) -../../hls4ml/model/optimizer/optimizer.py:319: in optimize_model - res = opt.transform(model, node) -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ - -self = -model = -node = - - def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: - - # i * 2^{integer_part - clog2(table_size)} - def get_real_val_from_idx(i, type_var, table_size): - return i * (2 ** (type_var.precision.integer - math.ceil(math.log2(table_size)))) - - table_size = dict(node.attributes)['table_size'] - exp_table = [] - div_table = [] - - _, type_var = list(node.get_layer_precision().items())[0] + hls_model.compile() - # create exp table - for i in range(table_size): - real_val = get_real_val_from_idx(i, type_var, table_size) - e = math.exp(real_val) - exp_table.append(e) + y_keras = model.predict(X) + y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) + acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) - print("TESTETSTS ------------------") - print(dict(node.attributes)) + print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') - # create div table - for i in range(table_size): - real_val = get_real_val_from_idx(i, type_var, table_size) -> inv = 1.0 / real_val -E ZeroDivisionError: float division by zero +> assert acc_hls4ml >= 0.98 +E assert 0.1876 >= 0.98 -../../hls4ml/backends/xls/passes/build_tables.py:50: ZeroDivisionError +test_softmax.py:87: AssertionError ---------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 4196337681 +pytest-randomly: reseed with 1383688644 ----------------------------- Captured stdout call ----------------------------- -pytest-randomly: reseed with 4196337682 +pytest-randomly: reseed with 1383688645 WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) -TESTETSTS ------------------ -{'name': 'softmax', 'class_name': 'Softmax', 'data_format': 'channels_last', 'activation': 'softmax', 'axis': -1, 'index': 2, 'accum_t': , 'trace': False, 'precision': {'result': 'auto', 'table': 'fixed<18,8,TRN,WRAP,0>', 'exp_table': 'fixed<18,8,RND,SAT,0>', 'inv_table': 'fixed<18,8,RND,SAT,0>', 'inv_inp': 'fixed<18,8,RND,SAT,0>', 'accum': 'fixed<18,8,RND,SAT,0>'}, 'reuse_factor': 1, 'table_size': 1024, 'implementation': 'stable', 'skip': False, 'strategy': 'latency', 'inv_table_t': , 'exp_table_t': , 'inv_inp_t': , 'result_t': , 'softmax': , 'n_in': 8, 'table_t': , 'n_outer': 1, 'n_inner': 1, 'write_dims': False, 'write_weights': False, 'write_func': True, 'in_dim_key': 'N_INPUT_1_1', 'in_dim_val': 8, 'out_dim_key': 'N_INPUT_1_1', 'out_dim_val': 8, 'in_nb': 'u32:16', 'in_en': 'u32:1', 'in_bu': 'u32:10', 'in_type': 'sN[u32:16]', 'out_type': 'sN[u32:18]', 'out_nb': 'u32:18', 'out_en': 'u32:1', 'out_bu': 'u32:10', 'fxp_weights': array([], dtype=float64), 'fxp_bias': array([], dtype=float64), 'func_call': 'activations::argmax'} + 1/157 [..............................] - ETA: 5s 103/157 [==================>...........] - ETA: 0s 157/157 [==============================] - 0s 484us/step +Width: 16 N: 10 + X: 0 +exp_table[0] = 1 X: 0 +Width: 16 N: 10 + X: 0.0625 +exp_table[1] = 1.06445 X: 0.0625 +Width: 16 N: 10 + X: 0.125 +exp_table[2] = 1.13281 X: 0.125 +Width: 16 N: 10 + X: 0.1875 +exp_table[3] = 1.20605 X: 0.1875 +Width: 16 N: 10 + X: 0.25 +exp_table[4] = 1.28418 X: 0.25 +Width: 16 N: 10 + X: 0.3125 +exp_table[5] = 1.36719 X: 0.3125 +Width: 16 N: 10 + X: 0.375 +exp_table[6] = 1.45508 X: 0.375 +Width: 16 N: 10 + X: 0.4375 +exp_table[7] = 1.54883 X: 0.4375 +Width: 16 N: 10 + X: 0.5 +exp_table[8] = 1.64844 X: 0.5 +Width: 16 N: 10 + X: 0.5625 +exp_table[9] = 1.75488 X: 0.5625 +Width: 16 N: 10 + X: 0.625 +exp_table[10] = 1.86816 X: 0.625 +Width: 16 N: 10 + X: 0.6875 +exp_table[11] = 1.98828 X: 0.6875 +Width: 16 N: 10 + X: 0.75 +exp_table[12] = 2.11719 X: 0.75 +Width: 16 N: 10 + X: 0.8125 +exp_table[13] = 2.25391 X: 0.8125 +Width: 16 N: 10 + X: 0.875 +exp_table[14] = 2.39844 X: 0.875 +Width: 16 N: 10 + X: 0.9375 +exp_table[15] = 2.55371 X: 0.9375 +Width: 16 N: 10 + X: 1 +exp_table[16] = 2.71875 X: 1 +Width: 16 N: 10 + X: 1.0625 +exp_table[17] = 2.89355 X: 1.0625 +Width: 16 N: 10 + X: 1.125 +exp_table[18] = 3.08008 X: 1.125 +Width: 16 N: 10 + X: 1.1875 +exp_table[19] = 3.2793 X: 1.1875 +Width: 16 N: 10 + X: 1.25 +exp_table[20] = 3.49023 X: 1.25 +Width: 16 N: 10 + X: 1.3125 +exp_table[21] = 3.71582 X: 1.3125 +Width: 16 N: 10 + X: 1.375 +exp_table[22] = 3.95508 X: 1.375 +Width: 16 N: 10 + X: 1.4375 +exp_table[23] = 4.20996 X: 1.4375 +Width: 16 N: 10 + X: 1.5 +exp_table[24] = 4.48145 X: 1.5 +Width: 16 N: 10 + X: 1.5625 +exp_table[25] = 4.77051 X: 1.5625 +Width: 16 N: 10 + X: 1.625 +exp_table[26] = 5.07813 X: 1.625 +Width: 16 N: 10 + X: 1.6875 +exp_table[27] = 5.40625 X: 1.6875 +Width: 16 N: 10 + X: 1.75 +exp_table[28] = 5.75488 X: 1.75 +Width: 16 N: 10 + X: 1.8125 +exp_table[29] = 6.12598 X: 1.8125 +Width: 16 N: 10 + X: 1.875 +exp_table[30] = 6.52051 X: 1.875 +Width: 16 N: 10 + X: 1.9375 +exp_table[31] = 6.94141 X: 1.9375 +Width: 16 N: 10 + X: 2 +exp_table[32] = 7.38867 X: 2 +Width: 16 N: 10 + X: 2.0625 +exp_table[33] = 7.86523 X: 2.0625 +Width: 16 N: 10 + X: 2.125 +exp_table[34] = 8.37305 X: 2.125 +Width: 16 N: 10 + X: 2.1875 +exp_table[35] = 8.91309 X: 2.1875 +Width: 16 N: 10 + X: 2.25 +exp_table[36] = 9.4873 X: 2.25 +Width: 16 N: 10 + X: 2.3125 +exp_table[37] = 10.0996 X: 2.3125 +Width: 16 N: 10 + X: 2.375 +exp_table[38] = 10.751 X: 2.375 +Width: 16 N: 10 + X: 2.4375 +exp_table[39] = 11.4443 X: 2.4375 +Width: 16 N: 10 + X: 2.5 +exp_table[40] = 12.1826 X: 2.5 +Width: 16 N: 10 + X: 2.5625 +exp_table[41] = 12.9678 X: 2.5625 +Width: 16 N: 10 + X: 2.625 +exp_table[42] = 13.8047 X: 2.625 +Width: 16 N: 10 + X: 2.6875 +exp_table[43] = 14.6953 X: 2.6875 +Width: 16 N: 10 + X: 2.75 +exp_table[44] = 15.6426 X: 2.75 +Width: 16 N: 10 + X: 2.8125 +exp_table[45] = 16.6514 X: 2.8125 +Width: 16 N: 10 + X: 2.875 +exp_table[46] = 17.7256 X: 2.875 +Width: 16 N: 10 + X: 2.9375 +exp_table[47] = 18.8682 X: 2.9375 +Width: 16 N: 10 + X: 3 +exp_table[48] = 20.0859 X: 3 +Width: 16 N: 10 + X: 3.0625 +exp_table[49] = 21.3809 X: 3.0625 +Width: 16 N: 10 + X: 3.125 +exp_table[50] = 22.7598 X: 3.125 +Width: 16 N: 10 + X: 3.1875 +exp_table[51] = 24.2275 X: 3.1875 +Width: 16 N: 10 + X: 3.25 +exp_table[52] = 25.79 X: 3.25 +Width: 16 N: 10 + X: 3.3125 +exp_table[53] = 27.4541 X: 3.3125 +Width: 16 N: 10 + X: 3.375 +exp_table[54] = 29.2246 X: 3.375 +Width: 16 N: 10 + X: 3.4375 +exp_table[55] = 31.1094 X: 3.4375 +Width: 16 N: 10 + X: 3.5 +exp_table[56] = 33.1152 X: 3.5 +Width: 16 N: 10 + X: 3.5625 +exp_table[57] = 35.251 X: 3.5625 +Width: 16 N: 10 + X: 3.625 +exp_table[58] = 37.5244 X: 3.625 +Width: 16 N: 10 + X: 3.6875 +exp_table[59] = 39.9453 X: 3.6875 +Width: 16 N: 10 + X: 3.75 +exp_table[60] = 42.5215 X: 3.75 +Width: 16 N: 10 + X: 3.8125 +exp_table[61] = 45.2637 X: 3.8125 +Width: 16 N: 10 + X: 3.875 +exp_table[62] = 48.1826 X: 3.875 +Width: 16 N: 10 + X: 3.9375 +exp_table[63] = 51.29 X: 3.9375 +Width: 16 N: 10 + X: 4 +exp_table[64] = 54.5986 X: 4 +Width: 16 N: 10 + X: 4.0625 +exp_table[65] = 58.1191 X: 4.0625 +Width: 16 N: 10 + X: 4.125 +exp_table[66] = 61.8682 X: 4.125 +Width: 16 N: 10 + X: 4.1875 +exp_table[67] = 65.8584 X: 4.1875 +Width: 16 N: 10 + X: 4.25 +exp_table[68] = 70.1055 X: 4.25 +Width: 16 N: 10 + X: 4.3125 +exp_table[69] = 74.627 X: 4.3125 +Width: 16 N: 10 + X: 4.375 +exp_table[70] = 79.4395 X: 4.375 +Width: 16 N: 10 + X: 4.4375 +exp_table[71] = 84.5635 X: 4.4375 +Width: 16 N: 10 + X: 4.5 +exp_table[72] = 90.0176 X: 4.5 +Width: 16 N: 10 + X: 4.5625 +exp_table[73] = 95.8223 X: 4.5625 +Width: 16 N: 10 + X: 4.625 +exp_table[74] = 102.003 X: 4.625 +Width: 16 N: 10 + X: 4.6875 +exp_table[75] = 108.581 X: 4.6875 +Width: 16 N: 10 + X: 4.75 +exp_table[76] = 115.584 X: 4.75 +Width: 16 N: 10 + X: 4.8125 +exp_table[77] = 123.039 X: 4.8125 +Width: 16 N: 10 + X: 4.875 +exp_table[78] = 127.999 X: 4.875 +Width: 16 N: 10 + X: 4.9375 +exp_table[79] = 127.999 X: 4.9375 +Width: 16 N: 10 + X: 5 +exp_table[80] = 127.999 X: 5 +Width: 16 N: 10 + X: 5.0625 +exp_table[81] = 127.999 X: 5.0625 +Width: 16 N: 10 + X: 5.125 +exp_table[82] = 127.999 X: 5.125 +Width: 16 N: 10 + X: 5.1875 +exp_table[83] = 127.999 X: 5.1875 +Width: 16 N: 10 + X: 5.25 +exp_table[84] = 127.999 X: 5.25 +Width: 16 N: 10 + X: 5.3125 +exp_table[85] = 127.999 X: 5.3125 +Width: 16 N: 10 + X: 5.375 +exp_table[86] = 127.999 X: 5.375 +Width: 16 N: 10 + X: 5.4375 +exp_table[87] = 127.999 X: 5.4375 +Width: 16 N: 10 + X: 5.5 +exp_table[88] = 127.999 X: 5.5 +Width: 16 N: 10 + X: 5.5625 +exp_table[89] = 127.999 X: 5.5625 +Width: 16 N: 10 + X: 5.625 +exp_table[90] = 127.999 X: 5.625 +Width: 16 N: 10 + X: 5.6875 +exp_table[91] = 127.999 X: 5.6875 +Width: 16 N: 10 + X: 5.75 +exp_table[92] = 127.999 X: 5.75 +Width: 16 N: 10 + X: 5.8125 +exp_table[93] = 127.999 X: 5.8125 +Width: 16 N: 10 + X: 5.875 +exp_table[94] = 127.999 X: 5.875 +Width: 16 N: 10 + X: 5.9375 +exp_table[95] = 127.999 X: 5.9375 +Width: 16 N: 10 + X: 6 +exp_table[96] = 127.999 X: 6 +Width: 16 N: 10 + X: 6.0625 +exp_table[97] = 127.999 X: 6.0625 +Width: 16 N: 10 + X: 6.125 +exp_table[98] = 127.999 X: 6.125 +Width: 16 N: 10 + X: 6.1875 +exp_table[99] = 127.999 X: 6.1875 +Width: 16 N: 10 + X: 6.25 +exp_table[100] = 127.999 X: 6.25 +Width: 16 N: 10 + X: 6.3125 +exp_table[101] = 127.999 X: 6.3125 +Width: 16 N: 10 + X: 6.375 +exp_table[102] = 127.999 X: 6.375 +Width: 16 N: 10 + X: 6.4375 +exp_table[103] = 127.999 X: 6.4375 +Width: 16 N: 10 + X: 6.5 +exp_table[104] = 127.999 X: 6.5 +Width: 16 N: 10 + X: 6.5625 +exp_table[105] = 127.999 X: 6.5625 +Width: 16 N: 10 + X: 6.625 +exp_table[106] = 127.999 X: 6.625 +Width: 16 N: 10 + X: 6.6875 +exp_table[107] = 127.999 X: 6.6875 +Width: 16 N: 10 + X: 6.75 +exp_table[108] = 127.999 X: 6.75 +Width: 16 N: 10 + X: 6.8125 +exp_table[109] = 127.999 X: 6.8125 +Width: 16 N: 10 + X: 6.875 +exp_table[110] = 127.999 X: 6.875 +Width: 16 N: 10 + X: 6.9375 +exp_table[111] = 127.999 X: 6.9375 +Width: 16 N: 10 + X: 7 +exp_table[112] = 127.999 X: 7 +Width: 16 N: 10 + X: 7.0625 +exp_table[113] = 127.999 X: 7.0625 +Width: 16 N: 10 + X: 7.125 +exp_table[114] = 127.999 X: 7.125 +Width: 16 N: 10 + X: 7.1875 +exp_table[115] = 127.999 X: 7.1875 +Width: 16 N: 10 + X: 7.25 +exp_table[116] = 127.999 X: 7.25 +Width: 16 N: 10 + X: 7.3125 +exp_table[117] = 127.999 X: 7.3125 +Width: 16 N: 10 + X: 7.375 +exp_table[118] = 127.999 X: 7.375 +Width: 16 N: 10 + X: 7.4375 +exp_table[119] = 127.999 X: 7.4375 +Width: 16 N: 10 + X: 7.5 +exp_table[120] = 127.999 X: 7.5 +Width: 16 N: 10 + X: 7.5625 +exp_table[121] = 127.999 X: 7.5625 +Width: 16 N: 10 + X: 7.625 +exp_table[122] = 127.999 X: 7.625 +Width: 16 N: 10 + X: 7.6875 +exp_table[123] = 127.999 X: 7.6875 +Width: 16 N: 10 + X: 7.75 +exp_table[124] = 127.999 X: 7.75 +Width: 16 N: 10 + X: 7.8125 +exp_table[125] = 127.999 X: 7.8125 +Width: 16 N: 10 + X: 7.875 +exp_table[126] = 127.999 X: 7.875 +Width: 16 N: 10 + X: 7.9375 +exp_table[127] = 127.999 X: 7.9375 +Width: 16 N: 10 + X: 8 +exp_table[128] = 127.999 X: 8 +Width: 16 N: 10 + X: 8.0625 +exp_table[129] = 127.999 X: 8.0625 +Width: 16 N: 10 + X: 8.125 +exp_table[130] = 127.999 X: 8.125 +Width: 16 N: 10 + X: 8.1875 +exp_table[131] = 127.999 X: 8.1875 +Width: 16 N: 10 + X: 8.25 +exp_table[132] = 127.999 X: 8.25 +Width: 16 N: 10 + X: 8.3125 +exp_table[133] = 127.999 X: 8.3125 +Width: 16 N: 10 + X: 8.375 +exp_table[134] = 127.999 X: 8.375 +Width: 16 N: 10 + X: 8.4375 +exp_table[135] = 127.999 X: 8.4375 +Width: 16 N: 10 + X: 8.5 +exp_table[136] = 127.999 X: 8.5 +Width: 16 N: 10 + X: 8.5625 +exp_table[137] = 127.999 X: 8.5625 +Width: 16 N: 10 + X: 8.625 +exp_table[138] = 127.999 X: 8.625 +Width: 16 N: 10 + X: 8.6875 +exp_table[139] = 127.999 X: 8.6875 +Width: 16 N: 10 + X: 8.75 +exp_table[140] = 127.999 X: 8.75 +Width: 16 N: 10 + X: 8.8125 +exp_table[141] = 127.999 X: 8.8125 +Width: 16 N: 10 + X: 8.875 +exp_table[142] = 127.999 X: 8.875 +Width: 16 N: 10 + X: 8.9375 +exp_table[143] = 127.999 X: 8.9375 +Width: 16 N: 10 + X: 9 +exp_table[144] = 127.999 X: 9 +Width: 16 N: 10 + X: 9.0625 +exp_table[145] = 127.999 X: 9.0625 +Width: 16 N: 10 + X: 9.125 +exp_table[146] = 127.999 X: 9.125 +Width: 16 N: 10 + X: 9.1875 +exp_table[147] = 127.999 X: 9.1875 +Width: 16 N: 10 + X: 9.25 +exp_table[148] = 127.999 X: 9.25 +Width: 16 N: 10 + X: 9.3125 +exp_table[149] = 127.999 X: 9.3125 +Width: 16 N: 10 + X: 9.375 +exp_table[150] = 127.999 X: 9.375 +Width: 16 N: 10 + X: 9.4375 +exp_table[151] = 127.999 X: 9.4375 +Width: 16 N: 10 + X: 9.5 +exp_table[152] = 127.999 X: 9.5 +Width: 16 N: 10 + X: 9.5625 +exp_table[153] = 127.999 X: 9.5625 +Width: 16 N: 10 + X: 9.625 +exp_table[154] = 127.999 X: 9.625 +Width: 16 N: 10 + X: 9.6875 +exp_table[155] = 127.999 X: 9.6875 +Width: 16 N: 10 + X: 9.75 +exp_table[156] = 127.999 X: 9.75 +Width: 16 N: 10 + X: 9.8125 +exp_table[157] = 127.999 X: 9.8125 +Width: 16 N: 10 + X: 9.875 +exp_table[158] = 127.999 X: 9.875 +Width: 16 N: 10 + X: 9.9375 +exp_table[159] = 127.999 X: 9.9375 +Width: 16 N: 10 + X: 10 +exp_table[160] = 127.999 X: 10 +Width: 16 N: 10 + X: 10.0625 +exp_table[161] = 127.999 X: 10.0625 +Width: 16 N: 10 + X: 10.125 +exp_table[162] = 127.999 X: 10.125 +Width: 16 N: 10 + X: 10.1875 +exp_table[163] = 127.999 X: 10.1875 +Width: 16 N: 10 + X: 10.25 +exp_table[164] = 127.999 X: 10.25 +Width: 16 N: 10 + X: 10.3125 +exp_table[165] = 127.999 X: 10.3125 +Width: 16 N: 10 + X: 10.375 +exp_table[166] = 127.999 X: 10.375 +Width: 16 N: 10 + X: 10.4375 +exp_table[167] = 127.999 X: 10.4375 +Width: 16 N: 10 + X: 10.5 +exp_table[168] = 127.999 X: 10.5 +Width: 16 N: 10 + X: 10.5625 +exp_table[169] = 127.999 X: 10.5625 +Width: 16 N: 10 + X: 10.625 +exp_table[170] = 127.999 X: 10.625 +Width: 16 N: 10 + X: 10.6875 +exp_table[171] = 127.999 X: 10.6875 +Width: 16 N: 10 + X: 10.75 +exp_table[172] = 127.999 X: 10.75 +Width: 16 N: 10 + X: 10.8125 +exp_table[173] = 127.999 X: 10.8125 +Width: 16 N: 10 + X: 10.875 +exp_table[174] = 127.999 X: 10.875 +Width: 16 N: 10 + X: 10.9375 +exp_table[175] = 127.999 X: 10.9375 +Width: 16 N: 10 + X: 11 +exp_table[176] = 127.999 X: 11 +Width: 16 N: 10 + X: 11.0625 +exp_table[177] = 127.999 X: 11.0625 +Width: 16 N: 10 + X: 11.125 +exp_table[178] = 127.999 X: 11.125 +Width: 16 N: 10 + X: 11.1875 +exp_table[179] = 127.999 X: 11.1875 +Width: 16 N: 10 + X: 11.25 +exp_table[180] = 127.999 X: 11.25 +Width: 16 N: 10 + X: 11.3125 +exp_table[181] = 127.999 X: 11.3125 +Width: 16 N: 10 + X: 11.375 +exp_table[182] = 127.999 X: 11.375 +Width: 16 N: 10 + X: 11.4375 +exp_table[183] = 127.999 X: 11.4375 +Width: 16 N: 10 + X: 11.5 +exp_table[184] = 127.999 X: 11.5 +Width: 16 N: 10 + X: 11.5625 +exp_table[185] = 127.999 X: 11.5625 +Width: 16 N: 10 + X: 11.625 +exp_table[186] = 127.999 X: 11.625 +Width: 16 N: 10 + X: 11.6875 +exp_table[187] = 127.999 X: 11.6875 +Width: 16 N: 10 + X: 11.75 +exp_table[188] = 127.999 X: 11.75 +Width: 16 N: 10 + X: 11.8125 +exp_table[189] = 127.999 X: 11.8125 +Width: 16 N: 10 + X: 11.875 +exp_table[190] = 127.999 X: 11.875 +Width: 16 N: 10 + X: 11.9375 +exp_table[191] = 127.999 X: 11.9375 +Width: 16 N: 10 + X: 12 +exp_table[192] = 127.999 X: 12 +Width: 16 N: 10 + X: 12.0625 +exp_table[193] = 127.999 X: 12.0625 +Width: 16 N: 10 + X: 12.125 +exp_table[194] = 127.999 X: 12.125 +Width: 16 N: 10 + X: 12.1875 +exp_table[195] = 127.999 X: 12.1875 +Width: 16 N: 10 + X: 12.25 +exp_table[196] = 127.999 X: 12.25 +Width: 16 N: 10 + X: 12.3125 +exp_table[197] = 127.999 X: 12.3125 +Width: 16 N: 10 + X: 12.375 +exp_table[198] = 127.999 X: 12.375 +Width: 16 N: 10 + X: 12.4375 +exp_table[199] = 127.999 X: 12.4375 +Width: 16 N: 10 + X: 12.5 +exp_table[200] = 127.999 X: 12.5 +Width: 16 N: 10 + X: 12.5625 +exp_table[201] = 127.999 X: 12.5625 +Width: 16 N: 10 + X: 12.625 +exp_table[202] = 127.999 X: 12.625 +Width: 16 N: 10 + X: 12.6875 +exp_table[203] = 127.999 X: 12.6875 +Width: 16 N: 10 + X: 12.75 +exp_table[204] = 127.999 X: 12.75 +Width: 16 N: 10 + X: 12.8125 +exp_table[205] = 127.999 X: 12.8125 +Width: 16 N: 10 + X: 12.875 +exp_table[206] = 127.999 X: 12.875 +Width: 16 N: 10 + X: 12.9375 +exp_table[207] = 127.999 X: 12.9375 +Width: 16 N: 10 + X: 13 +exp_table[208] = 127.999 X: 13 +Width: 16 N: 10 + X: 13.0625 +exp_table[209] = 127.999 X: 13.0625 +Width: 16 N: 10 + X: 13.125 +exp_table[210] = 127.999 X: 13.125 +Width: 16 N: 10 + X: 13.1875 +exp_table[211] = 127.999 X: 13.1875 +Width: 16 N: 10 + X: 13.25 +exp_table[212] = 127.999 X: 13.25 +Width: 16 N: 10 + X: 13.3125 +exp_table[213] = 127.999 X: 13.3125 +Width: 16 N: 10 + X: 13.375 +exp_table[214] = 127.999 X: 13.375 +Width: 16 N: 10 + X: 13.4375 +exp_table[215] = 127.999 X: 13.4375 +Width: 16 N: 10 + X: 13.5 +exp_table[216] = 127.999 X: 13.5 +Width: 16 N: 10 + X: 13.5625 +exp_table[217] = 127.999 X: 13.5625 +Width: 16 N: 10 + X: 13.625 +exp_table[218] = 127.999 X: 13.625 +Width: 16 N: 10 + X: 13.6875 +exp_table[219] = 127.999 X: 13.6875 +Width: 16 N: 10 + X: 13.75 +exp_table[220] = 127.999 X: 13.75 +Width: 16 N: 10 + X: 13.8125 +exp_table[221] = 127.999 X: 13.8125 +Width: 16 N: 10 + X: 13.875 +exp_table[222] = 127.999 X: 13.875 +Width: 16 N: 10 + X: 13.9375 +exp_table[223] = 127.999 X: 13.9375 +Width: 16 N: 10 + X: 14 +exp_table[224] = 127.999 X: 14 +Width: 16 N: 10 + X: 14.0625 +exp_table[225] = 127.999 X: 14.0625 +Width: 16 N: 10 + X: 14.125 +exp_table[226] = 127.999 X: 14.125 +Width: 16 N: 10 + X: 14.1875 +exp_table[227] = 127.999 X: 14.1875 +Width: 16 N: 10 + X: 14.25 +exp_table[228] = 127.999 X: 14.25 +Width: 16 N: 10 + X: 14.3125 +exp_table[229] = 127.999 X: 14.3125 +Width: 16 N: 10 + X: 14.375 +exp_table[230] = 127.999 X: 14.375 +Width: 16 N: 10 + X: 14.4375 +exp_table[231] = 127.999 X: 14.4375 +Width: 16 N: 10 + X: 14.5 +exp_table[232] = 127.999 X: 14.5 +Width: 16 N: 10 + X: 14.5625 +exp_table[233] = 127.999 X: 14.5625 +Width: 16 N: 10 + X: 14.625 +exp_table[234] = 127.999 X: 14.625 +Width: 16 N: 10 + X: 14.6875 +exp_table[235] = 127.999 X: 14.6875 +Width: 16 N: 10 + X: 14.75 +exp_table[236] = 127.999 X: 14.75 +Width: 16 N: 10 + X: 14.8125 +exp_table[237] = 127.999 X: 14.8125 +Width: 16 N: 10 + X: 14.875 +exp_table[238] = 127.999 X: 14.875 +Width: 16 N: 10 + X: 14.9375 +exp_table[239] = 127.999 X: 14.9375 +Width: 16 N: 10 + X: 15 +exp_table[240] = 127.999 X: 15 +Width: 16 N: 10 + X: 15.0625 +exp_table[241] = 127.999 X: 15.0625 +Width: 16 N: 10 + X: 15.125 +exp_table[242] = 127.999 X: 15.125 +Width: 16 N: 10 + X: 15.1875 +exp_table[243] = 127.999 X: 15.1875 +Width: 16 N: 10 + X: 15.25 +exp_table[244] = 127.999 X: 15.25 +Width: 16 N: 10 + X: 15.3125 +exp_table[245] = 127.999 X: 15.3125 +Width: 16 N: 10 + X: 15.375 +exp_table[246] = 127.999 X: 15.375 +Width: 16 N: 10 + X: 15.4375 +exp_table[247] = 127.999 X: 15.4375 +Width: 16 N: 10 + X: 15.5 +exp_table[248] = 127.999 X: 15.5 +Width: 16 N: 10 + X: 15.5625 +exp_table[249] = 127.999 X: 15.5625 +Width: 16 N: 10 + X: 15.625 +exp_table[250] = 127.999 X: 15.625 +Width: 16 N: 10 + X: 15.6875 +exp_table[251] = 127.999 X: 15.6875 +Width: 16 N: 10 + X: 15.75 +exp_table[252] = 127.999 X: 15.75 +Width: 16 N: 10 + X: 15.8125 +exp_table[253] = 127.999 X: 15.8125 +Width: 16 N: 10 + X: 15.875 +exp_table[254] = 127.999 X: 15.875 +Width: 16 N: 10 + X: 15.9375 +exp_table[255] = 127.999 X: 15.9375 +Width: 16 N: 10 + X: 16 +exp_table[256] = 127.999 X: 16 +Width: 16 N: 10 + X: 16.0625 +exp_table[257] = 127.999 X: 16.0625 +Width: 16 N: 10 + X: 16.125 +exp_table[258] = 127.999 X: 16.125 +Width: 16 N: 10 + X: 16.1875 +exp_table[259] = 127.999 X: 16.1875 +Width: 16 N: 10 + X: 16.25 +exp_table[260] = 127.999 X: 16.25 +Width: 16 N: 10 + X: 16.3125 +exp_table[261] = 127.999 X: 16.3125 +Width: 16 N: 10 + X: 16.375 +exp_table[262] = 127.999 X: 16.375 +Width: 16 N: 10 + X: 16.4375 +exp_table[263] = 127.999 X: 16.4375 +Width: 16 N: 10 + X: 16.5 +exp_table[264] = 127.999 X: 16.5 +Width: 16 N: 10 + X: 16.5625 +exp_table[265] = 127.999 X: 16.5625 +Width: 16 N: 10 + X: 16.625 +exp_table[266] = 127.999 X: 16.625 +Width: 16 N: 10 + X: 16.6875 +exp_table[267] = 127.999 X: 16.6875 +Width: 16 N: 10 + X: 16.75 +exp_table[268] = 127.999 X: 16.75 +Width: 16 N: 10 + X: 16.8125 +exp_table[269] = 127.999 X: 16.8125 +Width: 16 N: 10 + X: 16.875 +exp_table[270] = 127.999 X: 16.875 +Width: 16 N: 10 + X: 16.9375 +exp_table[271] = 127.999 X: 16.9375 +Width: 16 N: 10 + X: 17 +exp_table[272] = 127.999 X: 17 +Width: 16 N: 10 + X: 17.0625 +exp_table[273] = 127.999 X: 17.0625 +Width: 16 N: 10 + X: 17.125 +exp_table[274] = 127.999 X: 17.125 +Width: 16 N: 10 + X: 17.1875 +exp_table[275] = 127.999 X: 17.1875 +Width: 16 N: 10 + X: 17.25 +exp_table[276] = 127.999 X: 17.25 +Width: 16 N: 10 + X: 17.3125 +exp_table[277] = 127.999 X: 17.3125 +Width: 16 N: 10 + X: 17.375 +exp_table[278] = 127.999 X: 17.375 +Width: 16 N: 10 + X: 17.4375 +exp_table[279] = 127.999 X: 17.4375 +Width: 16 N: 10 + X: 17.5 +exp_table[280] = 127.999 X: 17.5 +Width: 16 N: 10 + X: 17.5625 +exp_table[281] = 127.999 X: 17.5625 +Width: 16 N: 10 + X: 17.625 +exp_table[282] = 127.999 X: 17.625 +Width: 16 N: 10 + X: 17.6875 +exp_table[283] = 127.999 X: 17.6875 +Width: 16 N: 10 + X: 17.75 +exp_table[284] = 127.999 X: 17.75 +Width: 16 N: 10 + X: 17.8125 +exp_table[285] = 127.999 X: 17.8125 +Width: 16 N: 10 + X: 17.875 +exp_table[286] = 127.999 X: 17.875 +Width: 16 N: 10 + X: 17.9375 +exp_table[287] = 127.999 X: 17.9375 +Width: 16 N: 10 + X: 18 +exp_table[288] = 127.999 X: 18 +Width: 16 N: 10 + X: 18.0625 +exp_table[289] = 127.999 X: 18.0625 +Width: 16 N: 10 + X: 18.125 +exp_table[290] = 127.999 X: 18.125 +Width: 16 N: 10 + X: 18.1875 +exp_table[291] = 127.999 X: 18.1875 +Width: 16 N: 10 + X: 18.25 +exp_table[292] = 127.999 X: 18.25 +Width: 16 N: 10 + X: 18.3125 +exp_table[293] = 127.999 X: 18.3125 +Width: 16 N: 10 + X: 18.375 +exp_table[294] = 127.999 X: 18.375 +Width: 16 N: 10 + X: 18.4375 +exp_table[295] = 127.999 X: 18.4375 +Width: 16 N: 10 + X: 18.5 +exp_table[296] = 127.999 X: 18.5 +Width: 16 N: 10 + X: 18.5625 +exp_table[297] = 127.999 X: 18.5625 +Width: 16 N: 10 + X: 18.625 +exp_table[298] = 127.999 X: 18.625 +Width: 16 N: 10 + X: 18.6875 +exp_table[299] = 127.999 X: 18.6875 +Width: 16 N: 10 + X: 18.75 +exp_table[300] = 127.999 X: 18.75 +Width: 16 N: 10 + X: 18.8125 +exp_table[301] = 127.999 X: 18.8125 +Width: 16 N: 10 + X: 18.875 +exp_table[302] = 127.999 X: 18.875 +Width: 16 N: 10 + X: 18.9375 +exp_table[303] = 127.999 X: 18.9375 +Width: 16 N: 10 + X: 19 +exp_table[304] = 127.999 X: 19 +Width: 16 N: 10 + X: 19.0625 +exp_table[305] = 127.999 X: 19.0625 +Width: 16 N: 10 + X: 19.125 +exp_table[306] = 127.999 X: 19.125 +Width: 16 N: 10 + X: 19.1875 +exp_table[307] = 127.999 X: 19.1875 +Width: 16 N: 10 + X: 19.25 +exp_table[308] = 127.999 X: 19.25 +Width: 16 N: 10 + X: 19.3125 +exp_table[309] = 127.999 X: 19.3125 +Width: 16 N: 10 + X: 19.375 +exp_table[310] = 127.999 X: 19.375 +Width: 16 N: 10 + X: 19.4375 +exp_table[311] = 127.999 X: 19.4375 +Width: 16 N: 10 + X: 19.5 +exp_table[312] = 127.999 X: 19.5 +Width: 16 N: 10 + X: 19.5625 +exp_table[313] = 127.999 X: 19.5625 +Width: 16 N: 10 + X: 19.625 +exp_table[314] = 127.999 X: 19.625 +Width: 16 N: 10 + X: 19.6875 +exp_table[315] = 127.999 X: 19.6875 +Width: 16 N: 10 + X: 19.75 +exp_table[316] = 127.999 X: 19.75 +Width: 16 N: 10 + X: 19.8125 +exp_table[317] = 127.999 X: 19.8125 +Width: 16 N: 10 + X: 19.875 +exp_table[318] = 127.999 X: 19.875 +Width: 16 N: 10 + X: 19.9375 +exp_table[319] = 127.999 X: 19.9375 +Width: 16 N: 10 + X: 20 +exp_table[320] = 127.999 X: 20 +Width: 16 N: 10 + X: 20.0625 +exp_table[321] = 127.999 X: 20.0625 +Width: 16 N: 10 + X: 20.125 +exp_table[322] = 127.999 X: 20.125 +Width: 16 N: 10 + X: 20.1875 +exp_table[323] = 127.999 X: 20.1875 +Width: 16 N: 10 + X: 20.25 +exp_table[324] = 127.999 X: 20.25 +Width: 16 N: 10 + X: 20.3125 +exp_table[325] = 127.999 X: 20.3125 +Width: 16 N: 10 + X: 20.375 +exp_table[326] = 127.999 X: 20.375 +Width: 16 N: 10 + X: 20.4375 +exp_table[327] = 127.999 X: 20.4375 +Width: 16 N: 10 + X: 20.5 +exp_table[328] = 127.999 X: 20.5 +Width: 16 N: 10 + X: 20.5625 +exp_table[329] = 127.999 X: 20.5625 +Width: 16 N: 10 + X: 20.625 +exp_table[330] = 127.999 X: 20.625 +Width: 16 N: 10 + X: 20.6875 +exp_table[331] = 127.999 X: 20.6875 +Width: 16 N: 10 + X: 20.75 +exp_table[332] = 127.999 X: 20.75 +Width: 16 N: 10 + X: 20.8125 +exp_table[333] = 127.999 X: 20.8125 +Width: 16 N: 10 + X: 20.875 +exp_table[334] = 127.999 X: 20.875 +Width: 16 N: 10 + X: 20.9375 +exp_table[335] = 127.999 X: 20.9375 +Width: 16 N: 10 + X: 21 +exp_table[336] = 127.999 X: 21 +Width: 16 N: 10 + X: 21.0625 +exp_table[337] = 127.999 X: 21.0625 +Width: 16 N: 10 + X: 21.125 +exp_table[338] = 127.999 X: 21.125 +Width: 16 N: 10 + X: 21.1875 +exp_table[339] = 127.999 X: 21.1875 +Width: 16 N: 10 + X: 21.25 +exp_table[340] = 127.999 X: 21.25 +Width: 16 N: 10 + X: 21.3125 +exp_table[341] = 127.999 X: 21.3125 +Width: 16 N: 10 + X: 21.375 +exp_table[342] = 127.999 X: 21.375 +Width: 16 N: 10 + X: 21.4375 +exp_table[343] = 127.999 X: 21.4375 +Width: 16 N: 10 + X: 21.5 +exp_table[344] = 127.999 X: 21.5 +Width: 16 N: 10 + X: 21.5625 +exp_table[345] = 127.999 X: 21.5625 +Width: 16 N: 10 + X: 21.625 +exp_table[346] = 127.999 X: 21.625 +Width: 16 N: 10 + X: 21.6875 +exp_table[347] = 127.999 X: 21.6875 +Width: 16 N: 10 + X: 21.75 +exp_table[348] = 127.999 X: 21.75 +Width: 16 N: 10 + X: 21.8125 +exp_table[349] = 127.999 X: 21.8125 +Width: 16 N: 10 + X: 21.875 +exp_table[350] = 127.999 X: 21.875 +Width: 16 N: 10 + X: 21.9375 +exp_table[351] = 127.999 X: 21.9375 +Width: 16 N: 10 + X: 22 +exp_table[352] = 127.999 X: 22 +Width: 16 N: 10 + X: 22.0625 +exp_table[353] = 127.999 X: 22.0625 +Width: 16 N: 10 + X: 22.125 +exp_table[354] = 127.999 X: 22.125 +Width: 16 N: 10 + X: 22.1875 +exp_table[355] = 127.999 X: 22.1875 +Width: 16 N: 10 + X: 22.25 +exp_table[356] = 127.999 X: 22.25 +Width: 16 N: 10 + X: 22.3125 +exp_table[357] = 127.999 X: 22.3125 +Width: 16 N: 10 + X: 22.375 +exp_table[358] = 127.999 X: 22.375 +Width: 16 N: 10 + X: 22.4375 +exp_table[359] = 127.999 X: 22.4375 +Width: 16 N: 10 + X: 22.5 +exp_table[360] = 127.999 X: 22.5 +Width: 16 N: 10 + X: 22.5625 +exp_table[361] = 127.999 X: 22.5625 +Width: 16 N: 10 + X: 22.625 +exp_table[362] = 127.999 X: 22.625 +Width: 16 N: 10 + X: 22.6875 +exp_table[363] = 127.999 X: 22.6875 +Width: 16 N: 10 + X: 22.75 +exp_table[364] = 127.999 X: 22.75 +Width: 16 N: 10 + X: 22.8125 +exp_table[365] = 127.999 X: 22.8125 +Width: 16 N: 10 + X: 22.875 +exp_table[366] = 127.999 X: 22.875 +Width: 16 N: 10 + X: 22.9375 +exp_table[367] = 127.999 X: 22.9375 +Width: 16 N: 10 + X: 23 +exp_table[368] = 127.999 X: 23 +Width: 16 N: 10 + X: 23.0625 +exp_table[369] = 127.999 X: 23.0625 +Width: 16 N: 10 + X: 23.125 +exp_table[370] = 127.999 X: 23.125 +Width: 16 N: 10 + X: 23.1875 +exp_table[371] = 127.999 X: 23.1875 +Width: 16 N: 10 + X: 23.25 +exp_table[372] = 127.999 X: 23.25 +Width: 16 N: 10 + X: 23.3125 +exp_table[373] = 127.999 X: 23.3125 +Width: 16 N: 10 + X: 23.375 +exp_table[374] = 127.999 X: 23.375 +Width: 16 N: 10 + X: 23.4375 +exp_table[375] = 127.999 X: 23.4375 +Width: 16 N: 10 + X: 23.5 +exp_table[376] = 127.999 X: 23.5 +Width: 16 N: 10 + X: 23.5625 +exp_table[377] = 127.999 X: 23.5625 +Width: 16 N: 10 + X: 23.625 +exp_table[378] = 127.999 X: 23.625 +Width: 16 N: 10 + X: 23.6875 +exp_table[379] = 127.999 X: 23.6875 +Width: 16 N: 10 + X: 23.75 +exp_table[380] = 127.999 X: 23.75 +Width: 16 N: 10 + X: 23.8125 +exp_table[381] = 127.999 X: 23.8125 +Width: 16 N: 10 + X: 23.875 +exp_table[382] = 127.999 X: 23.875 +Width: 16 N: 10 + X: 23.9375 +exp_table[383] = 127.999 X: 23.9375 +Width: 16 N: 10 + X: 24 +exp_table[384] = 127.999 X: 24 +Width: 16 N: 10 + X: 24.0625 +exp_table[385] = 127.999 X: 24.0625 +Width: 16 N: 10 + X: 24.125 +exp_table[386] = 127.999 X: 24.125 +Width: 16 N: 10 + X: 24.1875 +exp_table[387] = 127.999 X: 24.1875 +Width: 16 N: 10 + X: 24.25 +exp_table[388] = 127.999 X: 24.25 +Width: 16 N: 10 + X: 24.3125 +exp_table[389] = 127.999 X: 24.3125 +Width: 16 N: 10 + X: 24.375 +exp_table[390] = 127.999 X: 24.375 +Width: 16 N: 10 + X: 24.4375 +exp_table[391] = 127.999 X: 24.4375 +Width: 16 N: 10 + X: 24.5 +exp_table[392] = 127.999 X: 24.5 +Width: 16 N: 10 + X: 24.5625 +exp_table[393] = 127.999 X: 24.5625 +Width: 16 N: 10 + X: 24.625 +exp_table[394] = 127.999 X: 24.625 +Width: 16 N: 10 + X: 24.6875 +exp_table[395] = 127.999 X: 24.6875 +Width: 16 N: 10 + X: 24.75 +exp_table[396] = 127.999 X: 24.75 +Width: 16 N: 10 + X: 24.8125 +exp_table[397] = 127.999 X: 24.8125 +Width: 16 N: 10 + X: 24.875 +exp_table[398] = 127.999 X: 24.875 +Width: 16 N: 10 + X: 24.9375 +exp_table[399] = 127.999 X: 24.9375 +Width: 16 N: 10 + X: 25 +exp_table[400] = 127.999 X: 25 +Width: 16 N: 10 + X: 25.0625 +exp_table[401] = 127.999 X: 25.0625 +Width: 16 N: 10 + X: 25.125 +exp_table[402] = 127.999 X: 25.125 +Width: 16 N: 10 + X: 25.1875 +exp_table[403] = 127.999 X: 25.1875 +Width: 16 N: 10 + X: 25.25 +exp_table[404] = 127.999 X: 25.25 +Width: 16 N: 10 + X: 25.3125 +exp_table[405] = 127.999 X: 25.3125 +Width: 16 N: 10 + X: 25.375 +exp_table[406] = 127.999 X: 25.375 +Width: 16 N: 10 + X: 25.4375 +exp_table[407] = 127.999 X: 25.4375 +Width: 16 N: 10 + X: 25.5 +exp_table[408] = 127.999 X: 25.5 +Width: 16 N: 10 + X: 25.5625 +exp_table[409] = 127.999 X: 25.5625 +Width: 16 N: 10 + X: 25.625 +exp_table[410] = 127.999 X: 25.625 +Width: 16 N: 10 + X: 25.6875 +exp_table[411] = 127.999 X: 25.6875 +Width: 16 N: 10 + X: 25.75 +exp_table[412] = 127.999 X: 25.75 +Width: 16 N: 10 + X: 25.8125 +exp_table[413] = 127.999 X: 25.8125 +Width: 16 N: 10 + X: 25.875 +exp_table[414] = 127.999 X: 25.875 +Width: 16 N: 10 + X: 25.9375 +exp_table[415] = 127.999 X: 25.9375 +Width: 16 N: 10 + X: 26 +exp_table[416] = 127.999 X: 26 +Width: 16 N: 10 + X: 26.0625 +exp_table[417] = 127.999 X: 26.0625 +Width: 16 N: 10 + X: 26.125 +exp_table[418] = 127.999 X: 26.125 +Width: 16 N: 10 + X: 26.1875 +exp_table[419] = 127.999 X: 26.1875 +Width: 16 N: 10 + X: 26.25 +exp_table[420] = 127.999 X: 26.25 +Width: 16 N: 10 + X: 26.3125 +exp_table[421] = 127.999 X: 26.3125 +Width: 16 N: 10 + X: 26.375 +exp_table[422] = 127.999 X: 26.375 +Width: 16 N: 10 + X: 26.4375 +exp_table[423] = 127.999 X: 26.4375 +Width: 16 N: 10 + X: 26.5 +exp_table[424] = 127.999 X: 26.5 +Width: 16 N: 10 + X: 26.5625 +exp_table[425] = 127.999 X: 26.5625 +Width: 16 N: 10 + X: 26.625 +exp_table[426] = 127.999 X: 26.625 +Width: 16 N: 10 + X: 26.6875 +exp_table[427] = 127.999 X: 26.6875 +Width: 16 N: 10 + X: 26.75 +exp_table[428] = 127.999 X: 26.75 +Width: 16 N: 10 + X: 26.8125 +exp_table[429] = 127.999 X: 26.8125 +Width: 16 N: 10 + X: 26.875 +exp_table[430] = 127.999 X: 26.875 +Width: 16 N: 10 + X: 26.9375 +exp_table[431] = 127.999 X: 26.9375 +Width: 16 N: 10 + X: 27 +exp_table[432] = 127.999 X: 27 +Width: 16 N: 10 + X: 27.0625 +exp_table[433] = 127.999 X: 27.0625 +Width: 16 N: 10 + X: 27.125 +exp_table[434] = 127.999 X: 27.125 +Width: 16 N: 10 + X: 27.1875 +exp_table[435] = 127.999 X: 27.1875 +Width: 16 N: 10 + X: 27.25 +exp_table[436] = 127.999 X: 27.25 +Width: 16 N: 10 + X: 27.3125 +exp_table[437] = 127.999 X: 27.3125 +Width: 16 N: 10 + X: 27.375 +exp_table[438] = 127.999 X: 27.375 +Width: 16 N: 10 + X: 27.4375 +exp_table[439] = 127.999 X: 27.4375 +Width: 16 N: 10 + X: 27.5 +exp_table[440] = 127.999 X: 27.5 +Width: 16 N: 10 + X: 27.5625 +exp_table[441] = 127.999 X: 27.5625 +Width: 16 N: 10 + X: 27.625 +exp_table[442] = 127.999 X: 27.625 +Width: 16 N: 10 + X: 27.6875 +exp_table[443] = 127.999 X: 27.6875 +Width: 16 N: 10 + X: 27.75 +exp_table[444] = 127.999 X: 27.75 +Width: 16 N: 10 + X: 27.8125 +exp_table[445] = 127.999 X: 27.8125 +Width: 16 N: 10 + X: 27.875 +exp_table[446] = 127.999 X: 27.875 +Width: 16 N: 10 + X: 27.9375 +exp_table[447] = 127.999 X: 27.9375 +Width: 16 N: 10 + X: 28 +exp_table[448] = 127.999 X: 28 +Width: 16 N: 10 + X: 28.0625 +exp_table[449] = 127.999 X: 28.0625 +Width: 16 N: 10 + X: 28.125 +exp_table[450] = 127.999 X: 28.125 +Width: 16 N: 10 + X: 28.1875 +exp_table[451] = 127.999 X: 28.1875 +Width: 16 N: 10 + X: 28.25 +exp_table[452] = 127.999 X: 28.25 +Width: 16 N: 10 + X: 28.3125 +exp_table[453] = 127.999 X: 28.3125 +Width: 16 N: 10 + X: 28.375 +exp_table[454] = 127.999 X: 28.375 +Width: 16 N: 10 + X: 28.4375 +exp_table[455] = 127.999 X: 28.4375 +Width: 16 N: 10 + X: 28.5 +exp_table[456] = 127.999 X: 28.5 +Width: 16 N: 10 + X: 28.5625 +exp_table[457] = 127.999 X: 28.5625 +Width: 16 N: 10 + X: 28.625 +exp_table[458] = 127.999 X: 28.625 +Width: 16 N: 10 + X: 28.6875 +exp_table[459] = 127.999 X: 28.6875 +Width: 16 N: 10 + X: 28.75 +exp_table[460] = 127.999 X: 28.75 +Width: 16 N: 10 + X: 28.8125 +exp_table[461] = 127.999 X: 28.8125 +Width: 16 N: 10 + X: 28.875 +exp_table[462] = 127.999 X: 28.875 +Width: 16 N: 10 + X: 28.9375 +exp_table[463] = 127.999 X: 28.9375 +Width: 16 N: 10 + X: 29 +exp_table[464] = 127.999 X: 29 +Width: 16 N: 10 + X: 29.0625 +exp_table[465] = 127.999 X: 29.0625 +Width: 16 N: 10 + X: 29.125 +exp_table[466] = 127.999 X: 29.125 +Width: 16 N: 10 + X: 29.1875 +exp_table[467] = 127.999 X: 29.1875 +Width: 16 N: 10 + X: 29.25 +exp_table[468] = 127.999 X: 29.25 +Width: 16 N: 10 + X: 29.3125 +exp_table[469] = 127.999 X: 29.3125 +Width: 16 N: 10 + X: 29.375 +exp_table[470] = 127.999 X: 29.375 +Width: 16 N: 10 + X: 29.4375 +exp_table[471] = 127.999 X: 29.4375 +Width: 16 N: 10 + X: 29.5 +exp_table[472] = 127.999 X: 29.5 +Width: 16 N: 10 + X: 29.5625 +exp_table[473] = 127.999 X: 29.5625 +Width: 16 N: 10 + X: 29.625 +exp_table[474] = 127.999 X: 29.625 +Width: 16 N: 10 + X: 29.6875 +exp_table[475] = 127.999 X: 29.6875 +Width: 16 N: 10 + X: 29.75 +exp_table[476] = 127.999 X: 29.75 +Width: 16 N: 10 + X: 29.8125 +exp_table[477] = 127.999 X: 29.8125 +Width: 16 N: 10 + X: 29.875 +exp_table[478] = 127.999 X: 29.875 +Width: 16 N: 10 + X: 29.9375 +exp_table[479] = 127.999 X: 29.9375 +Width: 16 N: 10 + X: 30 +exp_table[480] = 127.999 X: 30 +Width: 16 N: 10 + X: 30.0625 +exp_table[481] = 127.999 X: 30.0625 +Width: 16 N: 10 + X: 30.125 +exp_table[482] = 127.999 X: 30.125 +Width: 16 N: 10 + X: 30.1875 +exp_table[483] = 127.999 X: 30.1875 +Width: 16 N: 10 + X: 30.25 +exp_table[484] = 127.999 X: 30.25 +Width: 16 N: 10 + X: 30.3125 +exp_table[485] = 127.999 X: 30.3125 +Width: 16 N: 10 + X: 30.375 +exp_table[486] = 127.999 X: 30.375 +Width: 16 N: 10 + X: 30.4375 +exp_table[487] = 127.999 X: 30.4375 +Width: 16 N: 10 + X: 30.5 +exp_table[488] = 127.999 X: 30.5 +Width: 16 N: 10 + X: 30.5625 +exp_table[489] = 127.999 X: 30.5625 +Width: 16 N: 10 + X: 30.625 +exp_table[490] = 127.999 X: 30.625 +Width: 16 N: 10 + X: 30.6875 +exp_table[491] = 127.999 X: 30.6875 +Width: 16 N: 10 + X: 30.75 +exp_table[492] = 127.999 X: 30.75 +Width: 16 N: 10 + X: 30.8125 +exp_table[493] = 127.999 X: 30.8125 +Width: 16 N: 10 + X: 30.875 +exp_table[494] = 127.999 X: 30.875 +Width: 16 N: 10 + X: 30.9375 +exp_table[495] = 127.999 X: 30.9375 +Width: 16 N: 10 + X: 31 +exp_table[496] = 127.999 X: 31 +Width: 16 N: 10 + X: 31.0625 +exp_table[497] = 127.999 X: 31.0625 +Width: 16 N: 10 + X: 31.125 +exp_table[498] = 127.999 X: 31.125 +Width: 16 N: 10 + X: 31.1875 +exp_table[499] = 127.999 X: 31.1875 +Width: 16 N: 10 + X: 31.25 +exp_table[500] = 127.999 X: 31.25 +Width: 16 N: 10 + X: 31.3125 +exp_table[501] = 127.999 X: 31.3125 +Width: 16 N: 10 + X: 31.375 +exp_table[502] = 127.999 X: 31.375 +Width: 16 N: 10 + X: 31.4375 +exp_table[503] = 127.999 X: 31.4375 +Width: 16 N: 10 + X: 31.5 +exp_table[504] = 127.999 X: 31.5 +Width: 16 N: 10 + X: 31.5625 +exp_table[505] = 127.999 X: 31.5625 +Width: 16 N: 10 + X: 31.625 +exp_table[506] = 127.999 X: 31.625 +Width: 16 N: 10 + X: 31.6875 +exp_table[507] = 127.999 X: 31.6875 +Width: 16 N: 10 + X: 31.75 +exp_table[508] = 127.999 X: 31.75 +Width: 16 N: 10 + X: 31.8125 +exp_table[509] = 127.999 X: 31.8125 +Width: 16 N: 10 + X: 31.875 +exp_table[510] = 127.999 X: 31.875 +Width: 16 N: 10 + X: 31.9375 +exp_table[511] = 127.999 X: 31.9375 +Width: 16 N: 10 + X: -32 +exp_table[512] = 0 X: -32 +Width: 16 N: 10 + X: -31.9375 +exp_table[513] = 0 X: -31.9375 +Width: 16 N: 10 + X: -31.875 +exp_table[514] = 0 X: -31.875 +Width: 16 N: 10 + X: -31.8125 +exp_table[515] = 0 X: -31.8125 +Width: 16 N: 10 + X: -31.75 +exp_table[516] = 0 X: -31.75 +Width: 16 N: 10 + X: -31.6875 +exp_table[517] = 0 X: -31.6875 +Width: 16 N: 10 + X: -31.625 +exp_table[518] = 0 X: -31.625 +Width: 16 N: 10 + X: -31.5625 +exp_table[519] = 0 X: -31.5625 +Width: 16 N: 10 + X: -31.5 +exp_table[520] = 0 X: -31.5 +Width: 16 N: 10 + X: -31.4375 +exp_table[521] = 0 X: -31.4375 +Width: 16 N: 10 + X: -31.375 +exp_table[522] = 0 X: -31.375 +Width: 16 N: 10 + X: -31.3125 +exp_table[523] = 0 X: -31.3125 +Width: 16 N: 10 + X: -31.25 +exp_table[524] = 0 X: -31.25 +Width: 16 N: 10 + X: -31.1875 +exp_table[525] = 0 X: -31.1875 +Width: 16 N: 10 + X: -31.125 +exp_table[526] = 0 X: -31.125 +Width: 16 N: 10 + X: -31.0625 +exp_table[527] = 0 X: -31.0625 +Width: 16 N: 10 + X: -31 +exp_table[528] = 0 X: -31 +Width: 16 N: 10 + X: -30.9375 +exp_table[529] = 0 X: -30.9375 +Width: 16 N: 10 + X: -30.875 +exp_table[530] = 0 X: -30.875 +Width: 16 N: 10 + X: -30.8125 +exp_table[531] = 0 X: -30.8125 +Width: 16 N: 10 + X: -30.75 +exp_table[532] = 0 X: -30.75 +Width: 16 N: 10 + X: -30.6875 +exp_table[533] = 0 X: -30.6875 +Width: 16 N: 10 + X: -30.625 +exp_table[534] = 0 X: -30.625 +Width: 16 N: 10 + X: -30.5625 +exp_table[535] = 0 X: -30.5625 +Width: 16 N: 10 + X: -30.5 +exp_table[536] = 0 X: -30.5 +Width: 16 N: 10 + X: -30.4375 +exp_table[537] = 0 X: -30.4375 +Width: 16 N: 10 + X: -30.375 +exp_table[538] = 0 X: -30.375 +Width: 16 N: 10 + X: -30.3125 +exp_table[539] = 0 X: -30.3125 +Width: 16 N: 10 + X: -30.25 +exp_table[540] = 0 X: -30.25 +Width: 16 N: 10 + X: -30.1875 +exp_table[541] = 0 X: -30.1875 +Width: 16 N: 10 + X: -30.125 +exp_table[542] = 0 X: -30.125 +Width: 16 N: 10 + X: -30.0625 +exp_table[543] = 0 X: -30.0625 +Width: 16 N: 10 + X: -30 +exp_table[544] = 0 X: -30 +Width: 16 N: 10 + X: -29.9375 +exp_table[545] = 0 X: -29.9375 +Width: 16 N: 10 + X: -29.875 +exp_table[546] = 0 X: -29.875 +Width: 16 N: 10 + X: -29.8125 +exp_table[547] = 0 X: -29.8125 +Width: 16 N: 10 + X: -29.75 +exp_table[548] = 0 X: -29.75 +Width: 16 N: 10 + X: -29.6875 +exp_table[549] = 0 X: -29.6875 +Width: 16 N: 10 + X: -29.625 +exp_table[550] = 0 X: -29.625 +Width: 16 N: 10 + X: -29.5625 +exp_table[551] = 0 X: -29.5625 +Width: 16 N: 10 + X: -29.5 +exp_table[552] = 0 X: -29.5 +Width: 16 N: 10 + X: -29.4375 +exp_table[553] = 0 X: -29.4375 +Width: 16 N: 10 + X: -29.375 +exp_table[554] = 0 X: -29.375 +Width: 16 N: 10 + X: -29.3125 +exp_table[555] = 0 X: -29.3125 +Width: 16 N: 10 + X: -29.25 +exp_table[556] = 0 X: -29.25 +Width: 16 N: 10 + X: -29.1875 +exp_table[557] = 0 X: -29.1875 +Width: 16 N: 10 + X: -29.125 +exp_table[558] = 0 X: -29.125 +Width: 16 N: 10 + X: -29.0625 +exp_table[559] = 0 X: -29.0625 +Width: 16 N: 10 + X: -29 +exp_table[560] = 0 X: -29 +Width: 16 N: 10 + X: -28.9375 +exp_table[561] = 0 X: -28.9375 +Width: 16 N: 10 + X: -28.875 +exp_table[562] = 0 X: -28.875 +Width: 16 N: 10 + X: -28.8125 +exp_table[563] = 0 X: -28.8125 +Width: 16 N: 10 + X: -28.75 +exp_table[564] = 0 X: -28.75 +Width: 16 N: 10 + X: -28.6875 +exp_table[565] = 0 X: -28.6875 +Width: 16 N: 10 + X: -28.625 +exp_table[566] = 0 X: -28.625 +Width: 16 N: 10 + X: -28.5625 +exp_table[567] = 0 X: -28.5625 +Width: 16 N: 10 + X: -28.5 +exp_table[568] = 0 X: -28.5 +Width: 16 N: 10 + X: -28.4375 +exp_table[569] = 0 X: -28.4375 +Width: 16 N: 10 + X: -28.375 +exp_table[570] = 0 X: -28.375 +Width: 16 N: 10 + X: -28.3125 +exp_table[571] = 0 X: -28.3125 +Width: 16 N: 10 + X: -28.25 +exp_table[572] = 0 X: -28.25 +Width: 16 N: 10 + X: -28.1875 +exp_table[573] = 0 X: -28.1875 +Width: 16 N: 10 + X: -28.125 +exp_table[574] = 0 X: -28.125 +Width: 16 N: 10 + X: -28.0625 +exp_table[575] = 0 X: -28.0625 +Width: 16 N: 10 + X: -28 +exp_table[576] = 0 X: -28 +Width: 16 N: 10 + X: -27.9375 +exp_table[577] = 0 X: -27.9375 +Width: 16 N: 10 + X: -27.875 +exp_table[578] = 0 X: -27.875 +Width: 16 N: 10 + X: -27.8125 +exp_table[579] = 0 X: -27.8125 +Width: 16 N: 10 + X: -27.75 +exp_table[580] = 0 X: -27.75 +Width: 16 N: 10 + X: -27.6875 +exp_table[581] = 0 X: -27.6875 +Width: 16 N: 10 + X: -27.625 +exp_table[582] = 0 X: -27.625 +Width: 16 N: 10 + X: -27.5625 +exp_table[583] = 0 X: -27.5625 +Width: 16 N: 10 + X: -27.5 +exp_table[584] = 0 X: -27.5 +Width: 16 N: 10 + X: -27.4375 +exp_table[585] = 0 X: -27.4375 +Width: 16 N: 10 + X: -27.375 +exp_table[586] = 0 X: -27.375 +Width: 16 N: 10 + X: -27.3125 +exp_table[587] = 0 X: -27.3125 +Width: 16 N: 10 + X: -27.25 +exp_table[588] = 0 X: -27.25 +Width: 16 N: 10 + X: -27.1875 +exp_table[589] = 0 X: -27.1875 +Width: 16 N: 10 + X: -27.125 +exp_table[590] = 0 X: -27.125 +Width: 16 N: 10 + X: -27.0625 +exp_table[591] = 0 X: -27.0625 +Width: 16 N: 10 + X: -27 +exp_table[592] = 0 X: -27 +Width: 16 N: 10 + X: -26.9375 +exp_table[593] = 0 X: -26.9375 +Width: 16 N: 10 + X: -26.875 +exp_table[594] = 0 X: -26.875 +Width: 16 N: 10 + X: -26.8125 +exp_table[595] = 0 X: -26.8125 +Width: 16 N: 10 + X: -26.75 +exp_table[596] = 0 X: -26.75 +Width: 16 N: 10 + X: -26.6875 +exp_table[597] = 0 X: -26.6875 +Width: 16 N: 10 + X: -26.625 +exp_table[598] = 0 X: -26.625 +Width: 16 N: 10 + X: -26.5625 +exp_table[599] = 0 X: -26.5625 +Width: 16 N: 10 + X: -26.5 +exp_table[600] = 0 X: -26.5 +Width: 16 N: 10 + X: -26.4375 +exp_table[601] = 0 X: -26.4375 +Width: 16 N: 10 + X: -26.375 +exp_table[602] = 0 X: -26.375 +Width: 16 N: 10 + X: -26.3125 +exp_table[603] = 0 X: -26.3125 +Width: 16 N: 10 + X: -26.25 +exp_table[604] = 0 X: -26.25 +Width: 16 N: 10 + X: -26.1875 +exp_table[605] = 0 X: -26.1875 +Width: 16 N: 10 + X: -26.125 +exp_table[606] = 0 X: -26.125 +Width: 16 N: 10 + X: -26.0625 +exp_table[607] = 0 X: -26.0625 +Width: 16 N: 10 + X: -26 +exp_table[608] = 0 X: -26 +Width: 16 N: 10 + X: -25.9375 +exp_table[609] = 0 X: -25.9375 +Width: 16 N: 10 + X: -25.875 +exp_table[610] = 0 X: -25.875 +Width: 16 N: 10 + X: -25.8125 +exp_table[611] = 0 X: -25.8125 +Width: 16 N: 10 + X: -25.75 +exp_table[612] = 0 X: -25.75 +Width: 16 N: 10 + X: -25.6875 +exp_table[613] = 0 X: -25.6875 +Width: 16 N: 10 + X: -25.625 +exp_table[614] = 0 X: -25.625 +Width: 16 N: 10 + X: -25.5625 +exp_table[615] = 0 X: -25.5625 +Width: 16 N: 10 + X: -25.5 +exp_table[616] = 0 X: -25.5 +Width: 16 N: 10 + X: -25.4375 +exp_table[617] = 0 X: -25.4375 +Width: 16 N: 10 + X: -25.375 +exp_table[618] = 0 X: -25.375 +Width: 16 N: 10 + X: -25.3125 +exp_table[619] = 0 X: -25.3125 +Width: 16 N: 10 + X: -25.25 +exp_table[620] = 0 X: -25.25 +Width: 16 N: 10 + X: -25.1875 +exp_table[621] = 0 X: -25.1875 +Width: 16 N: 10 + X: -25.125 +exp_table[622] = 0 X: -25.125 +Width: 16 N: 10 + X: -25.0625 +exp_table[623] = 0 X: -25.0625 +Width: 16 N: 10 + X: -25 +exp_table[624] = 0 X: -25 +Width: 16 N: 10 + X: -24.9375 +exp_table[625] = 0 X: -24.9375 +Width: 16 N: 10 + X: -24.875 +exp_table[626] = 0 X: -24.875 +Width: 16 N: 10 + X: -24.8125 +exp_table[627] = 0 X: -24.8125 +Width: 16 N: 10 + X: -24.75 +exp_table[628] = 0 X: -24.75 +Width: 16 N: 10 + X: -24.6875 +exp_table[629] = 0 X: -24.6875 +Width: 16 N: 10 + X: -24.625 +exp_table[630] = 0 X: -24.625 +Width: 16 N: 10 + X: -24.5625 +exp_table[631] = 0 X: -24.5625 +Width: 16 N: 10 + X: -24.5 +exp_table[632] = 0 X: -24.5 +Width: 16 N: 10 + X: -24.4375 +exp_table[633] = 0 X: -24.4375 +Width: 16 N: 10 + X: -24.375 +exp_table[634] = 0 X: -24.375 +Width: 16 N: 10 + X: -24.3125 +exp_table[635] = 0 X: -24.3125 +Width: 16 N: 10 + X: -24.25 +exp_table[636] = 0 X: -24.25 +Width: 16 N: 10 + X: -24.1875 +exp_table[637] = 0 X: -24.1875 +Width: 16 N: 10 + X: -24.125 +exp_table[638] = 0 X: -24.125 +Width: 16 N: 10 + X: -24.0625 +exp_table[639] = 0 X: -24.0625 +Width: 16 N: 10 + X: -24 +exp_table[640] = 0 X: -24 +Width: 16 N: 10 + X: -23.9375 +exp_table[641] = 0 X: -23.9375 +Width: 16 N: 10 + X: -23.875 +exp_table[642] = 0 X: -23.875 +Width: 16 N: 10 + X: -23.8125 +exp_table[643] = 0 X: -23.8125 +Width: 16 N: 10 + X: -23.75 +exp_table[644] = 0 X: -23.75 +Width: 16 N: 10 + X: -23.6875 +exp_table[645] = 0 X: -23.6875 +Width: 16 N: 10 + X: -23.625 +exp_table[646] = 0 X: -23.625 +Width: 16 N: 10 + X: -23.5625 +exp_table[647] = 0 X: -23.5625 +Width: 16 N: 10 + X: -23.5 +exp_table[648] = 0 X: -23.5 +Width: 16 N: 10 + X: -23.4375 +exp_table[649] = 0 X: -23.4375 +Width: 16 N: 10 + X: -23.375 +exp_table[650] = 0 X: -23.375 +Width: 16 N: 10 + X: -23.3125 +exp_table[651] = 0 X: -23.3125 +Width: 16 N: 10 + X: -23.25 +exp_table[652] = 0 X: -23.25 +Width: 16 N: 10 + X: -23.1875 +exp_table[653] = 0 X: -23.1875 +Width: 16 N: 10 + X: -23.125 +exp_table[654] = 0 X: -23.125 +Width: 16 N: 10 + X: -23.0625 +exp_table[655] = 0 X: -23.0625 +Width: 16 N: 10 + X: -23 +exp_table[656] = 0 X: -23 +Width: 16 N: 10 + X: -22.9375 +exp_table[657] = 0 X: -22.9375 +Width: 16 N: 10 + X: -22.875 +exp_table[658] = 0 X: -22.875 +Width: 16 N: 10 + X: -22.8125 +exp_table[659] = 0 X: -22.8125 +Width: 16 N: 10 + X: -22.75 +exp_table[660] = 0 X: -22.75 +Width: 16 N: 10 + X: -22.6875 +exp_table[661] = 0 X: -22.6875 +Width: 16 N: 10 + X: -22.625 +exp_table[662] = 0 X: -22.625 +Width: 16 N: 10 + X: -22.5625 +exp_table[663] = 0 X: -22.5625 +Width: 16 N: 10 + X: -22.5 +exp_table[664] = 0 X: -22.5 +Width: 16 N: 10 + X: -22.4375 +exp_table[665] = 0 X: -22.4375 +Width: 16 N: 10 + X: -22.375 +exp_table[666] = 0 X: -22.375 +Width: 16 N: 10 + X: -22.3125 +exp_table[667] = 0 X: -22.3125 +Width: 16 N: 10 + X: -22.25 +exp_table[668] = 0 X: -22.25 +Width: 16 N: 10 + X: -22.1875 +exp_table[669] = 0 X: -22.1875 +Width: 16 N: 10 + X: -22.125 +exp_table[670] = 0 X: -22.125 +Width: 16 N: 10 + X: -22.0625 +exp_table[671] = 0 X: -22.0625 +Width: 16 N: 10 + X: -22 +exp_table[672] = 0 X: -22 +Width: 16 N: 10 + X: -21.9375 +exp_table[673] = 0 X: -21.9375 +Width: 16 N: 10 + X: -21.875 +exp_table[674] = 0 X: -21.875 +Width: 16 N: 10 + X: -21.8125 +exp_table[675] = 0 X: -21.8125 +Width: 16 N: 10 + X: -21.75 +exp_table[676] = 0 X: -21.75 +Width: 16 N: 10 + X: -21.6875 +exp_table[677] = 0 X: -21.6875 +Width: 16 N: 10 + X: -21.625 +exp_table[678] = 0 X: -21.625 +Width: 16 N: 10 + X: -21.5625 +exp_table[679] = 0 X: -21.5625 +Width: 16 N: 10 + X: -21.5 +exp_table[680] = 0 X: -21.5 +Width: 16 N: 10 + X: -21.4375 +exp_table[681] = 0 X: -21.4375 +Width: 16 N: 10 + X: -21.375 +exp_table[682] = 0 X: -21.375 +Width: 16 N: 10 + X: -21.3125 +exp_table[683] = 0 X: -21.3125 +Width: 16 N: 10 + X: -21.25 +exp_table[684] = 0 X: -21.25 +Width: 16 N: 10 + X: -21.1875 +exp_table[685] = 0 X: -21.1875 +Width: 16 N: 10 + X: -21.125 +exp_table[686] = 0 X: -21.125 +Width: 16 N: 10 + X: -21.0625 +exp_table[687] = 0 X: -21.0625 +Width: 16 N: 10 + X: -21 +exp_table[688] = 0 X: -21 +Width: 16 N: 10 + X: -20.9375 +exp_table[689] = 0 X: -20.9375 +Width: 16 N: 10 + X: -20.875 +exp_table[690] = 0 X: -20.875 +Width: 16 N: 10 + X: -20.8125 +exp_table[691] = 0 X: -20.8125 +Width: 16 N: 10 + X: -20.75 +exp_table[692] = 0 X: -20.75 +Width: 16 N: 10 + X: -20.6875 +exp_table[693] = 0 X: -20.6875 +Width: 16 N: 10 + X: -20.625 +exp_table[694] = 0 X: -20.625 +Width: 16 N: 10 + X: -20.5625 +exp_table[695] = 0 X: -20.5625 +Width: 16 N: 10 + X: -20.5 +exp_table[696] = 0 X: -20.5 +Width: 16 N: 10 + X: -20.4375 +exp_table[697] = 0 X: -20.4375 +Width: 16 N: 10 + X: -20.375 +exp_table[698] = 0 X: -20.375 +Width: 16 N: 10 + X: -20.3125 +exp_table[699] = 0 X: -20.3125 +Width: 16 N: 10 + X: -20.25 +exp_table[700] = 0 X: -20.25 +Width: 16 N: 10 + X: -20.1875 +exp_table[701] = 0 X: -20.1875 +Width: 16 N: 10 + X: -20.125 +exp_table[702] = 0 X: -20.125 +Width: 16 N: 10 + X: -20.0625 +exp_table[703] = 0 X: -20.0625 +Width: 16 N: 10 + X: -20 +exp_table[704] = 0 X: -20 +Width: 16 N: 10 + X: -19.9375 +exp_table[705] = 0 X: -19.9375 +Width: 16 N: 10 + X: -19.875 +exp_table[706] = 0 X: -19.875 +Width: 16 N: 10 + X: -19.8125 +exp_table[707] = 0 X: -19.8125 +Width: 16 N: 10 + X: -19.75 +exp_table[708] = 0 X: -19.75 +Width: 16 N: 10 + X: -19.6875 +exp_table[709] = 0 X: -19.6875 +Width: 16 N: 10 + X: -19.625 +exp_table[710] = 0 X: -19.625 +Width: 16 N: 10 + X: -19.5625 +exp_table[711] = 0 X: -19.5625 +Width: 16 N: 10 + X: -19.5 +exp_table[712] = 0 X: -19.5 +Width: 16 N: 10 + X: -19.4375 +exp_table[713] = 0 X: -19.4375 +Width: 16 N: 10 + X: -19.375 +exp_table[714] = 0 X: -19.375 +Width: 16 N: 10 + X: -19.3125 +exp_table[715] = 0 X: -19.3125 +Width: 16 N: 10 + X: -19.25 +exp_table[716] = 0 X: -19.25 +Width: 16 N: 10 + X: -19.1875 +exp_table[717] = 0 X: -19.1875 +Width: 16 N: 10 + X: -19.125 +exp_table[718] = 0 X: -19.125 +Width: 16 N: 10 + X: -19.0625 +exp_table[719] = 0 X: -19.0625 +Width: 16 N: 10 + X: -19 +exp_table[720] = 0 X: -19 +Width: 16 N: 10 + X: -18.9375 +exp_table[721] = 0 X: -18.9375 +Width: 16 N: 10 + X: -18.875 +exp_table[722] = 0 X: -18.875 +Width: 16 N: 10 + X: -18.8125 +exp_table[723] = 0 X: -18.8125 +Width: 16 N: 10 + X: -18.75 +exp_table[724] = 0 X: -18.75 +Width: 16 N: 10 + X: -18.6875 +exp_table[725] = 0 X: -18.6875 +Width: 16 N: 10 + X: -18.625 +exp_table[726] = 0 X: -18.625 +Width: 16 N: 10 + X: -18.5625 +exp_table[727] = 0 X: -18.5625 +Width: 16 N: 10 + X: -18.5 +exp_table[728] = 0 X: -18.5 +Width: 16 N: 10 + X: -18.4375 +exp_table[729] = 0 X: -18.4375 +Width: 16 N: 10 + X: -18.375 +exp_table[730] = 0 X: -18.375 +Width: 16 N: 10 + X: -18.3125 +exp_table[731] = 0 X: -18.3125 +Width: 16 N: 10 + X: -18.25 +exp_table[732] = 0 X: -18.25 +Width: 16 N: 10 + X: -18.1875 +exp_table[733] = 0 X: -18.1875 +Width: 16 N: 10 + X: -18.125 +exp_table[734] = 0 X: -18.125 +Width: 16 N: 10 + X: -18.0625 +exp_table[735] = 0 X: -18.0625 +Width: 16 N: 10 + X: -18 +exp_table[736] = 0 X: -18 +Width: 16 N: 10 + X: -17.9375 +exp_table[737] = 0 X: -17.9375 +Width: 16 N: 10 + X: -17.875 +exp_table[738] = 0 X: -17.875 +Width: 16 N: 10 + X: -17.8125 +exp_table[739] = 0 X: -17.8125 +Width: 16 N: 10 + X: -17.75 +exp_table[740] = 0 X: -17.75 +Width: 16 N: 10 + X: -17.6875 +exp_table[741] = 0 X: -17.6875 +Width: 16 N: 10 + X: -17.625 +exp_table[742] = 0 X: -17.625 +Width: 16 N: 10 + X: -17.5625 +exp_table[743] = 0 X: -17.5625 +Width: 16 N: 10 + X: -17.5 +exp_table[744] = 0 X: -17.5 +Width: 16 N: 10 + X: -17.4375 +exp_table[745] = 0 X: -17.4375 +Width: 16 N: 10 + X: -17.375 +exp_table[746] = 0 X: -17.375 +Width: 16 N: 10 + X: -17.3125 +exp_table[747] = 0 X: -17.3125 +Width: 16 N: 10 + X: -17.25 +exp_table[748] = 0 X: -17.25 +Width: 16 N: 10 + X: -17.1875 +exp_table[749] = 0 X: -17.1875 +Width: 16 N: 10 + X: -17.125 +exp_table[750] = 0 X: -17.125 +Width: 16 N: 10 + X: -17.0625 +exp_table[751] = 0 X: -17.0625 +Width: 16 N: 10 + X: -17 +exp_table[752] = 0 X: -17 +Width: 16 N: 10 + X: -16.9375 +exp_table[753] = 0 X: -16.9375 +Width: 16 N: 10 + X: -16.875 +exp_table[754] = 0 X: -16.875 +Width: 16 N: 10 + X: -16.8125 +exp_table[755] = 0 X: -16.8125 +Width: 16 N: 10 + X: -16.75 +exp_table[756] = 0 X: -16.75 +Width: 16 N: 10 + X: -16.6875 +exp_table[757] = 0 X: -16.6875 +Width: 16 N: 10 + X: -16.625 +exp_table[758] = 0 X: -16.625 +Width: 16 N: 10 + X: -16.5625 +exp_table[759] = 0 X: -16.5625 +Width: 16 N: 10 + X: -16.5 +exp_table[760] = 0 X: -16.5 +Width: 16 N: 10 + X: -16.4375 +exp_table[761] = 0 X: -16.4375 +Width: 16 N: 10 + X: -16.375 +exp_table[762] = 0 X: -16.375 +Width: 16 N: 10 + X: -16.3125 +exp_table[763] = 0 X: -16.3125 +Width: 16 N: 10 + X: -16.25 +exp_table[764] = 0 X: -16.25 +Width: 16 N: 10 + X: -16.1875 +exp_table[765] = 0 X: -16.1875 +Width: 16 N: 10 + X: -16.125 +exp_table[766] = 0 X: -16.125 +Width: 16 N: 10 + X: -16.0625 +exp_table[767] = 0 X: -16.0625 +Width: 16 N: 10 + X: -16 +exp_table[768] = 0 X: -16 +Width: 16 N: 10 + X: -15.9375 +exp_table[769] = 0 X: -15.9375 +Width: 16 N: 10 + X: -15.875 +exp_table[770] = 0 X: -15.875 +Width: 16 N: 10 + X: -15.8125 +exp_table[771] = 0 X: -15.8125 +Width: 16 N: 10 + X: -15.75 +exp_table[772] = 0 X: -15.75 +Width: 16 N: 10 + X: -15.6875 +exp_table[773] = 0 X: -15.6875 +Width: 16 N: 10 + X: -15.625 +exp_table[774] = 0 X: -15.625 +Width: 16 N: 10 + X: -15.5625 +exp_table[775] = 0 X: -15.5625 +Width: 16 N: 10 + X: -15.5 +exp_table[776] = 0 X: -15.5 +Width: 16 N: 10 + X: -15.4375 +exp_table[777] = 0 X: -15.4375 +Width: 16 N: 10 + X: -15.375 +exp_table[778] = 0 X: -15.375 +Width: 16 N: 10 + X: -15.3125 +exp_table[779] = 0 X: -15.3125 +Width: 16 N: 10 + X: -15.25 +exp_table[780] = 0 X: -15.25 +Width: 16 N: 10 + X: -15.1875 +exp_table[781] = 0 X: -15.1875 +Width: 16 N: 10 + X: -15.125 +exp_table[782] = 0 X: -15.125 +Width: 16 N: 10 + X: -15.0625 +exp_table[783] = 0 X: -15.0625 +Width: 16 N: 10 + X: -15 +exp_table[784] = 0 X: -15 +Width: 16 N: 10 + X: -14.9375 +exp_table[785] = 0 X: -14.9375 +Width: 16 N: 10 + X: -14.875 +exp_table[786] = 0 X: -14.875 +Width: 16 N: 10 + X: -14.8125 +exp_table[787] = 0 X: -14.8125 +Width: 16 N: 10 + X: -14.75 +exp_table[788] = 0 X: -14.75 +Width: 16 N: 10 + X: -14.6875 +exp_table[789] = 0 X: -14.6875 +Width: 16 N: 10 + X: -14.625 +exp_table[790] = 0 X: -14.625 +Width: 16 N: 10 + X: -14.5625 +exp_table[791] = 0 X: -14.5625 +Width: 16 N: 10 + X: -14.5 +exp_table[792] = 0 X: -14.5 +Width: 16 N: 10 + X: -14.4375 +exp_table[793] = 0 X: -14.4375 +Width: 16 N: 10 + X: -14.375 +exp_table[794] = 0 X: -14.375 +Width: 16 N: 10 + X: -14.3125 +exp_table[795] = 0 X: -14.3125 +Width: 16 N: 10 + X: -14.25 +exp_table[796] = 0 X: -14.25 +Width: 16 N: 10 + X: -14.1875 +exp_table[797] = 0 X: -14.1875 +Width: 16 N: 10 + X: -14.125 +exp_table[798] = 0 X: -14.125 +Width: 16 N: 10 + X: -14.0625 +exp_table[799] = 0 X: -14.0625 +Width: 16 N: 10 + X: -14 +exp_table[800] = 0 X: -14 +Width: 16 N: 10 + X: -13.9375 +exp_table[801] = 0 X: -13.9375 +Width: 16 N: 10 + X: -13.875 +exp_table[802] = 0 X: -13.875 +Width: 16 N: 10 + X: -13.8125 +exp_table[803] = 0 X: -13.8125 +Width: 16 N: 10 + X: -13.75 +exp_table[804] = 0 X: -13.75 +Width: 16 N: 10 + X: -13.6875 +exp_table[805] = 0 X: -13.6875 +Width: 16 N: 10 + X: -13.625 +exp_table[806] = 0 X: -13.625 +Width: 16 N: 10 + X: -13.5625 +exp_table[807] = 0 X: -13.5625 +Width: 16 N: 10 + X: -13.5 +exp_table[808] = 0 X: -13.5 +Width: 16 N: 10 + X: -13.4375 +exp_table[809] = 0 X: -13.4375 +Width: 16 N: 10 + X: -13.375 +exp_table[810] = 0 X: -13.375 +Width: 16 N: 10 + X: -13.3125 +exp_table[811] = 0 X: -13.3125 +Width: 16 N: 10 + X: -13.25 +exp_table[812] = 0 X: -13.25 +Width: 16 N: 10 + X: -13.1875 +exp_table[813] = 0 X: -13.1875 +Width: 16 N: 10 + X: -13.125 +exp_table[814] = 0 X: -13.125 +Width: 16 N: 10 + X: -13.0625 +exp_table[815] = 0 X: -13.0625 +Width: 16 N: 10 + X: -13 +exp_table[816] = 0 X: -13 +Width: 16 N: 10 + X: -12.9375 +exp_table[817] = 0 X: -12.9375 +Width: 16 N: 10 + X: -12.875 +exp_table[818] = 0 X: -12.875 +Width: 16 N: 10 + X: -12.8125 +exp_table[819] = 0 X: -12.8125 +Width: 16 N: 10 + X: -12.75 +exp_table[820] = 0 X: -12.75 +Width: 16 N: 10 + X: -12.6875 +exp_table[821] = 0 X: -12.6875 +Width: 16 N: 10 + X: -12.625 +exp_table[822] = 0 X: -12.625 +Width: 16 N: 10 + X: -12.5625 +exp_table[823] = 0 X: -12.5625 +Width: 16 N: 10 + X: -12.5 +exp_table[824] = 0 X: -12.5 +Width: 16 N: 10 + X: -12.4375 +exp_table[825] = 0 X: -12.4375 +Width: 16 N: 10 + X: -12.375 +exp_table[826] = 0 X: -12.375 +Width: 16 N: 10 + X: -12.3125 +exp_table[827] = 0 X: -12.3125 +Width: 16 N: 10 + X: -12.25 +exp_table[828] = 0 X: -12.25 +Width: 16 N: 10 + X: -12.1875 +exp_table[829] = 0 X: -12.1875 +Width: 16 N: 10 + X: -12.125 +exp_table[830] = 0 X: -12.125 +Width: 16 N: 10 + X: -12.0625 +exp_table[831] = 0 X: -12.0625 +Width: 16 N: 10 + X: -12 +exp_table[832] = 0 X: -12 +Width: 16 N: 10 + X: -11.9375 +exp_table[833] = 0 X: -11.9375 +Width: 16 N: 10 + X: -11.875 +exp_table[834] = 0 X: -11.875 +Width: 16 N: 10 + X: -11.8125 +exp_table[835] = 0 X: -11.8125 +Width: 16 N: 10 + X: -11.75 +exp_table[836] = 0 X: -11.75 +Width: 16 N: 10 + X: -11.6875 +exp_table[837] = 0 X: -11.6875 +Width: 16 N: 10 + X: -11.625 +exp_table[838] = 0 X: -11.625 +Width: 16 N: 10 + X: -11.5625 +exp_table[839] = 0 X: -11.5625 +Width: 16 N: 10 + X: -11.5 +exp_table[840] = 0 X: -11.5 +Width: 16 N: 10 + X: -11.4375 +exp_table[841] = 0 X: -11.4375 +Width: 16 N: 10 + X: -11.375 +exp_table[842] = 0 X: -11.375 +Width: 16 N: 10 + X: -11.3125 +exp_table[843] = 0 X: -11.3125 +Width: 16 N: 10 + X: -11.25 +exp_table[844] = 0 X: -11.25 +Width: 16 N: 10 + X: -11.1875 +exp_table[845] = 0 X: -11.1875 +Width: 16 N: 10 + X: -11.125 +exp_table[846] = 0 X: -11.125 +Width: 16 N: 10 + X: -11.0625 +exp_table[847] = 0 X: -11.0625 +Width: 16 N: 10 + X: -11 +exp_table[848] = 0 X: -11 +Width: 16 N: 10 + X: -10.9375 +exp_table[849] = 0 X: -10.9375 +Width: 16 N: 10 + X: -10.875 +exp_table[850] = 0 X: -10.875 +Width: 16 N: 10 + X: -10.8125 +exp_table[851] = 0 X: -10.8125 +Width: 16 N: 10 + X: -10.75 +exp_table[852] = 0 X: -10.75 +Width: 16 N: 10 + X: -10.6875 +exp_table[853] = 0 X: -10.6875 +Width: 16 N: 10 + X: -10.625 +exp_table[854] = 0 X: -10.625 +Width: 16 N: 10 + X: -10.5625 +exp_table[855] = 0 X: -10.5625 +Width: 16 N: 10 + X: -10.5 +exp_table[856] = 0 X: -10.5 +Width: 16 N: 10 + X: -10.4375 +exp_table[857] = 0 X: -10.4375 +Width: 16 N: 10 + X: -10.375 +exp_table[858] = 0 X: -10.375 +Width: 16 N: 10 + X: -10.3125 +exp_table[859] = 0 X: -10.3125 +Width: 16 N: 10 + X: -10.25 +exp_table[860] = 0 X: -10.25 +Width: 16 N: 10 + X: -10.1875 +exp_table[861] = 0 X: -10.1875 +Width: 16 N: 10 + X: -10.125 +exp_table[862] = 0 X: -10.125 +Width: 16 N: 10 + X: -10.0625 +exp_table[863] = 0 X: -10.0625 +Width: 16 N: 10 + X: -10 +exp_table[864] = 0 X: -10 +Width: 16 N: 10 + X: -9.9375 +exp_table[865] = 0 X: -9.9375 +Width: 16 N: 10 + X: -9.875 +exp_table[866] = 0 X: -9.875 +Width: 16 N: 10 + X: -9.8125 +exp_table[867] = 0 X: -9.8125 +Width: 16 N: 10 + X: -9.75 +exp_table[868] = 0 X: -9.75 +Width: 16 N: 10 + X: -9.6875 +exp_table[869] = 0 X: -9.6875 +Width: 16 N: 10 + X: -9.625 +exp_table[870] = 0 X: -9.625 +Width: 16 N: 10 + X: -9.5625 +exp_table[871] = 0 X: -9.5625 +Width: 16 N: 10 + X: -9.5 +exp_table[872] = 0 X: -9.5 +Width: 16 N: 10 + X: -9.4375 +exp_table[873] = 0 X: -9.4375 +Width: 16 N: 10 + X: -9.375 +exp_table[874] = 0 X: -9.375 +Width: 16 N: 10 + X: -9.3125 +exp_table[875] = 0 X: -9.3125 +Width: 16 N: 10 + X: -9.25 +exp_table[876] = 0 X: -9.25 +Width: 16 N: 10 + X: -9.1875 +exp_table[877] = 0 X: -9.1875 +Width: 16 N: 10 + X: -9.125 +exp_table[878] = 0 X: -9.125 +Width: 16 N: 10 + X: -9.0625 +exp_table[879] = 0 X: -9.0625 +Width: 16 N: 10 + X: -9 +exp_table[880] = 0 X: -9 +Width: 16 N: 10 + X: -8.9375 +exp_table[881] = 0 X: -8.9375 +Width: 16 N: 10 + X: -8.875 +exp_table[882] = 0 X: -8.875 +Width: 16 N: 10 + X: -8.8125 +exp_table[883] = 0 X: -8.8125 +Width: 16 N: 10 + X: -8.75 +exp_table[884] = 0 X: -8.75 +Width: 16 N: 10 + X: -8.6875 +exp_table[885] = 0 X: -8.6875 +Width: 16 N: 10 + X: -8.625 +exp_table[886] = 0 X: -8.625 +Width: 16 N: 10 + X: -8.5625 +exp_table[887] = 0 X: -8.5625 +Width: 16 N: 10 + X: -8.5 +exp_table[888] = 0 X: -8.5 +Width: 16 N: 10 + X: -8.4375 +exp_table[889] = 0 X: -8.4375 +Width: 16 N: 10 + X: -8.375 +exp_table[890] = 0 X: -8.375 +Width: 16 N: 10 + X: -8.3125 +exp_table[891] = 0 X: -8.3125 +Width: 16 N: 10 + X: -8.25 +exp_table[892] = 0 X: -8.25 +Width: 16 N: 10 + X: -8.1875 +exp_table[893] = 0 X: -8.1875 +Width: 16 N: 10 + X: -8.125 +exp_table[894] = 0 X: -8.125 +Width: 16 N: 10 + X: -8.0625 +exp_table[895] = 0 X: -8.0625 +Width: 16 N: 10 + X: -8 +exp_table[896] = 0 X: -8 +Width: 16 N: 10 + X: -7.9375 +exp_table[897] = 0 X: -7.9375 +Width: 16 N: 10 + X: -7.875 +exp_table[898] = 0 X: -7.875 +Width: 16 N: 10 + X: -7.8125 +exp_table[899] = 0 X: -7.8125 +Width: 16 N: 10 + X: -7.75 +exp_table[900] = 0 X: -7.75 +Width: 16 N: 10 + X: -7.6875 +exp_table[901] = 0 X: -7.6875 +Width: 16 N: 10 + X: -7.625 +exp_table[902] = 0 X: -7.625 +Width: 16 N: 10 + X: -7.5625 +exp_table[903] = 0.000976563 X: -7.5625 +Width: 16 N: 10 + X: -7.5 +exp_table[904] = 0.000976563 X: -7.5 +Width: 16 N: 10 + X: -7.4375 +exp_table[905] = 0.000976563 X: -7.4375 +Width: 16 N: 10 + X: -7.375 +exp_table[906] = 0.000976563 X: -7.375 +Width: 16 N: 10 + X: -7.3125 +exp_table[907] = 0.000976563 X: -7.3125 +Width: 16 N: 10 + X: -7.25 +exp_table[908] = 0.000976563 X: -7.25 +Width: 16 N: 10 + X: -7.1875 +exp_table[909] = 0.000976563 X: -7.1875 +Width: 16 N: 10 + X: -7.125 +exp_table[910] = 0.000976563 X: -7.125 +Width: 16 N: 10 + X: -7.0625 +exp_table[911] = 0.000976563 X: -7.0625 +Width: 16 N: 10 + X: -7 +exp_table[912] = 0.000976563 X: -7 +Width: 16 N: 10 + X: -6.9375 +exp_table[913] = 0.000976563 X: -6.9375 +Width: 16 N: 10 + X: -6.875 +exp_table[914] = 0.000976563 X: -6.875 +Width: 16 N: 10 + X: -6.8125 +exp_table[915] = 0.000976563 X: -6.8125 +Width: 16 N: 10 + X: -6.75 +exp_table[916] = 0.000976563 X: -6.75 +Width: 16 N: 10 + X: -6.6875 +exp_table[917] = 0.000976563 X: -6.6875 +Width: 16 N: 10 + X: -6.625 +exp_table[918] = 0.000976563 X: -6.625 +Width: 16 N: 10 + X: -6.5625 +exp_table[919] = 0.000976563 X: -6.5625 +Width: 16 N: 10 + X: -6.5 +exp_table[920] = 0.00195313 X: -6.5 +Width: 16 N: 10 + X: -6.4375 +exp_table[921] = 0.00195313 X: -6.4375 +Width: 16 N: 10 + X: -6.375 +exp_table[922] = 0.00195313 X: -6.375 +Width: 16 N: 10 + X: -6.3125 +exp_table[923] = 0.00195313 X: -6.3125 +Width: 16 N: 10 + X: -6.25 +exp_table[924] = 0.00195313 X: -6.25 +Width: 16 N: 10 + X: -6.1875 +exp_table[925] = 0.00195313 X: -6.1875 +Width: 16 N: 10 + X: -6.125 +exp_table[926] = 0.00195313 X: -6.125 +Width: 16 N: 10 + X: -6.0625 +exp_table[927] = 0.00195313 X: -6.0625 +Width: 16 N: 10 + X: -6 +exp_table[928] = 0.00292969 X: -6 +Width: 16 N: 10 + X: -5.9375 +exp_table[929] = 0.00292969 X: -5.9375 +Width: 16 N: 10 + X: -5.875 +exp_table[930] = 0.00292969 X: -5.875 +Width: 16 N: 10 + X: -5.8125 +exp_table[931] = 0.00292969 X: -5.8125 +Width: 16 N: 10 + X: -5.75 +exp_table[932] = 0.00292969 X: -5.75 +Width: 16 N: 10 + X: -5.6875 +exp_table[933] = 0.00292969 X: -5.6875 +Width: 16 N: 10 + X: -5.625 +exp_table[934] = 0.00390625 X: -5.625 +Width: 16 N: 10 + X: -5.5625 +exp_table[935] = 0.00390625 X: -5.5625 +Width: 16 N: 10 + X: -5.5 +exp_table[936] = 0.00390625 X: -5.5 +Width: 16 N: 10 + X: -5.4375 +exp_table[937] = 0.00390625 X: -5.4375 +Width: 16 N: 10 + X: -5.375 +exp_table[938] = 0.00488281 X: -5.375 +Width: 16 N: 10 + X: -5.3125 +exp_table[939] = 0.00488281 X: -5.3125 +Width: 16 N: 10 + X: -5.25 +exp_table[940] = 0.00488281 X: -5.25 +Width: 16 N: 10 + X: -5.1875 +exp_table[941] = 0.00585938 X: -5.1875 +Width: 16 N: 10 + X: -5.125 +exp_table[942] = 0.00585938 X: -5.125 +Width: 16 N: 10 + X: -5.0625 +exp_table[943] = 0.00585938 X: -5.0625 +Width: 16 N: 10 + X: -5 +exp_table[944] = 0.00683594 X: -5 +Width: 16 N: 10 + X: -4.9375 +exp_table[945] = 0.00683594 X: -4.9375 +Width: 16 N: 10 + X: -4.875 +exp_table[946] = 0.0078125 X: -4.875 +Width: 16 N: 10 + X: -4.8125 +exp_table[947] = 0.0078125 X: -4.8125 +Width: 16 N: 10 + X: -4.75 +exp_table[948] = 0.00878906 X: -4.75 +Width: 16 N: 10 + X: -4.6875 +exp_table[949] = 0.00878906 X: -4.6875 +Width: 16 N: 10 + X: -4.625 +exp_table[950] = 0.00976563 X: -4.625 +Width: 16 N: 10 + X: -4.5625 +exp_table[951] = 0.0107422 X: -4.5625 +Width: 16 N: 10 + X: -4.5 +exp_table[952] = 0.0107422 X: -4.5 +Width: 16 N: 10 + X: -4.4375 +exp_table[953] = 0.0117188 X: -4.4375 +Width: 16 N: 10 + X: -4.375 +exp_table[954] = 0.0126953 X: -4.375 +Width: 16 N: 10 + X: -4.3125 +exp_table[955] = 0.0136719 X: -4.3125 +Width: 16 N: 10 + X: -4.25 +exp_table[956] = 0.0146484 X: -4.25 +Width: 16 N: 10 + X: -4.1875 +exp_table[957] = 0.015625 X: -4.1875 +Width: 16 N: 10 + X: -4.125 +exp_table[958] = 0.0166016 X: -4.125 +Width: 16 N: 10 + X: -4.0625 +exp_table[959] = 0.0175781 X: -4.0625 +Width: 16 N: 10 + X: -4 +exp_table[960] = 0.0185547 X: -4 +Width: 16 N: 10 + X: -3.9375 +exp_table[961] = 0.0195313 X: -3.9375 +Width: 16 N: 10 + X: -3.875 +exp_table[962] = 0.0205078 X: -3.875 +Width: 16 N: 10 + X: -3.8125 +exp_table[963] = 0.0224609 X: -3.8125 +Width: 16 N: 10 + X: -3.75 +exp_table[964] = 0.0234375 X: -3.75 +Width: 16 N: 10 + X: -3.6875 +exp_table[965] = 0.0253906 X: -3.6875 +Width: 16 N: 10 + X: -3.625 +exp_table[966] = 0.0263672 X: -3.625 +Width: 16 N: 10 + X: -3.5625 +exp_table[967] = 0.0283203 X: -3.5625 +Width: 16 N: 10 + X: -3.5 +exp_table[968] = 0.0302734 X: -3.5 +Width: 16 N: 10 + X: -3.4375 +exp_table[969] = 0.0322266 X: -3.4375 +Width: 16 N: 10 + X: -3.375 +exp_table[970] = 0.0341797 X: -3.375 +Width: 16 N: 10 + X: -3.3125 +exp_table[971] = 0.0361328 X: -3.3125 +Width: 16 N: 10 + X: -3.25 +exp_table[972] = 0.0390625 X: -3.25 +Width: 16 N: 10 + X: -3.1875 +exp_table[973] = 0.0410156 X: -3.1875 +Width: 16 N: 10 + X: -3.125 +exp_table[974] = 0.0439453 X: -3.125 +Width: 16 N: 10 + X: -3.0625 +exp_table[975] = 0.046875 X: -3.0625 +Width: 16 N: 10 + X: -3 +exp_table[976] = 0.0498047 X: -3 +Width: 16 N: 10 + X: -2.9375 +exp_table[977] = 0.0527344 X: -2.9375 +Width: 16 N: 10 + X: -2.875 +exp_table[978] = 0.0566406 X: -2.875 +Width: 16 N: 10 + X: -2.8125 +exp_table[979] = 0.0595703 X: -2.8125 +Width: 16 N: 10 + X: -2.75 +exp_table[980] = 0.0634766 X: -2.75 +Width: 16 N: 10 + X: -2.6875 +exp_table[981] = 0.0683594 X: -2.6875 +Width: 16 N: 10 + X: -2.625 +exp_table[982] = 0.0722656 X: -2.625 +Width: 16 N: 10 + X: -2.5625 +exp_table[983] = 0.0771484 X: -2.5625 +Width: 16 N: 10 + X: -2.5 +exp_table[984] = 0.0820313 X: -2.5 +Width: 16 N: 10 + X: -2.4375 +exp_table[985] = 0.0869141 X: -2.4375 +Width: 16 N: 10 + X: -2.375 +exp_table[986] = 0.0927734 X: -2.375 +Width: 16 N: 10 + X: -2.3125 +exp_table[987] = 0.0986328 X: -2.3125 +Width: 16 N: 10 + X: -2.25 +exp_table[988] = 0.105469 X: -2.25 +Width: 16 N: 10 + X: -2.1875 +exp_table[989] = 0.112305 X: -2.1875 +Width: 16 N: 10 + X: -2.125 +exp_table[990] = 0.119141 X: -2.125 +Width: 16 N: 10 + X: -2.0625 +exp_table[991] = 0.126953 X: -2.0625 +Width: 16 N: 10 + X: -2 +exp_table[992] = 0.135742 X: -2 +Width: 16 N: 10 + X: -1.9375 +exp_table[993] = 0.144531 X: -1.9375 +Width: 16 N: 10 + X: -1.875 +exp_table[994] = 0.15332 X: -1.875 +Width: 16 N: 10 + X: -1.8125 +exp_table[995] = 0.163086 X: -1.8125 +Width: 16 N: 10 + X: -1.75 +exp_table[996] = 0.173828 X: -1.75 +Width: 16 N: 10 + X: -1.6875 +exp_table[997] = 0.18457 X: -1.6875 +Width: 16 N: 10 + X: -1.625 +exp_table[998] = 0.197266 X: -1.625 +Width: 16 N: 10 + X: -1.5625 +exp_table[999] = 0.209961 X: -1.5625 +Width: 16 N: 10 + X: -1.5 +exp_table[1000] = 0.222656 X: -1.5 +Width: 16 N: 10 + X: -1.4375 +exp_table[1001] = 0.237305 X: -1.4375 +Width: 16 N: 10 + X: -1.375 +exp_table[1002] = 0.25293 X: -1.375 +Width: 16 N: 10 + X: -1.3125 +exp_table[1003] = 0.269531 X: -1.3125 +Width: 16 N: 10 + X: -1.25 +exp_table[1004] = 0.286133 X: -1.25 +Width: 16 N: 10 + X: -1.1875 +exp_table[1005] = 0.304688 X: -1.1875 +Width: 16 N: 10 + X: -1.125 +exp_table[1006] = 0.324219 X: -1.125 +Width: 16 N: 10 + X: -1.0625 +exp_table[1007] = 0.345703 X: -1.0625 +Width: 16 N: 10 + X: -1 +exp_table[1008] = 0.368164 X: -1 +Width: 16 N: 10 + X: -0.9375 +exp_table[1009] = 0.391602 X: -0.9375 +Width: 16 N: 10 + X: -0.875 +exp_table[1010] = 0.416992 X: -0.875 +Width: 16 N: 10 + X: -0.8125 +exp_table[1011] = 0.443359 X: -0.8125 +Width: 16 N: 10 + X: -0.75 +exp_table[1012] = 0.472656 X: -0.75 +Width: 16 N: 10 + X: -0.6875 +exp_table[1013] = 0.50293 X: -0.6875 +Width: 16 N: 10 + X: -0.625 +exp_table[1014] = 0.535156 X: -0.625 +Width: 16 N: 10 + X: -0.5625 +exp_table[1015] = 0.569336 X: -0.5625 +Width: 16 N: 10 + X: -0.5 +exp_table[1016] = 0.606445 X: -0.5 +Width: 16 N: 10 + X: -0.4375 +exp_table[1017] = 0.645508 X: -0.4375 +Width: 16 N: 10 + X: -0.375 +exp_table[1018] = 0.6875 X: -0.375 +Width: 16 N: 10 + X: -0.3125 +exp_table[1019] = 0.731445 X: -0.3125 +Width: 16 N: 10 + X: -0.25 +exp_table[1020] = 0.77832 X: -0.25 +Width: 16 N: 10 + X: -0.1875 +exp_table[1021] = 0.829102 X: -0.1875 +Width: 16 N: 10 + X: -0.125 +exp_table[1022] = 0.882813 X: -0.125 +Width: 16 N: 10 + X: -0.0625 +exp_table[1023] = 0.939453 X: -0.0625 +Width: 18 N: 10 + X: 0 +inv_table[0] = 127.999 X: 0 +Width: 18 N: 10 + X: 0.25 +inv_table[1] = 4 X: 0.25 +Width: 18 N: 10 + X: 0.5 +inv_table[2] = 2 X: 0.5 +Width: 18 N: 10 + X: 0.75 +inv_table[3] = 1.33301 X: 0.75 +Width: 18 N: 10 + X: 1 +inv_table[4] = 1 X: 1 +Width: 18 N: 10 + X: 1.25 +inv_table[5] = 0.799805 X: 1.25 +Width: 18 N: 10 + X: 1.5 +inv_table[6] = 0.666992 X: 1.5 +Width: 18 N: 10 + X: 1.75 +inv_table[7] = 0.571289 X: 1.75 +Width: 18 N: 10 + X: 2 +inv_table[8] = 0.5 X: 2 +Width: 18 N: 10 + X: 2.25 +inv_table[9] = 0.444336 X: 2.25 +Width: 18 N: 10 + X: 2.5 +inv_table[10] = 0.400391 X: 2.5 +Width: 18 N: 10 + X: 2.75 +inv_table[11] = 0.363281 X: 2.75 +Width: 18 N: 10 + X: 3 +inv_table[12] = 0.333008 X: 3 +Width: 18 N: 10 + X: 3.25 +inv_table[13] = 0.307617 X: 3.25 +Width: 18 N: 10 + X: 3.5 +inv_table[14] = 0.286133 X: 3.5 +Width: 18 N: 10 + X: 3.75 +inv_table[15] = 0.266602 X: 3.75 +Width: 18 N: 10 + X: 4 +inv_table[16] = 0.25 X: 4 +Width: 18 N: 10 + X: 4.25 +inv_table[17] = 0.235352 X: 4.25 +Width: 18 N: 10 + X: 4.5 +inv_table[18] = 0.222656 X: 4.5 +Width: 18 N: 10 + X: 4.75 +inv_table[19] = 0.210938 X: 4.75 +Width: 18 N: 10 + X: 5 +inv_table[20] = 0.200195 X: 5 +Width: 18 N: 10 + X: 5.25 +inv_table[21] = 0.19043 X: 5.25 +Width: 18 N: 10 + X: 5.5 +inv_table[22] = 0.181641 X: 5.5 +Width: 18 N: 10 + X: 5.75 +inv_table[23] = 0.173828 X: 5.75 +Width: 18 N: 10 + X: 6 +inv_table[24] = 0.166992 X: 6 +Width: 18 N: 10 + X: 6.25 +inv_table[25] = 0.160156 X: 6.25 +Width: 18 N: 10 + X: 6.5 +inv_table[26] = 0.154297 X: 6.5 +Width: 18 N: 10 + X: 6.75 +inv_table[27] = 0.148438 X: 6.75 +Width: 18 N: 10 + X: 7 +inv_table[28] = 0.142578 X: 7 +Width: 18 N: 10 + X: 7.25 +inv_table[29] = 0.137695 X: 7.25 +Width: 18 N: 10 + X: 7.5 +inv_table[30] = 0.133789 X: 7.5 +Width: 18 N: 10 + X: 7.75 +inv_table[31] = 0.128906 X: 7.75 +Width: 18 N: 10 + X: 8 +inv_table[32] = 0.125 X: 8 +Width: 18 N: 10 + X: 8.25 +inv_table[33] = 0.121094 X: 8.25 +Width: 18 N: 10 + X: 8.5 +inv_table[34] = 0.117188 X: 8.5 +Width: 18 N: 10 + X: 8.75 +inv_table[35] = 0.114258 X: 8.75 +Width: 18 N: 10 + X: 9 +inv_table[36] = 0.111328 X: 9 +Width: 18 N: 10 + X: 9.25 +inv_table[37] = 0.108398 X: 9.25 +Width: 18 N: 10 + X: 9.5 +inv_table[38] = 0.105469 X: 9.5 +Width: 18 N: 10 + X: 9.75 +inv_table[39] = 0.102539 X: 9.75 +Width: 18 N: 10 + X: 10 +inv_table[40] = 0.0996094 X: 10 +Width: 18 N: 10 + X: 10.25 +inv_table[41] = 0.0976563 X: 10.25 +Width: 18 N: 10 + X: 10.5 +inv_table[42] = 0.0957031 X: 10.5 +Width: 18 N: 10 + X: 10.75 +inv_table[43] = 0.0927734 X: 10.75 +Width: 18 N: 10 + X: 11 +inv_table[44] = 0.0908203 X: 11 +Width: 18 N: 10 + X: 11.25 +inv_table[45] = 0.0888672 X: 11.25 +Width: 18 N: 10 + X: 11.5 +inv_table[46] = 0.0869141 X: 11.5 +Width: 18 N: 10 + X: 11.75 +inv_table[47] = 0.0849609 X: 11.75 +Width: 18 N: 10 + X: 12 +inv_table[48] = 0.0830078 X: 12 +Width: 18 N: 10 + X: 12.25 +inv_table[49] = 0.0820313 X: 12.25 +Width: 18 N: 10 + X: 12.5 +inv_table[50] = 0.0800781 X: 12.5 +Width: 18 N: 10 + X: 12.75 +inv_table[51] = 0.078125 X: 12.75 +Width: 18 N: 10 + X: 13 +inv_table[52] = 0.0771484 X: 13 +Width: 18 N: 10 + X: 13.25 +inv_table[53] = 0.0751953 X: 13.25 +Width: 18 N: 10 + X: 13.5 +inv_table[54] = 0.0742188 X: 13.5 +Width: 18 N: 10 + X: 13.75 +inv_table[55] = 0.0722656 X: 13.75 +Width: 18 N: 10 + X: 14 +inv_table[56] = 0.0712891 X: 14 +Width: 18 N: 10 + X: 14.25 +inv_table[57] = 0.0703125 X: 14.25 +Width: 18 N: 10 + X: 14.5 +inv_table[58] = 0.0693359 X: 14.5 +Width: 18 N: 10 + X: 14.75 +inv_table[59] = 0.0673828 X: 14.75 +Width: 18 N: 10 + X: 15 +inv_table[60] = 0.0664063 X: 15 +Width: 18 N: 10 + X: 15.25 +inv_table[61] = 0.0654297 X: 15.25 +Width: 18 N: 10 + X: 15.5 +inv_table[62] = 0.0644531 X: 15.5 +Width: 18 N: 10 + X: 15.75 +inv_table[63] = 0.0634766 X: 15.75 +Width: 18 N: 10 + X: 16 +inv_table[64] = 0.0625 X: 16 +Width: 18 N: 10 + X: 16.25 +inv_table[65] = 0.0615234 X: 16.25 +Width: 18 N: 10 + X: 16.5 +inv_table[66] = 0.0605469 X: 16.5 +Width: 18 N: 10 + X: 16.75 +inv_table[67] = 0.0595703 X: 16.75 +Width: 18 N: 10 + X: 17 +inv_table[68] = 0.0585938 X: 17 +Width: 18 N: 10 + X: 17.25 +inv_table[69] = 0.0576172 X: 17.25 +Width: 18 N: 10 + X: 17.5 +inv_table[70] = 0.0576172 X: 17.5 +Width: 18 N: 10 + X: 17.75 +inv_table[71] = 0.0566406 X: 17.75 +Width: 18 N: 10 + X: 18 +inv_table[72] = 0.0556641 X: 18 +Width: 18 N: 10 + X: 18.25 +inv_table[73] = 0.0546875 X: 18.25 +Width: 18 N: 10 + X: 18.5 +inv_table[74] = 0.0537109 X: 18.5 +Width: 18 N: 10 + X: 18.75 +inv_table[75] = 0.0537109 X: 18.75 +Width: 18 N: 10 + X: 19 +inv_table[76] = 0.0527344 X: 19 +Width: 18 N: 10 + X: 19.25 +inv_table[77] = 0.0517578 X: 19.25 +Width: 18 N: 10 + X: 19.5 +inv_table[78] = 0.0517578 X: 19.5 +Width: 18 N: 10 + X: 19.75 +inv_table[79] = 0.0507813 X: 19.75 +Width: 18 N: 10 + X: 20 +inv_table[80] = 0.0498047 X: 20 +Width: 18 N: 10 + X: 20.25 +inv_table[81] = 0.0498047 X: 20.25 +Width: 18 N: 10 + X: 20.5 +inv_table[82] = 0.0488281 X: 20.5 +Width: 18 N: 10 + X: 20.75 +inv_table[83] = 0.0478516 X: 20.75 +Width: 18 N: 10 + X: 21 +inv_table[84] = 0.0478516 X: 21 +Width: 18 N: 10 + X: 21.25 +inv_table[85] = 0.046875 X: 21.25 +Width: 18 N: 10 + X: 21.5 +inv_table[86] = 0.046875 X: 21.5 +Width: 18 N: 10 + X: 21.75 +inv_table[87] = 0.0458984 X: 21.75 +Width: 18 N: 10 + X: 22 +inv_table[88] = 0.0458984 X: 22 +Width: 18 N: 10 + X: 22.25 +inv_table[89] = 0.0449219 X: 22.25 +Width: 18 N: 10 + X: 22.5 +inv_table[90] = 0.0449219 X: 22.5 +Width: 18 N: 10 + X: 22.75 +inv_table[91] = 0.0439453 X: 22.75 +Width: 18 N: 10 + X: 23 +inv_table[92] = 0.0439453 X: 23 +Width: 18 N: 10 + X: 23.25 +inv_table[93] = 0.0429688 X: 23.25 +Width: 18 N: 10 + X: 23.5 +inv_table[94] = 0.0429688 X: 23.5 +Width: 18 N: 10 + X: 23.75 +inv_table[95] = 0.0419922 X: 23.75 +Width: 18 N: 10 + X: 24 +inv_table[96] = 0.0419922 X: 24 +Width: 18 N: 10 + X: 24.25 +inv_table[97] = 0.0410156 X: 24.25 +Width: 18 N: 10 + X: 24.5 +inv_table[98] = 0.0410156 X: 24.5 +Width: 18 N: 10 + X: 24.75 +inv_table[99] = 0.0400391 X: 24.75 +Width: 18 N: 10 + X: 25 +inv_table[100] = 0.0400391 X: 25 +Width: 18 N: 10 + X: 25.25 +inv_table[101] = 0.0400391 X: 25.25 +Width: 18 N: 10 + X: 25.5 +inv_table[102] = 0.0390625 X: 25.5 +Width: 18 N: 10 + X: 25.75 +inv_table[103] = 0.0390625 X: 25.75 +Width: 18 N: 10 + X: 26 +inv_table[104] = 0.0380859 X: 26 +Width: 18 N: 10 + X: 26.25 +inv_table[105] = 0.0380859 X: 26.25 +Width: 18 N: 10 + X: 26.5 +inv_table[106] = 0.0380859 X: 26.5 +Width: 18 N: 10 + X: 26.75 +inv_table[107] = 0.0371094 X: 26.75 +Width: 18 N: 10 + X: 27 +inv_table[108] = 0.0371094 X: 27 +Width: 18 N: 10 + X: 27.25 +inv_table[109] = 0.0371094 X: 27.25 +Width: 18 N: 10 + X: 27.5 +inv_table[110] = 0.0361328 X: 27.5 +Width: 18 N: 10 + X: 27.75 +inv_table[111] = 0.0361328 X: 27.75 +Width: 18 N: 10 + X: 28 +inv_table[112] = 0.0361328 X: 28 +Width: 18 N: 10 + X: 28.25 +inv_table[113] = 0.0351563 X: 28.25 +Width: 18 N: 10 + X: 28.5 +inv_table[114] = 0.0351563 X: 28.5 +Width: 18 N: 10 + X: 28.75 +inv_table[115] = 0.0351563 X: 28.75 +Width: 18 N: 10 + X: 29 +inv_table[116] = 0.0341797 X: 29 +Width: 18 N: 10 + X: 29.25 +inv_table[117] = 0.0341797 X: 29.25 +Width: 18 N: 10 + X: 29.5 +inv_table[118] = 0.0341797 X: 29.5 +Width: 18 N: 10 + X: 29.75 +inv_table[119] = 0.0332031 X: 29.75 +Width: 18 N: 10 + X: 30 +inv_table[120] = 0.0332031 X: 30 +Width: 18 N: 10 + X: 30.25 +inv_table[121] = 0.0332031 X: 30.25 +Width: 18 N: 10 + X: 30.5 +inv_table[122] = 0.0332031 X: 30.5 +Width: 18 N: 10 + X: 30.75 +inv_table[123] = 0.0322266 X: 30.75 +Width: 18 N: 10 + X: 31 +inv_table[124] = 0.0322266 X: 31 +Width: 18 N: 10 + X: 31.25 +inv_table[125] = 0.0322266 X: 31.25 +Width: 18 N: 10 + X: 31.5 +inv_table[126] = 0.0322266 X: 31.5 +Width: 18 N: 10 + X: 31.75 +inv_table[127] = 0.03125 X: 31.75 +Width: 18 N: 10 + X: 32 +inv_table[128] = 0.03125 X: 32 +Width: 18 N: 10 + X: 32.25 +inv_table[129] = 0.03125 X: 32.25 +Width: 18 N: 10 + X: 32.5 +inv_table[130] = 0.03125 X: 32.5 +Width: 18 N: 10 + X: 32.75 +inv_table[131] = 0.0302734 X: 32.75 +Width: 18 N: 10 + X: 33 +inv_table[132] = 0.0302734 X: 33 +Width: 18 N: 10 + X: 33.25 +inv_table[133] = 0.0302734 X: 33.25 +Width: 18 N: 10 + X: 33.5 +inv_table[134] = 0.0302734 X: 33.5 +Width: 18 N: 10 + X: 33.75 +inv_table[135] = 0.0292969 X: 33.75 +Width: 18 N: 10 + X: 34 +inv_table[136] = 0.0292969 X: 34 +Width: 18 N: 10 + X: 34.25 +inv_table[137] = 0.0292969 X: 34.25 +Width: 18 N: 10 + X: 34.5 +inv_table[138] = 0.0292969 X: 34.5 +Width: 18 N: 10 + X: 34.75 +inv_table[139] = 0.0283203 X: 34.75 +Width: 18 N: 10 + X: 35 +inv_table[140] = 0.0283203 X: 35 +Width: 18 N: 10 + X: 35.25 +inv_table[141] = 0.0283203 X: 35.25 +Width: 18 N: 10 + X: 35.5 +inv_table[142] = 0.0283203 X: 35.5 +Width: 18 N: 10 + X: 35.75 +inv_table[143] = 0.0283203 X: 35.75 +Width: 18 N: 10 + X: 36 +inv_table[144] = 0.0273438 X: 36 +Width: 18 N: 10 + X: 36.25 +inv_table[145] = 0.0273438 X: 36.25 +Width: 18 N: 10 + X: 36.5 +inv_table[146] = 0.0273438 X: 36.5 +Width: 18 N: 10 + X: 36.75 +inv_table[147] = 0.0273438 X: 36.75 +Width: 18 N: 10 + X: 37 +inv_table[148] = 0.0273438 X: 37 +Width: 18 N: 10 + X: 37.25 +inv_table[149] = 0.0263672 X: 37.25 +Width: 18 N: 10 + X: 37.5 +inv_table[150] = 0.0263672 X: 37.5 +Width: 18 N: 10 + X: 37.75 +inv_table[151] = 0.0263672 X: 37.75 +Width: 18 N: 10 + X: 38 +inv_table[152] = 0.0263672 X: 38 +Width: 18 N: 10 + X: 38.25 +inv_table[153] = 0.0263672 X: 38.25 +Width: 18 N: 10 + X: 38.5 +inv_table[154] = 0.0263672 X: 38.5 +Width: 18 N: 10 + X: 38.75 +inv_table[155] = 0.0253906 X: 38.75 +Width: 18 N: 10 + X: 39 +inv_table[156] = 0.0253906 X: 39 +Width: 18 N: 10 + X: 39.25 +inv_table[157] = 0.0253906 X: 39.25 +Width: 18 N: 10 + X: 39.5 +inv_table[158] = 0.0253906 X: 39.5 +Width: 18 N: 10 + X: 39.75 +inv_table[159] = 0.0253906 X: 39.75 +Width: 18 N: 10 + X: 40 +inv_table[160] = 0.0253906 X: 40 +Width: 18 N: 10 + X: 40.25 +inv_table[161] = 0.0244141 X: 40.25 +Width: 18 N: 10 + X: 40.5 +inv_table[162] = 0.0244141 X: 40.5 +Width: 18 N: 10 + X: 40.75 +inv_table[163] = 0.0244141 X: 40.75 +Width: 18 N: 10 + X: 41 +inv_table[164] = 0.0244141 X: 41 +Width: 18 N: 10 + X: 41.25 +inv_table[165] = 0.0244141 X: 41.25 +Width: 18 N: 10 + X: 41.5 +inv_table[166] = 0.0244141 X: 41.5 +Width: 18 N: 10 + X: 41.75 +inv_table[167] = 0.0244141 X: 41.75 +Width: 18 N: 10 + X: 42 +inv_table[168] = 0.0234375 X: 42 +Width: 18 N: 10 + X: 42.25 +inv_table[169] = 0.0234375 X: 42.25 +Width: 18 N: 10 + X: 42.5 +inv_table[170] = 0.0234375 X: 42.5 +Width: 18 N: 10 + X: 42.75 +inv_table[171] = 0.0234375 X: 42.75 +Width: 18 N: 10 + X: 43 +inv_table[172] = 0.0234375 X: 43 +Width: 18 N: 10 + X: 43.25 +inv_table[173] = 0.0234375 X: 43.25 +Width: 18 N: 10 + X: 43.5 +inv_table[174] = 0.0234375 X: 43.5 +Width: 18 N: 10 + X: 43.75 +inv_table[175] = 0.0224609 X: 43.75 +Width: 18 N: 10 + X: 44 +inv_table[176] = 0.0224609 X: 44 +Width: 18 N: 10 + X: 44.25 +inv_table[177] = 0.0224609 X: 44.25 +Width: 18 N: 10 + X: 44.5 +inv_table[178] = 0.0224609 X: 44.5 +Width: 18 N: 10 + X: 44.75 +inv_table[179] = 0.0224609 X: 44.75 +Width: 18 N: 10 + X: 45 +inv_table[180] = 0.0224609 X: 45 +Width: 18 N: 10 + X: 45.25 +inv_table[181] = 0.0224609 X: 45.25 +Width: 18 N: 10 + X: 45.5 +inv_table[182] = 0.0224609 X: 45.5 +Width: 18 N: 10 + X: 45.75 +inv_table[183] = 0.0214844 X: 45.75 +Width: 18 N: 10 + X: 46 +inv_table[184] = 0.0214844 X: 46 +Width: 18 N: 10 + X: 46.25 +inv_table[185] = 0.0214844 X: 46.25 +Width: 18 N: 10 + X: 46.5 +inv_table[186] = 0.0214844 X: 46.5 +Width: 18 N: 10 + X: 46.75 +inv_table[187] = 0.0214844 X: 46.75 +Width: 18 N: 10 + X: 47 +inv_table[188] = 0.0214844 X: 47 +Width: 18 N: 10 + X: 47.25 +inv_table[189] = 0.0214844 X: 47.25 +Width: 18 N: 10 + X: 47.5 +inv_table[190] = 0.0214844 X: 47.5 +Width: 18 N: 10 + X: 47.75 +inv_table[191] = 0.0205078 X: 47.75 +Width: 18 N: 10 + X: 48 +inv_table[192] = 0.0205078 X: 48 +Width: 18 N: 10 + X: 48.25 +inv_table[193] = 0.0205078 X: 48.25 +Width: 18 N: 10 + X: 48.5 +inv_table[194] = 0.0205078 X: 48.5 +Width: 18 N: 10 + X: 48.75 +inv_table[195] = 0.0205078 X: 48.75 +Width: 18 N: 10 + X: 49 +inv_table[196] = 0.0205078 X: 49 +Width: 18 N: 10 + X: 49.25 +inv_table[197] = 0.0205078 X: 49.25 +Width: 18 N: 10 + X: 49.5 +inv_table[198] = 0.0205078 X: 49.5 +Width: 18 N: 10 + X: 49.75 +inv_table[199] = 0.0205078 X: 49.75 +Width: 18 N: 10 + X: 50 +inv_table[200] = 0.0195313 X: 50 +Width: 18 N: 10 + X: 50.25 +inv_table[201] = 0.0195313 X: 50.25 +Width: 18 N: 10 + X: 50.5 +inv_table[202] = 0.0195313 X: 50.5 +Width: 18 N: 10 + X: 50.75 +inv_table[203] = 0.0195313 X: 50.75 +Width: 18 N: 10 + X: 51 +inv_table[204] = 0.0195313 X: 51 +Width: 18 N: 10 + X: 51.25 +inv_table[205] = 0.0195313 X: 51.25 +Width: 18 N: 10 + X: 51.5 +inv_table[206] = 0.0195313 X: 51.5 +Width: 18 N: 10 + X: 51.75 +inv_table[207] = 0.0195313 X: 51.75 +Width: 18 N: 10 + X: 52 +inv_table[208] = 0.0195313 X: 52 +Width: 18 N: 10 + X: 52.25 +inv_table[209] = 0.0195313 X: 52.25 +Width: 18 N: 10 + X: 52.5 +inv_table[210] = 0.0195313 X: 52.5 +Width: 18 N: 10 + X: 52.75 +inv_table[211] = 0.0185547 X: 52.75 +Width: 18 N: 10 + X: 53 +inv_table[212] = 0.0185547 X: 53 +Width: 18 N: 10 + X: 53.25 +inv_table[213] = 0.0185547 X: 53.25 +Width: 18 N: 10 + X: 53.5 +inv_table[214] = 0.0185547 X: 53.5 +Width: 18 N: 10 + X: 53.75 +inv_table[215] = 0.0185547 X: 53.75 +Width: 18 N: 10 + X: 54 +inv_table[216] = 0.0185547 X: 54 +Width: 18 N: 10 + X: 54.25 +inv_table[217] = 0.0185547 X: 54.25 +Width: 18 N: 10 + X: 54.5 +inv_table[218] = 0.0185547 X: 54.5 +Width: 18 N: 10 + X: 54.75 +inv_table[219] = 0.0185547 X: 54.75 +Width: 18 N: 10 + X: 55 +inv_table[220] = 0.0185547 X: 55 +Width: 18 N: 10 + X: 55.25 +inv_table[221] = 0.0185547 X: 55.25 +Width: 18 N: 10 + X: 55.5 +inv_table[222] = 0.0175781 X: 55.5 +Width: 18 N: 10 + X: 55.75 +inv_table[223] = 0.0175781 X: 55.75 +Width: 18 N: 10 + X: 56 +inv_table[224] = 0.0175781 X: 56 +Width: 18 N: 10 + X: 56.25 +inv_table[225] = 0.0175781 X: 56.25 +Width: 18 N: 10 + X: 56.5 +inv_table[226] = 0.0175781 X: 56.5 +Width: 18 N: 10 + X: 56.75 +inv_table[227] = 0.0175781 X: 56.75 +Width: 18 N: 10 + X: 57 +inv_table[228] = 0.0175781 X: 57 +Width: 18 N: 10 + X: 57.25 +inv_table[229] = 0.0175781 X: 57.25 +Width: 18 N: 10 + X: 57.5 +inv_table[230] = 0.0175781 X: 57.5 +Width: 18 N: 10 + X: 57.75 +inv_table[231] = 0.0175781 X: 57.75 +Width: 18 N: 10 + X: 58 +inv_table[232] = 0.0175781 X: 58 +Width: 18 N: 10 + X: 58.25 +inv_table[233] = 0.0175781 X: 58.25 +Width: 18 N: 10 + X: 58.5 +inv_table[234] = 0.0175781 X: 58.5 +Width: 18 N: 10 + X: 58.75 +inv_table[235] = 0.0166016 X: 58.75 +Width: 18 N: 10 + X: 59 +inv_table[236] = 0.0166016 X: 59 +Width: 18 N: 10 + X: 59.25 +inv_table[237] = 0.0166016 X: 59.25 +Width: 18 N: 10 + X: 59.5 +inv_table[238] = 0.0166016 X: 59.5 +Width: 18 N: 10 + X: 59.75 +inv_table[239] = 0.0166016 X: 59.75 +Width: 18 N: 10 + X: 60 +inv_table[240] = 0.0166016 X: 60 +Width: 18 N: 10 + X: 60.25 +inv_table[241] = 0.0166016 X: 60.25 +Width: 18 N: 10 + X: 60.5 +inv_table[242] = 0.0166016 X: 60.5 +Width: 18 N: 10 + X: 60.75 +inv_table[243] = 0.0166016 X: 60.75 +Width: 18 N: 10 + X: 61 +inv_table[244] = 0.0166016 X: 61 +Width: 18 N: 10 + X: 61.25 +inv_table[245] = 0.0166016 X: 61.25 +Width: 18 N: 10 + X: 61.5 +inv_table[246] = 0.0166016 X: 61.5 +Width: 18 N: 10 + X: 61.75 +inv_table[247] = 0.0166016 X: 61.75 +Width: 18 N: 10 + X: 62 +inv_table[248] = 0.0166016 X: 62 +Width: 18 N: 10 + X: 62.25 +inv_table[249] = 0.015625 X: 62.25 +Width: 18 N: 10 + X: 62.5 +inv_table[250] = 0.015625 X: 62.5 +Width: 18 N: 10 + X: 62.75 +inv_table[251] = 0.015625 X: 62.75 +Width: 18 N: 10 + X: 63 +inv_table[252] = 0.015625 X: 63 +Width: 18 N: 10 + X: 63.25 +inv_table[253] = 0.015625 X: 63.25 +Width: 18 N: 10 + X: 63.5 +inv_table[254] = 0.015625 X: 63.5 +Width: 18 N: 10 + X: 63.75 +inv_table[255] = 0.015625 X: 63.75 +Width: 18 N: 10 + X: 64 +inv_table[256] = 0.015625 X: 64 +Width: 18 N: 10 + X: 64.25 +inv_table[257] = 0.015625 X: 64.25 +Width: 18 N: 10 + X: 64.5 +inv_table[258] = 0.015625 X: 64.5 +Width: 18 N: 10 + X: 64.75 +inv_table[259] = 0.015625 X: 64.75 +Width: 18 N: 10 + X: 65 +inv_table[260] = 0.015625 X: 65 +Width: 18 N: 10 + X: 65.25 +inv_table[261] = 0.015625 X: 65.25 +Width: 18 N: 10 + X: 65.5 +inv_table[262] = 0.015625 X: 65.5 +Width: 18 N: 10 + X: 65.75 +inv_table[263] = 0.015625 X: 65.75 +Width: 18 N: 10 + X: 66 +inv_table[264] = 0.015625 X: 66 +Width: 18 N: 10 + X: 66.25 +inv_table[265] = 0.0146484 X: 66.25 +Width: 18 N: 10 + X: 66.5 +inv_table[266] = 0.0146484 X: 66.5 +Width: 18 N: 10 + X: 66.75 +inv_table[267] = 0.0146484 X: 66.75 +Width: 18 N: 10 + X: 67 +inv_table[268] = 0.0146484 X: 67 +Width: 18 N: 10 + X: 67.25 +inv_table[269] = 0.0146484 X: 67.25 +Width: 18 N: 10 + X: 67.5 +inv_table[270] = 0.0146484 X: 67.5 +Width: 18 N: 10 + X: 67.75 +inv_table[271] = 0.0146484 X: 67.75 +Width: 18 N: 10 + X: 68 +inv_table[272] = 0.0146484 X: 68 +Width: 18 N: 10 + X: 68.25 +inv_table[273] = 0.0146484 X: 68.25 +Width: 18 N: 10 + X: 68.5 +inv_table[274] = 0.0146484 X: 68.5 +Width: 18 N: 10 + X: 68.75 +inv_table[275] = 0.0146484 X: 68.75 +Width: 18 N: 10 + X: 69 +inv_table[276] = 0.0146484 X: 69 +Width: 18 N: 10 + X: 69.25 +inv_table[277] = 0.0146484 X: 69.25 +Width: 18 N: 10 + X: 69.5 +inv_table[278] = 0.0146484 X: 69.5 +Width: 18 N: 10 + X: 69.75 +inv_table[279] = 0.0146484 X: 69.75 +Width: 18 N: 10 + X: 70 +inv_table[280] = 0.0146484 X: 70 +Width: 18 N: 10 + X: 70.25 +inv_table[281] = 0.0146484 X: 70.25 +Width: 18 N: 10 + X: 70.5 +inv_table[282] = 0.0146484 X: 70.5 +Width: 18 N: 10 + X: 70.75 +inv_table[283] = 0.0136719 X: 70.75 +Width: 18 N: 10 + X: 71 +inv_table[284] = 0.0136719 X: 71 +Width: 18 N: 10 + X: 71.25 +inv_table[285] = 0.0136719 X: 71.25 +Width: 18 N: 10 + X: 71.5 +inv_table[286] = 0.0136719 X: 71.5 +Width: 18 N: 10 + X: 71.75 +inv_table[287] = 0.0136719 X: 71.75 +Width: 18 N: 10 + X: 72 +inv_table[288] = 0.0136719 X: 72 +Width: 18 N: 10 + X: 72.25 +inv_table[289] = 0.0136719 X: 72.25 +Width: 18 N: 10 + X: 72.5 +inv_table[290] = 0.0136719 X: 72.5 +Width: 18 N: 10 + X: 72.75 +inv_table[291] = 0.0136719 X: 72.75 +Width: 18 N: 10 + X: 73 +inv_table[292] = 0.0136719 X: 73 +Width: 18 N: 10 + X: 73.25 +inv_table[293] = 0.0136719 X: 73.25 +Width: 18 N: 10 + X: 73.5 +inv_table[294] = 0.0136719 X: 73.5 +Width: 18 N: 10 + X: 73.75 +inv_table[295] = 0.0136719 X: 73.75 +Width: 18 N: 10 + X: 74 +inv_table[296] = 0.0136719 X: 74 +Width: 18 N: 10 + X: 74.25 +inv_table[297] = 0.0136719 X: 74.25 +Width: 18 N: 10 + X: 74.5 +inv_table[298] = 0.0136719 X: 74.5 +Width: 18 N: 10 + X: 74.75 +inv_table[299] = 0.0136719 X: 74.75 +Width: 18 N: 10 + X: 75 +inv_table[300] = 0.0136719 X: 75 +Width: 18 N: 10 + X: 75.25 +inv_table[301] = 0.0136719 X: 75.25 +Width: 18 N: 10 + X: 75.5 +inv_table[302] = 0.0136719 X: 75.5 +Width: 18 N: 10 + X: 75.75 +inv_table[303] = 0.0136719 X: 75.75 +Width: 18 N: 10 + X: 76 +inv_table[304] = 0.0126953 X: 76 +Width: 18 N: 10 + X: 76.25 +inv_table[305] = 0.0126953 X: 76.25 +Width: 18 N: 10 + X: 76.5 +inv_table[306] = 0.0126953 X: 76.5 +Width: 18 N: 10 + X: 76.75 +inv_table[307] = 0.0126953 X: 76.75 +Width: 18 N: 10 + X: 77 +inv_table[308] = 0.0126953 X: 77 +Width: 18 N: 10 + X: 77.25 +inv_table[309] = 0.0126953 X: 77.25 +Width: 18 N: 10 + X: 77.5 +inv_table[310] = 0.0126953 X: 77.5 +Width: 18 N: 10 + X: 77.75 +inv_table[311] = 0.0126953 X: 77.75 +Width: 18 N: 10 + X: 78 +inv_table[312] = 0.0126953 X: 78 +Width: 18 N: 10 + X: 78.25 +inv_table[313] = 0.0126953 X: 78.25 +Width: 18 N: 10 + X: 78.5 +inv_table[314] = 0.0126953 X: 78.5 +Width: 18 N: 10 + X: 78.75 +inv_table[315] = 0.0126953 X: 78.75 +Width: 18 N: 10 + X: 79 +inv_table[316] = 0.0126953 X: 79 +Width: 18 N: 10 + X: 79.25 +inv_table[317] = 0.0126953 X: 79.25 +Width: 18 N: 10 + X: 79.5 +inv_table[318] = 0.0126953 X: 79.5 +Width: 18 N: 10 + X: 79.75 +inv_table[319] = 0.0126953 X: 79.75 +Width: 18 N: 10 + X: 80 +inv_table[320] = 0.0126953 X: 80 +Width: 18 N: 10 + X: 80.25 +inv_table[321] = 0.0126953 X: 80.25 +Width: 18 N: 10 + X: 80.5 +inv_table[322] = 0.0126953 X: 80.5 +Width: 18 N: 10 + X: 80.75 +inv_table[323] = 0.0126953 X: 80.75 +Width: 18 N: 10 + X: 81 +inv_table[324] = 0.0126953 X: 81 +Width: 18 N: 10 + X: 81.25 +inv_table[325] = 0.0126953 X: 81.25 +Width: 18 N: 10 + X: 81.5 +inv_table[326] = 0.0126953 X: 81.5 +Width: 18 N: 10 + X: 81.75 +inv_table[327] = 0.0126953 X: 81.75 +Width: 18 N: 10 + X: 82 +inv_table[328] = 0.0117188 X: 82 +Width: 18 N: 10 + X: 82.25 +inv_table[329] = 0.0117188 X: 82.25 +Width: 18 N: 10 + X: 82.5 +inv_table[330] = 0.0117188 X: 82.5 +Width: 18 N: 10 + X: 82.75 +inv_table[331] = 0.0117188 X: 82.75 +Width: 18 N: 10 + X: 83 +inv_table[332] = 0.0117188 X: 83 +Width: 18 N: 10 + X: 83.25 +inv_table[333] = 0.0117188 X: 83.25 +Width: 18 N: 10 + X: 83.5 +inv_table[334] = 0.0117188 X: 83.5 +Width: 18 N: 10 + X: 83.75 +inv_table[335] = 0.0117188 X: 83.75 +Width: 18 N: 10 + X: 84 +inv_table[336] = 0.0117188 X: 84 +Width: 18 N: 10 + X: 84.25 +inv_table[337] = 0.0117188 X: 84.25 +Width: 18 N: 10 + X: 84.5 +inv_table[338] = 0.0117188 X: 84.5 +Width: 18 N: 10 + X: 84.75 +inv_table[339] = 0.0117188 X: 84.75 +Width: 18 N: 10 + X: 85 +inv_table[340] = 0.0117188 X: 85 +Width: 18 N: 10 + X: 85.25 +inv_table[341] = 0.0117188 X: 85.25 +Width: 18 N: 10 + X: 85.5 +inv_table[342] = 0.0117188 X: 85.5 +Width: 18 N: 10 + X: 85.75 +inv_table[343] = 0.0117188 X: 85.75 +Width: 18 N: 10 + X: 86 +inv_table[344] = 0.0117188 X: 86 +Width: 18 N: 10 + X: 86.25 +inv_table[345] = 0.0117188 X: 86.25 +Width: 18 N: 10 + X: 86.5 +inv_table[346] = 0.0117188 X: 86.5 +Width: 18 N: 10 + X: 86.75 +inv_table[347] = 0.0117188 X: 86.75 +Width: 18 N: 10 + X: 87 +inv_table[348] = 0.0117188 X: 87 +Width: 18 N: 10 + X: 87.25 +inv_table[349] = 0.0117188 X: 87.25 +Width: 18 N: 10 + X: 87.5 +inv_table[350] = 0.0117188 X: 87.5 +Width: 18 N: 10 + X: 87.75 +inv_table[351] = 0.0117188 X: 87.75 +Width: 18 N: 10 + X: 88 +inv_table[352] = 0.0117188 X: 88 +Width: 18 N: 10 + X: 88.25 +inv_table[353] = 0.0117188 X: 88.25 +Width: 18 N: 10 + X: 88.5 +inv_table[354] = 0.0117188 X: 88.5 +Width: 18 N: 10 + X: 88.75 +inv_table[355] = 0.0117188 X: 88.75 +Width: 18 N: 10 + X: 89 +inv_table[356] = 0.0117188 X: 89 +Width: 18 N: 10 + X: 89.25 +inv_table[357] = 0.0107422 X: 89.25 +Width: 18 N: 10 + X: 89.5 +inv_table[358] = 0.0107422 X: 89.5 +Width: 18 N: 10 + X: 89.75 +inv_table[359] = 0.0107422 X: 89.75 +Width: 18 N: 10 + X: 90 +inv_table[360] = 0.0107422 X: 90 +Width: 18 N: 10 + X: 90.25 +inv_table[361] = 0.0107422 X: 90.25 +Width: 18 N: 10 + X: 90.5 +inv_table[362] = 0.0107422 X: 90.5 +Width: 18 N: 10 + X: 90.75 +inv_table[363] = 0.0107422 X: 90.75 +Width: 18 N: 10 + X: 91 +inv_table[364] = 0.0107422 X: 91 +Width: 18 N: 10 + X: 91.25 +inv_table[365] = 0.0107422 X: 91.25 +Width: 18 N: 10 + X: 91.5 +inv_table[366] = 0.0107422 X: 91.5 +Width: 18 N: 10 + X: 91.75 +inv_table[367] = 0.0107422 X: 91.75 +Width: 18 N: 10 + X: 92 +inv_table[368] = 0.0107422 X: 92 +Width: 18 N: 10 + X: 92.25 +inv_table[369] = 0.0107422 X: 92.25 +Width: 18 N: 10 + X: 92.5 +inv_table[370] = 0.0107422 X: 92.5 +Width: 18 N: 10 + X: 92.75 +inv_table[371] = 0.0107422 X: 92.75 +Width: 18 N: 10 + X: 93 +inv_table[372] = 0.0107422 X: 93 +Width: 18 N: 10 + X: 93.25 +inv_table[373] = 0.0107422 X: 93.25 +Width: 18 N: 10 + X: 93.5 +inv_table[374] = 0.0107422 X: 93.5 +Width: 18 N: 10 + X: 93.75 +inv_table[375] = 0.0107422 X: 93.75 +Width: 18 N: 10 + X: 94 +inv_table[376] = 0.0107422 X: 94 +Width: 18 N: 10 + X: 94.25 +inv_table[377] = 0.0107422 X: 94.25 +Width: 18 N: 10 + X: 94.5 +inv_table[378] = 0.0107422 X: 94.5 +Width: 18 N: 10 + X: 94.75 +inv_table[379] = 0.0107422 X: 94.75 +Width: 18 N: 10 + X: 95 +inv_table[380] = 0.0107422 X: 95 +Width: 18 N: 10 + X: 95.25 +inv_table[381] = 0.0107422 X: 95.25 +Width: 18 N: 10 + X: 95.5 +inv_table[382] = 0.0107422 X: 95.5 +Width: 18 N: 10 + X: 95.75 +inv_table[383] = 0.0107422 X: 95.75 +Width: 18 N: 10 + X: 96 +inv_table[384] = 0.0107422 X: 96 +Width: 18 N: 10 + X: 96.25 +inv_table[385] = 0.0107422 X: 96.25 +Width: 18 N: 10 + X: 96.5 +inv_table[386] = 0.0107422 X: 96.5 +Width: 18 N: 10 + X: 96.75 +inv_table[387] = 0.0107422 X: 96.75 +Width: 18 N: 10 + X: 97 +inv_table[388] = 0.0107422 X: 97 +Width: 18 N: 10 + X: 97.25 +inv_table[389] = 0.0107422 X: 97.25 +Width: 18 N: 10 + X: 97.5 +inv_table[390] = 0.0107422 X: 97.5 +Width: 18 N: 10 + X: 97.75 +inv_table[391] = 0.00976563 X: 97.75 +Width: 18 N: 10 + X: 98 +inv_table[392] = 0.00976563 X: 98 +Width: 18 N: 10 + X: 98.25 +inv_table[393] = 0.00976563 X: 98.25 +Width: 18 N: 10 + X: 98.5 +inv_table[394] = 0.00976563 X: 98.5 +Width: 18 N: 10 + X: 98.75 +inv_table[395] = 0.00976563 X: 98.75 +Width: 18 N: 10 + X: 99 +inv_table[396] = 0.00976563 X: 99 +Width: 18 N: 10 + X: 99.25 +inv_table[397] = 0.00976563 X: 99.25 +Width: 18 N: 10 + X: 99.5 +inv_table[398] = 0.00976563 X: 99.5 +Width: 18 N: 10 + X: 99.75 +inv_table[399] = 0.00976563 X: 99.75 +Width: 18 N: 10 + X: 100 +inv_table[400] = 0.00976563 X: 100 +Width: 18 N: 10 + X: 100.25 +inv_table[401] = 0.00976563 X: 100.25 +Width: 18 N: 10 + X: 100.5 +inv_table[402] = 0.00976563 X: 100.5 +Width: 18 N: 10 + X: 100.75 +inv_table[403] = 0.00976563 X: 100.75 +Width: 18 N: 10 + X: 101 +inv_table[404] = 0.00976563 X: 101 +Width: 18 N: 10 + X: 101.25 +inv_table[405] = 0.00976563 X: 101.25 +Width: 18 N: 10 + X: 101.5 +inv_table[406] = 0.00976563 X: 101.5 +Width: 18 N: 10 + X: 101.75 +inv_table[407] = 0.00976563 X: 101.75 +Width: 18 N: 10 + X: 102 +inv_table[408] = 0.00976563 X: 102 +Width: 18 N: 10 + X: 102.25 +inv_table[409] = 0.00976563 X: 102.25 +Width: 18 N: 10 + X: 102.5 +inv_table[410] = 0.00976563 X: 102.5 +Width: 18 N: 10 + X: 102.75 +inv_table[411] = 0.00976563 X: 102.75 +Width: 18 N: 10 + X: 103 +inv_table[412] = 0.00976563 X: 103 +Width: 18 N: 10 + X: 103.25 +inv_table[413] = 0.00976563 X: 103.25 +Width: 18 N: 10 + X: 103.5 +inv_table[414] = 0.00976563 X: 103.5 +Width: 18 N: 10 + X: 103.75 +inv_table[415] = 0.00976563 X: 103.75 +Width: 18 N: 10 + X: 104 +inv_table[416] = 0.00976563 X: 104 +Width: 18 N: 10 + X: 104.25 +inv_table[417] = 0.00976563 X: 104.25 +Width: 18 N: 10 + X: 104.5 +inv_table[418] = 0.00976563 X: 104.5 +Width: 18 N: 10 + X: 104.75 +inv_table[419] = 0.00976563 X: 104.75 +Width: 18 N: 10 + X: 105 +inv_table[420] = 0.00976563 X: 105 +Width: 18 N: 10 + X: 105.25 +inv_table[421] = 0.00976563 X: 105.25 +Width: 18 N: 10 + X: 105.5 +inv_table[422] = 0.00976563 X: 105.5 +Width: 18 N: 10 + X: 105.75 +inv_table[423] = 0.00976563 X: 105.75 +Width: 18 N: 10 + X: 106 +inv_table[424] = 0.00976563 X: 106 +Width: 18 N: 10 + X: 106.25 +inv_table[425] = 0.00976563 X: 106.25 +Width: 18 N: 10 + X: 106.5 +inv_table[426] = 0.00976563 X: 106.5 +Width: 18 N: 10 + X: 106.75 +inv_table[427] = 0.00976563 X: 106.75 +Width: 18 N: 10 + X: 107 +inv_table[428] = 0.00976563 X: 107 +Width: 18 N: 10 + X: 107.25 +inv_table[429] = 0.00976563 X: 107.25 +Width: 18 N: 10 + X: 107.5 +inv_table[430] = 0.00976563 X: 107.5 +Width: 18 N: 10 + X: 107.75 +inv_table[431] = 0.00976563 X: 107.75 +Width: 18 N: 10 + X: 108 +inv_table[432] = 0.00878906 X: 108 +Width: 18 N: 10 + X: 108.25 +inv_table[433] = 0.00878906 X: 108.25 +Width: 18 N: 10 + X: 108.5 +inv_table[434] = 0.00878906 X: 108.5 +Width: 18 N: 10 + X: 108.75 +inv_table[435] = 0.00878906 X: 108.75 +Width: 18 N: 10 + X: 109 +inv_table[436] = 0.00878906 X: 109 +Width: 18 N: 10 + X: 109.25 +inv_table[437] = 0.00878906 X: 109.25 +Width: 18 N: 10 + X: 109.5 +inv_table[438] = 0.00878906 X: 109.5 +Width: 18 N: 10 + X: 109.75 +inv_table[439] = 0.00878906 X: 109.75 +Width: 18 N: 10 + X: 110 +inv_table[440] = 0.00878906 X: 110 +Width: 18 N: 10 + X: 110.25 +inv_table[441] = 0.00878906 X: 110.25 +Width: 18 N: 10 + X: 110.5 +inv_table[442] = 0.00878906 X: 110.5 +Width: 18 N: 10 + X: 110.75 +inv_table[443] = 0.00878906 X: 110.75 +Width: 18 N: 10 + X: 111 +inv_table[444] = 0.00878906 X: 111 +Width: 18 N: 10 + X: 111.25 +inv_table[445] = 0.00878906 X: 111.25 +Width: 18 N: 10 + X: 111.5 +inv_table[446] = 0.00878906 X: 111.5 +Width: 18 N: 10 + X: 111.75 +inv_table[447] = 0.00878906 X: 111.75 +Width: 18 N: 10 + X: 112 +inv_table[448] = 0.00878906 X: 112 +Width: 18 N: 10 + X: 112.25 +inv_table[449] = 0.00878906 X: 112.25 +Width: 18 N: 10 + X: 112.5 +inv_table[450] = 0.00878906 X: 112.5 +Width: 18 N: 10 + X: 112.75 +inv_table[451] = 0.00878906 X: 112.75 +Width: 18 N: 10 + X: 113 +inv_table[452] = 0.00878906 X: 113 +Width: 18 N: 10 + X: 113.25 +inv_table[453] = 0.00878906 X: 113.25 +Width: 18 N: 10 + X: 113.5 +inv_table[454] = 0.00878906 X: 113.5 +Width: 18 N: 10 + X: 113.75 +inv_table[455] = 0.00878906 X: 113.75 +Width: 18 N: 10 + X: 114 +inv_table[456] = 0.00878906 X: 114 +Width: 18 N: 10 + X: 114.25 +inv_table[457] = 0.00878906 X: 114.25 +Width: 18 N: 10 + X: 114.5 +inv_table[458] = 0.00878906 X: 114.5 +Width: 18 N: 10 + X: 114.75 +inv_table[459] = 0.00878906 X: 114.75 +Width: 18 N: 10 + X: 115 +inv_table[460] = 0.00878906 X: 115 +Width: 18 N: 10 + X: 115.25 +inv_table[461] = 0.00878906 X: 115.25 +Width: 18 N: 10 + X: 115.5 +inv_table[462] = 0.00878906 X: 115.5 +Width: 18 N: 10 + X: 115.75 +inv_table[463] = 0.00878906 X: 115.75 +Width: 18 N: 10 + X: 116 +inv_table[464] = 0.00878906 X: 116 +Width: 18 N: 10 + X: 116.25 +inv_table[465] = 0.00878906 X: 116.25 +Width: 18 N: 10 + X: 116.5 +inv_table[466] = 0.00878906 X: 116.5 +Width: 18 N: 10 + X: 116.75 +inv_table[467] = 0.00878906 X: 116.75 +Width: 18 N: 10 + X: 117 +inv_table[468] = 0.00878906 X: 117 +Width: 18 N: 10 + X: 117.25 +inv_table[469] = 0.00878906 X: 117.25 +Width: 18 N: 10 + X: 117.5 +inv_table[470] = 0.00878906 X: 117.5 +Width: 18 N: 10 + X: 117.75 +inv_table[471] = 0.00878906 X: 117.75 +Width: 18 N: 10 + X: 118 +inv_table[472] = 0.00878906 X: 118 +Width: 18 N: 10 + X: 118.25 +inv_table[473] = 0.00878906 X: 118.25 +Width: 18 N: 10 + X: 118.5 +inv_table[474] = 0.00878906 X: 118.5 +Width: 18 N: 10 + X: 118.75 +inv_table[475] = 0.00878906 X: 118.75 +Width: 18 N: 10 + X: 119 +inv_table[476] = 0.00878906 X: 119 +Width: 18 N: 10 + X: 119.25 +inv_table[477] = 0.00878906 X: 119.25 +Width: 18 N: 10 + X: 119.5 +inv_table[478] = 0.00878906 X: 119.5 +Width: 18 N: 10 + X: 119.75 +inv_table[479] = 0.00878906 X: 119.75 +Width: 18 N: 10 + X: 120 +inv_table[480] = 0.00878906 X: 120 +Width: 18 N: 10 + X: 120.25 +inv_table[481] = 0.00878906 X: 120.25 +Width: 18 N: 10 + X: 120.5 +inv_table[482] = 0.0078125 X: 120.5 +Width: 18 N: 10 + X: 120.75 +inv_table[483] = 0.0078125 X: 120.75 +Width: 18 N: 10 + X: 121 +inv_table[484] = 0.0078125 X: 121 +Width: 18 N: 10 + X: 121.25 +inv_table[485] = 0.0078125 X: 121.25 +Width: 18 N: 10 + X: 121.5 +inv_table[486] = 0.0078125 X: 121.5 +Width: 18 N: 10 + X: 121.75 +inv_table[487] = 0.0078125 X: 121.75 +Width: 18 N: 10 + X: 122 +inv_table[488] = 0.0078125 X: 122 +Width: 18 N: 10 + X: 122.25 +inv_table[489] = 0.0078125 X: 122.25 +Width: 18 N: 10 + X: 122.5 +inv_table[490] = 0.0078125 X: 122.5 +Width: 18 N: 10 + X: 122.75 +inv_table[491] = 0.0078125 X: 122.75 +Width: 18 N: 10 + X: 123 +inv_table[492] = 0.0078125 X: 123 +Width: 18 N: 10 + X: 123.25 +inv_table[493] = 0.0078125 X: 123.25 +Width: 18 N: 10 + X: 123.5 +inv_table[494] = 0.0078125 X: 123.5 +Width: 18 N: 10 + X: 123.75 +inv_table[495] = 0.0078125 X: 123.75 +Width: 18 N: 10 + X: 124 +inv_table[496] = 0.0078125 X: 124 +Width: 18 N: 10 + X: 124.25 +inv_table[497] = 0.0078125 X: 124.25 +Width: 18 N: 10 + X: 124.5 +inv_table[498] = 0.0078125 X: 124.5 +Width: 18 N: 10 + X: 124.75 +inv_table[499] = 0.0078125 X: 124.75 +Width: 18 N: 10 + X: 125 +inv_table[500] = 0.0078125 X: 125 +Width: 18 N: 10 + X: 125.25 +inv_table[501] = 0.0078125 X: 125.25 +Width: 18 N: 10 + X: 125.5 +inv_table[502] = 0.0078125 X: 125.5 +Width: 18 N: 10 + X: 125.75 +inv_table[503] = 0.0078125 X: 125.75 +Width: 18 N: 10 + X: 126 +inv_table[504] = 0.0078125 X: 126 +Width: 18 N: 10 + X: 126.25 +inv_table[505] = 0.0078125 X: 126.25 +Width: 18 N: 10 + X: 126.5 +inv_table[506] = 0.0078125 X: 126.5 +Width: 18 N: 10 + X: 126.75 +inv_table[507] = 0.0078125 X: 126.75 +Width: 18 N: 10 + X: 127 +inv_table[508] = 0.0078125 X: 127 +Width: 18 N: 10 + X: 127.25 +inv_table[509] = 0.0078125 X: 127.25 +Width: 18 N: 10 + X: 127.5 +inv_table[510] = 0.0078125 X: 127.5 +Width: 18 N: 10 + X: 127.75 +inv_table[511] = 0.0078125 X: 127.75 +Width: 18 N: 10 + X: -128 +inv_table[512] = -0.0078125 X: -128 +Width: 18 N: 10 + X: -127.75 +inv_table[513] = -0.0078125 X: -127.75 +Width: 18 N: 10 + X: -127.5 +inv_table[514] = -0.0078125 X: -127.5 +Width: 18 N: 10 + X: -127.25 +inv_table[515] = -0.0078125 X: -127.25 +Width: 18 N: 10 + X: -127 +inv_table[516] = -0.0078125 X: -127 +Width: 18 N: 10 + X: -126.75 +inv_table[517] = -0.0078125 X: -126.75 +Width: 18 N: 10 + X: -126.5 +inv_table[518] = -0.0078125 X: -126.5 +Width: 18 N: 10 + X: -126.25 +inv_table[519] = -0.0078125 X: -126.25 +Width: 18 N: 10 + X: -126 +inv_table[520] = -0.0078125 X: -126 +Width: 18 N: 10 + X: -125.75 +inv_table[521] = -0.0078125 X: -125.75 +Width: 18 N: 10 + X: -125.5 +inv_table[522] = -0.0078125 X: -125.5 +Width: 18 N: 10 + X: -125.25 +inv_table[523] = -0.0078125 X: -125.25 +Width: 18 N: 10 + X: -125 +inv_table[524] = -0.0078125 X: -125 +Width: 18 N: 10 + X: -124.75 +inv_table[525] = -0.0078125 X: -124.75 +Width: 18 N: 10 + X: -124.5 +inv_table[526] = -0.0078125 X: -124.5 +Width: 18 N: 10 + X: -124.25 +inv_table[527] = -0.0078125 X: -124.25 +Width: 18 N: 10 + X: -124 +inv_table[528] = -0.0078125 X: -124 +Width: 18 N: 10 + X: -123.75 +inv_table[529] = -0.0078125 X: -123.75 +Width: 18 N: 10 + X: -123.5 +inv_table[530] = -0.0078125 X: -123.5 +Width: 18 N: 10 + X: -123.25 +inv_table[531] = -0.0078125 X: -123.25 +Width: 18 N: 10 + X: -123 +inv_table[532] = -0.0078125 X: -123 +Width: 18 N: 10 + X: -122.75 +inv_table[533] = -0.0078125 X: -122.75 +Width: 18 N: 10 + X: -122.5 +inv_table[534] = -0.0078125 X: -122.5 +Width: 18 N: 10 + X: -122.25 +inv_table[535] = -0.0078125 X: -122.25 +Width: 18 N: 10 + X: -122 +inv_table[536] = -0.0078125 X: -122 +Width: 18 N: 10 + X: -121.75 +inv_table[537] = -0.0078125 X: -121.75 +Width: 18 N: 10 + X: -121.5 +inv_table[538] = -0.0078125 X: -121.5 +Width: 18 N: 10 + X: -121.25 +inv_table[539] = -0.0078125 X: -121.25 +Width: 18 N: 10 + X: -121 +inv_table[540] = -0.0078125 X: -121 +Width: 18 N: 10 + X: -120.75 +inv_table[541] = -0.0078125 X: -120.75 +Width: 18 N: 10 + X: -120.5 +inv_table[542] = -0.0078125 X: -120.5 +Width: 18 N: 10 + X: -120.25 +inv_table[543] = -0.00878906 X: -120.25 +Width: 18 N: 10 + X: -120 +inv_table[544] = -0.00878906 X: -120 +Width: 18 N: 10 + X: -119.75 +inv_table[545] = -0.00878906 X: -119.75 +Width: 18 N: 10 + X: -119.5 +inv_table[546] = -0.00878906 X: -119.5 +Width: 18 N: 10 + X: -119.25 +inv_table[547] = -0.00878906 X: -119.25 +Width: 18 N: 10 + X: -119 +inv_table[548] = -0.00878906 X: -119 +Width: 18 N: 10 + X: -118.75 +inv_table[549] = -0.00878906 X: -118.75 +Width: 18 N: 10 + X: -118.5 +inv_table[550] = -0.00878906 X: -118.5 +Width: 18 N: 10 + X: -118.25 +inv_table[551] = -0.00878906 X: -118.25 +Width: 18 N: 10 + X: -118 +inv_table[552] = -0.00878906 X: -118 +Width: 18 N: 10 + X: -117.75 +inv_table[553] = -0.00878906 X: -117.75 +Width: 18 N: 10 + X: -117.5 +inv_table[554] = -0.00878906 X: -117.5 +Width: 18 N: 10 + X: -117.25 +inv_table[555] = -0.00878906 X: -117.25 +Width: 18 N: 10 + X: -117 +inv_table[556] = -0.00878906 X: -117 +Width: 18 N: 10 + X: -116.75 +inv_table[557] = -0.00878906 X: -116.75 +Width: 18 N: 10 + X: -116.5 +inv_table[558] = -0.00878906 X: -116.5 +Width: 18 N: 10 + X: -116.25 +inv_table[559] = -0.00878906 X: -116.25 +Width: 18 N: 10 + X: -116 +inv_table[560] = -0.00878906 X: -116 +Width: 18 N: 10 + X: -115.75 +inv_table[561] = -0.00878906 X: -115.75 +Width: 18 N: 10 + X: -115.5 +inv_table[562] = -0.00878906 X: -115.5 +Width: 18 N: 10 + X: -115.25 +inv_table[563] = -0.00878906 X: -115.25 +Width: 18 N: 10 + X: -115 +inv_table[564] = -0.00878906 X: -115 +Width: 18 N: 10 + X: -114.75 +inv_table[565] = -0.00878906 X: -114.75 +Width: 18 N: 10 + X: -114.5 +inv_table[566] = -0.00878906 X: -114.5 +Width: 18 N: 10 + X: -114.25 +inv_table[567] = -0.00878906 X: -114.25 +Width: 18 N: 10 + X: -114 +inv_table[568] = -0.00878906 X: -114 +Width: 18 N: 10 + X: -113.75 +inv_table[569] = -0.00878906 X: -113.75 +Width: 18 N: 10 + X: -113.5 +inv_table[570] = -0.00878906 X: -113.5 +Width: 18 N: 10 + X: -113.25 +inv_table[571] = -0.00878906 X: -113.25 +Width: 18 N: 10 + X: -113 +inv_table[572] = -0.00878906 X: -113 +Width: 18 N: 10 + X: -112.75 +inv_table[573] = -0.00878906 X: -112.75 +Width: 18 N: 10 + X: -112.5 +inv_table[574] = -0.00878906 X: -112.5 +Width: 18 N: 10 + X: -112.25 +inv_table[575] = -0.00878906 X: -112.25 +Width: 18 N: 10 + X: -112 +inv_table[576] = -0.00878906 X: -112 +Width: 18 N: 10 + X: -111.75 +inv_table[577] = -0.00878906 X: -111.75 +Width: 18 N: 10 + X: -111.5 +inv_table[578] = -0.00878906 X: -111.5 +Width: 18 N: 10 + X: -111.25 +inv_table[579] = -0.00878906 X: -111.25 +Width: 18 N: 10 + X: -111 +inv_table[580] = -0.00878906 X: -111 +Width: 18 N: 10 + X: -110.75 +inv_table[581] = -0.00878906 X: -110.75 +Width: 18 N: 10 + X: -110.5 +inv_table[582] = -0.00878906 X: -110.5 +Width: 18 N: 10 + X: -110.25 +inv_table[583] = -0.00878906 X: -110.25 +Width: 18 N: 10 + X: -110 +inv_table[584] = -0.00878906 X: -110 +Width: 18 N: 10 + X: -109.75 +inv_table[585] = -0.00878906 X: -109.75 +Width: 18 N: 10 + X: -109.5 +inv_table[586] = -0.00878906 X: -109.5 +Width: 18 N: 10 + X: -109.25 +inv_table[587] = -0.00878906 X: -109.25 +Width: 18 N: 10 + X: -109 +inv_table[588] = -0.00878906 X: -109 +Width: 18 N: 10 + X: -108.75 +inv_table[589] = -0.00878906 X: -108.75 +Width: 18 N: 10 + X: -108.5 +inv_table[590] = -0.00878906 X: -108.5 +Width: 18 N: 10 + X: -108.25 +inv_table[591] = -0.00878906 X: -108.25 +Width: 18 N: 10 + X: -108 +inv_table[592] = -0.00878906 X: -108 +Width: 18 N: 10 + X: -107.75 +inv_table[593] = -0.00976563 X: -107.75 +Width: 18 N: 10 + X: -107.5 +inv_table[594] = -0.00976563 X: -107.5 +Width: 18 N: 10 + X: -107.25 +inv_table[595] = -0.00976563 X: -107.25 +Width: 18 N: 10 + X: -107 +inv_table[596] = -0.00976563 X: -107 +Width: 18 N: 10 + X: -106.75 +inv_table[597] = -0.00976563 X: -106.75 +Width: 18 N: 10 + X: -106.5 +inv_table[598] = -0.00976563 X: -106.5 +Width: 18 N: 10 + X: -106.25 +inv_table[599] = -0.00976563 X: -106.25 +Width: 18 N: 10 + X: -106 +inv_table[600] = -0.00976563 X: -106 +Width: 18 N: 10 + X: -105.75 +inv_table[601] = -0.00976563 X: -105.75 +Width: 18 N: 10 + X: -105.5 +inv_table[602] = -0.00976563 X: -105.5 +Width: 18 N: 10 + X: -105.25 +inv_table[603] = -0.00976563 X: -105.25 +Width: 18 N: 10 + X: -105 +inv_table[604] = -0.00976563 X: -105 +Width: 18 N: 10 + X: -104.75 +inv_table[605] = -0.00976563 X: -104.75 +Width: 18 N: 10 + X: -104.5 +inv_table[606] = -0.00976563 X: -104.5 +Width: 18 N: 10 + X: -104.25 +inv_table[607] = -0.00976563 X: -104.25 +Width: 18 N: 10 + X: -104 +inv_table[608] = -0.00976563 X: -104 +Width: 18 N: 10 + X: -103.75 +inv_table[609] = -0.00976563 X: -103.75 +Width: 18 N: 10 + X: -103.5 +inv_table[610] = -0.00976563 X: -103.5 +Width: 18 N: 10 + X: -103.25 +inv_table[611] = -0.00976563 X: -103.25 +Width: 18 N: 10 + X: -103 +inv_table[612] = -0.00976563 X: -103 +Width: 18 N: 10 + X: -102.75 +inv_table[613] = -0.00976563 X: -102.75 +Width: 18 N: 10 + X: -102.5 +inv_table[614] = -0.00976563 X: -102.5 +Width: 18 N: 10 + X: -102.25 +inv_table[615] = -0.00976563 X: -102.25 +Width: 18 N: 10 + X: -102 +inv_table[616] = -0.00976563 X: -102 +Width: 18 N: 10 + X: -101.75 +inv_table[617] = -0.00976563 X: -101.75 +Width: 18 N: 10 + X: -101.5 +inv_table[618] = -0.00976563 X: -101.5 +Width: 18 N: 10 + X: -101.25 +inv_table[619] = -0.00976563 X: -101.25 +Width: 18 N: 10 + X: -101 +inv_table[620] = -0.00976563 X: -101 +Width: 18 N: 10 + X: -100.75 +inv_table[621] = -0.00976563 X: -100.75 +Width: 18 N: 10 + X: -100.5 +inv_table[622] = -0.00976563 X: -100.5 +Width: 18 N: 10 + X: -100.25 +inv_table[623] = -0.00976563 X: -100.25 +Width: 18 N: 10 + X: -100 +inv_table[624] = -0.00976563 X: -100 +Width: 18 N: 10 + X: -99.75 +inv_table[625] = -0.00976563 X: -99.75 +Width: 18 N: 10 + X: -99.5 +inv_table[626] = -0.00976563 X: -99.5 +Width: 18 N: 10 + X: -99.25 +inv_table[627] = -0.00976563 X: -99.25 +Width: 18 N: 10 + X: -99 +inv_table[628] = -0.00976563 X: -99 +Width: 18 N: 10 + X: -98.75 +inv_table[629] = -0.00976563 X: -98.75 +Width: 18 N: 10 + X: -98.5 +inv_table[630] = -0.00976563 X: -98.5 +Width: 18 N: 10 + X: -98.25 +inv_table[631] = -0.00976563 X: -98.25 +Width: 18 N: 10 + X: -98 +inv_table[632] = -0.00976563 X: -98 +Width: 18 N: 10 + X: -97.75 +inv_table[633] = -0.00976563 X: -97.75 +Width: 18 N: 10 + X: -97.5 +inv_table[634] = -0.0107422 X: -97.5 +Width: 18 N: 10 + X: -97.25 +inv_table[635] = -0.0107422 X: -97.25 +Width: 18 N: 10 + X: -97 +inv_table[636] = -0.0107422 X: -97 +Width: 18 N: 10 + X: -96.75 +inv_table[637] = -0.0107422 X: -96.75 +Width: 18 N: 10 + X: -96.5 +inv_table[638] = -0.0107422 X: -96.5 +Width: 18 N: 10 + X: -96.25 +inv_table[639] = -0.0107422 X: -96.25 +Width: 18 N: 10 + X: -96 +inv_table[640] = -0.0107422 X: -96 +Width: 18 N: 10 + X: -95.75 +inv_table[641] = -0.0107422 X: -95.75 +Width: 18 N: 10 + X: -95.5 +inv_table[642] = -0.0107422 X: -95.5 +Width: 18 N: 10 + X: -95.25 +inv_table[643] = -0.0107422 X: -95.25 +Width: 18 N: 10 + X: -95 +inv_table[644] = -0.0107422 X: -95 +Width: 18 N: 10 + X: -94.75 +inv_table[645] = -0.0107422 X: -94.75 +Width: 18 N: 10 + X: -94.5 +inv_table[646] = -0.0107422 X: -94.5 +Width: 18 N: 10 + X: -94.25 +inv_table[647] = -0.0107422 X: -94.25 +Width: 18 N: 10 + X: -94 +inv_table[648] = -0.0107422 X: -94 +Width: 18 N: 10 + X: -93.75 +inv_table[649] = -0.0107422 X: -93.75 +Width: 18 N: 10 + X: -93.5 +inv_table[650] = -0.0107422 X: -93.5 +Width: 18 N: 10 + X: -93.25 +inv_table[651] = -0.0107422 X: -93.25 +Width: 18 N: 10 + X: -93 +inv_table[652] = -0.0107422 X: -93 +Width: 18 N: 10 + X: -92.75 +inv_table[653] = -0.0107422 X: -92.75 +Width: 18 N: 10 + X: -92.5 +inv_table[654] = -0.0107422 X: -92.5 +Width: 18 N: 10 + X: -92.25 +inv_table[655] = -0.0107422 X: -92.25 +Width: 18 N: 10 + X: -92 +inv_table[656] = -0.0107422 X: -92 +Width: 18 N: 10 + X: -91.75 +inv_table[657] = -0.0107422 X: -91.75 +Width: 18 N: 10 + X: -91.5 +inv_table[658] = -0.0107422 X: -91.5 +Width: 18 N: 10 + X: -91.25 +inv_table[659] = -0.0107422 X: -91.25 +Width: 18 N: 10 + X: -91 +inv_table[660] = -0.0107422 X: -91 +Width: 18 N: 10 + X: -90.75 +inv_table[661] = -0.0107422 X: -90.75 +Width: 18 N: 10 + X: -90.5 +inv_table[662] = -0.0107422 X: -90.5 +Width: 18 N: 10 + X: -90.25 +inv_table[663] = -0.0107422 X: -90.25 +Width: 18 N: 10 + X: -90 +inv_table[664] = -0.0107422 X: -90 +Width: 18 N: 10 + X: -89.75 +inv_table[665] = -0.0107422 X: -89.75 +Width: 18 N: 10 + X: -89.5 +inv_table[666] = -0.0107422 X: -89.5 +Width: 18 N: 10 + X: -89.25 +inv_table[667] = -0.0107422 X: -89.25 +Width: 18 N: 10 + X: -89 +inv_table[668] = -0.0117188 X: -89 +Width: 18 N: 10 + X: -88.75 +inv_table[669] = -0.0117188 X: -88.75 +Width: 18 N: 10 + X: -88.5 +inv_table[670] = -0.0117188 X: -88.5 +Width: 18 N: 10 + X: -88.25 +inv_table[671] = -0.0117188 X: -88.25 +Width: 18 N: 10 + X: -88 +inv_table[672] = -0.0117188 X: -88 +Width: 18 N: 10 + X: -87.75 +inv_table[673] = -0.0117188 X: -87.75 +Width: 18 N: 10 + X: -87.5 +inv_table[674] = -0.0117188 X: -87.5 +Width: 18 N: 10 + X: -87.25 +inv_table[675] = -0.0117188 X: -87.25 +Width: 18 N: 10 + X: -87 +inv_table[676] = -0.0117188 X: -87 +Width: 18 N: 10 + X: -86.75 +inv_table[677] = -0.0117188 X: -86.75 +Width: 18 N: 10 + X: -86.5 +inv_table[678] = -0.0117188 X: -86.5 +Width: 18 N: 10 + X: -86.25 +inv_table[679] = -0.0117188 X: -86.25 +Width: 18 N: 10 + X: -86 +inv_table[680] = -0.0117188 X: -86 +Width: 18 N: 10 + X: -85.75 +inv_table[681] = -0.0117188 X: -85.75 +Width: 18 N: 10 + X: -85.5 +inv_table[682] = -0.0117188 X: -85.5 +Width: 18 N: 10 + X: -85.25 +inv_table[683] = -0.0117188 X: -85.25 +Width: 18 N: 10 + X: -85 +inv_table[684] = -0.0117188 X: -85 +Width: 18 N: 10 + X: -84.75 +inv_table[685] = -0.0117188 X: -84.75 +Width: 18 N: 10 + X: -84.5 +inv_table[686] = -0.0117188 X: -84.5 +Width: 18 N: 10 + X: -84.25 +inv_table[687] = -0.0117188 X: -84.25 +Width: 18 N: 10 + X: -84 +inv_table[688] = -0.0117188 X: -84 +Width: 18 N: 10 + X: -83.75 +inv_table[689] = -0.0117188 X: -83.75 +Width: 18 N: 10 + X: -83.5 +inv_table[690] = -0.0117188 X: -83.5 +Width: 18 N: 10 + X: -83.25 +inv_table[691] = -0.0117188 X: -83.25 +Width: 18 N: 10 + X: -83 +inv_table[692] = -0.0117188 X: -83 +Width: 18 N: 10 + X: -82.75 +inv_table[693] = -0.0117188 X: -82.75 +Width: 18 N: 10 + X: -82.5 +inv_table[694] = -0.0117188 X: -82.5 +Width: 18 N: 10 + X: -82.25 +inv_table[695] = -0.0117188 X: -82.25 +Width: 18 N: 10 + X: -82 +inv_table[696] = -0.0117188 X: -82 +Width: 18 N: 10 + X: -81.75 +inv_table[697] = -0.0126953 X: -81.75 +Width: 18 N: 10 + X: -81.5 +inv_table[698] = -0.0126953 X: -81.5 +Width: 18 N: 10 + X: -81.25 +inv_table[699] = -0.0126953 X: -81.25 +Width: 18 N: 10 + X: -81 +inv_table[700] = -0.0126953 X: -81 +Width: 18 N: 10 + X: -80.75 +inv_table[701] = -0.0126953 X: -80.75 +Width: 18 N: 10 + X: -80.5 +inv_table[702] = -0.0126953 X: -80.5 +Width: 18 N: 10 + X: -80.25 +inv_table[703] = -0.0126953 X: -80.25 +Width: 18 N: 10 + X: -80 +inv_table[704] = -0.0126953 X: -80 +Width: 18 N: 10 + X: -79.75 +inv_table[705] = -0.0126953 X: -79.75 +Width: 18 N: 10 + X: -79.5 +inv_table[706] = -0.0126953 X: -79.5 +Width: 18 N: 10 + X: -79.25 +inv_table[707] = -0.0126953 X: -79.25 +Width: 18 N: 10 + X: -79 +inv_table[708] = -0.0126953 X: -79 +Width: 18 N: 10 + X: -78.75 +inv_table[709] = -0.0126953 X: -78.75 +Width: 18 N: 10 + X: -78.5 +inv_table[710] = -0.0126953 X: -78.5 +Width: 18 N: 10 + X: -78.25 +inv_table[711] = -0.0126953 X: -78.25 +Width: 18 N: 10 + X: -78 +inv_table[712] = -0.0126953 X: -78 +Width: 18 N: 10 + X: -77.75 +inv_table[713] = -0.0126953 X: -77.75 +Width: 18 N: 10 + X: -77.5 +inv_table[714] = -0.0126953 X: -77.5 +Width: 18 N: 10 + X: -77.25 +inv_table[715] = -0.0126953 X: -77.25 +Width: 18 N: 10 + X: -77 +inv_table[716] = -0.0126953 X: -77 +Width: 18 N: 10 + X: -76.75 +inv_table[717] = -0.0126953 X: -76.75 +Width: 18 N: 10 + X: -76.5 +inv_table[718] = -0.0126953 X: -76.5 +Width: 18 N: 10 + X: -76.25 +inv_table[719] = -0.0126953 X: -76.25 +Width: 18 N: 10 + X: -76 +inv_table[720] = -0.0126953 X: -76 +Width: 18 N: 10 + X: -75.75 +inv_table[721] = -0.0136719 X: -75.75 +Width: 18 N: 10 + X: -75.5 +inv_table[722] = -0.0136719 X: -75.5 +Width: 18 N: 10 + X: -75.25 +inv_table[723] = -0.0136719 X: -75.25 +Width: 18 N: 10 + X: -75 +inv_table[724] = -0.0136719 X: -75 +Width: 18 N: 10 + X: -74.75 +inv_table[725] = -0.0136719 X: -74.75 +Width: 18 N: 10 + X: -74.5 +inv_table[726] = -0.0136719 X: -74.5 +Width: 18 N: 10 + X: -74.25 +inv_table[727] = -0.0136719 X: -74.25 +Width: 18 N: 10 + X: -74 +inv_table[728] = -0.0136719 X: -74 +Width: 18 N: 10 + X: -73.75 +inv_table[729] = -0.0136719 X: -73.75 +Width: 18 N: 10 + X: -73.5 +inv_table[730] = -0.0136719 X: -73.5 +Width: 18 N: 10 + X: -73.25 +inv_table[731] = -0.0136719 X: -73.25 +Width: 18 N: 10 + X: -73 +inv_table[732] = -0.0136719 X: -73 +Width: 18 N: 10 + X: -72.75 +inv_table[733] = -0.0136719 X: -72.75 +Width: 18 N: 10 + X: -72.5 +inv_table[734] = -0.0136719 X: -72.5 +Width: 18 N: 10 + X: -72.25 +inv_table[735] = -0.0136719 X: -72.25 +Width: 18 N: 10 + X: -72 +inv_table[736] = -0.0136719 X: -72 +Width: 18 N: 10 + X: -71.75 +inv_table[737] = -0.0136719 X: -71.75 +Width: 18 N: 10 + X: -71.5 +inv_table[738] = -0.0136719 X: -71.5 +Width: 18 N: 10 + X: -71.25 +inv_table[739] = -0.0136719 X: -71.25 +Width: 18 N: 10 + X: -71 +inv_table[740] = -0.0136719 X: -71 +Width: 18 N: 10 + X: -70.75 +inv_table[741] = -0.0136719 X: -70.75 +Width: 18 N: 10 + X: -70.5 +inv_table[742] = -0.0146484 X: -70.5 +Width: 18 N: 10 + X: -70.25 +inv_table[743] = -0.0146484 X: -70.25 +Width: 18 N: 10 + X: -70 +inv_table[744] = -0.0146484 X: -70 +Width: 18 N: 10 + X: -69.75 +inv_table[745] = -0.0146484 X: -69.75 +Width: 18 N: 10 + X: -69.5 +inv_table[746] = -0.0146484 X: -69.5 +Width: 18 N: 10 + X: -69.25 +inv_table[747] = -0.0146484 X: -69.25 +Width: 18 N: 10 + X: -69 +inv_table[748] = -0.0146484 X: -69 +Width: 18 N: 10 + X: -68.75 +inv_table[749] = -0.0146484 X: -68.75 +Width: 18 N: 10 + X: -68.5 +inv_table[750] = -0.0146484 X: -68.5 +Width: 18 N: 10 + X: -68.25 +inv_table[751] = -0.0146484 X: -68.25 +Width: 18 N: 10 + X: -68 +inv_table[752] = -0.0146484 X: -68 +Width: 18 N: 10 + X: -67.75 +inv_table[753] = -0.0146484 X: -67.75 +Width: 18 N: 10 + X: -67.5 +inv_table[754] = -0.0146484 X: -67.5 +Width: 18 N: 10 + X: -67.25 +inv_table[755] = -0.0146484 X: -67.25 +Width: 18 N: 10 + X: -67 +inv_table[756] = -0.0146484 X: -67 +Width: 18 N: 10 + X: -66.75 +inv_table[757] = -0.0146484 X: -66.75 +Width: 18 N: 10 + X: -66.5 +inv_table[758] = -0.0146484 X: -66.5 +Width: 18 N: 10 + X: -66.25 +inv_table[759] = -0.0146484 X: -66.25 +Width: 18 N: 10 + X: -66 +inv_table[760] = -0.015625 X: -66 +Width: 18 N: 10 + X: -65.75 +inv_table[761] = -0.015625 X: -65.75 +Width: 18 N: 10 + X: -65.5 +inv_table[762] = -0.015625 X: -65.5 +Width: 18 N: 10 + X: -65.25 +inv_table[763] = -0.015625 X: -65.25 +Width: 18 N: 10 + X: -65 +inv_table[764] = -0.015625 X: -65 +Width: 18 N: 10 + X: -64.75 +inv_table[765] = -0.015625 X: -64.75 +Width: 18 N: 10 + X: -64.5 +inv_table[766] = -0.015625 X: -64.5 +Width: 18 N: 10 + X: -64.25 +inv_table[767] = -0.015625 X: -64.25 +Width: 18 N: 10 + X: -64 +inv_table[768] = -0.015625 X: -64 +Width: 18 N: 10 + X: -63.75 +inv_table[769] = -0.015625 X: -63.75 +Width: 18 N: 10 + X: -63.5 +inv_table[770] = -0.015625 X: -63.5 +Width: 18 N: 10 + X: -63.25 +inv_table[771] = -0.015625 X: -63.25 +Width: 18 N: 10 + X: -63 +inv_table[772] = -0.015625 X: -63 +Width: 18 N: 10 + X: -62.75 +inv_table[773] = -0.015625 X: -62.75 +Width: 18 N: 10 + X: -62.5 +inv_table[774] = -0.015625 X: -62.5 +Width: 18 N: 10 + X: -62.25 +inv_table[775] = -0.015625 X: -62.25 +Width: 18 N: 10 + X: -62 +inv_table[776] = -0.0166016 X: -62 +Width: 18 N: 10 + X: -61.75 +inv_table[777] = -0.0166016 X: -61.75 +Width: 18 N: 10 + X: -61.5 +inv_table[778] = -0.0166016 X: -61.5 +Width: 18 N: 10 + X: -61.25 +inv_table[779] = -0.0166016 X: -61.25 +Width: 18 N: 10 + X: -61 +inv_table[780] = -0.0166016 X: -61 +Width: 18 N: 10 + X: -60.75 +inv_table[781] = -0.0166016 X: -60.75 +Width: 18 N: 10 + X: -60.5 +inv_table[782] = -0.0166016 X: -60.5 +Width: 18 N: 10 + X: -60.25 +inv_table[783] = -0.0166016 X: -60.25 +Width: 18 N: 10 + X: -60 +inv_table[784] = -0.0166016 X: -60 +Width: 18 N: 10 + X: -59.75 +inv_table[785] = -0.0166016 X: -59.75 +Width: 18 N: 10 + X: -59.5 +inv_table[786] = -0.0166016 X: -59.5 +Width: 18 N: 10 + X: -59.25 +inv_table[787] = -0.0166016 X: -59.25 +Width: 18 N: 10 + X: -59 +inv_table[788] = -0.0166016 X: -59 +Width: 18 N: 10 + X: -58.75 +inv_table[789] = -0.0166016 X: -58.75 +Width: 18 N: 10 + X: -58.5 +inv_table[790] = -0.0175781 X: -58.5 +Width: 18 N: 10 + X: -58.25 +inv_table[791] = -0.0175781 X: -58.25 +Width: 18 N: 10 + X: -58 +inv_table[792] = -0.0175781 X: -58 +Width: 18 N: 10 + X: -57.75 +inv_table[793] = -0.0175781 X: -57.75 +Width: 18 N: 10 + X: -57.5 +inv_table[794] = -0.0175781 X: -57.5 +Width: 18 N: 10 + X: -57.25 +inv_table[795] = -0.0175781 X: -57.25 +Width: 18 N: 10 + X: -57 +inv_table[796] = -0.0175781 X: -57 +Width: 18 N: 10 + X: -56.75 +inv_table[797] = -0.0175781 X: -56.75 +Width: 18 N: 10 + X: -56.5 +inv_table[798] = -0.0175781 X: -56.5 +Width: 18 N: 10 + X: -56.25 +inv_table[799] = -0.0175781 X: -56.25 +Width: 18 N: 10 + X: -56 +inv_table[800] = -0.0175781 X: -56 +Width: 18 N: 10 + X: -55.75 +inv_table[801] = -0.0175781 X: -55.75 +Width: 18 N: 10 + X: -55.5 +inv_table[802] = -0.0175781 X: -55.5 +Width: 18 N: 10 + X: -55.25 +inv_table[803] = -0.0185547 X: -55.25 +Width: 18 N: 10 + X: -55 +inv_table[804] = -0.0185547 X: -55 +Width: 18 N: 10 + X: -54.75 +inv_table[805] = -0.0185547 X: -54.75 +Width: 18 N: 10 + X: -54.5 +inv_table[806] = -0.0185547 X: -54.5 +Width: 18 N: 10 + X: -54.25 +inv_table[807] = -0.0185547 X: -54.25 +Width: 18 N: 10 + X: -54 +inv_table[808] = -0.0185547 X: -54 +Width: 18 N: 10 + X: -53.75 +inv_table[809] = -0.0185547 X: -53.75 +Width: 18 N: 10 + X: -53.5 +inv_table[810] = -0.0185547 X: -53.5 +Width: 18 N: 10 + X: -53.25 +inv_table[811] = -0.0185547 X: -53.25 +Width: 18 N: 10 + X: -53 +inv_table[812] = -0.0185547 X: -53 +Width: 18 N: 10 + X: -52.75 +inv_table[813] = -0.0185547 X: -52.75 +Width: 18 N: 10 + X: -52.5 +inv_table[814] = -0.0195313 X: -52.5 +Width: 18 N: 10 + X: -52.25 +inv_table[815] = -0.0195313 X: -52.25 +Width: 18 N: 10 + X: -52 +inv_table[816] = -0.0195313 X: -52 +Width: 18 N: 10 + X: -51.75 +inv_table[817] = -0.0195313 X: -51.75 +Width: 18 N: 10 + X: -51.5 +inv_table[818] = -0.0195313 X: -51.5 +Width: 18 N: 10 + X: -51.25 +inv_table[819] = -0.0195313 X: -51.25 +Width: 18 N: 10 + X: -51 +inv_table[820] = -0.0195313 X: -51 +Width: 18 N: 10 + X: -50.75 +inv_table[821] = -0.0195313 X: -50.75 +Width: 18 N: 10 + X: -50.5 +inv_table[822] = -0.0195313 X: -50.5 +Width: 18 N: 10 + X: -50.25 +inv_table[823] = -0.0195313 X: -50.25 +Width: 18 N: 10 + X: -50 +inv_table[824] = -0.0195313 X: -50 +Width: 18 N: 10 + X: -49.75 +inv_table[825] = -0.0205078 X: -49.75 +Width: 18 N: 10 + X: -49.5 +inv_table[826] = -0.0205078 X: -49.5 +Width: 18 N: 10 + X: -49.25 +inv_table[827] = -0.0205078 X: -49.25 +Width: 18 N: 10 + X: -49 +inv_table[828] = -0.0205078 X: -49 +Width: 18 N: 10 + X: -48.75 +inv_table[829] = -0.0205078 X: -48.75 +Width: 18 N: 10 + X: -48.5 +inv_table[830] = -0.0205078 X: -48.5 +Width: 18 N: 10 + X: -48.25 +inv_table[831] = -0.0205078 X: -48.25 +Width: 18 N: 10 + X: -48 +inv_table[832] = -0.0205078 X: -48 +Width: 18 N: 10 + X: -47.75 +inv_table[833] = -0.0205078 X: -47.75 +Width: 18 N: 10 + X: -47.5 +inv_table[834] = -0.0214844 X: -47.5 +Width: 18 N: 10 + X: -47.25 +inv_table[835] = -0.0214844 X: -47.25 +Width: 18 N: 10 + X: -47 +inv_table[836] = -0.0214844 X: -47 +Width: 18 N: 10 + X: -46.75 +inv_table[837] = -0.0214844 X: -46.75 +Width: 18 N: 10 + X: -46.5 +inv_table[838] = -0.0214844 X: -46.5 +Width: 18 N: 10 + X: -46.25 +inv_table[839] = -0.0214844 X: -46.25 +Width: 18 N: 10 + X: -46 +inv_table[840] = -0.0214844 X: -46 +Width: 18 N: 10 + X: -45.75 +inv_table[841] = -0.0214844 X: -45.75 +Width: 18 N: 10 + X: -45.5 +inv_table[842] = -0.0224609 X: -45.5 +Width: 18 N: 10 + X: -45.25 +inv_table[843] = -0.0224609 X: -45.25 +Width: 18 N: 10 + X: -45 +inv_table[844] = -0.0224609 X: -45 +Width: 18 N: 10 + X: -44.75 +inv_table[845] = -0.0224609 X: -44.75 +Width: 18 N: 10 + X: -44.5 +inv_table[846] = -0.0224609 X: -44.5 +Width: 18 N: 10 + X: -44.25 +inv_table[847] = -0.0224609 X: -44.25 +Width: 18 N: 10 + X: -44 +inv_table[848] = -0.0224609 X: -44 +Width: 18 N: 10 + X: -43.75 +inv_table[849] = -0.0224609 X: -43.75 +Width: 18 N: 10 + X: -43.5 +inv_table[850] = -0.0234375 X: -43.5 +Width: 18 N: 10 + X: -43.25 +inv_table[851] = -0.0234375 X: -43.25 +Width: 18 N: 10 + X: -43 +inv_table[852] = -0.0234375 X: -43 +Width: 18 N: 10 + X: -42.75 +inv_table[853] = -0.0234375 X: -42.75 +Width: 18 N: 10 + X: -42.5 +inv_table[854] = -0.0234375 X: -42.5 +Width: 18 N: 10 + X: -42.25 +inv_table[855] = -0.0234375 X: -42.25 +Width: 18 N: 10 + X: -42 +inv_table[856] = -0.0234375 X: -42 +Width: 18 N: 10 + X: -41.75 +inv_table[857] = -0.0244141 X: -41.75 +Width: 18 N: 10 + X: -41.5 +inv_table[858] = -0.0244141 X: -41.5 +Width: 18 N: 10 + X: -41.25 +inv_table[859] = -0.0244141 X: -41.25 +Width: 18 N: 10 + X: -41 +inv_table[860] = -0.0244141 X: -41 +Width: 18 N: 10 + X: -40.75 +inv_table[861] = -0.0244141 X: -40.75 +Width: 18 N: 10 + X: -40.5 +inv_table[862] = -0.0244141 X: -40.5 +Width: 18 N: 10 + X: -40.25 +inv_table[863] = -0.0244141 X: -40.25 +Width: 18 N: 10 + X: -40 +inv_table[864] = -0.0253906 X: -40 +Width: 18 N: 10 + X: -39.75 +inv_table[865] = -0.0253906 X: -39.75 +Width: 18 N: 10 + X: -39.5 +inv_table[866] = -0.0253906 X: -39.5 +Width: 18 N: 10 + X: -39.25 +inv_table[867] = -0.0253906 X: -39.25 +Width: 18 N: 10 + X: -39 +inv_table[868] = -0.0253906 X: -39 +Width: 18 N: 10 + X: -38.75 +inv_table[869] = -0.0253906 X: -38.75 +Width: 18 N: 10 + X: -38.5 +inv_table[870] = -0.0263672 X: -38.5 +Width: 18 N: 10 + X: -38.25 +inv_table[871] = -0.0263672 X: -38.25 +Width: 18 N: 10 + X: -38 +inv_table[872] = -0.0263672 X: -38 +Width: 18 N: 10 + X: -37.75 +inv_table[873] = -0.0263672 X: -37.75 +Width: 18 N: 10 + X: -37.5 +inv_table[874] = -0.0263672 X: -37.5 +Width: 18 N: 10 + X: -37.25 +inv_table[875] = -0.0263672 X: -37.25 +Width: 18 N: 10 + X: -37 +inv_table[876] = -0.0273438 X: -37 +Width: 18 N: 10 + X: -36.75 +inv_table[877] = -0.0273438 X: -36.75 +Width: 18 N: 10 + X: -36.5 +inv_table[878] = -0.0273438 X: -36.5 +Width: 18 N: 10 + X: -36.25 +inv_table[879] = -0.0273438 X: -36.25 +Width: 18 N: 10 + X: -36 +inv_table[880] = -0.0273438 X: -36 +Width: 18 N: 10 + X: -35.75 +inv_table[881] = -0.0283203 X: -35.75 +Width: 18 N: 10 + X: -35.5 +inv_table[882] = -0.0283203 X: -35.5 +Width: 18 N: 10 + X: -35.25 +inv_table[883] = -0.0283203 X: -35.25 +Width: 18 N: 10 + X: -35 +inv_table[884] = -0.0283203 X: -35 +Width: 18 N: 10 + X: -34.75 +inv_table[885] = -0.0283203 X: -34.75 +Width: 18 N: 10 + X: -34.5 +inv_table[886] = -0.0292969 X: -34.5 +Width: 18 N: 10 + X: -34.25 +inv_table[887] = -0.0292969 X: -34.25 +Width: 18 N: 10 + X: -34 +inv_table[888] = -0.0292969 X: -34 +Width: 18 N: 10 + X: -33.75 +inv_table[889] = -0.0292969 X: -33.75 +Width: 18 N: 10 + X: -33.5 +inv_table[890] = -0.0302734 X: -33.5 +Width: 18 N: 10 + X: -33.25 +inv_table[891] = -0.0302734 X: -33.25 +Width: 18 N: 10 + X: -33 +inv_table[892] = -0.0302734 X: -33 +Width: 18 N: 10 + X: -32.75 +inv_table[893] = -0.0302734 X: -32.75 +Width: 18 N: 10 + X: -32.5 +inv_table[894] = -0.03125 X: -32.5 +Width: 18 N: 10 + X: -32.25 +inv_table[895] = -0.03125 X: -32.25 +Width: 18 N: 10 + X: -32 +inv_table[896] = -0.03125 X: -32 +Width: 18 N: 10 + X: -31.75 +inv_table[897] = -0.03125 X: -31.75 +Width: 18 N: 10 + X: -31.5 +inv_table[898] = -0.0322266 X: -31.5 +Width: 18 N: 10 + X: -31.25 +inv_table[899] = -0.0322266 X: -31.25 +Width: 18 N: 10 + X: -31 +inv_table[900] = -0.0322266 X: -31 +Width: 18 N: 10 + X: -30.75 +inv_table[901] = -0.0322266 X: -30.75 +Width: 18 N: 10 + X: -30.5 +inv_table[902] = -0.0332031 X: -30.5 +Width: 18 N: 10 + X: -30.25 +inv_table[903] = -0.0332031 X: -30.25 +Width: 18 N: 10 + X: -30 +inv_table[904] = -0.0332031 X: -30 +Width: 18 N: 10 + X: -29.75 +inv_table[905] = -0.0332031 X: -29.75 +Width: 18 N: 10 + X: -29.5 +inv_table[906] = -0.0341797 X: -29.5 +Width: 18 N: 10 + X: -29.25 +inv_table[907] = -0.0341797 X: -29.25 +Width: 18 N: 10 + X: -29 +inv_table[908] = -0.0341797 X: -29 +Width: 18 N: 10 + X: -28.75 +inv_table[909] = -0.0351563 X: -28.75 +Width: 18 N: 10 + X: -28.5 +inv_table[910] = -0.0351563 X: -28.5 +Width: 18 N: 10 + X: -28.25 +inv_table[911] = -0.0351563 X: -28.25 +Width: 18 N: 10 + X: -28 +inv_table[912] = -0.0361328 X: -28 +Width: 18 N: 10 + X: -27.75 +inv_table[913] = -0.0361328 X: -27.75 +Width: 18 N: 10 + X: -27.5 +inv_table[914] = -0.0361328 X: -27.5 +Width: 18 N: 10 + X: -27.25 +inv_table[915] = -0.0371094 X: -27.25 +Width: 18 N: 10 + X: -27 +inv_table[916] = -0.0371094 X: -27 +Width: 18 N: 10 + X: -26.75 +inv_table[917] = -0.0371094 X: -26.75 +Width: 18 N: 10 + X: -26.5 +inv_table[918] = -0.0380859 X: -26.5 +Width: 18 N: 10 + X: -26.25 +inv_table[919] = -0.0380859 X: -26.25 +Width: 18 N: 10 + X: -26 +inv_table[920] = -0.0380859 X: -26 +Width: 18 N: 10 + X: -25.75 +inv_table[921] = -0.0390625 X: -25.75 +Width: 18 N: 10 + X: -25.5 +inv_table[922] = -0.0390625 X: -25.5 +Width: 18 N: 10 + X: -25.25 +inv_table[923] = -0.0400391 X: -25.25 +Width: 18 N: 10 + X: -25 +inv_table[924] = -0.0400391 X: -25 +Width: 18 N: 10 + X: -24.75 +inv_table[925] = -0.0400391 X: -24.75 +Width: 18 N: 10 + X: -24.5 +inv_table[926] = -0.0410156 X: -24.5 +Width: 18 N: 10 + X: -24.25 +inv_table[927] = -0.0410156 X: -24.25 +Width: 18 N: 10 + X: -24 +inv_table[928] = -0.0419922 X: -24 +Width: 18 N: 10 + X: -23.75 +inv_table[929] = -0.0419922 X: -23.75 +Width: 18 N: 10 + X: -23.5 +inv_table[930] = -0.0429688 X: -23.5 +Width: 18 N: 10 + X: -23.25 +inv_table[931] = -0.0429688 X: -23.25 +Width: 18 N: 10 + X: -23 +inv_table[932] = -0.0439453 X: -23 +Width: 18 N: 10 + X: -22.75 +inv_table[933] = -0.0439453 X: -22.75 +Width: 18 N: 10 + X: -22.5 +inv_table[934] = -0.0449219 X: -22.5 +Width: 18 N: 10 + X: -22.25 +inv_table[935] = -0.0449219 X: -22.25 +Width: 18 N: 10 + X: -22 +inv_table[936] = -0.0458984 X: -22 +Width: 18 N: 10 + X: -21.75 +inv_table[937] = -0.0458984 X: -21.75 +Width: 18 N: 10 + X: -21.5 +inv_table[938] = -0.046875 X: -21.5 +Width: 18 N: 10 + X: -21.25 +inv_table[939] = -0.046875 X: -21.25 +Width: 18 N: 10 + X: -21 +inv_table[940] = -0.0478516 X: -21 +Width: 18 N: 10 + X: -20.75 +inv_table[941] = -0.0478516 X: -20.75 +Width: 18 N: 10 + X: -20.5 +inv_table[942] = -0.0488281 X: -20.5 +Width: 18 N: 10 + X: -20.25 +inv_table[943] = -0.0498047 X: -20.25 +Width: 18 N: 10 + X: -20 +inv_table[944] = -0.0498047 X: -20 +Width: 18 N: 10 + X: -19.75 +inv_table[945] = -0.0507813 X: -19.75 +Width: 18 N: 10 + X: -19.5 +inv_table[946] = -0.0517578 X: -19.5 +Width: 18 N: 10 + X: -19.25 +inv_table[947] = -0.0517578 X: -19.25 +Width: 18 N: 10 + X: -19 +inv_table[948] = -0.0527344 X: -19 +Width: 18 N: 10 + X: -18.75 +inv_table[949] = -0.0537109 X: -18.75 +Width: 18 N: 10 + X: -18.5 +inv_table[950] = -0.0537109 X: -18.5 +Width: 18 N: 10 + X: -18.25 +inv_table[951] = -0.0546875 X: -18.25 +Width: 18 N: 10 + X: -18 +inv_table[952] = -0.0556641 X: -18 +Width: 18 N: 10 + X: -17.75 +inv_table[953] = -0.0566406 X: -17.75 +Width: 18 N: 10 + X: -17.5 +inv_table[954] = -0.0576172 X: -17.5 +Width: 18 N: 10 + X: -17.25 +inv_table[955] = -0.0576172 X: -17.25 +Width: 18 N: 10 + X: -17 +inv_table[956] = -0.0585938 X: -17 +Width: 18 N: 10 + X: -16.75 +inv_table[957] = -0.0595703 X: -16.75 +Width: 18 N: 10 + X: -16.5 +inv_table[958] = -0.0605469 X: -16.5 +Width: 18 N: 10 + X: -16.25 +inv_table[959] = -0.0615234 X: -16.25 +Width: 18 N: 10 + X: -16 +inv_table[960] = -0.0625 X: -16 +Width: 18 N: 10 + X: -15.75 +inv_table[961] = -0.0634766 X: -15.75 +Width: 18 N: 10 + X: -15.5 +inv_table[962] = -0.0644531 X: -15.5 +Width: 18 N: 10 + X: -15.25 +inv_table[963] = -0.0654297 X: -15.25 +Width: 18 N: 10 + X: -15 +inv_table[964] = -0.0664063 X: -15 +Width: 18 N: 10 + X: -14.75 +inv_table[965] = -0.0673828 X: -14.75 +Width: 18 N: 10 + X: -14.5 +inv_table[966] = -0.0693359 X: -14.5 +Width: 18 N: 10 + X: -14.25 +inv_table[967] = -0.0703125 X: -14.25 +Width: 18 N: 10 + X: -14 +inv_table[968] = -0.0712891 X: -14 +Width: 18 N: 10 + X: -13.75 +inv_table[969] = -0.0722656 X: -13.75 +Width: 18 N: 10 + X: -13.5 +inv_table[970] = -0.0742188 X: -13.5 +Width: 18 N: 10 + X: -13.25 +inv_table[971] = -0.0751953 X: -13.25 +Width: 18 N: 10 + X: -13 +inv_table[972] = -0.0771484 X: -13 +Width: 18 N: 10 + X: -12.75 +inv_table[973] = -0.078125 X: -12.75 +Width: 18 N: 10 + X: -12.5 +inv_table[974] = -0.0800781 X: -12.5 +Width: 18 N: 10 + X: -12.25 +inv_table[975] = -0.0820313 X: -12.25 +Width: 18 N: 10 + X: -12 +inv_table[976] = -0.0830078 X: -12 +Width: 18 N: 10 + X: -11.75 +inv_table[977] = -0.0849609 X: -11.75 +Width: 18 N: 10 + X: -11.5 +inv_table[978] = -0.0869141 X: -11.5 +Width: 18 N: 10 + X: -11.25 +inv_table[979] = -0.0888672 X: -11.25 +Width: 18 N: 10 + X: -11 +inv_table[980] = -0.0908203 X: -11 +Width: 18 N: 10 + X: -10.75 +inv_table[981] = -0.0927734 X: -10.75 +Width: 18 N: 10 + X: -10.5 +inv_table[982] = -0.0957031 X: -10.5 +Width: 18 N: 10 + X: -10.25 +inv_table[983] = -0.0976563 X: -10.25 +Width: 18 N: 10 + X: -10 +inv_table[984] = -0.0996094 X: -10 +Width: 18 N: 10 + X: -9.75 +inv_table[985] = -0.102539 X: -9.75 +Width: 18 N: 10 + X: -9.5 +inv_table[986] = -0.105469 X: -9.5 +Width: 18 N: 10 + X: -9.25 +inv_table[987] = -0.108398 X: -9.25 +Width: 18 N: 10 + X: -9 +inv_table[988] = -0.111328 X: -9 +Width: 18 N: 10 + X: -8.75 +inv_table[989] = -0.114258 X: -8.75 +Width: 18 N: 10 + X: -8.5 +inv_table[990] = -0.117188 X: -8.5 +Width: 18 N: 10 + X: -8.25 +inv_table[991] = -0.121094 X: -8.25 +Width: 18 N: 10 + X: -8 +inv_table[992] = -0.125 X: -8 +Width: 18 N: 10 + X: -7.75 +inv_table[993] = -0.128906 X: -7.75 +Width: 18 N: 10 + X: -7.5 +inv_table[994] = -0.133789 X: -7.5 +Width: 18 N: 10 + X: -7.25 +inv_table[995] = -0.137695 X: -7.25 +Width: 18 N: 10 + X: -7 +inv_table[996] = -0.142578 X: -7 +Width: 18 N: 10 + X: -6.75 +inv_table[997] = -0.148438 X: -6.75 +Width: 18 N: 10 + X: -6.5 +inv_table[998] = -0.154297 X: -6.5 +Width: 18 N: 10 + X: -6.25 +inv_table[999] = -0.160156 X: -6.25 +Width: 18 N: 10 + X: -6 +inv_table[1000] = -0.166992 X: -6 +Width: 18 N: 10 + X: -5.75 +inv_table[1001] = -0.173828 X: -5.75 +Width: 18 N: 10 + X: -5.5 +inv_table[1002] = -0.181641 X: -5.5 +Width: 18 N: 10 + X: -5.25 +inv_table[1003] = -0.19043 X: -5.25 +Width: 18 N: 10 + X: -5 +inv_table[1004] = -0.200195 X: -5 +Width: 18 N: 10 + X: -4.75 +inv_table[1005] = -0.210938 X: -4.75 +Width: 18 N: 10 + X: -4.5 +inv_table[1006] = -0.222656 X: -4.5 +Width: 18 N: 10 + X: -4.25 +inv_table[1007] = -0.235352 X: -4.25 +Width: 18 N: 10 + X: -4 +inv_table[1008] = -0.25 X: -4 +Width: 18 N: 10 + X: -3.75 +inv_table[1009] = -0.266602 X: -3.75 +Width: 18 N: 10 + X: -3.5 +inv_table[1010] = -0.286133 X: -3.5 +Width: 18 N: 10 + X: -3.25 +inv_table[1011] = -0.307617 X: -3.25 +Width: 18 N: 10 + X: -3 +inv_table[1012] = -0.333008 X: -3 +Width: 18 N: 10 + X: -2.75 +inv_table[1013] = -0.363281 X: -2.75 +Width: 18 N: 10 + X: -2.5 +inv_table[1014] = -0.400391 X: -2.5 +Width: 18 N: 10 + X: -2.25 +inv_table[1015] = -0.444336 X: -2.25 +Width: 18 N: 10 + X: -2 +inv_table[1016] = -0.5 X: -2 +Width: 18 N: 10 + X: -1.75 +inv_table[1017] = -0.571289 X: -1.75 +Width: 18 N: 10 + X: -1.5 +inv_table[1018] = -0.666992 X: -1.5 +Width: 18 N: 10 + X: -1.25 +inv_table[1019] = -0.799805 X: -1.25 +Width: 18 N: 10 + X: -1 +inv_table[1020] = -1 X: -1 +Width: 18 N: 10 + X: -0.75 +inv_table[1021] = -1.33301 X: -0.75 +Width: 18 N: 10 + X: -0.5 +inv_table[1022] = -2 X: -0.5 +Width: 18 N: 10 + X: -0.25 +inv_table[1023] = -4 X: -0.25 +NOW EXPONENT TIME +INDEX GOES BRRR: 907 X: -7.25684 +INDEX GOES BRRR: 138 X: 8.67676 +INDEX GOES BRRR: 192 X: 12.0244 +INDEX GOES BRRR: 210 X: 13.1416 +INDEX GOES BRRR: 166 X: 10.3945 +INDEX GOES BRRR: 99 X: 6.22266 +INDEX GOES BRRR: 88 X: 5.55078 +INDEX GOES BRRR: 332 X: 20.791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9824 +INDEX GOES BRRR: 842 X: -11.3447 +INDEX GOES BRRR: 265 X: 16.6201 +INDEX GOES BRRR: 398 X: 24.9102 +INDEX GOES BRRR: 205 X: 12.8643 +INDEX GOES BRRR: 800 X: -13.958 +INDEX GOES BRRR: 72 X: 4.51758 +INDEX GOES BRRR: 224 X: 14.0273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.39062 +INDEX GOES BRRR: 72 X: 4.54395 +INDEX GOES BRRR: 125 X: 7.8252 +INDEX GOES BRRR: 172 X: 10.7686 +INDEX GOES BRRR: 471 X: 29.4619 +INDEX GOES BRRR: 137 X: 8.56543 +INDEX GOES BRRR: 407 X: 25.458 +INDEX GOES BRRR: 109 X: 6.84961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2598 +INDEX GOES BRRR: 59 X: 3.74219 +INDEX GOES BRRR: 49 X: 3.09277 +INDEX GOES BRRR: 35 X: 2.22949 +INDEX GOES BRRR: 422 X: 26.4072 +INDEX GOES BRRR: 115 X: 7.20117 +INDEX GOES BRRR: 998 X: -1.60254 +INDEX GOES BRRR: 65 X: 4.06543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.33887 +INDEX GOES BRRR: 257 X: 16.0938 +INDEX GOES BRRR: 833 X: -11.8975 +INDEX GOES BRRR: 121 X: 7.56543 +INDEX GOES BRRR: 372 X: 23.2646 +INDEX GOES BRRR: 8 X: 0.555664 +INDEX GOES BRRR: 1011 X: -0.771484 +INDEX GOES BRRR: 229 X: 14.3301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 403 X: 25.2256 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 309 X: 19.3691 +INDEX GOES BRRR: 953 X: -4.38867 +INDEX GOES BRRR: 56 X: 3.55957 +INDEX GOES BRRR: 97 X: 6.0625 +INDEX GOES BRRR: 1008 X: -0.950195 +INDEX GOES BRRR: 68 X: 4.28809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.3301 +INDEX GOES BRRR: 7 X: 0.469727 +INDEX GOES BRRR: 258 X: 16.1719 +INDEX GOES BRRR: 178 X: 11.1318 +INDEX GOES BRRR: 32 X: 2.01465 +INDEX GOES BRRR: 733 X: -18.165 +INDEX GOES BRRR: 257 X: 16.0986 +INDEX GOES BRRR: 393 X: 24.6172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.21094 +INDEX GOES BRRR: 196 X: 12.2979 +INDEX GOES BRRR: 73 X: 4.60156 +INDEX GOES BRRR: 779 X: -15.2676 +INDEX GOES BRRR: 50 X: 3.13672 +INDEX GOES BRRR: 172 X: 10.791 +INDEX GOES BRRR: 158 X: 9.93652 +INDEX GOES BRRR: 126 X: 7.90723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.53223 +INDEX GOES BRRR: 168 X: 10.5518 +INDEX GOES BRRR: 146 X: 9.14258 +INDEX GOES BRRR: 22 X: 1.37988 +INDEX GOES BRRR: 805 X: -13.6289 +INDEX GOES BRRR: 162 X: 10.1455 +INDEX GOES BRRR: 268 X: 16.7559 +INDEX GOES BRRR: 25 X: 1.62402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.29199 +INDEX GOES BRRR: 185 X: 11.6211 +INDEX GOES BRRR: 324 X: 20.2666 +INDEX GOES BRRR: 348 X: 21.7646 +INDEX GOES BRRR: 144 X: 9.03125 +INDEX GOES BRRR: 110 X: 6.87988 +INDEX GOES BRRR: 256 X: 16.0029 +INDEX GOES BRRR: 12 X: 0.767578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.12695 +INDEX GOES BRRR: 203 X: 12.7158 +INDEX GOES BRRR: 415 X: 25.9834 +INDEX GOES BRRR: 87 X: 5.47949 +INDEX GOES BRRR: 76 X: 4.77832 +INDEX GOES BRRR: 320 X: 20.0225 +INDEX GOES BRRR: 59 X: 3.69922 +INDEX GOES BRRR: 223 X: 13.9717 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.69336 +INDEX GOES BRRR: 74 X: 4.62695 +INDEX GOES BRRR: 343 X: 21.4795 +INDEX GOES BRRR: 235 X: 14.7324 +INDEX GOES BRRR: 114 X: 7.17676 +INDEX GOES BRRR: 344 X: 21.5586 +INDEX GOES BRRR: 244 X: 15.29 +INDEX GOES BRRR: 150 X: 9.38281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.594727 +INDEX GOES BRRR: 235 X: 14.6885 +INDEX GOES BRRR: 288 X: 18.0469 +INDEX GOES BRRR: 193 X: 12.1035 +INDEX GOES BRRR: 915 X: -6.78516 +INDEX GOES BRRR: 229 X: 14.3125 +INDEX GOES BRRR: 175 X: 10.9629 +INDEX GOES BRRR: 238 X: 14.9297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.7598 +INDEX GOES BRRR: 188 X: 11.7578 +INDEX GOES BRRR: 289 X: 18.082 +INDEX GOES BRRR: 218 X: 13.6758 +INDEX GOES BRRR: 34 X: 2.16406 +INDEX GOES BRRR: 258 X: 16.1699 +INDEX GOES BRRR: 137 X: 8.61328 +INDEX GOES BRRR: 158 X: 9.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.40234 +INDEX GOES BRRR: 267 X: 16.7344 +INDEX GOES BRRR: 120 X: 7.53027 +INDEX GOES BRRR: 7 X: 0.451172 +INDEX GOES BRRR: 203 X: 12.6953 +INDEX GOES BRRR: 73 X: 4.59082 +INDEX GOES BRRR: 113 X: 7.09473 +INDEX GOES BRRR: 267 X: 16.6924 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 966 X: -3.62305 +INDEX GOES BRRR: 306 X: 19.1748 +INDEX GOES BRRR: 240 X: 15.0205 +INDEX GOES BRRR: 212 X: 13.2949 +INDEX GOES BRRR: 103 X: 6.47852 +INDEX GOES BRRR: 302 X: 18.9316 +INDEX GOES BRRR: 232 X: 14.5068 +INDEX GOES BRRR: 214 X: 13.4229 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 942 X: -5.1123 +INDEX GOES BRRR: 233 X: 14.6074 +INDEX GOES BRRR: 391 X: 24.459 +INDEX GOES BRRR: 74 X: 4.64746 +INDEX GOES BRRR: 199 X: 12.4971 +INDEX GOES BRRR: 31 X: 1.98535 +INDEX GOES BRRR: 9 X: 0.62207 +INDEX GOES BRRR: 49 X: 3.12402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 81 X: 5.11035 +INDEX GOES BRRR: 166 X: 10.4355 +INDEX GOES BRRR: 43 X: 2.70996 +INDEX GOES BRRR: 123 X: 7.70215 +INDEX GOES BRRR: 122 X: 7.62793 +INDEX GOES BRRR: 172 X: 10.7959 +INDEX GOES BRRR: 161 X: 10.1172 +INDEX GOES BRRR: 238 X: 14.9248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.50586 +INDEX GOES BRRR: 202 X: 12.6543 +INDEX GOES BRRR: 194 X: 12.126 +INDEX GOES BRRR: 126 X: 7.90918 +INDEX GOES BRRR: 108 X: 6.78809 +INDEX GOES BRRR: 87 X: 5.46777 +INDEX GOES BRRR: 225 X: 14.0879 +INDEX GOES BRRR: 824 X: -12.4766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8711 +INDEX GOES BRRR: 175 X: 10.9707 +INDEX GOES BRRR: 865 X: -9.89746 +INDEX GOES BRRR: 980 X: -2.72266 +INDEX GOES BRRR: 433 X: 27.082 +INDEX GOES BRRR: 335 X: 20.9834 +INDEX GOES BRRR: 274 X: 17.1611 +INDEX GOES BRRR: 980 X: -2.71875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 430 X: 26.9121 +INDEX GOES BRRR: 918 X: -6.62012 +INDEX GOES BRRR: 148 X: 9.30371 +INDEX GOES BRRR: 391 X: 24.4404 +INDEX GOES BRRR: 312 X: 19.5615 +INDEX GOES BRRR: 155 X: 9.71387 +INDEX GOES BRRR: 279 X: 17.4375 +INDEX GOES BRRR: 70 X: 4.42188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 367 X: 22.9824 +INDEX GOES BRRR: 48 X: 3.05859 +INDEX GOES BRRR: 947 X: -4.7959 +INDEX GOES BRRR: 245 X: 15.3369 +INDEX GOES BRRR: 55 X: 3.47363 +INDEX GOES BRRR: 472 X: 29.5479 +INDEX GOES BRRR: 285 X: 17.8418 +INDEX GOES BRRR: 143 X: 8.94336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 141 X: 8.86816 +INDEX GOES BRRR: 234 X: 14.6553 +INDEX GOES BRRR: 438 X: 27.4326 +INDEX GOES BRRR: 865 X: -9.91992 +INDEX GOES BRRR: 802 X: -13.8721 +INDEX GOES BRRR: 186 X: 11.6436 +INDEX GOES BRRR: 781 X: -15.1377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 933 X: -5.66602 +INDEX GOES BRRR: 287 X: 17.9844 +INDEX GOES BRRR: 304 X: 19.0469 +INDEX GOES BRRR: 817 X: -12.8896 +INDEX GOES BRRR: 28 X: 1.76367 +INDEX GOES BRRR: 177 X: 11.0742 +INDEX GOES BRRR: 313 X: 19.5771 +INDEX GOES BRRR: 180 X: 11.2744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 870 X: -9.61133 +INDEX GOES BRRR: 207 X: 12.9668 +INDEX GOES BRRR: 806 X: -13.625 +INDEX GOES BRRR: 127 X: 7.97461 +INDEX GOES BRRR: 107 X: 6.69727 +INDEX GOES BRRR: 168 X: 10.5264 +INDEX GOES BRRR: 19 X: 1.21484 +INDEX GOES BRRR: 249 X: 15.5859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.50391 +INDEX GOES BRRR: 116 X: 7.30859 +INDEX GOES BRRR: 33 X: 2.08496 +INDEX GOES BRRR: 128 X: 8.03516 +INDEX GOES BRRR: 299 X: 18.7012 +INDEX GOES BRRR: 233 X: 14.5625 +INDEX GOES BRRR: 228 X: 14.3076 +INDEX GOES BRRR: 88 X: 5.5127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.4082 +INDEX GOES BRRR: 370 X: 23.1309 +INDEX GOES BRRR: 248 X: 15.5498 +INDEX GOES BRRR: 236 X: 14.8018 +INDEX GOES BRRR: 1005 X: -1.1875 +INDEX GOES BRRR: 310 X: 19.3857 +INDEX GOES BRRR: 194 X: 12.1523 +INDEX GOES BRRR: 47 X: 2.9668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.49414 +INDEX GOES BRRR: 258 X: 16.1592 +INDEX GOES BRRR: 18 X: 1.12695 +INDEX GOES BRRR: 798 X: -14.1182 +INDEX GOES BRRR: 15 X: 0.964844 +INDEX GOES BRRR: 105 X: 6.62012 +INDEX GOES BRRR: 982 X: -2.61523 +INDEX GOES BRRR: 294 X: 18.4062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.1895 +INDEX GOES BRRR: 102 X: 6.40527 +INDEX GOES BRRR: 343 X: 21.4502 +INDEX GOES BRRR: 421 X: 26.3594 +INDEX GOES BRRR: 42 X: 2.6748 +INDEX GOES BRRR: 29 X: 1.84961 +INDEX GOES BRRR: 332 X: 20.7988 +INDEX GOES BRRR: 353 X: 22.0684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 932 X: -5.72949 +INDEX GOES BRRR: 996 X: -1.74414 +INDEX GOES BRRR: 942 X: -5.11914 +INDEX GOES BRRR: 980 X: -2.72168 +INDEX GOES BRRR: 121 X: 7.61621 +INDEX GOES BRRR: 978 X: -2.82812 +INDEX GOES BRRR: 228 X: 14.3047 +INDEX GOES BRRR: 69 X: 4.32129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3398 +INDEX GOES BRRR: 316 X: 19.8105 +INDEX GOES BRRR: 308 X: 19.2842 +INDEX GOES BRRR: 207 X: 12.9863 +INDEX GOES BRRR: 77 X: 4.85156 +INDEX GOES BRRR: 165 X: 10.3398 +INDEX GOES BRRR: 227 X: 14.2363 +INDEX GOES BRRR: 200 X: 12.5586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 932 X: -5.72559 +INDEX GOES BRRR: 215 X: 13.457 +INDEX GOES BRRR: 89 X: 5.58105 +INDEX GOES BRRR: 123 X: 7.71973 +INDEX GOES BRRR: 880 X: -8.98633 +INDEX GOES BRRR: 228 X: 14.2617 +INDEX GOES BRRR: 90 X: 5.67969 +INDEX GOES BRRR: 931 X: -5.7998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.63281 +INDEX GOES BRRR: 212 X: 13.2695 +INDEX GOES BRRR: 1005 X: -1.13574 +INDEX GOES BRRR: 66 X: 4.13672 +INDEX GOES BRRR: 240 X: 15.0107 +INDEX GOES BRRR: 857 X: -10.4248 +INDEX GOES BRRR: 280 X: 17.5508 +INDEX GOES BRRR: 269 X: 16.8447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 956 X: -4.20898 +INDEX GOES BRRR: 125 X: 7.85352 +INDEX GOES BRRR: 204 X: 12.7959 +INDEX GOES BRRR: 221 X: 13.8662 +INDEX GOES BRRR: 963 X: -3.78223 +INDEX GOES BRRR: 287 X: 17.9629 +INDEX GOES BRRR: 119 X: 7.48242 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.43359 +INDEX GOES BRRR: 195 X: 12.2041 +INDEX GOES BRRR: 94 X: 5.91113 +INDEX GOES BRRR: 316 X: 19.792 +INDEX GOES BRRR: 947 X: -4.7627 +INDEX GOES BRRR: 285 X: 17.8721 +INDEX GOES BRRR: 905 X: -7.3916 +INDEX GOES BRRR: 272 X: 17.0527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3301 +INDEX GOES BRRR: 322 X: 20.1348 +INDEX GOES BRRR: 124 X: 7.78711 +INDEX GOES BRRR: 1023 X: -0.0380859 +INDEX GOES BRRR: 98 X: 6.12793 +INDEX GOES BRRR: 248 X: 15.5215 +INDEX GOES BRRR: 82 X: 5.1709 +INDEX GOES BRRR: 203 X: 12.7285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8203 +INDEX GOES BRRR: 280 X: 17.5596 +INDEX GOES BRRR: 314 X: 19.6846 +INDEX GOES BRRR: 164 X: 10.2959 +INDEX GOES BRRR: 149 X: 9.35156 +INDEX GOES BRRR: 137 X: 8.59668 +INDEX GOES BRRR: 939 X: -5.25586 +INDEX GOES BRRR: 967 X: -3.55371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3242 +INDEX GOES BRRR: 179 X: 11.1953 +INDEX GOES BRRR: 123 X: 7.74805 +INDEX GOES BRRR: 182 X: 11.4023 +INDEX GOES BRRR: 43 X: 2.68848 +INDEX GOES BRRR: 967 X: -3.5293 +INDEX GOES BRRR: 214 X: 13.3789 +INDEX GOES BRRR: 320 X: 20.0352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.8047 +INDEX GOES BRRR: 127 X: 7.96289 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 32 X: 2.04199 +INDEX GOES BRRR: 379 X: 23.7021 +INDEX GOES BRRR: 15 X: 0.987305 +INDEX GOES BRRR: 204 X: 12.7764 +INDEX GOES BRRR: 190 X: 11.9307 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.78906 +INDEX GOES BRRR: 963 X: -3.80859 +INDEX GOES BRRR: 447 X: 27.9707 +INDEX GOES BRRR: 279 X: 17.498 +INDEX GOES BRRR: 441 X: 27.5889 +INDEX GOES BRRR: 194 X: 12.125 +INDEX GOES BRRR: 329 X: 20.5801 +INDEX GOES BRRR: 332 X: 20.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 90 X: 5.625 +INDEX GOES BRRR: 790 X: -14.6055 +INDEX GOES BRRR: 245 X: 15.3564 +INDEX GOES BRRR: 884 X: -8.69922 +INDEX GOES BRRR: 253 X: 15.8535 +INDEX GOES BRRR: 30 X: 1.90527 +INDEX GOES BRRR: 474 X: 29.6543 +INDEX GOES BRRR: 80 X: 5.01855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 451 X: 28.2217 +INDEX GOES BRRR: 144 X: 9.05957 +INDEX GOES BRRR: 643 X: -23.7939 +INDEX GOES BRRR: 963 X: -3.77051 +INDEX GOES BRRR: 23 X: 1.44336 +INDEX GOES BRRR: 202 X: 12.6865 +INDEX GOES BRRR: 97 X: 6.07617 +INDEX GOES BRRR: 198 X: 12.3916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.04297 +INDEX GOES BRRR: 56 X: 3.56055 +INDEX GOES BRRR: 30 X: 1.91211 +INDEX GOES BRRR: 236 X: 14.7578 +INDEX GOES BRRR: 290 X: 18.1748 +INDEX GOES BRRR: 245 X: 15.374 +INDEX GOES BRRR: 232 X: 14.5068 +INDEX GOES BRRR: 874 X: -9.3457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 992 X: -1.98633 +INDEX GOES BRRR: 1018 X: -0.375 +INDEX GOES BRRR: 17 X: 1.07617 +INDEX GOES BRRR: 363 X: 22.7363 +INDEX GOES BRRR: 7 X: 0.492188 +INDEX GOES BRRR: 134 X: 8.42969 +INDEX GOES BRRR: 18 X: 1.17578 +INDEX GOES BRRR: 4 X: 0.288086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.78516 +INDEX GOES BRRR: 199 X: 12.4902 +INDEX GOES BRRR: 830 X: -12.0889 +INDEX GOES BRRR: 64 X: 4.04004 +INDEX GOES BRRR: 276 X: 17.2646 +INDEX GOES BRRR: 114 X: 7.1543 +INDEX GOES BRRR: 974 X: -3.0957 +INDEX GOES BRRR: 104 X: 6.53418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.17578 +INDEX GOES BRRR: 411 X: 25.7363 +INDEX GOES BRRR: 186 X: 11.6416 +INDEX GOES BRRR: 64 X: 4.05762 +INDEX GOES BRRR: 240 X: 15.041 +INDEX GOES BRRR: 391 X: 24.4482 +INDEX GOES BRRR: 181 X: 11.332 +INDEX GOES BRRR: 103 X: 6.44238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.125977 +INDEX GOES BRRR: 190 X: 11.9336 +INDEX GOES BRRR: 354 X: 22.1855 +INDEX GOES BRRR: 473 X: 29.5791 +INDEX GOES BRRR: 215 X: 13.4443 +INDEX GOES BRRR: 993 X: -1.91699 +INDEX GOES BRRR: 153 X: 9.60547 +INDEX GOES BRRR: 59 X: 3.73633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 263 X: 16.4629 +INDEX GOES BRRR: 396 X: 24.793 +INDEX GOES BRRR: 280 X: 17.5371 +INDEX GOES BRRR: 46 X: 2.87891 +INDEX GOES BRRR: 121 X: 7.57812 +INDEX GOES BRRR: 273 X: 17.0762 +INDEX GOES BRRR: 223 X: 13.9561 +INDEX GOES BRRR: 57 X: 3.56348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0205 +INDEX GOES BRRR: 129 X: 8.07617 +INDEX GOES BRRR: 210 X: 13.126 +INDEX GOES BRRR: 42 X: 2.68555 +INDEX GOES BRRR: 124 X: 7.75293 +INDEX GOES BRRR: 309 X: 19.3477 +INDEX GOES BRRR: 157 X: 9.85352 +INDEX GOES BRRR: 306 X: 19.1504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.4873 +INDEX GOES BRRR: 80 X: 5.04199 +INDEX GOES BRRR: 915 X: -6.78809 +INDEX GOES BRRR: 294 X: 18.3926 +INDEX GOES BRRR: 313 X: 19.5732 +INDEX GOES BRRR: 406 X: 25.3975 +INDEX GOES BRRR: 1020 X: -0.231445 +INDEX GOES BRRR: 291 X: 18.1885 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3486 +INDEX GOES BRRR: 76 X: 4.80371 +INDEX GOES BRRR: 207 X: 12.9932 +INDEX GOES BRRR: 154 X: 9.67383 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 244 X: 15.3037 +INDEX GOES BRRR: 229 X: 14.3125 +INDEX GOES BRRR: 1020 X: -0.248047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 906 X: -7.32715 +INDEX GOES BRRR: 234 X: 14.6689 +INDEX GOES BRRR: 309 X: 19.3652 +INDEX GOES BRRR: 432 X: 27.0117 +INDEX GOES BRRR: 1004 X: -1.20312 +INDEX GOES BRRR: 242 X: 15.1309 +INDEX GOES BRRR: 98 X: 6.125 +INDEX GOES BRRR: 268 X: 16.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.51465 +INDEX GOES BRRR: 254 X: 15.9268 +INDEX GOES BRRR: 11 X: 0.695312 +INDEX GOES BRRR: 278 X: 17.4014 +INDEX GOES BRRR: 307 X: 19.2266 +INDEX GOES BRRR: 387 X: 24.1885 +INDEX GOES BRRR: 361 X: 22.5869 +INDEX GOES BRRR: 153 X: 9.5957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 64 X: 4.01953 +INDEX GOES BRRR: 107 X: 6.74902 +INDEX GOES BRRR: 1012 X: -0.697266 +INDEX GOES BRRR: 181 X: 11.3594 +INDEX GOES BRRR: 121 X: 7.6123 +INDEX GOES BRRR: 156 X: 9.80176 +INDEX GOES BRRR: 895 X: -8.00098 +INDEX GOES BRRR: 428 X: 26.7607 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7871 +INDEX GOES BRRR: 173 X: 10.8301 +INDEX GOES BRRR: 14 X: 0.922852 +INDEX GOES BRRR: 374 X: 23.4111 +INDEX GOES BRRR: 151 X: 9.46387 +INDEX GOES BRRR: 289 X: 18.0879 +INDEX GOES BRRR: 71 X: 4.45605 +INDEX GOES BRRR: 285 X: 17.8506 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1010 X: -0.870117 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 886 X: -8.60352 +INDEX GOES BRRR: 71 X: 4.48242 +INDEX GOES BRRR: 423 X: 26.4844 +INDEX GOES BRRR: 121 X: 7.57715 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 160 X: 10.0322 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 481 X: 30.0859 +INDEX GOES BRRR: 212 X: 13.2783 +INDEX GOES BRRR: 253 X: 15.8525 +INDEX GOES BRRR: 250 X: 15.6592 +INDEX GOES BRRR: 989 X: -2.18457 +INDEX GOES BRRR: 828 X: -12.21 +INDEX GOES BRRR: 92 X: 5.76953 +INDEX GOES BRRR: 991 X: -2.02539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0391 +INDEX GOES BRRR: 132 X: 8.27441 +INDEX GOES BRRR: 85 X: 5.34375 +INDEX GOES BRRR: 188 X: 11.8076 +INDEX GOES BRRR: 891 X: -8.2998 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 35 X: 2.2002 +INDEX GOES BRRR: 453 X: 28.3574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.90039 +INDEX GOES BRRR: 365 X: 22.8291 +INDEX GOES BRRR: 150 X: 9.39746 +INDEX GOES BRRR: 312 X: 19.54 +INDEX GOES BRRR: 115 X: 7.24805 +INDEX GOES BRRR: 362 X: 22.6484 +INDEX GOES BRRR: 98 X: 6.15625 +INDEX GOES BRRR: 112 X: 7.01855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.2666 +INDEX GOES BRRR: 176 X: 11.0332 +INDEX GOES BRRR: 411 X: 25.7275 +INDEX GOES BRRR: 999 X: -1.55664 +INDEX GOES BRRR: 24 X: 1.5166 +INDEX GOES BRRR: 194 X: 12.1777 +INDEX GOES BRRR: 261 X: 16.3516 +INDEX GOES BRRR: 184 X: 11.5605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 858 X: -10.3232 +INDEX GOES BRRR: 147 X: 9.2041 +INDEX GOES BRRR: 119 X: 7.4541 +INDEX GOES BRRR: 197 X: 12.3223 +INDEX GOES BRRR: 50 X: 3.15332 +INDEX GOES BRRR: 122 X: 7.64648 +INDEX GOES BRRR: 329 X: 20.5684 +INDEX GOES BRRR: 133 X: 8.3252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.43262 +INDEX GOES BRRR: 44 X: 2.75488 +INDEX GOES BRRR: 99 X: 6.22559 +INDEX GOES BRRR: 373 X: 23.3613 +INDEX GOES BRRR: 328 X: 20.5078 +INDEX GOES BRRR: 133 X: 8.3584 +INDEX GOES BRRR: 95 X: 5.94336 +INDEX GOES BRRR: 181 X: 11.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.43164 +INDEX GOES BRRR: 985 X: -2.42285 +INDEX GOES BRRR: 126 X: 7.875 +INDEX GOES BRRR: 206 X: 12.9326 +INDEX GOES BRRR: 222 X: 13.9365 +INDEX GOES BRRR: 172 X: 10.8008 +INDEX GOES BRRR: 945 X: -4.88086 +INDEX GOES BRRR: 335 X: 20.9463 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 200 X: 12.5547 +INDEX GOES BRRR: 120 X: 7.52344 +INDEX GOES BRRR: 136 X: 8.52441 +INDEX GOES BRRR: 1021 X: -0.183594 +INDEX GOES BRRR: 120 X: 7.52832 +INDEX GOES BRRR: 1019 X: -0.287109 +INDEX GOES BRRR: 368 X: 23.001 +INDEX GOES BRRR: 897 X: -7.88867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.25977 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 34 X: 2.14941 +INDEX GOES BRRR: 224 X: 14.0176 +INDEX GOES BRRR: 67 X: 4.19727 +INDEX GOES BRRR: 16 X: 1.03516 +INDEX GOES BRRR: 286 X: 17.915 +INDEX GOES BRRR: 146 X: 9.15234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.92188 +INDEX GOES BRRR: 172 X: 10.7939 +INDEX GOES BRRR: 12 X: 0.753906 +INDEX GOES BRRR: 1015 X: -0.544922 +INDEX GOES BRRR: 152 X: 9.50488 +INDEX GOES BRRR: 158 X: 9.88672 +INDEX GOES BRRR: 165 X: 10.3633 +INDEX GOES BRRR: 280 X: 17.5127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9473 +INDEX GOES BRRR: 290 X: 18.1738 +INDEX GOES BRRR: 386 X: 24.1514 +INDEX GOES BRRR: 282 X: 17.6846 +INDEX GOES BRRR: 123 X: 7.71191 +INDEX GOES BRRR: 870 X: -9.61914 +INDEX GOES BRRR: 298 X: 18.6406 +INDEX GOES BRRR: 465 X: 29.1064 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 960 X: -3.99902 +INDEX GOES BRRR: 209 X: 13.0977 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 354 X: 22.1553 +INDEX GOES BRRR: 481 X: 30.1211 +INDEX GOES BRRR: 913 X: -6.90918 +INDEX GOES BRRR: 1007 X: -1.0498 +INDEX GOES BRRR: 9 X: 0.580078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 962 X: -3.82715 +INDEX GOES BRRR: 177 X: 11.0801 +INDEX GOES BRRR: 87 X: 5.4707 +INDEX GOES BRRR: 402 X: 25.1475 +INDEX GOES BRRR: 98 X: 6.12598 +INDEX GOES BRRR: 218 X: 13.627 +INDEX GOES BRRR: 83 X: 5.20801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.1191 +INDEX GOES BRRR: 368 X: 23.0264 +INDEX GOES BRRR: 990 X: -2.09277 +INDEX GOES BRRR: 331 X: 20.7012 +INDEX GOES BRRR: 451 X: 28.1885 +INDEX GOES BRRR: 128 X: 8.00293 +INDEX GOES BRRR: 41 X: 2.59473 +INDEX GOES BRRR: 55 X: 3.47852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.29395 +INDEX GOES BRRR: 106 X: 6.625 +INDEX GOES BRRR: 178 X: 11.1846 +INDEX GOES BRRR: 303 X: 18.9541 +INDEX GOES BRRR: 196 X: 12.2861 +INDEX GOES BRRR: 130 X: 8.16504 +INDEX GOES BRRR: 79 X: 4.9502 +INDEX GOES BRRR: 428 X: 26.7539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.5166 +INDEX GOES BRRR: 465 X: 29.1104 +INDEX GOES BRRR: 101 X: 6.33398 +INDEX GOES BRRR: 940 X: -5.22754 +INDEX GOES BRRR: 1013 X: -0.625977 +INDEX GOES BRRR: 239 X: 14.9473 +INDEX GOES BRRR: 381 X: 23.8281 +INDEX GOES BRRR: 34 X: 2.15527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.59082 +INDEX GOES BRRR: 127 X: 7.94922 +INDEX GOES BRRR: 73 X: 4.61035 +INDEX GOES BRRR: 129 X: 8.07617 +INDEX GOES BRRR: 230 X: 14.377 +INDEX GOES BRRR: 140 X: 8.7627 +INDEX GOES BRRR: 319 X: 19.9795 +INDEX GOES BRRR: 848 X: -10.9941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.084 +INDEX GOES BRRR: 89 X: 5.60938 +INDEX GOES BRRR: 57 X: 3.60059 +INDEX GOES BRRR: 409 X: 25.5664 +INDEX GOES BRRR: 246 X: 15.375 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 140 X: 8.75781 +INDEX GOES BRRR: 275 X: 17.2373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.29 +INDEX GOES BRRR: 41 X: 2.60645 +INDEX GOES BRRR: 172 X: 10.7832 +INDEX GOES BRRR: 237 X: 14.8584 +INDEX GOES BRRR: 406 X: 25.3799 +INDEX GOES BRRR: 995 X: -1.79688 +INDEX GOES BRRR: 1020 X: -0.241211 +INDEX GOES BRRR: 99 X: 6.19141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.7285 +INDEX GOES BRRR: 285 X: 17.8604 +INDEX GOES BRRR: 237 X: 14.8506 +INDEX GOES BRRR: 344 X: 21.5244 +INDEX GOES BRRR: 1020 X: -0.227539 +INDEX GOES BRRR: 167 X: 10.4873 +INDEX GOES BRRR: 189 X: 11.8691 +INDEX GOES BRRR: 466 X: 29.1377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.37305 +INDEX GOES BRRR: 51 X: 3.19141 +INDEX GOES BRRR: 238 X: 14.9189 +INDEX GOES BRRR: 1008 X: -0.984375 +INDEX GOES BRRR: 32 X: 2.02246 +INDEX GOES BRRR: 26 X: 1.65527 +INDEX GOES BRRR: 118 X: 7.41699 +INDEX GOES BRRR: 350 X: 21.8848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.1953 +INDEX GOES BRRR: 852 X: -10.7178 +INDEX GOES BRRR: 101 X: 6.35742 +INDEX GOES BRRR: 874 X: -9.3457 +INDEX GOES BRRR: 426 X: 26.6279 +INDEX GOES BRRR: 116 X: 7.25879 +INDEX GOES BRRR: 194 X: 12.1396 +INDEX GOES BRRR: 911 X: -7.03223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.293 +INDEX GOES BRRR: 64 X: 4.00195 +INDEX GOES BRRR: 408 X: 25.5303 +INDEX GOES BRRR: 165 X: 10.3438 +INDEX GOES BRRR: 208 X: 13.0176 +INDEX GOES BRRR: 66 X: 4.17676 +INDEX GOES BRRR: 36 X: 2.30957 +INDEX GOES BRRR: 247 X: 15.4697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5508 +INDEX GOES BRRR: 71 X: 4.4502 +INDEX GOES BRRR: 980 X: -2.74609 +INDEX GOES BRRR: 70 X: 4.39062 +INDEX GOES BRRR: 419 X: 26.2109 +INDEX GOES BRRR: 208 X: 13.0537 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 234 X: 14.6553 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 5 X: 0.322266 +INDEX GOES BRRR: 242 X: 15.1611 +INDEX GOES BRRR: 223 X: 13.9678 +INDEX GOES BRRR: 355 X: 22.2061 +INDEX GOES BRRR: 192 X: 12.0557 +INDEX GOES BRRR: 479 X: 29.9414 +INDEX GOES BRRR: 949 X: -4.66602 +INDEX GOES BRRR: 131 X: 8.24609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.6895 +INDEX GOES BRRR: 23 X: 1.47852 +INDEX GOES BRRR: 215 X: 13.46 +INDEX GOES BRRR: 1013 X: -0.667969 +INDEX GOES BRRR: 872 X: -9.49121 +INDEX GOES BRRR: 174 X: 10.9229 +INDEX GOES BRRR: 113 X: 7.11816 +INDEX GOES BRRR: 208 X: 13.041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.22363 +INDEX GOES BRRR: 110 X: 6.90332 +INDEX GOES BRRR: 66 X: 4.1582 +INDEX GOES BRRR: 131 X: 8.21777 +INDEX GOES BRRR: 93 X: 5.86426 +INDEX GOES BRRR: 983 X: -2.55371 +INDEX GOES BRRR: 17 X: 1.11719 +INDEX GOES BRRR: 149 X: 9.34668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.0459 +INDEX GOES BRRR: 149 X: 9.3584 +INDEX GOES BRRR: 19 X: 1.23438 +INDEX GOES BRRR: 66 X: 4.1709 +INDEX GOES BRRR: 324 X: 20.2539 +INDEX GOES BRRR: 149 X: 9.36523 +INDEX GOES BRRR: 38 X: 2.40234 +INDEX GOES BRRR: 182 X: 11.4121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 200 X: 12.5312 +INDEX GOES BRRR: 245 X: 15.334 +INDEX GOES BRRR: 60 X: 3.76758 +INDEX GOES BRRR: 256 X: 16.0029 +INDEX GOES BRRR: 464 X: 29 +INDEX GOES BRRR: 269 X: 16.834 +INDEX GOES BRRR: 124 X: 7.7998 +INDEX GOES BRRR: 84 X: 5.27246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1013 X: -0.631836 +INDEX GOES BRRR: 374 X: 23.4346 +INDEX GOES BRRR: 86 X: 5.40332 +INDEX GOES BRRR: 872 X: -9.46875 +INDEX GOES BRRR: 442 X: 27.6611 +INDEX GOES BRRR: 134 X: 8.4043 +INDEX GOES BRRR: 121 X: 7.60938 +INDEX GOES BRRR: 480 X: 30.0479 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 18 X: 1.13672 +INDEX GOES BRRR: 306 X: 19.1621 +INDEX GOES BRRR: 971 X: -3.29688 +INDEX GOES BRRR: 352 X: 22.0381 +INDEX GOES BRRR: 181 X: 11.3711 +INDEX GOES BRRR: 103 X: 6.45605 +INDEX GOES BRRR: 317 X: 19.8271 +INDEX GOES BRRR: 153 X: 9.5752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.68945 +INDEX GOES BRRR: 245 X: 15.3408 +INDEX GOES BRRR: 254 X: 15.8828 +INDEX GOES BRRR: 981 X: -2.63867 +INDEX GOES BRRR: 1014 X: -0.608398 +INDEX GOES BRRR: 260 X: 16.2627 +INDEX GOES BRRR: 152 X: 9.53027 +INDEX GOES BRRR: 12 X: 0.804688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8623 +INDEX GOES BRRR: 201 X: 12.582 +INDEX GOES BRRR: 969 X: -3.37695 +INDEX GOES BRRR: 1 X: 0.113281 +INDEX GOES BRRR: 57 X: 3.58105 +INDEX GOES BRRR: 105 X: 6.58008 +INDEX GOES BRRR: 465 X: 29.1182 +INDEX GOES BRRR: 15 X: 0.963867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 409 X: 25.5762 +INDEX GOES BRRR: 240 X: 15.0498 +INDEX GOES BRRR: 150 X: 9.375 +INDEX GOES BRRR: 194 X: 12.1406 +INDEX GOES BRRR: 406 X: 25.3984 +INDEX GOES BRRR: 465 X: 29.0986 +INDEX GOES BRRR: 984 X: -2.48535 +INDEX GOES BRRR: 260 X: 16.2773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.292969 +INDEX GOES BRRR: 1006 X: -1.11914 +INDEX GOES BRRR: 191 X: 11.9854 +INDEX GOES BRRR: 242 X: 15.1719 +INDEX GOES BRRR: 274 X: 17.168 +INDEX GOES BRRR: 985 X: -2.40234 +INDEX GOES BRRR: 394 X: 24.6328 +INDEX GOES BRRR: 438 X: 27.4297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0283 +INDEX GOES BRRR: 189 X: 11.8271 +INDEX GOES BRRR: 309 X: 19.3721 +INDEX GOES BRRR: 237 X: 14.8613 +INDEX GOES BRRR: 227 X: 14.2471 +INDEX GOES BRRR: 180 X: 11.2607 +INDEX GOES BRRR: 119 X: 7.49023 +INDEX GOES BRRR: 215 X: 13.4912 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.38574 +INDEX GOES BRRR: 57 X: 3.57617 +INDEX GOES BRRR: 25 X: 1.57227 +INDEX GOES BRRR: 387 X: 24.2021 +INDEX GOES BRRR: 1006 X: -1.10254 +INDEX GOES BRRR: 123 X: 7.70605 +INDEX GOES BRRR: 944 X: -4.93848 +INDEX GOES BRRR: 488 X: 30.5225 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3125 +INDEX GOES BRRR: 180 X: 11.2812 +INDEX GOES BRRR: 357 X: 22.3584 +INDEX GOES BRRR: 417 X: 26.1152 +INDEX GOES BRRR: 991 X: -2.05078 +INDEX GOES BRRR: 20 X: 1.25781 +INDEX GOES BRRR: 239 X: 14.9883 +INDEX GOES BRRR: 42 X: 2.625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 862 X: -10.0947 +INDEX GOES BRRR: 150 X: 9.38086 +INDEX GOES BRRR: 96 X: 6.00586 +INDEX GOES BRRR: 164 X: 10.3018 +INDEX GOES BRRR: 255 X: 15.9688 +INDEX GOES BRRR: 31 X: 1.97168 +INDEX GOES BRRR: 122 X: 7.6748 +INDEX GOES BRRR: 169 X: 10.6064 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9814 +INDEX GOES BRRR: 245 X: 15.374 +INDEX GOES BRRR: 380 X: 23.7725 +INDEX GOES BRRR: 50 X: 3.13574 +INDEX GOES BRRR: 42 X: 2.67773 +INDEX GOES BRRR: 192 X: 12.0264 +INDEX GOES BRRR: 82 X: 5.14453 +INDEX GOES BRRR: 409 X: 25.6162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.41309 +INDEX GOES BRRR: 1006 X: -1.11523 +INDEX GOES BRRR: 908 X: -7.23047 +INDEX GOES BRRR: 293 X: 18.3477 +INDEX GOES BRRR: 137 X: 8.5918 +INDEX GOES BRRR: 214 X: 13.4297 +INDEX GOES BRRR: 990 X: -2.08398 +INDEX GOES BRRR: 488 X: 30.5234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.36816 +INDEX GOES BRRR: 789 X: -14.6387 +INDEX GOES BRRR: 223 X: 13.9736 +INDEX GOES BRRR: 136 X: 8.54688 +INDEX GOES BRRR: 205 X: 12.8564 +INDEX GOES BRRR: 198 X: 12.4199 +INDEX GOES BRRR: 113 X: 7.10352 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.748 +INDEX GOES BRRR: 945 X: -4.88184 +INDEX GOES BRRR: 1018 X: -0.371094 +INDEX GOES BRRR: 288 X: 18.0479 +INDEX GOES BRRR: 198 X: 12.3857 +INDEX GOES BRRR: 191 X: 11.9746 +INDEX GOES BRRR: 72 X: 4.53125 +INDEX GOES BRRR: 96 X: 6.04297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 236 X: 14.8086 +INDEX GOES BRRR: 117 X: 7.36328 +INDEX GOES BRRR: 185 X: 11.5771 +INDEX GOES BRRR: 188 X: 11.7539 +INDEX GOES BRRR: 340 X: 21.2598 +INDEX GOES BRRR: 323 X: 20.2432 +INDEX GOES BRRR: 88 X: 5.50684 +INDEX GOES BRRR: 168 X: 10.5088 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.7148 +INDEX GOES BRRR: 959 X: -4.04004 +INDEX GOES BRRR: 109 X: 6.83008 +INDEX GOES BRRR: 334 X: 20.8828 +INDEX GOES BRRR: 225 X: 14.1162 +INDEX GOES BRRR: 105 X: 6.61914 +INDEX GOES BRRR: 938 X: -5.37207 +INDEX GOES BRRR: 302 X: 18.9004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.2979 +INDEX GOES BRRR: 796 X: -14.2412 +INDEX GOES BRRR: 859 X: -10.2568 +INDEX GOES BRRR: 284 X: 17.79 +INDEX GOES BRRR: 418 X: 26.1348 +INDEX GOES BRRR: 150 X: 9.41113 +INDEX GOES BRRR: 168 X: 10.5039 +INDEX GOES BRRR: 1019 X: -0.297852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 233 X: 14.6221 +INDEX GOES BRRR: 320 X: 20.0498 +INDEX GOES BRRR: 285 X: 17.8604 +INDEX GOES BRRR: 197 X: 12.3203 +INDEX GOES BRRR: 217 X: 13.6211 +INDEX GOES BRRR: 171 X: 10.7383 +INDEX GOES BRRR: 264 X: 16.5244 +INDEX GOES BRRR: 153 X: 9.5752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 81 X: 5.07129 +INDEX GOES BRRR: 121 X: 7.61035 +INDEX GOES BRRR: 241 X: 15.1191 +INDEX GOES BRRR: 51 X: 3.19922 +INDEX GOES BRRR: 964 X: -3.7002 +INDEX GOES BRRR: 236 X: 14.7764 +INDEX GOES BRRR: 181 X: 11.3574 +INDEX GOES BRRR: 153 X: 9.59473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.70117 +INDEX GOES BRRR: 1009 X: -0.87793 +INDEX GOES BRRR: 340 X: 21.2539 +INDEX GOES BRRR: 968 X: -3.49121 +INDEX GOES BRRR: 89 X: 5.61914 +INDEX GOES BRRR: 411 X: 25.6924 +INDEX GOES BRRR: 184 X: 11.5215 +INDEX GOES BRRR: 230 X: 14.3887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.20312 +INDEX GOES BRRR: 197 X: 12.3613 +INDEX GOES BRRR: 448 X: 28.0049 +INDEX GOES BRRR: 107 X: 6.7334 +INDEX GOES BRRR: 206 X: 12.9023 +INDEX GOES BRRR: 956 X: -4.20996 +INDEX GOES BRRR: 247 X: 15.4561 +INDEX GOES BRRR: 48 X: 3.04785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 26 X: 1.66504 +INDEX GOES BRRR: 1019 X: -0.298828 +INDEX GOES BRRR: 107 X: 6.72363 +INDEX GOES BRRR: 7 X: 0.483398 +INDEX GOES BRRR: 11 X: 0.74707 +INDEX GOES BRRR: 254 X: 15.9316 +INDEX GOES BRRR: 40 X: 2.52539 +INDEX GOES BRRR: 107 X: 6.74805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 984 X: -2.44727 +INDEX GOES BRRR: 361 X: 22.6172 +INDEX GOES BRRR: 298 X: 18.6689 +INDEX GOES BRRR: 87 X: 5.47754 +INDEX GOES BRRR: 46 X: 2.88281 +INDEX GOES BRRR: 75 X: 4.70898 +INDEX GOES BRRR: 952 X: -4.4502 +INDEX GOES BRRR: 198 X: 12.415 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.68652 +INDEX GOES BRRR: 9 X: 0.599609 +INDEX GOES BRRR: 80 X: 5.00391 +INDEX GOES BRRR: 869 X: -9.64746 +INDEX GOES BRRR: 167 X: 10.4678 +INDEX GOES BRRR: 18 X: 1.13184 +INDEX GOES BRRR: 418 X: 26.1426 +INDEX GOES BRRR: 88 X: 5.55078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.603516 +INDEX GOES BRRR: 182 X: 11.4258 +INDEX GOES BRRR: 173 X: 10.8574 +INDEX GOES BRRR: 170 X: 10.6367 +INDEX GOES BRRR: 96 X: 6.04688 +INDEX GOES BRRR: 55 X: 3.48242 +INDEX GOES BRRR: 1006 X: -1.1123 +INDEX GOES BRRR: 256 X: 16.0088 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.8496 +INDEX GOES BRRR: 252 X: 15.7822 +INDEX GOES BRRR: 453 X: 28.335 +INDEX GOES BRRR: 347 X: 21.7373 +INDEX GOES BRRR: 45 X: 2.8252 +INDEX GOES BRRR: 214 X: 13.4072 +INDEX GOES BRRR: 21 X: 1.36328 +INDEX GOES BRRR: 352 X: 22.0225 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.68652 +INDEX GOES BRRR: 157 X: 9.85352 +INDEX GOES BRRR: 218 X: 13.6465 +INDEX GOES BRRR: 108 X: 6.77148 +INDEX GOES BRRR: 825 X: -12.376 +INDEX GOES BRRR: 237 X: 14.8438 +INDEX GOES BRRR: 283 X: 17.7041 +INDEX GOES BRRR: 208 X: 13.0605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 344 X: 21.5254 +INDEX GOES BRRR: 327 X: 20.4482 +INDEX GOES BRRR: 379 X: 23.7061 +INDEX GOES BRRR: 464 X: 29.0205 +INDEX GOES BRRR: 53 X: 3.31641 +INDEX GOES BRRR: 248 X: 15.5039 +INDEX GOES BRRR: 333 X: 20.8506 +INDEX GOES BRRR: 166 X: 10.3984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 894 X: -8.08984 +INDEX GOES BRRR: 242 X: 15.1367 +INDEX GOES BRRR: 262 X: 16.4121 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 224 X: 14.0342 +INDEX GOES BRRR: 66 X: 4.13477 +INDEX GOES BRRR: 879 X: -9.06055 +INDEX GOES BRRR: 233 X: 14.584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.48438 +INDEX GOES BRRR: 126 X: 7.9209 +INDEX GOES BRRR: 189 X: 11.8506 +INDEX GOES BRRR: 19 X: 1.20898 +INDEX GOES BRRR: 28 X: 1.78027 +INDEX GOES BRRR: 902 X: -7.59473 +INDEX GOES BRRR: 1005 X: -1.18164 +INDEX GOES BRRR: 888 X: -8.49707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.57422 +INDEX GOES BRRR: 89 X: 5.56836 +INDEX GOES BRRR: 301 X: 18.8408 +INDEX GOES BRRR: 268 X: 16.7832 +INDEX GOES BRRR: 7 X: 0.450195 +INDEX GOES BRRR: 349 X: 21.8232 +INDEX GOES BRRR: 215 X: 13.4453 +INDEX GOES BRRR: 246 X: 15.3965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.90137 +INDEX GOES BRRR: 116 X: 7.30273 +INDEX GOES BRRR: 100 X: 6.30469 +INDEX GOES BRRR: 457 X: 28.5781 +INDEX GOES BRRR: 176 X: 11.002 +INDEX GOES BRRR: 287 X: 17.9541 +INDEX GOES BRRR: 10 X: 0.674805 +INDEX GOES BRRR: 924 X: -6.19434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0742 +INDEX GOES BRRR: 915 X: -6.80176 +INDEX GOES BRRR: 142 X: 8.87695 +INDEX GOES BRRR: 1018 X: -0.354492 +INDEX GOES BRRR: 16 X: 1.02344 +INDEX GOES BRRR: 971 X: -3.26172 +INDEX GOES BRRR: 6 X: 0.435547 +INDEX GOES BRRR: 0 X: 0.00292969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.652344 +INDEX GOES BRRR: 156 X: 9.78418 +INDEX GOES BRRR: 88 X: 5.55566 +INDEX GOES BRRR: 22 X: 1.37988 +INDEX GOES BRRR: 419 X: 26.2451 +INDEX GOES BRRR: 275 X: 17.1973 +INDEX GOES BRRR: 179 X: 11.1875 +INDEX GOES BRRR: 221 X: 13.8545 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 60 X: 3.77637 +INDEX GOES BRRR: 455 X: 28.4902 +INDEX GOES BRRR: 100 X: 6.25586 +INDEX GOES BRRR: 943 X: -5.0498 +INDEX GOES BRRR: 195 X: 12.2031 +INDEX GOES BRRR: 145 X: 9.10352 +INDEX GOES BRRR: 988 X: -2.21289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0127 +INDEX GOES BRRR: 159 X: 9.99707 +INDEX GOES BRRR: 487 X: 30.4922 +INDEX GOES BRRR: 391 X: 24.4873 +INDEX GOES BRRR: 869 X: -9.68359 +INDEX GOES BRRR: 196 X: 12.2676 +INDEX GOES BRRR: 263 X: 16.46 +INDEX GOES BRRR: 442 X: 27.6768 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 297 X: 18.5869 +INDEX GOES BRRR: 45 X: 2.81445 +INDEX GOES BRRR: 147 X: 9.19434 +INDEX GOES BRRR: 293 X: 18.3369 +INDEX GOES BRRR: 301 X: 18.8301 +INDEX GOES BRRR: 986 X: -2.32031 +INDEX GOES BRRR: 215 X: 13.4492 +INDEX GOES BRRR: 884 X: -8.71289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.23047 +INDEX GOES BRRR: 125 X: 7.83594 +INDEX GOES BRRR: 251 X: 15.7148 +INDEX GOES BRRR: 83 X: 5.20898 +INDEX GOES BRRR: 892 X: -8.24023 +INDEX GOES BRRR: 215 X: 13.459 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 14 X: 0.933594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.28223 +INDEX GOES BRRR: 247 X: 15.4521 +INDEX GOES BRRR: 156 X: 9.80273 +INDEX GOES BRRR: 294 X: 18.4102 +INDEX GOES BRRR: 205 X: 12.8496 +INDEX GOES BRRR: 273 X: 17.1113 +INDEX GOES BRRR: 189 X: 11.8457 +INDEX GOES BRRR: 143 X: 8.96094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 75 X: 4.74219 +INDEX GOES BRRR: 283 X: 17.6924 +INDEX GOES BRRR: 119 X: 7.44336 +INDEX GOES BRRR: 233 X: 14.6201 +INDEX GOES BRRR: 144 X: 9.02637 +INDEX GOES BRRR: 164 X: 10.3066 +INDEX GOES BRRR: 15 X: 0.944336 +INDEX GOES BRRR: 142 X: 8.88477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.44043 +INDEX GOES BRRR: 992 X: -1.97656 +INDEX GOES BRRR: 36 X: 2.25781 +INDEX GOES BRRR: 237 X: 14.8477 +INDEX GOES BRRR: 900 X: -7.73633 +INDEX GOES BRRR: 303 X: 18.9844 +INDEX GOES BRRR: 99 X: 6.24805 +INDEX GOES BRRR: 271 X: 16.958 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7109 +INDEX GOES BRRR: 385 X: 24.0723 +INDEX GOES BRRR: 138 X: 8.66309 +INDEX GOES BRRR: 267 X: 16.7441 +INDEX GOES BRRR: 123 X: 7.73047 +INDEX GOES BRRR: 58 X: 3.65918 +INDEX GOES BRRR: 999 X: -1.5625 +INDEX GOES BRRR: 1010 X: -0.862305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.0625 +INDEX GOES BRRR: 882 X: -8.85742 +INDEX GOES BRRR: 364 X: 22.752 +INDEX GOES BRRR: 108 X: 6.77051 +INDEX GOES BRRR: 84 X: 5.27637 +INDEX GOES BRRR: 79 X: 4.99023 +INDEX GOES BRRR: 205 X: 12.8379 +INDEX GOES BRRR: 1018 X: -0.329102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.91211 +INDEX GOES BRRR: 45 X: 2.83691 +INDEX GOES BRRR: 95 X: 5.95703 +INDEX GOES BRRR: 349 X: 21.8682 +INDEX GOES BRRR: 207 X: 12.9922 +INDEX GOES BRRR: 142 X: 8.89941 +INDEX GOES BRRR: 132 X: 8.25684 +INDEX GOES BRRR: 956 X: -4.19727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.74121 +INDEX GOES BRRR: 109 X: 6.87012 +INDEX GOES BRRR: 237 X: 14.8682 +INDEX GOES BRRR: 155 X: 9.7373 +INDEX GOES BRRR: 213 X: 13.3623 +INDEX GOES BRRR: 36 X: 2.30078 +INDEX GOES BRRR: 213 X: 13.3652 +INDEX GOES BRRR: 253 X: 15.8359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7891 +INDEX GOES BRRR: 931 X: -5.7793 +INDEX GOES BRRR: 123 X: 7.72754 +INDEX GOES BRRR: 217 X: 13.5947 +INDEX GOES BRRR: 279 X: 17.458 +INDEX GOES BRRR: 233 X: 14.6055 +INDEX GOES BRRR: 790 X: -14.5811 +INDEX GOES BRRR: 258 X: 16.1602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 233 X: 14.623 +INDEX GOES BRRR: 218 X: 13.6348 +INDEX GOES BRRR: 60 X: 3.78516 +INDEX GOES BRRR: 266 X: 16.6309 +INDEX GOES BRRR: 855 X: -10.5488 +INDEX GOES BRRR: 925 X: -6.13086 +INDEX GOES BRRR: 42 X: 2.63184 +INDEX GOES BRRR: 94 X: 5.89551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.6885 +INDEX GOES BRRR: 195 X: 12.1934 +INDEX GOES BRRR: 185 X: 11.6143 +INDEX GOES BRRR: 150 X: 9.40723 +INDEX GOES BRRR: 1010 X: -0.847656 +INDEX GOES BRRR: 893 X: -8.1416 +INDEX GOES BRRR: 229 X: 14.3574 +INDEX GOES BRRR: 121 X: 7.60742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.54004 +INDEX GOES BRRR: 11 X: 0.694336 +INDEX GOES BRRR: 167 X: 10.4863 +INDEX GOES BRRR: 69 X: 4.35059 +INDEX GOES BRRR: 43 X: 2.69824 +INDEX GOES BRRR: 776 X: -15.4629 +INDEX GOES BRRR: 127 X: 7.99121 +INDEX GOES BRRR: 247 X: 15.4473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.18262 +INDEX GOES BRRR: 153 X: 9.56738 +INDEX GOES BRRR: 264 X: 16.5527 +INDEX GOES BRRR: 212 X: 13.3037 +INDEX GOES BRRR: 937 X: -5.40039 +INDEX GOES BRRR: 137 X: 8.56641 +INDEX GOES BRRR: 932 X: -5.69922 +INDEX GOES BRRR: 378 X: 23.626 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9414 +INDEX GOES BRRR: 154 X: 9.67383 +INDEX GOES BRRR: 350 X: 21.8975 +INDEX GOES BRRR: 17 X: 1.08789 +INDEX GOES BRRR: 195 X: 12.2266 +INDEX GOES BRRR: 23 X: 1.44727 +INDEX GOES BRRR: 230 X: 14.3926 +INDEX GOES BRRR: 285 X: 17.8203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.29492 +INDEX GOES BRRR: 169 X: 10.5752 +INDEX GOES BRRR: 183 X: 11.4824 +INDEX GOES BRRR: 72 X: 4.52148 +INDEX GOES BRRR: 248 X: 15.5254 +INDEX GOES BRRR: 879 X: -9.04102 +INDEX GOES BRRR: 348 X: 21.7939 +INDEX GOES BRRR: 96 X: 6.05078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3164 +INDEX GOES BRRR: 115 X: 7.2207 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 9 X: 0.603516 +INDEX GOES BRRR: 230 X: 14.4121 +INDEX GOES BRRR: 257 X: 16.082 +INDEX GOES BRRR: 221 X: 13.8135 +INDEX GOES BRRR: 52 X: 3.26074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 90 X: 5.62695 +INDEX GOES BRRR: 410 X: 25.6826 +INDEX GOES BRRR: 923 X: -6.26074 +INDEX GOES BRRR: 320 X: 20.0352 +INDEX GOES BRRR: 198 X: 12.4277 +INDEX GOES BRRR: 32 X: 2.05762 +INDEX GOES BRRR: 190 X: 11.9082 +INDEX GOES BRRR: 44 X: 2.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1001 X: -1.39648 +INDEX GOES BRRR: 35 X: 2.23438 +INDEX GOES BRRR: 61 X: 3.83887 +INDEX GOES BRRR: 18 X: 1.14355 +INDEX GOES BRRR: 6 X: 0.423828 +INDEX GOES BRRR: 105 X: 6.57422 +INDEX GOES BRRR: 53 X: 3.32422 +INDEX GOES BRRR: 153 X: 9.5957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 335 X: 20.9941 +INDEX GOES BRRR: 204 X: 12.7949 +INDEX GOES BRRR: 467 X: 29.2051 +INDEX GOES BRRR: 286 X: 17.8926 +INDEX GOES BRRR: 237 X: 14.8438 +INDEX GOES BRRR: 175 X: 10.9619 +INDEX GOES BRRR: 264 X: 16.5098 +INDEX GOES BRRR: 306 X: 19.1553 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4805 +INDEX GOES BRRR: 143 X: 8.99707 +INDEX GOES BRRR: 429 X: 26.8154 +INDEX GOES BRRR: 238 X: 14.9082 +INDEX GOES BRRR: 831 X: -12.001 +INDEX GOES BRRR: 103 X: 6.46582 +INDEX GOES BRRR: 126 X: 7.91211 +INDEX GOES BRRR: 138 X: 8.64062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.4307 +INDEX GOES BRRR: 133 X: 8.31738 +INDEX GOES BRRR: 194 X: 12.1758 +INDEX GOES BRRR: 137 X: 8.58203 +INDEX GOES BRRR: 318 X: 19.9053 +INDEX GOES BRRR: 403 X: 25.2461 +INDEX GOES BRRR: 203 X: 12.6914 +INDEX GOES BRRR: 404 X: 25.3018 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.43945 +INDEX GOES BRRR: 76 X: 4.75586 +INDEX GOES BRRR: 77 X: 4.84863 +INDEX GOES BRRR: 110 X: 6.93262 +INDEX GOES BRRR: 271 X: 16.9824 +INDEX GOES BRRR: 324 X: 20.3066 +INDEX GOES BRRR: 243 X: 15.2305 +INDEX GOES BRRR: 913 X: -6.90137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.78223 +INDEX GOES BRRR: 241 X: 15.0732 +INDEX GOES BRRR: 56 X: 3.53516 +INDEX GOES BRRR: 125 X: 7.86719 +INDEX GOES BRRR: 966 X: -3.58496 +INDEX GOES BRRR: 161 X: 10.1074 +INDEX GOES BRRR: 71 X: 4.49512 +INDEX GOES BRRR: 934 X: -5.59766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9805 +INDEX GOES BRRR: 107 X: 6.6875 +INDEX GOES BRRR: 312 X: 19.5078 +INDEX GOES BRRR: 968 X: -3.4834 +INDEX GOES BRRR: 240 X: 15.0479 +INDEX GOES BRRR: 295 X: 18.4434 +INDEX GOES BRRR: 93 X: 5.82227 +INDEX GOES BRRR: 156 X: 9.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.29492 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 59 X: 3.71094 +INDEX GOES BRRR: 102 X: 6.4209 +INDEX GOES BRRR: 228 X: 14.3066 +INDEX GOES BRRR: 365 X: 22.8379 +INDEX GOES BRRR: 11 X: 0.744141 +INDEX GOES BRRR: 35 X: 2.22461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 341 X: 21.3213 +INDEX GOES BRRR: 109 X: 6.82617 +INDEX GOES BRRR: 261 X: 16.3643 +INDEX GOES BRRR: 125 X: 7.82324 +INDEX GOES BRRR: 420 X: 26.2959 +INDEX GOES BRRR: 274 X: 17.167 +INDEX GOES BRRR: 70 X: 4.41992 +INDEX GOES BRRR: 40 X: 2.55371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 288 X: 18.0449 +INDEX GOES BRRR: 73 X: 4.59473 +INDEX GOES BRRR: 260 X: 16.2773 +INDEX GOES BRRR: 155 X: 9.7207 +INDEX GOES BRRR: 181 X: 11.333 +INDEX GOES BRRR: 98 X: 6.16895 +INDEX GOES BRRR: 93 X: 5.83203 +INDEX GOES BRRR: 152 X: 9.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 277 X: 17.3564 +INDEX GOES BRRR: 957 X: -4.12598 +INDEX GOES BRRR: 165 X: 10.3232 +INDEX GOES BRRR: 310 X: 19.3867 +INDEX GOES BRRR: 83 X: 5.24805 +INDEX GOES BRRR: 421 X: 26.3242 +INDEX GOES BRRR: 385 X: 24.0645 +INDEX GOES BRRR: 182 X: 11.4014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.42676 +INDEX GOES BRRR: 982 X: -2.57422 +INDEX GOES BRRR: 114 X: 7.15234 +INDEX GOES BRRR: 116 X: 7.29492 +INDEX GOES BRRR: 20 X: 1.2832 +INDEX GOES BRRR: 88 X: 5.50879 +INDEX GOES BRRR: 991 X: -2.01758 +INDEX GOES BRRR: 40 X: 2.5 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.23438 +INDEX GOES BRRR: 77 X: 4.83105 +INDEX GOES BRRR: 136 X: 8.51074 +INDEX GOES BRRR: 997 X: -1.64453 +INDEX GOES BRRR: 286 X: 17.9268 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 174 X: 10.875 +INDEX GOES BRRR: 34 X: 2.15527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.01465 +INDEX GOES BRRR: 23 X: 1.46973 +INDEX GOES BRRR: 213 X: 13.3223 +INDEX GOES BRRR: 189 X: 11.8223 +INDEX GOES BRRR: 5 X: 0.326172 +INDEX GOES BRRR: 946 X: -4.83105 +INDEX GOES BRRR: 962 X: -3.83398 +INDEX GOES BRRR: 370 X: 23.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.8477 +INDEX GOES BRRR: 161 X: 10.0938 +INDEX GOES BRRR: 227 X: 14.2129 +INDEX GOES BRRR: 277 X: 17.3213 +INDEX GOES BRRR: 415 X: 25.9375 +INDEX GOES BRRR: 304 X: 19.001 +INDEX GOES BRRR: 32 X: 2.00098 +INDEX GOES BRRR: 352 X: 22.0332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.07812 +INDEX GOES BRRR: 193 X: 12.0664 +INDEX GOES BRRR: 338 X: 21.1289 +INDEX GOES BRRR: 318 X: 19.8936 +INDEX GOES BRRR: 46 X: 2.92773 +INDEX GOES BRRR: 419 X: 26.2363 +INDEX GOES BRRR: 48 X: 3.01758 +INDEX GOES BRRR: 394 X: 24.6582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 881 X: -8.88281 +INDEX GOES BRRR: 949 X: -4.62891 +INDEX GOES BRRR: 272 X: 17.041 +INDEX GOES BRRR: 1006 X: -1.09863 +INDEX GOES BRRR: 959 X: -4.05176 +INDEX GOES BRRR: 246 X: 15.3945 +INDEX GOES BRRR: 134 X: 8.40527 +INDEX GOES BRRR: 234 X: 14.6777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.3125 +INDEX GOES BRRR: 199 X: 12.4414 +INDEX GOES BRRR: 148 X: 9.26367 +INDEX GOES BRRR: 154 X: 9.64551 +INDEX GOES BRRR: 271 X: 16.9854 +INDEX GOES BRRR: 12 X: 0.797852 +INDEX GOES BRRR: 272 X: 17.0439 +INDEX GOES BRRR: 62 X: 3.87891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5508 +INDEX GOES BRRR: 184 X: 11.5225 +INDEX GOES BRRR: 268 X: 16.7812 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 976 X: -2.94727 +INDEX GOES BRRR: 229 X: 14.3613 +INDEX GOES BRRR: 121 X: 7.56445 +INDEX GOES BRRR: 473 X: 29.6025 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 654 X: -23.1201 +INDEX GOES BRRR: 132 X: 8.26465 +INDEX GOES BRRR: 204 X: 12.7773 +INDEX GOES BRRR: 375 X: 23.4463 +INDEX GOES BRRR: 965 X: -3.66211 +INDEX GOES BRRR: 937 X: -5.41016 +INDEX GOES BRRR: 119 X: 7.46094 +INDEX GOES BRRR: 406 X: 25.4141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9717 +INDEX GOES BRRR: 262 X: 16.4199 +INDEX GOES BRRR: 40 X: 2.5166 +INDEX GOES BRRR: 173 X: 10.8496 +INDEX GOES BRRR: 800 X: -13.9609 +INDEX GOES BRRR: 115 X: 7.24316 +INDEX GOES BRRR: 236 X: 14.8105 +INDEX GOES BRRR: 978 X: -2.83008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.1895 +INDEX GOES BRRR: 46 X: 2.88379 +INDEX GOES BRRR: 953 X: -4.42773 +INDEX GOES BRRR: 166 X: 10.3906 +INDEX GOES BRRR: 225 X: 14.0771 +INDEX GOES BRRR: 330 X: 20.6289 +INDEX GOES BRRR: 167 X: 10.4502 +INDEX GOES BRRR: 128 X: 8.02441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.56836 +INDEX GOES BRRR: 228 X: 14.2764 +INDEX GOES BRRR: 161 X: 10.1064 +INDEX GOES BRRR: 1003 X: -1.26855 +INDEX GOES BRRR: 993 X: -1.88965 +INDEX GOES BRRR: 132 X: 8.26074 +INDEX GOES BRRR: 341 X: 21.3672 +INDEX GOES BRRR: 100 X: 6.26758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 977 X: -2.8877 +INDEX GOES BRRR: 119 X: 7.46289 +INDEX GOES BRRR: 1016 X: -0.458984 +INDEX GOES BRRR: 265 X: 16.6045 +INDEX GOES BRRR: 71 X: 4.48145 +INDEX GOES BRRR: 998 X: -1.60449 +INDEX GOES BRRR: 75 X: 4.6875 +INDEX GOES BRRR: 265 X: 16.6123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2031 +INDEX GOES BRRR: 187 X: 11.7148 +INDEX GOES BRRR: 210 X: 13.1729 +INDEX GOES BRRR: 144 X: 9.04004 +INDEX GOES BRRR: 111 X: 6.95605 +INDEX GOES BRRR: 124 X: 7.76367 +INDEX GOES BRRR: 225 X: 14.0977 +INDEX GOES BRRR: 317 X: 19.8223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.05371 +INDEX GOES BRRR: 84 X: 5.26367 +INDEX GOES BRRR: 272 X: 17.0293 +INDEX GOES BRRR: 72 X: 4.51953 +INDEX GOES BRRR: 445 X: 27.8418 +INDEX GOES BRRR: 224 X: 14.0186 +INDEX GOES BRRR: 422 X: 26.3828 +INDEX GOES BRRR: 481 X: 30.1123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 843 X: -11.251 +INDEX GOES BRRR: 17 X: 1.11133 +INDEX GOES BRRR: 344 X: 21.5029 +INDEX GOES BRRR: 402 X: 25.1455 +INDEX GOES BRRR: 298 X: 18.6777 +INDEX GOES BRRR: 66 X: 4.15137 +INDEX GOES BRRR: 192 X: 12.0449 +INDEX GOES BRRR: 947 X: -4.80078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.09375 +INDEX GOES BRRR: 204 X: 12.7705 +INDEX GOES BRRR: 38 X: 2.42188 +INDEX GOES BRRR: 119 X: 7.48242 +INDEX GOES BRRR: 186 X: 11.6855 +INDEX GOES BRRR: 372 X: 23.2715 +INDEX GOES BRRR: 58 X: 3.6709 +INDEX GOES BRRR: 428 X: 26.8096 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.44238 +INDEX GOES BRRR: 375 X: 23.4375 +INDEX GOES BRRR: 11 X: 0.696289 +INDEX GOES BRRR: 16 X: 1.02637 +INDEX GOES BRRR: 206 X: 12.8789 +INDEX GOES BRRR: 143 X: 8.97656 +INDEX GOES BRRR: 75 X: 4.69727 +INDEX GOES BRRR: 68 X: 4.26758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 298 X: 18.6406 +INDEX GOES BRRR: 155 X: 9.74316 +INDEX GOES BRRR: 134 X: 8.38086 +INDEX GOES BRRR: 455 X: 28.4541 +INDEX GOES BRRR: 723 X: -18.791 +INDEX GOES BRRR: 287 X: 17.9648 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 154 X: 9.62793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5312 +INDEX GOES BRRR: 123 X: 7.71387 +INDEX GOES BRRR: 988 X: -2.24023 +INDEX GOES BRRR: 264 X: 16.54 +INDEX GOES BRRR: 105 X: 6.56641 +INDEX GOES BRRR: 317 X: 19.8311 +INDEX GOES BRRR: 89 X: 5.60059 +INDEX GOES BRRR: 34 X: 2.14746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.570312 +INDEX GOES BRRR: 305 X: 19.1172 +INDEX GOES BRRR: 123 X: 7.71973 +INDEX GOES BRRR: 82 X: 5.17578 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 385 X: 24.1064 +INDEX GOES BRRR: 787 X: -14.7676 +INDEX GOES BRRR: 143 X: 8.98145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.61719 +INDEX GOES BRRR: 21 X: 1.3584 +INDEX GOES BRRR: 52 X: 3.30664 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 133 X: 8.34961 +INDEX GOES BRRR: 401 X: 25.0996 +INDEX GOES BRRR: 260 X: 16.2979 +INDEX GOES BRRR: 148 X: 9.30762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.67578 +INDEX GOES BRRR: 95 X: 5.99609 +INDEX GOES BRRR: 235 X: 14.6963 +INDEX GOES BRRR: 197 X: 12.3379 +INDEX GOES BRRR: 88 X: 5.56152 +INDEX GOES BRRR: 219 X: 13.7119 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 75 X: 4.6875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3711 +INDEX GOES BRRR: 15 X: 0.96875 +INDEX GOES BRRR: 279 X: 17.4707 +INDEX GOES BRRR: 111 X: 6.94141 +INDEX GOES BRRR: 393 X: 24.5918 +INDEX GOES BRRR: 210 X: 13.1436 +INDEX GOES BRRR: 87 X: 5.48535 +INDEX GOES BRRR: 20 X: 1.26953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8691 +INDEX GOES BRRR: 132 X: 8.26465 +INDEX GOES BRRR: 104 X: 6.5332 +INDEX GOES BRRR: 314 X: 19.6494 +INDEX GOES BRRR: 265 X: 16.5625 +INDEX GOES BRRR: 924 X: -6.19922 +INDEX GOES BRRR: 85 X: 5.32422 +INDEX GOES BRRR: 460 X: 28.7949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.60059 +INDEX GOES BRRR: 246 X: 15.3887 +INDEX GOES BRRR: 43 X: 2.69824 +INDEX GOES BRRR: 137 X: 8.61328 +INDEX GOES BRRR: 148 X: 9.25098 +INDEX GOES BRRR: 390 X: 24.3818 +INDEX GOES BRRR: 226 X: 14.1699 +INDEX GOES BRRR: 135 X: 8.45801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 989 X: -2.12793 +INDEX GOES BRRR: 981 X: -2.64258 +INDEX GOES BRRR: 323 X: 20.2393 +INDEX GOES BRRR: 289 X: 18.1084 +INDEX GOES BRRR: 113 X: 7.0957 +INDEX GOES BRRR: 965 X: -3.68555 +INDEX GOES BRRR: 333 X: 20.8652 +INDEX GOES BRRR: 266 X: 16.6523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.3398 +INDEX GOES BRRR: 1012 X: -0.720703 +INDEX GOES BRRR: 107 X: 6.71484 +INDEX GOES BRRR: 80 X: 5.03223 +INDEX GOES BRRR: 381 X: 23.8135 +INDEX GOES BRRR: 335 X: 20.9482 +INDEX GOES BRRR: 994 X: -1.84082 +INDEX GOES BRRR: 258 X: 16.1768 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.12598 +INDEX GOES BRRR: 127 X: 7.96191 +INDEX GOES BRRR: 103 X: 6.49707 +INDEX GOES BRRR: 133 X: 8.3252 +INDEX GOES BRRR: 348 X: 21.7783 +INDEX GOES BRRR: 426 X: 26.6514 +INDEX GOES BRRR: 319 X: 19.96 +INDEX GOES BRRR: 137 X: 8.60645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.21973 +INDEX GOES BRRR: 199 X: 12.4756 +INDEX GOES BRRR: 140 X: 8.78613 +INDEX GOES BRRR: 52 X: 3.27832 +INDEX GOES BRRR: 182 X: 11.4229 +INDEX GOES BRRR: 415 X: 25.9697 +INDEX GOES BRRR: 425 X: 26.6104 +INDEX GOES BRRR: 877 X: -9.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5107 +INDEX GOES BRRR: 168 X: 10.5547 +INDEX GOES BRRR: 90 X: 5.66992 +INDEX GOES BRRR: 56 X: 3.5127 +INDEX GOES BRRR: 218 X: 13.6855 +INDEX GOES BRRR: 301 X: 18.873 +INDEX GOES BRRR: 314 X: 19.6836 +INDEX GOES BRRR: 124 X: 7.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.2666 +INDEX GOES BRRR: 859 X: -10.2617 +INDEX GOES BRRR: 60 X: 3.7832 +INDEX GOES BRRR: 117 X: 7.31934 +INDEX GOES BRRR: 19 X: 1.21777 +INDEX GOES BRRR: 350 X: 21.8984 +INDEX GOES BRRR: 177 X: 11.0693 +INDEX GOES BRRR: 288 X: 18.0479 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.6875 +INDEX GOES BRRR: 226 X: 14.1367 +INDEX GOES BRRR: 946 X: -4.85352 +INDEX GOES BRRR: 239 X: 14.9805 +INDEX GOES BRRR: 1 X: 0.0634766 +INDEX GOES BRRR: 166 X: 10.4209 +INDEX GOES BRRR: 272 X: 17.0557 +INDEX GOES BRRR: 310 X: 19.3867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1309 +INDEX GOES BRRR: 463 X: 28.9902 +INDEX GOES BRRR: 185 X: 11.5762 +INDEX GOES BRRR: 19 X: 1.20605 +INDEX GOES BRRR: 235 X: 14.71 +INDEX GOES BRRR: 308 X: 19.2734 +INDEX GOES BRRR: 253 X: 15.8525 +INDEX GOES BRRR: 173 X: 10.8252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4141 +INDEX GOES BRRR: 149 X: 9.31543 +INDEX GOES BRRR: 19 X: 1.22656 +INDEX GOES BRRR: 72 X: 4.55078 +INDEX GOES BRRR: 235 X: 14.7051 +INDEX GOES BRRR: 79 X: 4.9375 +INDEX GOES BRRR: 172 X: 10.7939 +INDEX GOES BRRR: 149 X: 9.36719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.44727 +INDEX GOES BRRR: 33 X: 2.0918 +INDEX GOES BRRR: 338 X: 21.127 +INDEX GOES BRRR: 140 X: 8.80273 +INDEX GOES BRRR: 83 X: 5.22559 +INDEX GOES BRRR: 265 X: 16.584 +INDEX GOES BRRR: 110 X: 6.91797 +INDEX GOES BRRR: 145 X: 9.12305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0059 +INDEX GOES BRRR: 184 X: 11.5166 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 92 X: 5.78711 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 253 X: 15.8662 +INDEX GOES BRRR: 1013 X: -0.647461 +INDEX GOES BRRR: 69 X: 4.35059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4121 +INDEX GOES BRRR: 939 X: -5.3125 +INDEX GOES BRRR: 83 X: 5.21387 +INDEX GOES BRRR: 182 X: 11.3936 +INDEX GOES BRRR: 145 X: 9.08984 +INDEX GOES BRRR: 265 X: 16.5713 +INDEX GOES BRRR: 166 X: 10.4209 +INDEX GOES BRRR: 1 X: 0.0761719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 318 X: 19.9004 +INDEX GOES BRRR: 441 X: 27.5742 +INDEX GOES BRRR: 169 X: 10.5654 +INDEX GOES BRRR: 193 X: 12.0947 +INDEX GOES BRRR: 180 X: 11.2646 +INDEX GOES BRRR: 124 X: 7.78125 +INDEX GOES BRRR: 147 X: 9.19043 +INDEX GOES BRRR: 124 X: 7.80957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1553 +INDEX GOES BRRR: 144 X: 9.04883 +INDEX GOES BRRR: 928 X: -5.97559 +INDEX GOES BRRR: 249 X: 15.5625 +INDEX GOES BRRR: 146 X: 9.1416 +INDEX GOES BRRR: 261 X: 16.3301 +INDEX GOES BRRR: 949 X: -4.64453 +INDEX GOES BRRR: 41 X: 2.58008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 257 X: 16.0996 +INDEX GOES BRRR: 440 X: 27.5195 +INDEX GOES BRRR: 362 X: 22.626 +INDEX GOES BRRR: 927 X: -6.00586 +INDEX GOES BRRR: 171 X: 10.6943 +INDEX GOES BRRR: 188 X: 11.7979 +INDEX GOES BRRR: 423 X: 26.46 +INDEX GOES BRRR: 98 X: 6.16113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.9316 +INDEX GOES BRRR: 969 X: -3.39355 +INDEX GOES BRRR: 995 X: -1.7627 +INDEX GOES BRRR: 978 X: -2.875 +INDEX GOES BRRR: 382 X: 23.9336 +INDEX GOES BRRR: 80 X: 5.05566 +INDEX GOES BRRR: 306 X: 19.1406 +INDEX GOES BRRR: 445 X: 27.8535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1020 X: -0.205078 +INDEX GOES BRRR: 294 X: 18.3779 +INDEX GOES BRRR: 968 X: -3.43848 +INDEX GOES BRRR: 309 X: 19.334 +INDEX GOES BRRR: 415 X: 25.9473 +INDEX GOES BRRR: 255 X: 15.957 +INDEX GOES BRRR: 313 X: 19.5859 +INDEX GOES BRRR: 81 X: 5.07129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0361 +INDEX GOES BRRR: 11 X: 0.706055 +INDEX GOES BRRR: 78 X: 4.92773 +INDEX GOES BRRR: 984 X: -2.44824 +INDEX GOES BRRR: 83 X: 5.19043 +INDEX GOES BRRR: 131 X: 8.20703 +INDEX GOES BRRR: 81 X: 5.09668 +INDEX GOES BRRR: 364 X: 22.8115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6631 +INDEX GOES BRRR: 31 X: 1.94727 +INDEX GOES BRRR: 119 X: 7.47656 +INDEX GOES BRRR: 399 X: 24.9482 +INDEX GOES BRRR: 819 X: -12.7617 +INDEX GOES BRRR: 38 X: 2.38867 +INDEX GOES BRRR: 270 X: 16.8916 +INDEX GOES BRRR: 256 X: 16.0371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1017 X: -0.411133 +INDEX GOES BRRR: 279 X: 17.4688 +INDEX GOES BRRR: 101 X: 6.36328 +INDEX GOES BRRR: 341 X: 21.334 +INDEX GOES BRRR: 269 X: 16.8672 +INDEX GOES BRRR: 125 X: 7.81445 +INDEX GOES BRRR: 37 X: 2.31934 +INDEX GOES BRRR: 297 X: 18.5967 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1426 +INDEX GOES BRRR: 154 X: 9.63574 +INDEX GOES BRRR: 250 X: 15.6826 +INDEX GOES BRRR: 911 X: -7.02539 +INDEX GOES BRRR: 238 X: 14.9326 +INDEX GOES BRRR: 141 X: 8.8252 +INDEX GOES BRRR: 76 X: 4.7832 +INDEX GOES BRRR: 353 X: 22.1006 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 13 X: 0.858398 +INDEX GOES BRRR: 151 X: 9.48242 +INDEX GOES BRRR: 201 X: 12.6221 +INDEX GOES BRRR: 362 X: 22.6807 +INDEX GOES BRRR: 59 X: 3.73145 +INDEX GOES BRRR: 96 X: 6.04199 +INDEX GOES BRRR: 188 X: 11.7529 +INDEX GOES BRRR: 121 X: 7.58789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.683594 +INDEX GOES BRRR: 399 X: 24.9619 +INDEX GOES BRRR: 783 X: -15.0615 +INDEX GOES BRRR: 267 X: 16.7383 +INDEX GOES BRRR: 245 X: 15.3652 +INDEX GOES BRRR: 185 X: 11.6055 +INDEX GOES BRRR: 340 X: 21.2637 +INDEX GOES BRRR: 875 X: -9.27441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.29785 +INDEX GOES BRRR: 451 X: 28.1963 +INDEX GOES BRRR: 72 X: 4.55273 +INDEX GOES BRRR: 79 X: 4.96094 +INDEX GOES BRRR: 138 X: 8.68555 +INDEX GOES BRRR: 128 X: 8.04785 +INDEX GOES BRRR: 226 X: 14.1719 +INDEX GOES BRRR: 371 X: 23.21 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.82715 +INDEX GOES BRRR: 114 X: 7.15234 +INDEX GOES BRRR: 154 X: 9.63281 +INDEX GOES BRRR: 107 X: 6.73926 +INDEX GOES BRRR: 308 X: 19.2969 +INDEX GOES BRRR: 260 X: 16.3105 +INDEX GOES BRRR: 43 X: 2.73145 +INDEX GOES BRRR: 294 X: 18.3818 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.02832 +INDEX GOES BRRR: 848 X: -10.9473 +INDEX GOES BRRR: 494 X: 30.8896 +INDEX GOES BRRR: 367 X: 22.9697 +INDEX GOES BRRR: 88 X: 5.52734 +INDEX GOES BRRR: 176 X: 11.0371 +INDEX GOES BRRR: 74 X: 4.67773 +INDEX GOES BRRR: 314 X: 19.6631 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.13477 +INDEX GOES BRRR: 359 X: 22.4453 +INDEX GOES BRRR: 394 X: 24.6553 +INDEX GOES BRRR: 878 X: -9.10645 +INDEX GOES BRRR: 67 X: 4.24023 +INDEX GOES BRRR: 187 X: 11.7363 +INDEX GOES BRRR: 364 X: 22.7666 +INDEX GOES BRRR: 163 X: 10.2344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1816 +INDEX GOES BRRR: 198 X: 12.3887 +INDEX GOES BRRR: 191 X: 11.9561 +INDEX GOES BRRR: 354 X: 22.1406 +INDEX GOES BRRR: 95 X: 5.98145 +INDEX GOES BRRR: 356 X: 22.2549 +INDEX GOES BRRR: 106 X: 6.64062 +INDEX GOES BRRR: 81 X: 5.10938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 287 X: 17.9814 +INDEX GOES BRRR: 331 X: 20.7227 +INDEX GOES BRRR: 61 X: 3.86816 +INDEX GOES BRRR: 114 X: 7.12988 +INDEX GOES BRRR: 201 X: 12.6045 +INDEX GOES BRRR: 80 X: 5.00977 +INDEX GOES BRRR: 219 X: 13.6904 +INDEX GOES BRRR: 298 X: 18.6396 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.8457 +INDEX GOES BRRR: 118 X: 7.38184 +INDEX GOES BRRR: 454 X: 28.4141 +INDEX GOES BRRR: 360 X: 22.5479 +INDEX GOES BRRR: 1011 X: -0.772461 +INDEX GOES BRRR: 79 X: 4.9834 +INDEX GOES BRRR: 240 X: 15.0186 +INDEX GOES BRRR: 244 X: 15.2734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.877 +INDEX GOES BRRR: 269 X: 16.8691 +INDEX GOES BRRR: 313 X: 19.5635 +INDEX GOES BRRR: 109 X: 6.84375 +INDEX GOES BRRR: 137 X: 8.58789 +INDEX GOES BRRR: 904 X: -7.45996 +INDEX GOES BRRR: 50 X: 3.17773 +INDEX GOES BRRR: 44 X: 2.80762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 877 X: -9.13281 +INDEX GOES BRRR: 107 X: 6.70801 +INDEX GOES BRRR: 172 X: 10.7725 +INDEX GOES BRRR: 162 X: 10.1533 +INDEX GOES BRRR: 990 X: -2.10449 +INDEX GOES BRRR: 152 X: 9.55273 +INDEX GOES BRRR: 6 X: 0.402344 +INDEX GOES BRRR: 178 X: 11.1709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6445 +INDEX GOES BRRR: 252 X: 15.7627 +INDEX GOES BRRR: 317 X: 19.8418 +INDEX GOES BRRR: 161 X: 10.083 +INDEX GOES BRRR: 250 X: 15.668 +INDEX GOES BRRR: 61 X: 3.84277 +INDEX GOES BRRR: 817 X: -12.9355 +INDEX GOES BRRR: 146 X: 9.17188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.39453 +INDEX GOES BRRR: 214 X: 13.4072 +INDEX GOES BRRR: 163 X: 10.209 +INDEX GOES BRRR: 1007 X: -1.02539 +INDEX GOES BRRR: 304 X: 19.041 +INDEX GOES BRRR: 101 X: 6.32715 +INDEX GOES BRRR: 1007 X: -1.0332 +INDEX GOES BRRR: 350 X: 21.9121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 933 X: -5.65527 +INDEX GOES BRRR: 184 X: 11.5088 +INDEX GOES BRRR: 1002 X: -1.37109 +INDEX GOES BRRR: 198 X: 12.375 +INDEX GOES BRRR: 110 X: 6.89453 +INDEX GOES BRRR: 172 X: 10.7988 +INDEX GOES BRRR: 164 X: 10.2598 +INDEX GOES BRRR: 0 X: 0.0292969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.7539 +INDEX GOES BRRR: 471 X: 29.4434 +INDEX GOES BRRR: 335 X: 20.9482 +INDEX GOES BRRR: 129 X: 8.08691 +INDEX GOES BRRR: 283 X: 17.7373 +INDEX GOES BRRR: 83 X: 5.24414 +INDEX GOES BRRR: 220 X: 13.791 +INDEX GOES BRRR: 873 X: -9.41211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.42188 +INDEX GOES BRRR: 330 X: 20.6533 +INDEX GOES BRRR: 910 X: -7.08789 +INDEX GOES BRRR: 326 X: 20.4336 +INDEX GOES BRRR: 960 X: -3.95117 +INDEX GOES BRRR: 293 X: 18.3359 +INDEX GOES BRRR: 288 X: 18.0068 +INDEX GOES BRRR: 359 X: 22.4541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6387 +INDEX GOES BRRR: 105 X: 6.56934 +INDEX GOES BRRR: 279 X: 17.4893 +INDEX GOES BRRR: 16 X: 1.0332 +INDEX GOES BRRR: 202 X: 12.6641 +INDEX GOES BRRR: 75 X: 4.7041 +INDEX GOES BRRR: 931 X: -5.78613 +INDEX GOES BRRR: 997 X: -1.64941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.77637 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 831 X: -12.0303 +INDEX GOES BRRR: 356 X: 22.2754 +INDEX GOES BRRR: 160 X: 10.0244 +INDEX GOES BRRR: 45 X: 2.85938 +INDEX GOES BRRR: 86 X: 5.38086 +INDEX GOES BRRR: 188 X: 11.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.6221 +INDEX GOES BRRR: 979 X: -2.79688 +INDEX GOES BRRR: 170 X: 10.6797 +INDEX GOES BRRR: 171 X: 10.7256 +INDEX GOES BRRR: 992 X: -1.98633 +INDEX GOES BRRR: 103 X: 6.45703 +INDEX GOES BRRR: 8 X: 0.560547 +INDEX GOES BRRR: 880 X: -8.95703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.81152 +INDEX GOES BRRR: 299 X: 18.7109 +INDEX GOES BRRR: 204 X: 12.7891 +INDEX GOES BRRR: 950 X: -4.57324 +INDEX GOES BRRR: 91 X: 5.7334 +INDEX GOES BRRR: 161 X: 10.0928 +INDEX GOES BRRR: 203 X: 12.7119 +INDEX GOES BRRR: 373 X: 23.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 366 X: 22.8779 +INDEX GOES BRRR: 43 X: 2.73242 +INDEX GOES BRRR: 952 X: -4.48633 +INDEX GOES BRRR: 103 X: 6.45605 +INDEX GOES BRRR: 280 X: 17.541 +INDEX GOES BRRR: 24 X: 1.50293 +INDEX GOES BRRR: 899 X: -7.78516 +INDEX GOES BRRR: 143 X: 8.99902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2383 +INDEX GOES BRRR: 847 X: -11.0244 +INDEX GOES BRRR: 264 X: 16.5322 +INDEX GOES BRRR: 46 X: 2.92285 +INDEX GOES BRRR: 348 X: 21.8096 +INDEX GOES BRRR: 190 X: 11.9014 +INDEX GOES BRRR: 176 X: 11.0146 +INDEX GOES BRRR: 130 X: 8.18359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.11426 +INDEX GOES BRRR: 300 X: 18.7744 +INDEX GOES BRRR: 220 X: 13.7764 +INDEX GOES BRRR: 861 X: -10.1855 +INDEX GOES BRRR: 100 X: 6.28613 +INDEX GOES BRRR: 259 X: 16.1963 +INDEX GOES BRRR: 63 X: 3.94531 +INDEX GOES BRRR: 188 X: 11.7734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.35645 +INDEX GOES BRRR: 95 X: 5.97559 +INDEX GOES BRRR: 999 X: -1.50879 +INDEX GOES BRRR: 885 X: -8.64062 +INDEX GOES BRRR: 444 X: 27.751 +INDEX GOES BRRR: 103 X: 6.47852 +INDEX GOES BRRR: 71 X: 4.46289 +INDEX GOES BRRR: 242 X: 15.1484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 97 X: 6.08691 +INDEX GOES BRRR: 78 X: 4.90332 +INDEX GOES BRRR: 33 X: 2.07617 +INDEX GOES BRRR: 128 X: 8.05566 +INDEX GOES BRRR: 146 X: 9.1582 +INDEX GOES BRRR: 191 X: 11.9678 +INDEX GOES BRRR: 206 X: 12.9014 +INDEX GOES BRRR: 465 X: 29.1074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4639 +INDEX GOES BRRR: 867 X: -9.76172 +INDEX GOES BRRR: 233 X: 14.5869 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 363 X: 22.7373 +INDEX GOES BRRR: 50 X: 3.13965 +INDEX GOES BRRR: 881 X: -8.92578 +INDEX GOES BRRR: 925 X: -6.15332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.1953 +INDEX GOES BRRR: 28 X: 1.77832 +INDEX GOES BRRR: 120 X: 7.53125 +INDEX GOES BRRR: 83 X: 5.23535 +INDEX GOES BRRR: 219 X: 13.7393 +INDEX GOES BRRR: 942 X: -5.11035 +INDEX GOES BRRR: 119 X: 7.46777 +INDEX GOES BRRR: 1010 X: -0.825195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 358 X: 22.418 +INDEX GOES BRRR: 249 X: 15.5986 +INDEX GOES BRRR: 399 X: 24.96 +INDEX GOES BRRR: 891 X: -8.30273 +INDEX GOES BRRR: 291 X: 18.2168 +INDEX GOES BRRR: 985 X: -2.41211 +INDEX GOES BRRR: 1012 X: -0.714844 +INDEX GOES BRRR: 145 X: 9.12305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 326 X: 20.417 +INDEX GOES BRRR: 147 X: 9.23926 +INDEX GOES BRRR: 939 X: -5.2832 +INDEX GOES BRRR: 365 X: 22.8594 +INDEX GOES BRRR: 134 X: 8.42676 +INDEX GOES BRRR: 223 X: 13.9902 +INDEX GOES BRRR: 128 X: 8.06055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 960 X: -3.95898 +INDEX GOES BRRR: 155 X: 9.6875 +INDEX GOES BRRR: 773 X: -15.626 +INDEX GOES BRRR: 305 X: 19.0996 +INDEX GOES BRRR: 28 X: 1.79492 +INDEX GOES BRRR: 730 X: -18.3516 +INDEX GOES BRRR: 282 X: 17.6279 +INDEX GOES BRRR: 324 X: 20.2939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 977 X: -2.93457 +INDEX GOES BRRR: 963 X: -3.75586 +INDEX GOES BRRR: 115 X: 7.23242 +INDEX GOES BRRR: 135 X: 8.49121 +INDEX GOES BRRR: 308 X: 19.2598 +INDEX GOES BRRR: 254 X: 15.915 +INDEX GOES BRRR: 191 X: 11.9629 +INDEX GOES BRRR: 68 X: 4.2666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.4111 +INDEX GOES BRRR: 186 X: 11.6309 +INDEX GOES BRRR: 955 X: -4.29199 +INDEX GOES BRRR: 66 X: 4.14062 +INDEX GOES BRRR: 163 X: 10.2148 +INDEX GOES BRRR: 273 X: 17.0625 +INDEX GOES BRRR: 232 X: 14.5293 +INDEX GOES BRRR: 364 X: 22.7822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 274 X: 17.1455 +INDEX GOES BRRR: 193 X: 12.0996 +INDEX GOES BRRR: 305 X: 19.1211 +INDEX GOES BRRR: 212 X: 13.3027 +INDEX GOES BRRR: 30 X: 1.87891 +INDEX GOES BRRR: 126 X: 7.91016 +INDEX GOES BRRR: 940 X: -5.24219 +INDEX GOES BRRR: 117 X: 7.32129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1011 X: -0.776367 +INDEX GOES BRRR: 953 X: -4.42578 +INDEX GOES BRRR: 110 X: 6.88379 +INDEX GOES BRRR: 125 X: 7.84277 +INDEX GOES BRRR: 65 X: 4.12109 +INDEX GOES BRRR: 259 X: 16.2012 +INDEX GOES BRRR: 769 X: -15.9346 +INDEX GOES BRRR: 1 X: 0.123047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 334 X: 20.8818 +INDEX GOES BRRR: 40 X: 2.55664 +INDEX GOES BRRR: 258 X: 16.1846 +INDEX GOES BRRR: 975 X: -3.05371 +INDEX GOES BRRR: 181 X: 11.3438 +INDEX GOES BRRR: 369 X: 23.083 +INDEX GOES BRRR: 379 X: 23.7188 +INDEX GOES BRRR: 94 X: 5.88477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.2373 +INDEX GOES BRRR: 472 X: 29.5146 +INDEX GOES BRRR: 28 X: 1.76074 +INDEX GOES BRRR: 320 X: 20.0547 +INDEX GOES BRRR: 175 X: 10.9863 +INDEX GOES BRRR: 456 X: 28.5146 +INDEX GOES BRRR: 137 X: 8.59082 +INDEX GOES BRRR: 878 X: -9.07422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.96484 +INDEX GOES BRRR: 233 X: 14.582 +INDEX GOES BRRR: 61 X: 3.86914 +INDEX GOES BRRR: 956 X: -4.23828 +INDEX GOES BRRR: 1015 X: -0.537109 +INDEX GOES BRRR: 136 X: 8.55469 +INDEX GOES BRRR: 1007 X: -1.01758 +INDEX GOES BRRR: 924 X: -6.19141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.933594 +INDEX GOES BRRR: 309 X: 19.3613 +INDEX GOES BRRR: 108 X: 6.78711 +INDEX GOES BRRR: 318 X: 19.9268 +INDEX GOES BRRR: 308 X: 19.252 +INDEX GOES BRRR: 1018 X: -0.353516 +INDEX GOES BRRR: 22 X: 1.41699 +INDEX GOES BRRR: 160 X: 10.0264 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 324 X: 20.2598 +INDEX GOES BRRR: 445 X: 27.8721 +INDEX GOES BRRR: 841 X: -11.3809 +INDEX GOES BRRR: 304 X: 19.0186 +INDEX GOES BRRR: 987 X: -2.30078 +INDEX GOES BRRR: 415 X: 25.9443 +INDEX GOES BRRR: 367 X: 22.9785 +INDEX GOES BRRR: 417 X: 26.1055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 445 X: 27.8516 +INDEX GOES BRRR: 305 X: 19.0811 +INDEX GOES BRRR: 94 X: 5.91602 +INDEX GOES BRRR: 218 X: 13.6465 +INDEX GOES BRRR: 868 X: -9.70605 +INDEX GOES BRRR: 379 X: 23.6973 +INDEX GOES BRRR: 3 X: 0.201172 +INDEX GOES BRRR: 900 X: -7.74902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4688 +INDEX GOES BRRR: 370 X: 23.1475 +INDEX GOES BRRR: 224 X: 14.0049 +INDEX GOES BRRR: 177 X: 11.1035 +INDEX GOES BRRR: 429 X: 26.8477 +INDEX GOES BRRR: 236 X: 14.8037 +INDEX GOES BRRR: 182 X: 11.417 +INDEX GOES BRRR: 898 X: -7.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.27637 +INDEX GOES BRRR: 227 X: 14.1943 +INDEX GOES BRRR: 121 X: 7.57324 +INDEX GOES BRRR: 140 X: 8.77832 +INDEX GOES BRRR: 358 X: 22.376 +INDEX GOES BRRR: 1018 X: -0.327148 +INDEX GOES BRRR: 150 X: 9.38184 +INDEX GOES BRRR: 91 X: 5.69824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.19922 +INDEX GOES BRRR: 983 X: -2.54688 +INDEX GOES BRRR: 37 X: 2.32617 +INDEX GOES BRRR: 213 X: 13.3535 +INDEX GOES BRRR: 326 X: 20.3955 +INDEX GOES BRRR: 46 X: 2.8916 +INDEX GOES BRRR: 369 X: 23.1172 +INDEX GOES BRRR: 372 X: 23.2959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5137 +INDEX GOES BRRR: 293 X: 18.3428 +INDEX GOES BRRR: 155 X: 9.70996 +INDEX GOES BRRR: 325 X: 20.3203 +INDEX GOES BRRR: 301 X: 18.8193 +INDEX GOES BRRR: 153 X: 9.62207 +INDEX GOES BRRR: 333 X: 20.8525 +INDEX GOES BRRR: 852 X: -10.6904 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.564453 +INDEX GOES BRRR: 201 X: 12.5645 +INDEX GOES BRRR: 164 X: 10.2568 +INDEX GOES BRRR: 50 X: 3.12695 +INDEX GOES BRRR: 67 X: 4.2168 +INDEX GOES BRRR: 169 X: 10.6074 +INDEX GOES BRRR: 411 X: 25.7402 +INDEX GOES BRRR: 155 X: 9.73633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.0742 +INDEX GOES BRRR: 198 X: 12.4014 +INDEX GOES BRRR: 320 X: 20.0156 +INDEX GOES BRRR: 1015 X: -0.510742 +INDEX GOES BRRR: 222 X: 13.9014 +INDEX GOES BRRR: 210 X: 13.1738 +INDEX GOES BRRR: 429 X: 26.8662 +INDEX GOES BRRR: 81 X: 5.11719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1309 +INDEX GOES BRRR: 371 X: 23.2461 +INDEX GOES BRRR: 973 X: -3.15625 +INDEX GOES BRRR: 143 X: 8.94824 +INDEX GOES BRRR: 251 X: 15.7295 +INDEX GOES BRRR: 945 X: -4.88965 +INDEX GOES BRRR: 61 X: 3.81934 +INDEX GOES BRRR: 359 X: 22.4512 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5781 +INDEX GOES BRRR: 975 X: -3.02148 +INDEX GOES BRRR: 965 X: -3.65137 +INDEX GOES BRRR: 283 X: 17.71 +INDEX GOES BRRR: 70 X: 4.40918 +INDEX GOES BRRR: 921 X: -6.40527 +INDEX GOES BRRR: 143 X: 8.97656 +INDEX GOES BRRR: 108 X: 6.78809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3193 +INDEX GOES BRRR: 375 X: 23.4531 +INDEX GOES BRRR: 99 X: 6.2168 +INDEX GOES BRRR: 78 X: 4.87598 +INDEX GOES BRRR: 271 X: 16.96 +INDEX GOES BRRR: 43 X: 2.72852 +INDEX GOES BRRR: 115 X: 7.18945 +INDEX GOES BRRR: 168 X: 10.5176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.78418 +INDEX GOES BRRR: 249 X: 15.584 +INDEX GOES BRRR: 240 X: 15.0381 +INDEX GOES BRRR: 287 X: 17.9648 +INDEX GOES BRRR: 187 X: 11.7363 +INDEX GOES BRRR: 2 X: 0.146484 +INDEX GOES BRRR: 216 X: 13.5488 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.88379 +INDEX GOES BRRR: 1020 X: -0.206055 +INDEX GOES BRRR: 4 X: 0.275391 +INDEX GOES BRRR: 187 X: 11.7373 +INDEX GOES BRRR: 392 X: 24.5488 +INDEX GOES BRRR: 108 X: 6.7627 +INDEX GOES BRRR: 1 X: 0.0878906 +INDEX GOES BRRR: 276 X: 17.3115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 833 X: -11.8936 +INDEX GOES BRRR: 991 X: -2.03027 +INDEX GOES BRRR: 187 X: 11.7471 +INDEX GOES BRRR: 166 X: 10.377 +INDEX GOES BRRR: 75 X: 4.70312 +INDEX GOES BRRR: 191 X: 11.958 +INDEX GOES BRRR: 255 X: 15.9492 +INDEX GOES BRRR: 184 X: 11.5391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5 +INDEX GOES BRRR: 967 X: -3.5166 +INDEX GOES BRRR: 160 X: 10.0117 +INDEX GOES BRRR: 366 X: 22.9346 +INDEX GOES BRRR: 880 X: -8.96094 +INDEX GOES BRRR: 196 X: 12.251 +INDEX GOES BRRR: 108 X: 6.76855 +INDEX GOES BRRR: 710 X: -19.6143 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.5918 +INDEX GOES BRRR: 130 X: 8.18066 +INDEX GOES BRRR: 235 X: 14.6924 +INDEX GOES BRRR: 230 X: 14.3916 +INDEX GOES BRRR: 337 X: 21.0752 +INDEX GOES BRRR: 308 X: 19.2695 +INDEX GOES BRRR: 73 X: 4.5791 +INDEX GOES BRRR: 80 X: 5.00195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8145 +INDEX GOES BRRR: 162 X: 10.125 +INDEX GOES BRRR: 209 X: 13.0928 +INDEX GOES BRRR: 240 X: 15.0068 +INDEX GOES BRRR: 25 X: 1.62402 +INDEX GOES BRRR: 65 X: 4.09961 +INDEX GOES BRRR: 55 X: 3.46777 +INDEX GOES BRRR: 47 X: 2.94336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.09277 +INDEX GOES BRRR: 268 X: 16.752 +INDEX GOES BRRR: 328 X: 20.5186 +INDEX GOES BRRR: 115 X: 7.24414 +INDEX GOES BRRR: 150 X: 9.41504 +INDEX GOES BRRR: 200 X: 12.501 +INDEX GOES BRRR: 212 X: 13.3047 +INDEX GOES BRRR: 180 X: 11.2773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7158 +INDEX GOES BRRR: 1023 X: -0.000976562 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 165 X: 10.3359 +INDEX GOES BRRR: 194 X: 12.1582 +INDEX GOES BRRR: 286 X: 17.918 +INDEX GOES BRRR: 279 X: 17.4502 +INDEX GOES BRRR: 91 X: 5.72852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 0 X: 0.0537109 +INDEX GOES BRRR: 78 X: 4.89941 +INDEX GOES BRRR: 1011 X: -0.755859 +INDEX GOES BRRR: 106 X: 6.64258 +INDEX GOES BRRR: 25 X: 1.60547 +INDEX GOES BRRR: 71 X: 4.4707 +INDEX GOES BRRR: 8 X: 0.500977 +INDEX GOES BRRR: 280 X: 17.5469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 451 X: 28.2422 +INDEX GOES BRRR: 77 X: 4.85645 +INDEX GOES BRRR: 966 X: -3.57715 +INDEX GOES BRRR: 323 X: 20.1963 +INDEX GOES BRRR: 248 X: 15.5273 +INDEX GOES BRRR: 163 X: 10.2344 +INDEX GOES BRRR: 104 X: 6.53613 +INDEX GOES BRRR: 996 X: -1.73145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 999 X: -1.52148 +INDEX GOES BRRR: 159 X: 9.95605 +INDEX GOES BRRR: 153 X: 9.60645 +INDEX GOES BRRR: 96 X: 6 +INDEX GOES BRRR: 240 X: 15.0459 +INDEX GOES BRRR: 961 X: -3.9082 +INDEX GOES BRRR: 203 X: 12.7314 +INDEX GOES BRRR: 394 X: 24.6504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.5088 +INDEX GOES BRRR: 977 X: -2.88574 +INDEX GOES BRRR: 362 X: 22.667 +INDEX GOES BRRR: 197 X: 12.3457 +INDEX GOES BRRR: 1016 X: -0.479492 +INDEX GOES BRRR: 46 X: 2.89551 +INDEX GOES BRRR: 969 X: -3.41211 +INDEX GOES BRRR: 237 X: 14.8135 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 298 X: 18.625 +INDEX GOES BRRR: 143 X: 8.97168 +INDEX GOES BRRR: 26 X: 1.63379 +INDEX GOES BRRR: 327 X: 20.4619 +INDEX GOES BRRR: 258 X: 16.1309 +INDEX GOES BRRR: 144 X: 9.02441 +INDEX GOES BRRR: 182 X: 11.4189 +INDEX GOES BRRR: 74 X: 4.66699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.3926 +INDEX GOES BRRR: 982 X: -2.58398 +INDEX GOES BRRR: 12 X: 0.800781 +INDEX GOES BRRR: 990 X: -2.10938 +INDEX GOES BRRR: 118 X: 7.40039 +INDEX GOES BRRR: 167 X: 10.4424 +INDEX GOES BRRR: 222 X: 13.9092 +INDEX GOES BRRR: 226 X: 14.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6836 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 354 X: 22.1387 +INDEX GOES BRRR: 190 X: 11.8848 +INDEX GOES BRRR: 170 X: 10.6592 +INDEX GOES BRRR: 1005 X: -1.15332 +INDEX GOES BRRR: 289 X: 18.0967 +INDEX GOES BRRR: 421 X: 26.3232 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 960 X: -3.94727 +INDEX GOES BRRR: 960 X: -3.95605 +INDEX GOES BRRR: 268 X: 16.8115 +INDEX GOES BRRR: 255 X: 15.9658 +INDEX GOES BRRR: 202 X: 12.6504 +INDEX GOES BRRR: 308 X: 19.2705 +INDEX GOES BRRR: 226 X: 14.1426 +INDEX GOES BRRR: 220 X: 13.8066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.05469 +INDEX GOES BRRR: 927 X: -6.00391 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 177 X: 11.1123 +INDEX GOES BRRR: 128 X: 8.01953 +INDEX GOES BRRR: 389 X: 24.335 +INDEX GOES BRRR: 727 X: -18.54 +INDEX GOES BRRR: 61 X: 3.83789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1017 X: -0.405273 +INDEX GOES BRRR: 335 X: 20.9824 +INDEX GOES BRRR: 111 X: 6.97559 +INDEX GOES BRRR: 312 X: 19.5508 +INDEX GOES BRRR: 1021 X: -0.132812 +INDEX GOES BRRR: 236 X: 14.751 +INDEX GOES BRRR: 317 X: 19.8701 +INDEX GOES BRRR: 73 X: 4.57129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.1875 +INDEX GOES BRRR: 441 X: 27.5791 +INDEX GOES BRRR: 186 X: 11.6396 +INDEX GOES BRRR: 105 X: 6.58398 +INDEX GOES BRRR: 312 X: 19.5049 +INDEX GOES BRRR: 392 X: 24.5205 +INDEX GOES BRRR: 243 X: 15.2363 +INDEX GOES BRRR: 97 X: 6.12402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.7871 +INDEX GOES BRRR: 309 X: 19.3145 +INDEX GOES BRRR: 84 X: 5.28125 +INDEX GOES BRRR: 173 X: 10.8564 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 407 X: 25.4678 +INDEX GOES BRRR: 66 X: 4.12695 +INDEX GOES BRRR: 67 X: 4.24023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3633 +INDEX GOES BRRR: 272 X: 17.0605 +INDEX GOES BRRR: 151 X: 9.47461 +INDEX GOES BRRR: 1010 X: -0.837891 +INDEX GOES BRRR: 200 X: 12.5459 +INDEX GOES BRRR: 365 X: 22.8418 +INDEX GOES BRRR: 41 X: 2.59961 +INDEX GOES BRRR: 341 X: 21.3594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5146 +INDEX GOES BRRR: 265 X: 16.5771 +INDEX GOES BRRR: 195 X: 12.2002 +INDEX GOES BRRR: 102 X: 6.3877 +INDEX GOES BRRR: 353 X: 22.1104 +INDEX GOES BRRR: 115 X: 7.2207 +INDEX GOES BRRR: 181 X: 11.3594 +INDEX GOES BRRR: 286 X: 17.9209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.000976562 +INDEX GOES BRRR: 242 X: 15.1855 +INDEX GOES BRRR: 416 X: 26.0195 +INDEX GOES BRRR: 311 X: 19.4531 +INDEX GOES BRRR: 914 X: -6.82422 +INDEX GOES BRRR: 359 X: 22.4492 +INDEX GOES BRRR: 267 X: 16.7402 +INDEX GOES BRRR: 129 X: 8.08203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.7334 +INDEX GOES BRRR: 291 X: 18.2266 +INDEX GOES BRRR: 145 X: 9.09668 +INDEX GOES BRRR: 250 X: 15.6719 +INDEX GOES BRRR: 375 X: 23.4639 +INDEX GOES BRRR: 983 X: -2.55762 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 311 X: 19.4961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.87305 +INDEX GOES BRRR: 464 X: 29.0156 +INDEX GOES BRRR: 373 X: 23.3633 +INDEX GOES BRRR: 1018 X: -0.374023 +INDEX GOES BRRR: 200 X: 12.5527 +INDEX GOES BRRR: 997 X: -1.67871 +INDEX GOES BRRR: 101 X: 6.32617 +INDEX GOES BRRR: 122 X: 7.6709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.2109 +INDEX GOES BRRR: 241 X: 15.0996 +INDEX GOES BRRR: 70 X: 4.38379 +INDEX GOES BRRR: 278 X: 17.3828 +INDEX GOES BRRR: 181 X: 11.3203 +INDEX GOES BRRR: 203 X: 12.6914 +INDEX GOES BRRR: 439 X: 27.4648 +INDEX GOES BRRR: 179 X: 11.2354 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.179688 +INDEX GOES BRRR: 997 X: -1.63965 +INDEX GOES BRRR: 98 X: 6.15234 +INDEX GOES BRRR: 918 X: -6.58301 +INDEX GOES BRRR: 208 X: 13.0488 +INDEX GOES BRRR: 978 X: -2.85645 +INDEX GOES BRRR: 121 X: 7.62109 +INDEX GOES BRRR: 197 X: 12.3652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.00293 +INDEX GOES BRRR: 67 X: 4.23926 +INDEX GOES BRRR: 331 X: 20.7197 +INDEX GOES BRRR: 56 X: 3.50195 +INDEX GOES BRRR: 175 X: 10.9668 +INDEX GOES BRRR: 195 X: 12.2441 +INDEX GOES BRRR: 136 X: 8.52051 +INDEX GOES BRRR: 218 X: 13.6426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4629 +INDEX GOES BRRR: 237 X: 14.8145 +INDEX GOES BRRR: 165 X: 10.3477 +INDEX GOES BRRR: 985 X: -2.42773 +INDEX GOES BRRR: 930 X: -5.87305 +INDEX GOES BRRR: 993 X: -1.91211 +INDEX GOES BRRR: 78 X: 4.9209 +INDEX GOES BRRR: 206 X: 12.9189 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.8057 +INDEX GOES BRRR: 33 X: 2.08008 +INDEX GOES BRRR: 163 X: 10.1904 +INDEX GOES BRRR: 128 X: 8.00391 +INDEX GOES BRRR: 210 X: 13.1699 +INDEX GOES BRRR: 182 X: 11.4023 +INDEX GOES BRRR: 187 X: 11.7256 +INDEX GOES BRRR: 387 X: 24.2168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 669 X: -22.1377 +INDEX GOES BRRR: 420 X: 26.2656 +INDEX GOES BRRR: 403 X: 25.2383 +INDEX GOES BRRR: 44 X: 2.75586 +INDEX GOES BRRR: 374 X: 23.4336 +INDEX GOES BRRR: 198 X: 12.3916 +INDEX GOES BRRR: 389 X: 24.3223 +INDEX GOES BRRR: 407 X: 25.4727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1348 +INDEX GOES BRRR: 232 X: 14.5596 +INDEX GOES BRRR: 20 X: 1.30957 +INDEX GOES BRRR: 310 X: 19.4189 +INDEX GOES BRRR: 168 X: 10.5186 +INDEX GOES BRRR: 120 X: 7.5498 +INDEX GOES BRRR: 230 X: 14.4287 +INDEX GOES BRRR: 284 X: 17.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.67285 +INDEX GOES BRRR: 297 X: 18.5742 +INDEX GOES BRRR: 167 X: 10.4609 +INDEX GOES BRRR: 169 X: 10.5908 +INDEX GOES BRRR: 34 X: 2.18359 +INDEX GOES BRRR: 76 X: 4.79297 +INDEX GOES BRRR: 939 X: -5.30176 +INDEX GOES BRRR: 106 X: 6.65918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 319 X: 19.9863 +INDEX GOES BRRR: 197 X: 12.3428 +INDEX GOES BRRR: 181 X: 11.3428 +INDEX GOES BRRR: 18 X: 1.15039 +INDEX GOES BRRR: 302 X: 18.8877 +INDEX GOES BRRR: 415 X: 25.9766 +INDEX GOES BRRR: 183 X: 11.4902 +INDEX GOES BRRR: 933 X: -5.64355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 955 X: -4.28223 +INDEX GOES BRRR: 302 X: 18.8936 +INDEX GOES BRRR: 244 X: 15.2529 +INDEX GOES BRRR: 332 X: 20.7773 +INDEX GOES BRRR: 149 X: 9.32812 +INDEX GOES BRRR: 162 X: 10.1543 +INDEX GOES BRRR: 365 X: 22.835 +INDEX GOES BRRR: 999 X: -1.50879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 940 X: -5.20508 +INDEX GOES BRRR: 211 X: 13.1914 +INDEX GOES BRRR: 69 X: 4.37012 +INDEX GOES BRRR: 126 X: 7.87793 +INDEX GOES BRRR: 935 X: -5.53613 +INDEX GOES BRRR: 232 X: 14.5205 +INDEX GOES BRRR: 17 X: 1.10352 +INDEX GOES BRRR: 11 X: 0.703125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 456 X: 28.5098 +INDEX GOES BRRR: 69 X: 4.36621 +INDEX GOES BRRR: 370 X: 23.1592 +INDEX GOES BRRR: 961 X: -3.91895 +INDEX GOES BRRR: 142 X: 8.9082 +INDEX GOES BRRR: 63 X: 3.93945 +INDEX GOES BRRR: 234 X: 14.6641 +INDEX GOES BRRR: 307 X: 19.2168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2188 +INDEX GOES BRRR: 297 X: 18.5908 +INDEX GOES BRRR: 268 X: 16.8018 +INDEX GOES BRRR: 123 X: 7.70117 +INDEX GOES BRRR: 348 X: 21.7578 +INDEX GOES BRRR: 264 X: 16.5176 +INDEX GOES BRRR: 122 X: 7.6377 +INDEX GOES BRRR: 405 X: 25.3203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.29 +INDEX GOES BRRR: 156 X: 9.75 +INDEX GOES BRRR: 83 X: 5.21191 +INDEX GOES BRRR: 191 X: 11.9893 +INDEX GOES BRRR: 439 X: 27.4375 +INDEX GOES BRRR: 150 X: 9.41016 +INDEX GOES BRRR: 271 X: 16.9707 +INDEX GOES BRRR: 389 X: 24.3447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.86621 +INDEX GOES BRRR: 206 X: 12.8916 +INDEX GOES BRRR: 1021 X: -0.128906 +INDEX GOES BRRR: 60 X: 3.76465 +INDEX GOES BRRR: 766 X: -16.1143 +INDEX GOES BRRR: 317 X: 19.8389 +INDEX GOES BRRR: 165 X: 10.3359 +INDEX GOES BRRR: 40 X: 2.53613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 956 X: -4.21094 +INDEX GOES BRRR: 51 X: 3.20898 +INDEX GOES BRRR: 15 X: 0.956055 +INDEX GOES BRRR: 142 X: 8.93066 +INDEX GOES BRRR: 219 X: 13.6924 +INDEX GOES BRRR: 309 X: 19.3584 +INDEX GOES BRRR: 232 X: 14.5361 +INDEX GOES BRRR: 192 X: 12.0059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.4014 +INDEX GOES BRRR: 105 X: 6.58203 +INDEX GOES BRRR: 67 X: 4.24512 +INDEX GOES BRRR: 181 X: 11.3301 +INDEX GOES BRRR: 901 X: -7.66797 +INDEX GOES BRRR: 113 X: 7.08984 +INDEX GOES BRRR: 938 X: -5.35352 +INDEX GOES BRRR: 949 X: -4.64551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 130 X: 8.16895 +INDEX GOES BRRR: 213 X: 13.3594 +INDEX GOES BRRR: 294 X: 18.3799 +INDEX GOES BRRR: 276 X: 17.2832 +INDEX GOES BRRR: 229 X: 14.3281 +INDEX GOES BRRR: 197 X: 12.3389 +INDEX GOES BRRR: 310 X: 19.3984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.30859 +INDEX GOES BRRR: 95 X: 5.97168 +INDEX GOES BRRR: 27 X: 1.73145 +INDEX GOES BRRR: 164 X: 10.3115 +INDEX GOES BRRR: 90 X: 5.65234 +INDEX GOES BRRR: 89 X: 5.59863 +INDEX GOES BRRR: 960 X: -3.99512 +INDEX GOES BRRR: 109 X: 6.87109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 323 X: 20.1924 +INDEX GOES BRRR: 220 X: 13.7617 +INDEX GOES BRRR: 175 X: 10.9414 +INDEX GOES BRRR: 15 X: 0.952148 +INDEX GOES BRRR: 374 X: 23.3945 +INDEX GOES BRRR: 119 X: 7.4375 +INDEX GOES BRRR: 83 X: 5.19238 +INDEX GOES BRRR: 97 X: 6.06641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9072 +INDEX GOES BRRR: 113 X: 7.08301 +INDEX GOES BRRR: 310 X: 19.3896 +INDEX GOES BRRR: 1016 X: -0.498047 +INDEX GOES BRRR: 152 X: 9.51367 +INDEX GOES BRRR: 936 X: -5.48828 +INDEX GOES BRRR: 206 X: 12.8838 +INDEX GOES BRRR: 991 X: -2.06152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.7734 +INDEX GOES BRRR: 187 X: 11.7412 +INDEX GOES BRRR: 16 X: 1.05273 +INDEX GOES BRRR: 873 X: -9.41699 +INDEX GOES BRRR: 374 X: 23.3867 +INDEX GOES BRRR: 17 X: 1.06543 +INDEX GOES BRRR: 1014 X: -0.587891 +INDEX GOES BRRR: 176 X: 11.0137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 268 X: 16.751 +INDEX GOES BRRR: 186 X: 11.6748 +INDEX GOES BRRR: 28 X: 1.79395 +INDEX GOES BRRR: 361 X: 22.6094 +INDEX GOES BRRR: 992 X: -1.94922 +INDEX GOES BRRR: 990 X: -2.11426 +INDEX GOES BRRR: 81 X: 5.06543 +INDEX GOES BRRR: 193 X: 12.082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.33008 +INDEX GOES BRRR: 186 X: 11.666 +INDEX GOES BRRR: 148 X: 9.30762 +INDEX GOES BRRR: 186 X: 11.6387 +INDEX GOES BRRR: 187 X: 11.71 +INDEX GOES BRRR: 110 X: 6.91992 +INDEX GOES BRRR: 1015 X: -0.533203 +INDEX GOES BRRR: 131 X: 8.23535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.12012 +INDEX GOES BRRR: 24 X: 1.50391 +INDEX GOES BRRR: 293 X: 18.373 +INDEX GOES BRRR: 872 X: -9.46582 +INDEX GOES BRRR: 411 X: 25.707 +INDEX GOES BRRR: 998 X: -1.61035 +INDEX GOES BRRR: 240 X: 15.0352 +INDEX GOES BRRR: 61 X: 3.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 311 X: 19.4756 +INDEX GOES BRRR: 179 X: 11.207 +INDEX GOES BRRR: 980 X: -2.70801 +INDEX GOES BRRR: 146 X: 9.17188 +INDEX GOES BRRR: 226 X: 14.1787 +INDEX GOES BRRR: 2 X: 0.171875 +INDEX GOES BRRR: 109 X: 6.84766 +INDEX GOES BRRR: 871 X: -9.53418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.00195312 +INDEX GOES BRRR: 310 X: 19.3887 +INDEX GOES BRRR: 44 X: 2.7793 +INDEX GOES BRRR: 123 X: 7.70215 +INDEX GOES BRRR: 172 X: 10.7881 +INDEX GOES BRRR: 286 X: 17.8779 +INDEX GOES BRRR: 308 X: 19.2529 +INDEX GOES BRRR: 255 X: 15.9805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.4492 +INDEX GOES BRRR: 71 X: 4.45703 +INDEX GOES BRRR: 244 X: 15.2832 +INDEX GOES BRRR: 248 X: 15.5205 +INDEX GOES BRRR: 350 X: 21.8838 +INDEX GOES BRRR: 273 X: 17.1201 +INDEX GOES BRRR: 195 X: 12.2393 +INDEX GOES BRRR: 313 X: 19.5674 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.54 +INDEX GOES BRRR: 175 X: 10.958 +INDEX GOES BRRR: 242 X: 15.1748 +INDEX GOES BRRR: 191 X: 11.9639 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 240 X: 15.0166 +INDEX GOES BRRR: 285 X: 17.8711 +INDEX GOES BRRR: 262 X: 16.4277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1768 +INDEX GOES BRRR: 400 X: 25.0029 +INDEX GOES BRRR: 881 X: -8.9375 +INDEX GOES BRRR: 203 X: 12.7217 +INDEX GOES BRRR: 85 X: 5.36133 +INDEX GOES BRRR: 32 X: 2.04199 +INDEX GOES BRRR: 980 X: -2.69238 +INDEX GOES BRRR: 20 X: 1.28613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 368 X: 23.0527 +INDEX GOES BRRR: 350 X: 21.8818 +INDEX GOES BRRR: 59 X: 3.72266 +INDEX GOES BRRR: 225 X: 14.0654 +INDEX GOES BRRR: 220 X: 13.7754 +INDEX GOES BRRR: 217 X: 13.5781 +INDEX GOES BRRR: 77 X: 4.83008 +INDEX GOES BRRR: 236 X: 14.7695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.2344 +INDEX GOES BRRR: 1013 X: -0.667969 +INDEX GOES BRRR: 368 X: 23.0576 +INDEX GOES BRRR: 23 X: 1.4707 +INDEX GOES BRRR: 173 X: 10.8604 +INDEX GOES BRRR: 114 X: 7.16504 +INDEX GOES BRRR: 1019 X: -0.304688 +INDEX GOES BRRR: 263 X: 16.4561 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 873 X: -9.41016 +INDEX GOES BRRR: 134 X: 8.4082 +INDEX GOES BRRR: 102 X: 6.42285 +INDEX GOES BRRR: 136 X: 8.54395 +INDEX GOES BRRR: 21 X: 1.31641 +INDEX GOES BRRR: 253 X: 15.8418 +INDEX GOES BRRR: 178 X: 11.1631 +INDEX GOES BRRR: 960 X: -3.95605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7158 +INDEX GOES BRRR: 313 X: 19.5674 +INDEX GOES BRRR: 411 X: 25.7041 +INDEX GOES BRRR: 181 X: 11.3662 +INDEX GOES BRRR: 867 X: -9.78027 +INDEX GOES BRRR: 70 X: 4.3877 +INDEX GOES BRRR: 82 X: 5.15234 +INDEX GOES BRRR: 345 X: 21.5762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5371 +INDEX GOES BRRR: 24 X: 1.52344 +INDEX GOES BRRR: 945 X: -4.93164 +INDEX GOES BRRR: 63 X: 3.95703 +INDEX GOES BRRR: 198 X: 12.3994 +INDEX GOES BRRR: 291 X: 18.1973 +INDEX GOES BRRR: 289 X: 18.0918 +INDEX GOES BRRR: 243 X: 15.2129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.74316 +INDEX GOES BRRR: 381 X: 23.8232 +INDEX GOES BRRR: 118 X: 7.37695 +INDEX GOES BRRR: 202 X: 12.6406 +INDEX GOES BRRR: 163 X: 10.1904 +INDEX GOES BRRR: 210 X: 13.1494 +INDEX GOES BRRR: 118 X: 7.39355 +INDEX GOES BRRR: 972 X: -3.23145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4727 +INDEX GOES BRRR: 301 X: 18.8496 +INDEX GOES BRRR: 819 X: -12.7969 +INDEX GOES BRRR: 798 X: -14.1201 +INDEX GOES BRRR: 338 X: 21.1436 +INDEX GOES BRRR: 802 X: -13.8232 +INDEX GOES BRRR: 15 X: 0.986328 +INDEX GOES BRRR: 180 X: 11.2705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8477 +INDEX GOES BRRR: 153 X: 9.60254 +INDEX GOES BRRR: 228 X: 14.2598 +INDEX GOES BRRR: 376 X: 23.5557 +INDEX GOES BRRR: 965 X: -3.66699 +INDEX GOES BRRR: 145 X: 9.0791 +INDEX GOES BRRR: 50 X: 3.17188 +INDEX GOES BRRR: 93 X: 5.87109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.2666 +INDEX GOES BRRR: 361 X: 22.5732 +INDEX GOES BRRR: 235 X: 14.6943 +INDEX GOES BRRR: 310 X: 19.4365 +INDEX GOES BRRR: 80 X: 5.05469 +INDEX GOES BRRR: 253 X: 15.8691 +INDEX GOES BRRR: 1010 X: -0.813477 +INDEX GOES BRRR: 286 X: 17.8799 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.66113 +INDEX GOES BRRR: 98 X: 6.17188 +INDEX GOES BRRR: 346 X: 21.6855 +INDEX GOES BRRR: 270 X: 16.9346 +INDEX GOES BRRR: 1010 X: -0.814453 +INDEX GOES BRRR: 144 X: 9.02637 +INDEX GOES BRRR: 915 X: -6.77051 +INDEX GOES BRRR: 420 X: 26.2939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.35742 +INDEX GOES BRRR: 296 X: 18.5176 +INDEX GOES BRRR: 1012 X: -0.723633 +INDEX GOES BRRR: 326 X: 20.3789 +INDEX GOES BRRR: 149 X: 9.36328 +INDEX GOES BRRR: 270 X: 16.876 +INDEX GOES BRRR: 314 X: 19.6338 +INDEX GOES BRRR: 47 X: 2.98242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0352 +INDEX GOES BRRR: 350 X: 21.9062 +INDEX GOES BRRR: 110 X: 6.92285 +INDEX GOES BRRR: 159 X: 9.95215 +INDEX GOES BRRR: 992 X: -1.96289 +INDEX GOES BRRR: 125 X: 7.84863 +INDEX GOES BRRR: 279 X: 17.4414 +INDEX GOES BRRR: 423 X: 26.4678 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5137 +INDEX GOES BRRR: 94 X: 5.91406 +INDEX GOES BRRR: 695 X: -20.5342 +INDEX GOES BRRR: 414 X: 25.8994 +INDEX GOES BRRR: 247 X: 15.4521 +INDEX GOES BRRR: 168 X: 10.5547 +INDEX GOES BRRR: 17 X: 1.06836 +INDEX GOES BRRR: 485 X: 30.374 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.96289 +INDEX GOES BRRR: 238 X: 14.9346 +INDEX GOES BRRR: 31 X: 1.97754 +INDEX GOES BRRR: 296 X: 18.5342 +INDEX GOES BRRR: 405 X: 25.3232 +INDEX GOES BRRR: 209 X: 13.0918 +INDEX GOES BRRR: 342 X: 21.4189 +INDEX GOES BRRR: 963 X: -3.79297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1533 +INDEX GOES BRRR: 268 X: 16.7764 +INDEX GOES BRRR: 924 X: -6.22754 +INDEX GOES BRRR: 170 X: 10.6719 +INDEX GOES BRRR: 426 X: 26.6514 +INDEX GOES BRRR: 248 X: 15.5088 +INDEX GOES BRRR: 232 X: 14.5576 +INDEX GOES BRRR: 159 X: 9.96582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 469 X: 29.3691 +INDEX GOES BRRR: 156 X: 9.75195 +INDEX GOES BRRR: 149 X: 9.36816 +INDEX GOES BRRR: 428 X: 26.7744 +INDEX GOES BRRR: 54 X: 3.39844 +INDEX GOES BRRR: 922 X: -6.3252 +INDEX GOES BRRR: 114 X: 7.17578 +INDEX GOES BRRR: 51 X: 3.23145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.2168 +INDEX GOES BRRR: 168 X: 10.5264 +INDEX GOES BRRR: 142 X: 8.88379 +INDEX GOES BRRR: 21 X: 1.31641 +INDEX GOES BRRR: 399 X: 24.9707 +INDEX GOES BRRR: 240 X: 15.0254 +INDEX GOES BRRR: 185 X: 11.5918 +INDEX GOES BRRR: 47 X: 2.9375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.51074 +INDEX GOES BRRR: 50 X: 3.13574 +INDEX GOES BRRR: 280 X: 17.5547 +INDEX GOES BRRR: 149 X: 9.3418 +INDEX GOES BRRR: 338 X: 21.1602 +INDEX GOES BRRR: 953 X: -4.4248 +INDEX GOES BRRR: 9 X: 0.584961 +INDEX GOES BRRR: 56 X: 3.54004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.334 +INDEX GOES BRRR: 943 X: -5.00977 +INDEX GOES BRRR: 75 X: 4.71875 +INDEX GOES BRRR: 993 X: -1.89746 +INDEX GOES BRRR: 222 X: 13.8789 +INDEX GOES BRRR: 212 X: 13.2939 +INDEX GOES BRRR: 132 X: 8.26953 +INDEX GOES BRRR: 401 X: 25.1025 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1504 +INDEX GOES BRRR: 91 X: 5.74316 +INDEX GOES BRRR: 103 X: 6.46094 +INDEX GOES BRRR: 50 X: 3.18652 +INDEX GOES BRRR: 106 X: 6.6416 +INDEX GOES BRRR: 82 X: 5.125 +INDEX GOES BRRR: 34 X: 2.15234 +INDEX GOES BRRR: 148 X: 9.25781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4697 +INDEX GOES BRRR: 964 X: -3.73047 +INDEX GOES BRRR: 1018 X: -0.362305 +INDEX GOES BRRR: 230 X: 14.4082 +INDEX GOES BRRR: 189 X: 11.8721 +INDEX GOES BRRR: 83 X: 5.2334 +INDEX GOES BRRR: 263 X: 16.4746 +INDEX GOES BRRR: 220 X: 13.8018 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 30 X: 1.89355 +INDEX GOES BRRR: 346 X: 21.625 +INDEX GOES BRRR: 136 X: 8.50098 +INDEX GOES BRRR: 376 X: 23.5049 +INDEX GOES BRRR: 883 X: -8.80273 +INDEX GOES BRRR: 314 X: 19.6689 +INDEX GOES BRRR: 925 X: -6.13965 +INDEX GOES BRRR: 244 X: 15.2822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8242 +INDEX GOES BRRR: 358 X: 22.4199 +INDEX GOES BRRR: 673 X: -21.8838 +INDEX GOES BRRR: 174 X: 10.8867 +INDEX GOES BRRR: 246 X: 15.3887 +INDEX GOES BRRR: 87 X: 5.4668 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 894 X: -8.08594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5947 +INDEX GOES BRRR: 254 X: 15.9092 +INDEX GOES BRRR: 155 X: 9.70312 +INDEX GOES BRRR: 241 X: 15.1055 +INDEX GOES BRRR: 127 X: 7.96777 +INDEX GOES BRRR: 155 X: 9.74805 +INDEX GOES BRRR: 345 X: 21.6025 +INDEX GOES BRRR: 254 X: 15.8848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.2188 +INDEX GOES BRRR: 833 X: -11.8799 +INDEX GOES BRRR: 140 X: 8.7666 +INDEX GOES BRRR: 179 X: 11.2324 +INDEX GOES BRRR: 343 X: 21.4746 +INDEX GOES BRRR: 244 X: 15.2617 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 300 X: 18.7549 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.79297 +INDEX GOES BRRR: 979 X: -2.76758 +INDEX GOES BRRR: 417 X: 26.0889 +INDEX GOES BRRR: 343 X: 21.459 +INDEX GOES BRRR: 241 X: 15.0762 +INDEX GOES BRRR: 972 X: -3.20117 +INDEX GOES BRRR: 77 X: 4.82031 +INDEX GOES BRRR: 1005 X: -1.15723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9082 +INDEX GOES BRRR: 229 X: 14.3574 +INDEX GOES BRRR: 411 X: 25.7305 +INDEX GOES BRRR: 230 X: 14.4248 +INDEX GOES BRRR: 168 X: 10.5322 +INDEX GOES BRRR: 12 X: 0.768555 +INDEX GOES BRRR: 1022 X: -0.0742188 +INDEX GOES BRRR: 398 X: 24.8789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0537 +INDEX GOES BRRR: 8 X: 0.535156 +INDEX GOES BRRR: 948 X: -4.74414 +INDEX GOES BRRR: 142 X: 8.93066 +INDEX GOES BRRR: 197 X: 12.3633 +INDEX GOES BRRR: 186 X: 11.6777 +INDEX GOES BRRR: 30 X: 1.9082 +INDEX GOES BRRR: 142 X: 8.88086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 894 X: -8.08984 +INDEX GOES BRRR: 46 X: 2.8877 +INDEX GOES BRRR: 146 X: 9.17969 +INDEX GOES BRRR: 1001 X: -1.40918 +INDEX GOES BRRR: 214 X: 13.4248 +INDEX GOES BRRR: 781 X: -15.1816 +INDEX GOES BRRR: 969 X: -3.37988 +INDEX GOES BRRR: 260 X: 16.2832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.79199 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 321 X: 20.0947 +INDEX GOES BRRR: 955 X: -4.30664 +INDEX GOES BRRR: 230 X: 14.4141 +INDEX GOES BRRR: 38 X: 2.38281 +INDEX GOES BRRR: 130 X: 8.1377 +INDEX GOES BRRR: 375 X: 23.4902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5303 +INDEX GOES BRRR: 328 X: 20.5498 +INDEX GOES BRRR: 69 X: 4.33203 +INDEX GOES BRRR: 185 X: 11.6074 +INDEX GOES BRRR: 214 X: 13.3965 +INDEX GOES BRRR: 69 X: 4.37207 +INDEX GOES BRRR: 931 X: -5.79297 +INDEX GOES BRRR: 213 X: 13.333 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.32715 +INDEX GOES BRRR: 132 X: 8.26465 +INDEX GOES BRRR: 255 X: 15.9619 +INDEX GOES BRRR: 435 X: 27.2451 +INDEX GOES BRRR: 325 X: 20.3613 +INDEX GOES BRRR: 980 X: -2.72461 +INDEX GOES BRRR: 244 X: 15.2705 +INDEX GOES BRRR: 116 X: 7.27734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 331 X: 20.7119 +INDEX GOES BRRR: 869 X: -9.66895 +INDEX GOES BRRR: 90 X: 5.66016 +INDEX GOES BRRR: 133 X: 8.33008 +INDEX GOES BRRR: 181 X: 11.3438 +INDEX GOES BRRR: 440 X: 27.5244 +INDEX GOES BRRR: 118 X: 7.41309 +INDEX GOES BRRR: 963 X: -3.8125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.660156 +INDEX GOES BRRR: 183 X: 11.4482 +INDEX GOES BRRR: 992 X: -2 +INDEX GOES BRRR: 196 X: 12.2754 +INDEX GOES BRRR: 185 X: 11.6104 +INDEX GOES BRRR: 247 X: 15.499 +INDEX GOES BRRR: 748 X: -17.2441 +INDEX GOES BRRR: 294 X: 18.4014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5234 +INDEX GOES BRRR: 276 X: 17.2979 +INDEX GOES BRRR: 936 X: -5.45801 +INDEX GOES BRRR: 878 X: -9.12402 +INDEX GOES BRRR: 138 X: 8.64453 +INDEX GOES BRRR: 254 X: 15.9033 +INDEX GOES BRRR: 491 X: 30.6895 +INDEX GOES BRRR: 90 X: 5.68262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9639 +INDEX GOES BRRR: 14 X: 0.900391 +INDEX GOES BRRR: 200 X: 12.5576 +INDEX GOES BRRR: 801 X: -13.8936 +INDEX GOES BRRR: 54 X: 3.40234 +INDEX GOES BRRR: 13 X: 0.855469 +INDEX GOES BRRR: 205 X: 12.8564 +INDEX GOES BRRR: 260 X: 16.2588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 915 X: -6.76855 +INDEX GOES BRRR: 143 X: 8.98926 +INDEX GOES BRRR: 273 X: 17.0928 +INDEX GOES BRRR: 170 X: 10.6309 +INDEX GOES BRRR: 207 X: 12.9717 +INDEX GOES BRRR: 200 X: 12.5127 +INDEX GOES BRRR: 363 X: 22.7246 +INDEX GOES BRRR: 201 X: 12.6094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 378 X: 23.6475 +INDEX GOES BRRR: 320 X: 20.0605 +INDEX GOES BRRR: 45 X: 2.85645 +INDEX GOES BRRR: 103 X: 6.47461 +INDEX GOES BRRR: 303 X: 18.9492 +INDEX GOES BRRR: 888 X: -8.45508 +INDEX GOES BRRR: 103 X: 6.46094 +INDEX GOES BRRR: 989 X: -2.13184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.51855 +INDEX GOES BRRR: 69 X: 4.34863 +INDEX GOES BRRR: 240 X: 15.0537 +INDEX GOES BRRR: 82 X: 5.14844 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 209 X: 13.0928 +INDEX GOES BRRR: 106 X: 6.68555 +INDEX GOES BRRR: 214 X: 13.3877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.74121 +INDEX GOES BRRR: 183 X: 11.458 +INDEX GOES BRRR: 208 X: 13.0508 +INDEX GOES BRRR: 927 X: -6.06152 +INDEX GOES BRRR: 331 X: 20.7041 +INDEX GOES BRRR: 201 X: 12.5957 +INDEX GOES BRRR: 951 X: -4.52246 +INDEX GOES BRRR: 346 X: 21.6719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 430 X: 26.8984 +INDEX GOES BRRR: 141 X: 8.83008 +INDEX GOES BRRR: 191 X: 11.957 +INDEX GOES BRRR: 172 X: 10.75 +INDEX GOES BRRR: 11 X: 0.741211 +INDEX GOES BRRR: 225 X: 14.0664 +INDEX GOES BRRR: 19 X: 1.24902 +INDEX GOES BRRR: 867 X: -9.79883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.44824 +INDEX GOES BRRR: 47 X: 2.93848 +INDEX GOES BRRR: 124 X: 7.77832 +INDEX GOES BRRR: 341 X: 21.3564 +INDEX GOES BRRR: 258 X: 16.1553 +INDEX GOES BRRR: 50 X: 3.18652 +INDEX GOES BRRR: 259 X: 16.2295 +INDEX GOES BRRR: 237 X: 14.8271 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.09375 +INDEX GOES BRRR: 67 X: 4.24805 +INDEX GOES BRRR: 203 X: 12.7256 +INDEX GOES BRRR: 236 X: 14.7969 +INDEX GOES BRRR: 175 X: 10.958 +INDEX GOES BRRR: 9 X: 0.611328 +INDEX GOES BRRR: 258 X: 16.1455 +INDEX GOES BRRR: 121 X: 7.59082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 349 X: 21.874 +INDEX GOES BRRR: 190 X: 11.8809 +INDEX GOES BRRR: 138 X: 8.67773 +INDEX GOES BRRR: 997 X: -1.63477 +INDEX GOES BRRR: 325 X: 20.3486 +INDEX GOES BRRR: 177 X: 11.0977 +INDEX GOES BRRR: 343 X: 21.4746 +INDEX GOES BRRR: 210 X: 13.1289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.15527 +INDEX GOES BRRR: 920 X: -6.46289 +INDEX GOES BRRR: 471 X: 29.4688 +INDEX GOES BRRR: 64 X: 4.02344 +INDEX GOES BRRR: 99 X: 6.20801 +INDEX GOES BRRR: 980 X: -2.7041 +INDEX GOES BRRR: 197 X: 12.3506 +INDEX GOES BRRR: 935 X: -5.50879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 943 X: -5.04395 +INDEX GOES BRRR: 156 X: 9.77148 +INDEX GOES BRRR: 297 X: 18.6162 +INDEX GOES BRRR: 39 X: 2.45312 +INDEX GOES BRRR: 263 X: 16.4629 +INDEX GOES BRRR: 422 X: 26.4336 +INDEX GOES BRRR: 63 X: 3.98926 +INDEX GOES BRRR: 245 X: 15.3242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.2109 +INDEX GOES BRRR: 171 X: 10.7148 +INDEX GOES BRRR: 196 X: 12.2979 +INDEX GOES BRRR: 11 X: 0.71582 +INDEX GOES BRRR: 327 X: 20.4766 +INDEX GOES BRRR: 54 X: 3.41895 +INDEX GOES BRRR: 221 X: 13.8652 +INDEX GOES BRRR: 236 X: 14.7812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 435 X: 27.2168 +INDEX GOES BRRR: 101 X: 6.35742 +INDEX GOES BRRR: 351 X: 21.9609 +INDEX GOES BRRR: 66 X: 4.16309 +INDEX GOES BRRR: 23 X: 1.45801 +INDEX GOES BRRR: 2 X: 0.167969 +INDEX GOES BRRR: 158 X: 9.88672 +INDEX GOES BRRR: 257 X: 16.1172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 159 X: 9.94043 +INDEX GOES BRRR: 142 X: 8.89648 +INDEX GOES BRRR: 20 X: 1.27148 +INDEX GOES BRRR: 942 X: -5.06348 +INDEX GOES BRRR: 231 X: 14.459 +INDEX GOES BRRR: 1014 X: -0.588867 +INDEX GOES BRRR: 388 X: 24.2959 +INDEX GOES BRRR: 202 X: 12.6367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.06055 +INDEX GOES BRRR: 823 X: -12.5625 +INDEX GOES BRRR: 99 X: 6.18945 +INDEX GOES BRRR: 988 X: -2.22949 +INDEX GOES BRRR: 145 X: 9.11816 +INDEX GOES BRRR: 907 X: -7.27637 +INDEX GOES BRRR: 988 X: -2.19922 +INDEX GOES BRRR: 312 X: 19.5449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7588 +INDEX GOES BRRR: 112 X: 7 +INDEX GOES BRRR: 20 X: 1.29102 +INDEX GOES BRRR: 237 X: 14.8564 +INDEX GOES BRRR: 414 X: 25.8984 +INDEX GOES BRRR: 404 X: 25.2637 +INDEX GOES BRRR: 239 X: 14.9424 +INDEX GOES BRRR: 201 X: 12.5713 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.6924 +INDEX GOES BRRR: 481 X: 30.1221 +INDEX GOES BRRR: 492 X: 30.7549 +INDEX GOES BRRR: 102 X: 6.40918 +INDEX GOES BRRR: 109 X: 6.83594 +INDEX GOES BRRR: 240 X: 15.0342 +INDEX GOES BRRR: 768 X: -15.9453 +INDEX GOES BRRR: 107 X: 6.69238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.93945 +INDEX GOES BRRR: 1007 X: -1.05859 +INDEX GOES BRRR: 87 X: 5.49023 +INDEX GOES BRRR: 316 X: 19.8115 +INDEX GOES BRRR: 202 X: 12.6768 +INDEX GOES BRRR: 250 X: 15.666 +INDEX GOES BRRR: 54 X: 3.43359 +INDEX GOES BRRR: 56 X: 3.51074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.68945 +INDEX GOES BRRR: 394 X: 24.6641 +INDEX GOES BRRR: 167 X: 10.4824 +INDEX GOES BRRR: 184 X: 11.542 +INDEX GOES BRRR: 329 X: 20.5908 +INDEX GOES BRRR: 1017 X: -0.415039 +INDEX GOES BRRR: 53 X: 3.37305 +INDEX GOES BRRR: 59 X: 3.7334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 921 X: -6.41895 +INDEX GOES BRRR: 185 X: 11.5859 +INDEX GOES BRRR: 79 X: 4.97656 +INDEX GOES BRRR: 883 X: -8.79297 +INDEX GOES BRRR: 342 X: 21.3984 +INDEX GOES BRRR: 867 X: -9.7793 +INDEX GOES BRRR: 1000 X: -1.49902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.45215 +INDEX GOES BRRR: 252 X: 15.8086 +INDEX GOES BRRR: 236 X: 14.792 +INDEX GOES BRRR: 189 X: 11.8682 +INDEX GOES BRRR: 253 X: 15.8125 +INDEX GOES BRRR: 1004 X: -1.21289 +INDEX GOES BRRR: 100 X: 6.28223 +INDEX GOES BRRR: 236 X: 14.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.71387 +INDEX GOES BRRR: 490 X: 30.6689 +INDEX GOES BRRR: 67 X: 4.19141 +INDEX GOES BRRR: 1002 X: -1.31836 +INDEX GOES BRRR: 310 X: 19.4033 +INDEX GOES BRRR: 63 X: 3.95215 +INDEX GOES BRRR: 439 X: 27.458 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8281 +INDEX GOES BRRR: 149 X: 9.36621 +INDEX GOES BRRR: 333 X: 20.8398 +INDEX GOES BRRR: 358 X: 22.4131 +INDEX GOES BRRR: 154 X: 9.66992 +INDEX GOES BRRR: 975 X: -3.04102 +INDEX GOES BRRR: 25 X: 1.60742 +INDEX GOES BRRR: 967 X: -3.50586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 963 X: -3.79102 +INDEX GOES BRRR: 118 X: 7.42871 +INDEX GOES BRRR: 98 X: 6.13867 +INDEX GOES BRRR: 438 X: 27.4004 +INDEX GOES BRRR: 94 X: 5.92188 +INDEX GOES BRRR: 440 X: 27.5254 +INDEX GOES BRRR: 491 X: 30.7012 +INDEX GOES BRRR: 209 X: 13.085 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9951 +INDEX GOES BRRR: 118 X: 7.39746 +INDEX GOES BRRR: 236 X: 14.7676 +INDEX GOES BRRR: 950 X: -4.58887 +INDEX GOES BRRR: 363 X: 22.7285 +INDEX GOES BRRR: 65 X: 4.07324 +INDEX GOES BRRR: 49 X: 3.11035 +INDEX GOES BRRR: 920 X: -6.44043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 320 X: 20.0342 +INDEX GOES BRRR: 39 X: 2.44336 +INDEX GOES BRRR: 196 X: 12.2617 +INDEX GOES BRRR: 85 X: 5.36328 +INDEX GOES BRRR: 998 X: -1.62305 +INDEX GOES BRRR: 375 X: 23.4951 +INDEX GOES BRRR: 938 X: -5.32715 +INDEX GOES BRRR: 171 X: 10.7266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5449 +INDEX GOES BRRR: 166 X: 10.4102 +INDEX GOES BRRR: 86 X: 5.38086 +INDEX GOES BRRR: 370 X: 23.127 +INDEX GOES BRRR: 30 X: 1.9209 +INDEX GOES BRRR: 269 X: 16.8135 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 290 X: 18.1865 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 941 X: -5.1543 +INDEX GOES BRRR: 279 X: 17.4658 +INDEX GOES BRRR: 103 X: 6.44824 +INDEX GOES BRRR: 314 X: 19.6602 +INDEX GOES BRRR: 62 X: 3.92871 +INDEX GOES BRRR: 48 X: 3.04785 +INDEX GOES BRRR: 319 X: 19.96 +INDEX GOES BRRR: 150 X: 9.43555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.1377 +INDEX GOES BRRR: 435 X: 27.1973 +INDEX GOES BRRR: 391 X: 24.4863 +INDEX GOES BRRR: 318 X: 19.8809 +INDEX GOES BRRR: 38 X: 2.38086 +INDEX GOES BRRR: 67 X: 4.19141 +INDEX GOES BRRR: 988 X: -2.22168 +INDEX GOES BRRR: 174 X: 10.8896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.688477 +INDEX GOES BRRR: 988 X: -2.2168 +INDEX GOES BRRR: 469 X: 29.3447 +INDEX GOES BRRR: 13 X: 0.821289 +INDEX GOES BRRR: 1012 X: -0.701172 +INDEX GOES BRRR: 121 X: 7.60156 +INDEX GOES BRRR: 361 X: 22.5674 +INDEX GOES BRRR: 157 X: 9.83984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 965 X: -3.62695 +INDEX GOES BRRR: 288 X: 18.0508 +INDEX GOES BRRR: 145 X: 9.0791 +INDEX GOES BRRR: 360 X: 22.5537 +INDEX GOES BRRR: 241 X: 15.0693 +INDEX GOES BRRR: 948 X: -4.69922 +INDEX GOES BRRR: 56 X: 3.50781 +INDEX GOES BRRR: 245 X: 15.3682 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7793 +INDEX GOES BRRR: 217 X: 13.584 +INDEX GOES BRRR: 234 X: 14.6855 +INDEX GOES BRRR: 80 X: 5.00293 +INDEX GOES BRRR: 79 X: 4.99805 +INDEX GOES BRRR: 249 X: 15.5977 +INDEX GOES BRRR: 60 X: 3.81152 +INDEX GOES BRRR: 294 X: 18.4365 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5088 +INDEX GOES BRRR: 55 X: 3.44336 +INDEX GOES BRRR: 380 X: 23.7939 +INDEX GOES BRRR: 353 X: 22.1006 +INDEX GOES BRRR: 872 X: -9.47461 +INDEX GOES BRRR: 209 X: 13.1025 +INDEX GOES BRRR: 988 X: -2.19434 +INDEX GOES BRRR: 115 X: 7.23535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4961 +INDEX GOES BRRR: 219 X: 13.7324 +INDEX GOES BRRR: 54 X: 3.43066 +INDEX GOES BRRR: 331 X: 20.71 +INDEX GOES BRRR: 331 X: 20.7344 +INDEX GOES BRRR: 372 X: 23.251 +INDEX GOES BRRR: 107 X: 6.74805 +INDEX GOES BRRR: 176 X: 11.002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6416 +INDEX GOES BRRR: 118 X: 7.37891 +INDEX GOES BRRR: 112 X: 7.02539 +INDEX GOES BRRR: 95 X: 5.95117 +INDEX GOES BRRR: 970 X: -3.36133 +INDEX GOES BRRR: 989 X: -2.17383 +INDEX GOES BRRR: 256 X: 16 +INDEX GOES BRRR: 226 X: 14.1523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.7158 +INDEX GOES BRRR: 151 X: 9.44336 +INDEX GOES BRRR: 47 X: 2.94141 +INDEX GOES BRRR: 195 X: 12.2246 +INDEX GOES BRRR: 6 X: 0.389648 +INDEX GOES BRRR: 155 X: 9.74023 +INDEX GOES BRRR: 75 X: 4.73633 +INDEX GOES BRRR: 950 X: -4.61328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1013 X: -0.629883 +INDEX GOES BRRR: 764 X: -16.1904 +INDEX GOES BRRR: 140 X: 8.76367 +INDEX GOES BRRR: 122 X: 7.67383 +INDEX GOES BRRR: 153 X: 9.58105 +INDEX GOES BRRR: 48 X: 3 +INDEX GOES BRRR: 158 X: 9.91309 +INDEX GOES BRRR: 84 X: 5.29883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.45508 +INDEX GOES BRRR: 236 X: 14.7754 +INDEX GOES BRRR: 101 X: 6.3291 +INDEX GOES BRRR: 73 X: 4.56836 +INDEX GOES BRRR: 243 X: 15.1982 +INDEX GOES BRRR: 297 X: 18.6064 +INDEX GOES BRRR: 94 X: 5.91211 +INDEX GOES BRRR: 309 X: 19.3564 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.33105 +INDEX GOES BRRR: 195 X: 12.1953 +INDEX GOES BRRR: 940 X: -5.24023 +INDEX GOES BRRR: 57 X: 3.59863 +INDEX GOES BRRR: 48 X: 3.0166 +INDEX GOES BRRR: 977 X: -2.88965 +INDEX GOES BRRR: 384 X: 24.0391 +INDEX GOES BRRR: 473 X: 29.5654 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0469 +INDEX GOES BRRR: 25 X: 1.61035 +INDEX GOES BRRR: 99 X: 6.2373 +INDEX GOES BRRR: 945 X: -4.9043 +INDEX GOES BRRR: 258 X: 16.1689 +INDEX GOES BRRR: 192 X: 12.0449 +INDEX GOES BRRR: 149 X: 9.36816 +INDEX GOES BRRR: 404 X: 25.2549 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0059 +INDEX GOES BRRR: 219 X: 13.7275 +INDEX GOES BRRR: 313 X: 19.5713 +INDEX GOES BRRR: 229 X: 14.3359 +INDEX GOES BRRR: 228 X: 14.2979 +INDEX GOES BRRR: 244 X: 15.2539 +INDEX GOES BRRR: 319 X: 19.958 +INDEX GOES BRRR: 325 X: 20.3184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1416 +INDEX GOES BRRR: 405 X: 25.3242 +INDEX GOES BRRR: 64 X: 4.00293 +INDEX GOES BRRR: 13 X: 0.828125 +INDEX GOES BRRR: 133 X: 8.3457 +INDEX GOES BRRR: 882 X: -8.82227 +INDEX GOES BRRR: 188 X: 11.7959 +INDEX GOES BRRR: 252 X: 15.8027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.19434 +INDEX GOES BRRR: 121 X: 7.57031 +INDEX GOES BRRR: 145 X: 9.0791 +INDEX GOES BRRR: 390 X: 24.3965 +INDEX GOES BRRR: 142 X: 8.91113 +INDEX GOES BRRR: 225 X: 14.0635 +INDEX GOES BRRR: 936 X: -5.45996 +INDEX GOES BRRR: 231 X: 14.4795 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.67188 +INDEX GOES BRRR: 894 X: -8.09668 +INDEX GOES BRRR: 390 X: 24.375 +INDEX GOES BRRR: 166 X: 10.3877 +INDEX GOES BRRR: 468 X: 29.2744 +INDEX GOES BRRR: 403 X: 25.2305 +INDEX GOES BRRR: 164 X: 10.251 +INDEX GOES BRRR: 920 X: -6.47461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4512 +INDEX GOES BRRR: 266 X: 16.665 +INDEX GOES BRRR: 143 X: 8.96094 +INDEX GOES BRRR: 186 X: 11.6309 +INDEX GOES BRRR: 20 X: 1.26562 +INDEX GOES BRRR: 374 X: 23.3887 +INDEX GOES BRRR: 432 X: 27.0596 +INDEX GOES BRRR: 264 X: 16.5146 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.98242 +INDEX GOES BRRR: 283 X: 17.7383 +INDEX GOES BRRR: 433 X: 27.082 +INDEX GOES BRRR: 915 X: -6.75391 +INDEX GOES BRRR: 337 X: 21.0859 +INDEX GOES BRRR: 53 X: 3.31641 +INDEX GOES BRRR: 946 X: -4.84961 +INDEX GOES BRRR: 286 X: 17.9082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.20508 +INDEX GOES BRRR: 114 X: 7.18457 +INDEX GOES BRRR: 274 X: 17.1504 +INDEX GOES BRRR: 205 X: 12.874 +INDEX GOES BRRR: 360 X: 22.502 +INDEX GOES BRRR: 473 X: 29.5977 +INDEX GOES BRRR: 269 X: 16.8574 +INDEX GOES BRRR: 192 X: 12.0322 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8652 +INDEX GOES BRRR: 90 X: 5.67871 +INDEX GOES BRRR: 31 X: 1.95508 +INDEX GOES BRRR: 226 X: 14.1797 +INDEX GOES BRRR: 269 X: 16.874 +INDEX GOES BRRR: 237 X: 14.8506 +INDEX GOES BRRR: 991 X: -2.00879 +INDEX GOES BRRR: 439 X: 27.4873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.9277 +INDEX GOES BRRR: 1006 X: -1.0791 +INDEX GOES BRRR: 955 X: -4.31152 +INDEX GOES BRRR: 66 X: 4.15527 +INDEX GOES BRRR: 299 X: 18.7119 +INDEX GOES BRRR: 342 X: 21.3906 +INDEX GOES BRRR: 292 X: 18.2588 +INDEX GOES BRRR: 184 X: 11.5576 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.21387 +INDEX GOES BRRR: 34 X: 2.14746 +INDEX GOES BRRR: 211 X: 13.2207 +INDEX GOES BRRR: 987 X: -2.30176 +INDEX GOES BRRR: 997 X: -1.66797 +INDEX GOES BRRR: 185 X: 11.6211 +INDEX GOES BRRR: 59 X: 3.70605 +INDEX GOES BRRR: 290 X: 18.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.999 +INDEX GOES BRRR: 82 X: 5.1416 +INDEX GOES BRRR: 171 X: 10.7227 +INDEX GOES BRRR: 241 X: 15.1172 +INDEX GOES BRRR: 122 X: 7.6709 +INDEX GOES BRRR: 932 X: -5.75 +INDEX GOES BRRR: 321 X: 20.0928 +INDEX GOES BRRR: 6 X: 0.383789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8711 +INDEX GOES BRRR: 930 X: -5.875 +INDEX GOES BRRR: 166 X: 10.4316 +INDEX GOES BRRR: 417 X: 26.0762 +INDEX GOES BRRR: 47 X: 2.95312 +INDEX GOES BRRR: 65 X: 4.10449 +INDEX GOES BRRR: 87 X: 5.46777 +INDEX GOES BRRR: 450 X: 28.127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.19629 +INDEX GOES BRRR: 120 X: 7.50098 +INDEX GOES BRRR: 361 X: 22.6045 +INDEX GOES BRRR: 336 X: 21.0137 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 289 X: 18.1084 +INDEX GOES BRRR: 1012 X: -0.708008 +INDEX GOES BRRR: 6 X: 0.392578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5244 +INDEX GOES BRRR: 296 X: 18.543 +INDEX GOES BRRR: 243 X: 15.2451 +INDEX GOES BRRR: 318 X: 19.9014 +INDEX GOES BRRR: 96 X: 6.01562 +INDEX GOES BRRR: 45 X: 2.86133 +INDEX GOES BRRR: 978 X: -2.86914 +INDEX GOES BRRR: 206 X: 12.8896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.78906 +INDEX GOES BRRR: 919 X: -6.52246 +INDEX GOES BRRR: 225 X: 14.0859 +INDEX GOES BRRR: 440 X: 27.5566 +INDEX GOES BRRR: 95 X: 5.9873 +INDEX GOES BRRR: 402 X: 25.1416 +INDEX GOES BRRR: 985 X: -2.37598 +INDEX GOES BRRR: 22 X: 1.38281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.68164 +INDEX GOES BRRR: 196 X: 12.2656 +INDEX GOES BRRR: 211 X: 13.2266 +INDEX GOES BRRR: 281 X: 17.6055 +INDEX GOES BRRR: 893 X: -8.16797 +INDEX GOES BRRR: 222 X: 13.9023 +INDEX GOES BRRR: 251 X: 15.7178 +INDEX GOES BRRR: 489 X: 30.6094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 392 X: 24.5449 +INDEX GOES BRRR: 249 X: 15.5625 +INDEX GOES BRRR: 344 X: 21.5537 +INDEX GOES BRRR: 178 X: 11.1641 +INDEX GOES BRRR: 979 X: -2.77539 +INDEX GOES BRRR: 365 X: 22.8164 +INDEX GOES BRRR: 493 X: 30.8555 +INDEX GOES BRRR: 258 X: 16.165 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.00586 +INDEX GOES BRRR: 211 X: 13.2305 +INDEX GOES BRRR: 878 X: -9.12207 +INDEX GOES BRRR: 1002 X: -1.32715 +INDEX GOES BRRR: 49 X: 3.08008 +INDEX GOES BRRR: 124 X: 7.75293 +INDEX GOES BRRR: 114 X: 7.15039 +INDEX GOES BRRR: 198 X: 12.4062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 356 X: 22.3008 +INDEX GOES BRRR: 12 X: 0.807617 +INDEX GOES BRRR: 148 X: 9.28613 +INDEX GOES BRRR: 9 X: 0.569336 +INDEX GOES BRRR: 952 X: -4.45215 +INDEX GOES BRRR: 119 X: 7.49707 +INDEX GOES BRRR: 196 X: 12.292 +INDEX GOES BRRR: 114 X: 7.17969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.99707 +INDEX GOES BRRR: 877 X: -9.12793 +INDEX GOES BRRR: 280 X: 17.5205 +INDEX GOES BRRR: 185 X: 11.6211 +INDEX GOES BRRR: 78 X: 4.89648 +INDEX GOES BRRR: 245 X: 15.3555 +INDEX GOES BRRR: 88 X: 5.56055 +INDEX GOES BRRR: 203 X: 12.749 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.71973 +INDEX GOES BRRR: 213 X: 13.3184 +INDEX GOES BRRR: 237 X: 14.8262 +INDEX GOES BRRR: 367 X: 22.9941 +INDEX GOES BRRR: 228 X: 14.2764 +INDEX GOES BRRR: 197 X: 12.3701 +INDEX GOES BRRR: 9 X: 0.603516 +INDEX GOES BRRR: 281 X: 17.5713 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 444 X: 27.8086 +INDEX GOES BRRR: 115 X: 7.23535 +INDEX GOES BRRR: 938 X: -5.3291 +INDEX GOES BRRR: 131 X: 8.19141 +INDEX GOES BRRR: 395 X: 24.7461 +INDEX GOES BRRR: 247 X: 15.4395 +INDEX GOES BRRR: 66 X: 4.17676 +INDEX GOES BRRR: 90 X: 5.66895 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7207 +INDEX GOES BRRR: 24 X: 1.5127 +INDEX GOES BRRR: 34 X: 2.15918 +INDEX GOES BRRR: 146 X: 9.17188 +INDEX GOES BRRR: 5 X: 0.347656 +INDEX GOES BRRR: 395 X: 24.748 +INDEX GOES BRRR: 870 X: -9.59668 +INDEX GOES BRRR: 172 X: 10.7783 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.53906 +INDEX GOES BRRR: 248 X: 15.501 +INDEX GOES BRRR: 220 X: 13.7939 +INDEX GOES BRRR: 235 X: 14.7246 +INDEX GOES BRRR: 113 X: 7.08594 +INDEX GOES BRRR: 180 X: 11.2637 +INDEX GOES BRRR: 115 X: 7.20312 +INDEX GOES BRRR: 78 X: 4.91602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.04004 +INDEX GOES BRRR: 472 X: 29.5322 +INDEX GOES BRRR: 122 X: 7.68457 +INDEX GOES BRRR: 171 X: 10.7383 +INDEX GOES BRRR: 171 X: 10.7373 +INDEX GOES BRRR: 274 X: 17.1768 +INDEX GOES BRRR: 97 X: 6.07422 +INDEX GOES BRRR: 90 X: 5.6377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 134 X: 8.40527 +INDEX GOES BRRR: 976 X: -2.97363 +INDEX GOES BRRR: 1013 X: -0.642578 +INDEX GOES BRRR: 370 X: 23.166 +INDEX GOES BRRR: 978 X: -2.84277 +INDEX GOES BRRR: 137 X: 8.57812 +INDEX GOES BRRR: 277 X: 17.3643 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 887 X: -8.5332 +INDEX GOES BRRR: 222 X: 13.9082 +INDEX GOES BRRR: 15 X: 0.987305 +INDEX GOES BRRR: 980 X: -2.71387 +INDEX GOES BRRR: 961 X: -3.91602 +INDEX GOES BRRR: 138 X: 8.64746 +INDEX GOES BRRR: 109 X: 6.84668 +INDEX GOES BRRR: 206 X: 12.9268 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0654 +INDEX GOES BRRR: 320 X: 20.0479 +INDEX GOES BRRR: 159 X: 9.97754 +INDEX GOES BRRR: 254 X: 15.8994 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 151 X: 9.49316 +INDEX GOES BRRR: 254 X: 15.8916 +INDEX GOES BRRR: 82 X: 5.14453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.34082 +INDEX GOES BRRR: 61 X: 3.84766 +INDEX GOES BRRR: 411 X: 25.6934 +INDEX GOES BRRR: 211 X: 13.1934 +INDEX GOES BRRR: 1001 X: -1.41406 +INDEX GOES BRRR: 212 X: 13.2607 +INDEX GOES BRRR: 42 X: 2.65723 +INDEX GOES BRRR: 10 X: 0.655273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 324 X: 20.2627 +INDEX GOES BRRR: 200 X: 12.5039 +INDEX GOES BRRR: 149 X: 9.36816 +INDEX GOES BRRR: 1017 X: -0.422852 +INDEX GOES BRRR: 229 X: 14.3711 +INDEX GOES BRRR: 399 X: 24.9951 +INDEX GOES BRRR: 909 X: -7.16797 +INDEX GOES BRRR: 1010 X: -0.851562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4316 +INDEX GOES BRRR: 245 X: 15.3633 +INDEX GOES BRRR: 962 X: -3.85449 +INDEX GOES BRRR: 77 X: 4.84863 +INDEX GOES BRRR: 105 X: 6.59375 +INDEX GOES BRRR: 151 X: 9.47559 +INDEX GOES BRRR: 452 X: 28.2734 +INDEX GOES BRRR: 147 X: 9.22266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.6201 +INDEX GOES BRRR: 191 X: 11.9443 +INDEX GOES BRRR: 495 X: 30.9912 +INDEX GOES BRRR: 206 X: 12.9004 +INDEX GOES BRRR: 892 X: -8.19238 +INDEX GOES BRRR: 54 X: 3.4082 +INDEX GOES BRRR: 818 X: -12.8252 +INDEX GOES BRRR: 131 X: 8.22266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1020 X: -0.197266 +INDEX GOES BRRR: 321 X: 20.123 +INDEX GOES BRRR: 46 X: 2.87598 +INDEX GOES BRRR: 986 X: -2.34766 +INDEX GOES BRRR: 167 X: 10.4697 +INDEX GOES BRRR: 1018 X: -0.330078 +INDEX GOES BRRR: 346 X: 21.6758 +INDEX GOES BRRR: 51 X: 3.22266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.1123 +INDEX GOES BRRR: 222 X: 13.9043 +INDEX GOES BRRR: 269 X: 16.8584 +INDEX GOES BRRR: 381 X: 23.8242 +INDEX GOES BRRR: 127 X: 7.97363 +INDEX GOES BRRR: 488 X: 30.5371 +INDEX GOES BRRR: 1011 X: -0.797852 +INDEX GOES BRRR: 138 X: 8.67578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.60352 +INDEX GOES BRRR: 995 X: -1.78125 +INDEX GOES BRRR: 961 X: -3.90234 +INDEX GOES BRRR: 267 X: 16.7197 +INDEX GOES BRRR: 208 X: 13.0615 +INDEX GOES BRRR: 274 X: 17.1299 +INDEX GOES BRRR: 113 X: 7.06445 +INDEX GOES BRRR: 229 X: 14.3184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.53027 +INDEX GOES BRRR: 230 X: 14.3877 +INDEX GOES BRRR: 274 X: 17.1367 +INDEX GOES BRRR: 245 X: 15.3359 +INDEX GOES BRRR: 259 X: 16.2236 +INDEX GOES BRRR: 166 X: 10.3838 +INDEX GOES BRRR: 194 X: 12.1729 +INDEX GOES BRRR: 341 X: 21.3682 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.51953 +INDEX GOES BRRR: 117 X: 7.31445 +INDEX GOES BRRR: 258 X: 16.1279 +INDEX GOES BRRR: 400 X: 25.0029 +INDEX GOES BRRR: 349 X: 21.8691 +INDEX GOES BRRR: 260 X: 16.3096 +INDEX GOES BRRR: 105 X: 6.57129 +INDEX GOES BRRR: 109 X: 6.85742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.9502 +INDEX GOES BRRR: 188 X: 11.7559 +INDEX GOES BRRR: 112 X: 7.01465 +INDEX GOES BRRR: 82 X: 5.12695 +INDEX GOES BRRR: 175 X: 10.9561 +INDEX GOES BRRR: 260 X: 16.3027 +INDEX GOES BRRR: 142 X: 8.91504 +INDEX GOES BRRR: 71 X: 4.46387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.00195 +INDEX GOES BRRR: 879 X: -9.0625 +INDEX GOES BRRR: 320 X: 20.0098 +INDEX GOES BRRR: 246 X: 15.3955 +INDEX GOES BRRR: 483 X: 30.2139 +INDEX GOES BRRR: 960 X: -3.96094 +INDEX GOES BRRR: 311 X: 19.4619 +INDEX GOES BRRR: 96 X: 6.0459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 106 X: 6.68457 +INDEX GOES BRRR: 175 X: 10.9561 +INDEX GOES BRRR: 149 X: 9.34375 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 234 X: 14.6797 +INDEX GOES BRRR: 999 X: -1.54297 +INDEX GOES BRRR: 985 X: -2.41309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 425 X: 26.5771 +INDEX GOES BRRR: 871 X: -9.54199 +INDEX GOES BRRR: 82 X: 5.18262 +INDEX GOES BRRR: 133 X: 8.3418 +INDEX GOES BRRR: 82 X: 5.14258 +INDEX GOES BRRR: 990 X: -2.10645 +INDEX GOES BRRR: 995 X: -1.79785 +INDEX GOES BRRR: 130 X: 8.12695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.80176 +INDEX GOES BRRR: 304 X: 19.0557 +INDEX GOES BRRR: 227 X: 14.2471 +INDEX GOES BRRR: 970 X: -3.3623 +INDEX GOES BRRR: 265 X: 16.6113 +INDEX GOES BRRR: 263 X: 16.4512 +INDEX GOES BRRR: 1015 X: -0.541016 +INDEX GOES BRRR: 48 X: 3.0293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.64258 +INDEX GOES BRRR: 207 X: 12.9492 +INDEX GOES BRRR: 360 X: 22.5371 +INDEX GOES BRRR: 15 X: 0.998047 +INDEX GOES BRRR: 66 X: 4.17188 +INDEX GOES BRRR: 147 X: 9.21484 +INDEX GOES BRRR: 27 X: 1.7207 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.0752 +INDEX GOES BRRR: 944 X: -4.97754 +INDEX GOES BRRR: 64 X: 4.02734 +INDEX GOES BRRR: 349 X: 21.8213 +INDEX GOES BRRR: 244 X: 15.3096 +INDEX GOES BRRR: 423 X: 26.4658 +INDEX GOES BRRR: 19 X: 1.19141 +INDEX GOES BRRR: 881 X: -8.90234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 939 X: -5.26562 +INDEX GOES BRRR: 201 X: 12.5918 +INDEX GOES BRRR: 149 X: 9.36816 +INDEX GOES BRRR: 963 X: -3.79199 +INDEX GOES BRRR: 191 X: 11.9961 +INDEX GOES BRRR: 113 X: 7.0625 +INDEX GOES BRRR: 27 X: 1.72656 +INDEX GOES BRRR: 47 X: 2.97949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.4111 +INDEX GOES BRRR: 810 X: -13.3467 +INDEX GOES BRRR: 206 X: 12.9102 +INDEX GOES BRRR: 91 X: 5.7168 +INDEX GOES BRRR: 16 X: 1.01465 +INDEX GOES BRRR: 952 X: -4.46289 +INDEX GOES BRRR: 955 X: -4.25879 +INDEX GOES BRRR: 410 X: 25.6309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.3779 +INDEX GOES BRRR: 48 X: 3.04004 +INDEX GOES BRRR: 419 X: 26.2168 +INDEX GOES BRRR: 100 X: 6.27832 +INDEX GOES BRRR: 419 X: 26.2334 +INDEX GOES BRRR: 392 X: 24.5049 +INDEX GOES BRRR: 407 X: 25.4678 +INDEX GOES BRRR: 144 X: 9.03906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4971 +INDEX GOES BRRR: 126 X: 7.87891 +INDEX GOES BRRR: 251 X: 15.7295 +INDEX GOES BRRR: 278 X: 17.4199 +INDEX GOES BRRR: 255 X: 15.9414 +INDEX GOES BRRR: 109 X: 6.83008 +INDEX GOES BRRR: 154 X: 9.64453 +INDEX GOES BRRR: 138 X: 8.66797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.6934 +INDEX GOES BRRR: 292 X: 18.3066 +INDEX GOES BRRR: 355 X: 22.2266 +INDEX GOES BRRR: 130 X: 8.13281 +INDEX GOES BRRR: 156 X: 9.79395 +INDEX GOES BRRR: 466 X: 29.1738 +INDEX GOES BRRR: 1006 X: -1.11328 +INDEX GOES BRRR: 116 X: 7.26562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 905 X: -7.42188 +INDEX GOES BRRR: 147 X: 9.20703 +INDEX GOES BRRR: 1017 X: -0.399414 +INDEX GOES BRRR: 162 X: 10.1289 +INDEX GOES BRRR: 813 X: -13.1611 +INDEX GOES BRRR: 301 X: 18.874 +INDEX GOES BRRR: 76 X: 4.79297 +INDEX GOES BRRR: 920 X: -6.46777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 852 X: -10.708 +INDEX GOES BRRR: 159 X: 9.96875 +INDEX GOES BRRR: 212 X: 13.2959 +INDEX GOES BRRR: 182 X: 11.3848 +INDEX GOES BRRR: 107 X: 6.69824 +INDEX GOES BRRR: 197 X: 12.3398 +INDEX GOES BRRR: 995 X: -1.78613 +INDEX GOES BRRR: 109 X: 6.85156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.44922 +INDEX GOES BRRR: 764 X: -16.2256 +INDEX GOES BRRR: 394 X: 24.6826 +INDEX GOES BRRR: 38 X: 2.42383 +INDEX GOES BRRR: 218 X: 13.6455 +INDEX GOES BRRR: 185 X: 11.5928 +INDEX GOES BRRR: 78 X: 4.92383 +INDEX GOES BRRR: 1019 X: -0.292969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.6416 +INDEX GOES BRRR: 165 X: 10.3662 +INDEX GOES BRRR: 133 X: 8.32715 +INDEX GOES BRRR: 889 X: -8.39062 +INDEX GOES BRRR: 965 X: -3.67578 +INDEX GOES BRRR: 943 X: -5.04102 +INDEX GOES BRRR: 892 X: -8.23633 +INDEX GOES BRRR: 282 X: 17.6562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.55566 +INDEX GOES BRRR: 301 X: 18.8682 +INDEX GOES BRRR: 205 X: 12.8193 +INDEX GOES BRRR: 467 X: 29.249 +INDEX GOES BRRR: 328 X: 20.5029 +INDEX GOES BRRR: 208 X: 13.0332 +INDEX GOES BRRR: 195 X: 12.1904 +INDEX GOES BRRR: 75 X: 4.7334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5967 +INDEX GOES BRRR: 237 X: 14.8486 +INDEX GOES BRRR: 346 X: 21.6797 +INDEX GOES BRRR: 253 X: 15.8125 +INDEX GOES BRRR: 84 X: 5.2998 +INDEX GOES BRRR: 928 X: -5.94824 +INDEX GOES BRRR: 351 X: 21.9727 +INDEX GOES BRRR: 153 X: 9.61328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6445 +INDEX GOES BRRR: 316 X: 19.7695 +INDEX GOES BRRR: 309 X: 19.3525 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 240 X: 15.001 +INDEX GOES BRRR: 69 X: 4.34668 +INDEX GOES BRRR: 111 X: 6.98926 +INDEX GOES BRRR: 153 X: 9.56445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5391 +INDEX GOES BRRR: 313 X: 19.5635 +INDEX GOES BRRR: 39 X: 2.46191 +INDEX GOES BRRR: 216 X: 13.502 +INDEX GOES BRRR: 24 X: 1.53223 +INDEX GOES BRRR: 351 X: 21.9414 +INDEX GOES BRRR: 177 X: 11.0801 +INDEX GOES BRRR: 138 X: 8.66895 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 14 X: 0.922852 +INDEX GOES BRRR: 186 X: 11.6855 +INDEX GOES BRRR: 839 X: -11.5068 +INDEX GOES BRRR: 230 X: 14.4229 +INDEX GOES BRRR: 1012 X: -0.696289 +INDEX GOES BRRR: 91 X: 5.72266 +INDEX GOES BRRR: 1019 X: -0.271484 +INDEX GOES BRRR: 1016 X: -0.496094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.50293 +INDEX GOES BRRR: 88 X: 5.54102 +INDEX GOES BRRR: 95 X: 5.9668 +INDEX GOES BRRR: 78 X: 4.92188 +INDEX GOES BRRR: 260 X: 16.2705 +INDEX GOES BRRR: 46 X: 2.91504 +INDEX GOES BRRR: 138 X: 8.67871 +INDEX GOES BRRR: 172 X: 10.7891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 29 X: 1.84473 +INDEX GOES BRRR: 68 X: 4.30469 +INDEX GOES BRRR: 200 X: 12.5391 +INDEX GOES BRRR: 104 X: 6.51367 +INDEX GOES BRRR: 287 X: 17.9814 +INDEX GOES BRRR: 392 X: 24.5098 +INDEX GOES BRRR: 114 X: 7.14355 +INDEX GOES BRRR: 291 X: 18.2295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.24316 +INDEX GOES BRRR: 124 X: 7.80371 +INDEX GOES BRRR: 427 X: 26.6943 +INDEX GOES BRRR: 55 X: 3.49902 +INDEX GOES BRRR: 98 X: 6.17676 +INDEX GOES BRRR: 335 X: 20.9707 +INDEX GOES BRRR: 403 X: 25.2373 +INDEX GOES BRRR: 94 X: 5.90625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.313477 +INDEX GOES BRRR: 113 X: 7.12109 +INDEX GOES BRRR: 253 X: 15.8701 +INDEX GOES BRRR: 880 X: -8.98047 +INDEX GOES BRRR: 96 X: 6.04102 +INDEX GOES BRRR: 238 X: 14.8887 +INDEX GOES BRRR: 76 X: 4.77832 +INDEX GOES BRRR: 267 X: 16.7471 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.06055 +INDEX GOES BRRR: 74 X: 4.62891 +INDEX GOES BRRR: 93 X: 5.86328 +INDEX GOES BRRR: 89 X: 5.58594 +INDEX GOES BRRR: 354 X: 22.1602 +INDEX GOES BRRR: 328 X: 20.5068 +INDEX GOES BRRR: 71 X: 4.4668 +INDEX GOES BRRR: 346 X: 21.6621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 305 X: 19.1025 +INDEX GOES BRRR: 363 X: 22.7178 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 135 X: 8.45117 +INDEX GOES BRRR: 77 X: 4.82227 +INDEX GOES BRRR: 451 X: 28.2441 +INDEX GOES BRRR: 183 X: 11.4902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.79102 +INDEX GOES BRRR: 350 X: 21.9365 +INDEX GOES BRRR: 41 X: 2.61523 +INDEX GOES BRRR: 350 X: 21.8867 +INDEX GOES BRRR: 376 X: 23.5352 +INDEX GOES BRRR: 226 X: 14.1416 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 212 X: 13.2676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1865 +INDEX GOES BRRR: 58 X: 3.65332 +INDEX GOES BRRR: 437 X: 27.3135 +INDEX GOES BRRR: 280 X: 17.5107 +INDEX GOES BRRR: 234 X: 14.6758 +INDEX GOES BRRR: 1022 X: -0.110352 +INDEX GOES BRRR: 92 X: 5.76562 +INDEX GOES BRRR: 973 X: -3.16113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 417 X: 26.0635 +INDEX GOES BRRR: 318 X: 19.8984 +INDEX GOES BRRR: 70 X: 4.40234 +INDEX GOES BRRR: 104 X: 6.53223 +INDEX GOES BRRR: 981 X: -2.65332 +INDEX GOES BRRR: 163 X: 10.2021 +INDEX GOES BRRR: 90 X: 5.67188 +INDEX GOES BRRR: 149 X: 9.34473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.67773 +INDEX GOES BRRR: 316 X: 19.8047 +INDEX GOES BRRR: 1008 X: -0.958984 +INDEX GOES BRRR: 315 X: 19.7344 +INDEX GOES BRRR: 212 X: 13.2568 +INDEX GOES BRRR: 104 X: 6.55371 +INDEX GOES BRRR: 21 X: 1.3418 +INDEX GOES BRRR: 25 X: 1.61914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2588 +INDEX GOES BRRR: 465 X: 29.0889 +INDEX GOES BRRR: 67 X: 4.22852 +INDEX GOES BRRR: 210 X: 13.1357 +INDEX GOES BRRR: 340 X: 21.3037 +INDEX GOES BRRR: 156 X: 9.75977 +INDEX GOES BRRR: 39 X: 2.44727 +INDEX GOES BRRR: 136 X: 8.50098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.9023 +INDEX GOES BRRR: 183 X: 11.4766 +INDEX GOES BRRR: 1013 X: -0.632812 +INDEX GOES BRRR: 330 X: 20.6533 +INDEX GOES BRRR: 281 X: 17.5811 +INDEX GOES BRRR: 132 X: 8.28125 +INDEX GOES BRRR: 215 X: 13.4707 +INDEX GOES BRRR: 22 X: 1.41797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.45215 +INDEX GOES BRRR: 240 X: 15.0605 +INDEX GOES BRRR: 993 X: -1.90234 +INDEX GOES BRRR: 115 X: 7.21484 +INDEX GOES BRRR: 118 X: 7.4209 +INDEX GOES BRRR: 397 X: 24.8564 +INDEX GOES BRRR: 239 X: 14.9512 +INDEX GOES BRRR: 263 X: 16.4561 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3574 +INDEX GOES BRRR: 122 X: 7.68359 +INDEX GOES BRRR: 13 X: 0.856445 +INDEX GOES BRRR: 198 X: 12.3906 +INDEX GOES BRRR: 51 X: 3.2207 +INDEX GOES BRRR: 300 X: 18.7861 +INDEX GOES BRRR: 17 X: 1.08496 +INDEX GOES BRRR: 42 X: 2.68262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.6484 +INDEX GOES BRRR: 238 X: 14.9092 +INDEX GOES BRRR: 168 X: 10.5117 +INDEX GOES BRRR: 113 X: 7.10938 +INDEX GOES BRRR: 966 X: -3.6123 +INDEX GOES BRRR: 932 X: -5.7373 +INDEX GOES BRRR: 328 X: 20.5 +INDEX GOES BRRR: 101 X: 6.31348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.61914 +INDEX GOES BRRR: 408 X: 25.5127 +INDEX GOES BRRR: 151 X: 9.47852 +INDEX GOES BRRR: 259 X: 16.1904 +INDEX GOES BRRR: 224 X: 14.0498 +INDEX GOES BRRR: 284 X: 17.8115 +INDEX GOES BRRR: 353 X: 22.0928 +INDEX GOES BRRR: 134 X: 8.43066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.6885 +INDEX GOES BRRR: 983 X: -2.51465 +INDEX GOES BRRR: 952 X: -4.45312 +INDEX GOES BRRR: 118 X: 7.39746 +INDEX GOES BRRR: 318 X: 19.9023 +INDEX GOES BRRR: 164 X: 10.3086 +INDEX GOES BRRR: 47 X: 2.96973 +INDEX GOES BRRR: 473 X: 29.5703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.5879 +INDEX GOES BRRR: 271 X: 16.9697 +INDEX GOES BRRR: 72 X: 4.5498 +INDEX GOES BRRR: 483 X: 30.2441 +INDEX GOES BRRR: 970 X: -3.32129 +INDEX GOES BRRR: 107 X: 6.7207 +INDEX GOES BRRR: 160 X: 10.0459 +INDEX GOES BRRR: 299 X: 18.6982 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4863 +INDEX GOES BRRR: 343 X: 21.4775 +INDEX GOES BRRR: 200 X: 12.5557 +INDEX GOES BRRR: 311 X: 19.4707 +INDEX GOES BRRR: 123 X: 7.72949 +INDEX GOES BRRR: 415 X: 25.9766 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1012 X: -0.694336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.84766 +INDEX GOES BRRR: 412 X: 25.7764 +INDEX GOES BRRR: 205 X: 12.8643 +INDEX GOES BRRR: 327 X: 20.499 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 232 X: 14.5029 +INDEX GOES BRRR: 35 X: 2.20605 +INDEX GOES BRRR: 109 X: 6.85352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 294 X: 18.4043 +INDEX GOES BRRR: 808 X: -13.4434 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 220 X: 13.7715 +INDEX GOES BRRR: 36 X: 2.2793 +INDEX GOES BRRR: 127 X: 7.97852 +INDEX GOES BRRR: 77 X: 4.81738 +INDEX GOES BRRR: 171 X: 10.748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.9668 +INDEX GOES BRRR: 404 X: 25.2842 +INDEX GOES BRRR: 380 X: 23.8115 +INDEX GOES BRRR: 250 X: 15.6475 +INDEX GOES BRRR: 378 X: 23.6299 +INDEX GOES BRRR: 89 X: 5.57715 +INDEX GOES BRRR: 291 X: 18.2012 +INDEX GOES BRRR: 62 X: 3.87598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.209 +INDEX GOES BRRR: 50 X: 3.14941 +INDEX GOES BRRR: 13 X: 0.834961 +INDEX GOES BRRR: 998 X: -1.6123 +INDEX GOES BRRR: 915 X: -6.75977 +INDEX GOES BRRR: 1009 X: -0.891602 +INDEX GOES BRRR: 398 X: 24.8877 +INDEX GOES BRRR: 199 X: 12.459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.65234 +INDEX GOES BRRR: 174 X: 10.915 +INDEX GOES BRRR: 261 X: 16.3398 +INDEX GOES BRRR: 877 X: -9.1709 +INDEX GOES BRRR: 222 X: 13.917 +INDEX GOES BRRR: 103 X: 6.4834 +INDEX GOES BRRR: 153 X: 9.60938 +INDEX GOES BRRR: 171 X: 10.7314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.86719 +INDEX GOES BRRR: 81 X: 5.06543 +INDEX GOES BRRR: 28 X: 1.75098 +INDEX GOES BRRR: 216 X: 13.5488 +INDEX GOES BRRR: 329 X: 20.6162 +INDEX GOES BRRR: 50 X: 3.14844 +INDEX GOES BRRR: 239 X: 14.9551 +INDEX GOES BRRR: 119 X: 7.45605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.39453 +INDEX GOES BRRR: 51 X: 3.19824 +INDEX GOES BRRR: 493 X: 30.8486 +INDEX GOES BRRR: 290 X: 18.1299 +INDEX GOES BRRR: 88 X: 5.53125 +INDEX GOES BRRR: 985 X: -2.40918 +INDEX GOES BRRR: 822 X: -12.5654 +INDEX GOES BRRR: 239 X: 14.9893 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.082 +INDEX GOES BRRR: 146 X: 9.18555 +INDEX GOES BRRR: 937 X: -5.38672 +INDEX GOES BRRR: 933 X: -5.67578 +INDEX GOES BRRR: 315 X: 19.6914 +INDEX GOES BRRR: 188 X: 11.8115 +INDEX GOES BRRR: 166 X: 10.3809 +INDEX GOES BRRR: 217 X: 13.5977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.4004 +INDEX GOES BRRR: 239 X: 14.9443 +INDEX GOES BRRR: 273 X: 17.0674 +INDEX GOES BRRR: 71 X: 4.4668 +INDEX GOES BRRR: 73 X: 4.57031 +INDEX GOES BRRR: 190 X: 11.8779 +INDEX GOES BRRR: 231 X: 14.4424 +INDEX GOES BRRR: 296 X: 18.5186 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 429 X: 26.8438 +INDEX GOES BRRR: 801 X: -13.8789 +INDEX GOES BRRR: 51 X: 3.20215 +INDEX GOES BRRR: 162 X: 10.1855 +INDEX GOES BRRR: 87 X: 5.49316 +INDEX GOES BRRR: 394 X: 24.625 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 399 X: 24.958 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 703 X: -20.0293 +INDEX GOES BRRR: 335 X: 20.9717 +INDEX GOES BRRR: 449 X: 28.1162 +INDEX GOES BRRR: 227 X: 14.2031 +INDEX GOES BRRR: 959 X: -4.05078 +INDEX GOES BRRR: 303 X: 18.9678 +INDEX GOES BRRR: 102 X: 6.39062 +INDEX GOES BRRR: 282 X: 17.6465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.66992 +INDEX GOES BRRR: 38 X: 2.42188 +INDEX GOES BRRR: 131 X: 8.2373 +INDEX GOES BRRR: 1003 X: -1.27051 +INDEX GOES BRRR: 190 X: 11.8984 +INDEX GOES BRRR: 43 X: 2.74414 +INDEX GOES BRRR: 143 X: 8.95801 +INDEX GOES BRRR: 863 X: -10.0352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 75 X: 4.6875 +INDEX GOES BRRR: 12 X: 0.807617 +INDEX GOES BRRR: 113 X: 7.07617 +INDEX GOES BRRR: 999 X: -1.54199 +INDEX GOES BRRR: 224 X: 14.0322 +INDEX GOES BRRR: 207 X: 12.9512 +INDEX GOES BRRR: 77 X: 4.86133 +INDEX GOES BRRR: 7 X: 0.466797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.041 +INDEX GOES BRRR: 129 X: 8.11523 +INDEX GOES BRRR: 318 X: 19.9229 +INDEX GOES BRRR: 281 X: 17.6074 +INDEX GOES BRRR: 18 X: 1.15723 +INDEX GOES BRRR: 124 X: 7.79004 +INDEX GOES BRRR: 252 X: 15.752 +INDEX GOES BRRR: 122 X: 7.68262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3506 +INDEX GOES BRRR: 142 X: 8.90332 +INDEX GOES BRRR: 311 X: 19.4629 +INDEX GOES BRRR: 302 X: 18.8945 +INDEX GOES BRRR: 176 X: 11.0234 +INDEX GOES BRRR: 167 X: 10.457 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 969 X: -3.38574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.56836 +INDEX GOES BRRR: 401 X: 25.1006 +INDEX GOES BRRR: 277 X: 17.3486 +INDEX GOES BRRR: 321 X: 20.1094 +INDEX GOES BRRR: 329 X: 20.583 +INDEX GOES BRRR: 33 X: 2.06738 +INDEX GOES BRRR: 86 X: 5.43359 +INDEX GOES BRRR: 115 X: 7.23438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.8086 +INDEX GOES BRRR: 177 X: 11.0713 +INDEX GOES BRRR: 36 X: 2.28906 +INDEX GOES BRRR: 311 X: 19.4375 +INDEX GOES BRRR: 17 X: 1.08398 +INDEX GOES BRRR: 290 X: 18.1289 +INDEX GOES BRRR: 324 X: 20.2832 +INDEX GOES BRRR: 961 X: -3.90039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 894 X: -8.08398 +INDEX GOES BRRR: 252 X: 15.7959 +INDEX GOES BRRR: 855 X: -10.5352 +INDEX GOES BRRR: 111 X: 6.99902 +INDEX GOES BRRR: 188 X: 11.7705 +INDEX GOES BRRR: 74 X: 4.63477 +INDEX GOES BRRR: 988 X: -2.23633 +INDEX GOES BRRR: 920 X: -6.44727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6514 +INDEX GOES BRRR: 161 X: 10.0781 +INDEX GOES BRRR: 260 X: 16.2988 +INDEX GOES BRRR: 170 X: 10.6465 +INDEX GOES BRRR: 138 X: 8.6709 +INDEX GOES BRRR: 32 X: 2.02734 +INDEX GOES BRRR: 256 X: 16.0098 +INDEX GOES BRRR: 396 X: 24.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 994 X: -1.83105 +INDEX GOES BRRR: 252 X: 15.7549 +INDEX GOES BRRR: 931 X: -5.77148 +INDEX GOES BRRR: 137 X: 8.58984 +INDEX GOES BRRR: 316 X: 19.7559 +INDEX GOES BRRR: 144 X: 9.0166 +INDEX GOES BRRR: 76 X: 4.7666 +INDEX GOES BRRR: 239 X: 14.9736 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 370 X: 23.1807 +INDEX GOES BRRR: 308 X: 19.2979 +INDEX GOES BRRR: 109 X: 6.81836 +INDEX GOES BRRR: 83 X: 5.21484 +INDEX GOES BRRR: 330 X: 20.6855 +INDEX GOES BRRR: 103 X: 6.4834 +INDEX GOES BRRR: 13 X: 0.870117 +INDEX GOES BRRR: 103 X: 6.44922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.7383 +INDEX GOES BRRR: 891 X: -8.26367 +INDEX GOES BRRR: 769 X: -15.876 +INDEX GOES BRRR: 303 X: 18.9668 +INDEX GOES BRRR: 123 X: 7.73828 +INDEX GOES BRRR: 236 X: 14.7852 +INDEX GOES BRRR: 990 X: -2.11328 +INDEX GOES BRRR: 196 X: 12.2715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.8975 +INDEX GOES BRRR: 174 X: 10.877 +INDEX GOES BRRR: 158 X: 9.87598 +INDEX GOES BRRR: 365 X: 22.8604 +INDEX GOES BRRR: 303 X: 18.9727 +INDEX GOES BRRR: 198 X: 12.4043 +INDEX GOES BRRR: 86 X: 5.38574 +INDEX GOES BRRR: 129 X: 8.08496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9248 +INDEX GOES BRRR: 951 X: -4.5459 +INDEX GOES BRRR: 95 X: 5.98438 +INDEX GOES BRRR: 81 X: 5.07227 +INDEX GOES BRRR: 239 X: 14.9531 +INDEX GOES BRRR: 94 X: 5.88574 +INDEX GOES BRRR: 340 X: 21.2832 +INDEX GOES BRRR: 146 X: 9.12598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 300 X: 18.79 +INDEX GOES BRRR: 1000 X: -1.49805 +INDEX GOES BRRR: 262 X: 16.375 +INDEX GOES BRRR: 196 X: 12.2725 +INDEX GOES BRRR: 168 X: 10.54 +INDEX GOES BRRR: 264 X: 16.5381 +INDEX GOES BRRR: 0 X: 0.00488281 +INDEX GOES BRRR: 405 X: 25.3516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 898 X: -7.87012 +INDEX GOES BRRR: 910 X: -7.12402 +INDEX GOES BRRR: 122 X: 7.68652 +INDEX GOES BRRR: 382 X: 23.915 +INDEX GOES BRRR: 286 X: 17.9121 +INDEX GOES BRRR: 1015 X: -0.533203 +INDEX GOES BRRR: 232 X: 14.5479 +INDEX GOES BRRR: 207 X: 12.9658 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 274 X: 17.1699 +INDEX GOES BRRR: 335 X: 20.9648 +INDEX GOES BRRR: 25 X: 1.58984 +INDEX GOES BRRR: 240 X: 15.0449 +INDEX GOES BRRR: 108 X: 6.77832 +INDEX GOES BRRR: 1004 X: -1.24023 +INDEX GOES BRRR: 238 X: 14.8916 +INDEX GOES BRRR: 223 X: 13.9678 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.75 +INDEX GOES BRRR: 252 X: 15.7578 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 261 X: 16.334 +INDEX GOES BRRR: 361 X: 22.5811 +INDEX GOES BRRR: 205 X: 12.8555 +INDEX GOES BRRR: 204 X: 12.7656 +INDEX GOES BRRR: 359 X: 22.4951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3701 +INDEX GOES BRRR: 141 X: 8.81543 +INDEX GOES BRRR: 12 X: 0.804688 +INDEX GOES BRRR: 50 X: 3.14941 +INDEX GOES BRRR: 87 X: 5.47363 +INDEX GOES BRRR: 846 X: -11.0967 +INDEX GOES BRRR: 207 X: 12.9971 +INDEX GOES BRRR: 1008 X: -0.967773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 892 X: -8.19531 +INDEX GOES BRRR: 102 X: 6.40137 +INDEX GOES BRRR: 304 X: 19.0078 +INDEX GOES BRRR: 129 X: 8.06738 +INDEX GOES BRRR: 343 X: 21.4541 +INDEX GOES BRRR: 384 X: 24.0459 +INDEX GOES BRRR: 432 X: 27.0098 +INDEX GOES BRRR: 101 X: 6.3252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 18 X: 1.14453 +INDEX GOES BRRR: 212 X: 13.251 +INDEX GOES BRRR: 911 X: -7.03027 +INDEX GOES BRRR: 428 X: 26.7725 +INDEX GOES BRRR: 119 X: 7.48047 +INDEX GOES BRRR: 150 X: 9.4209 +INDEX GOES BRRR: 949 X: -4.66699 +INDEX GOES BRRR: 44 X: 2.79297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.8428 +INDEX GOES BRRR: 127 X: 7.95312 +INDEX GOES BRRR: 270 X: 16.9238 +INDEX GOES BRRR: 165 X: 10.3516 +INDEX GOES BRRR: 222 X: 13.8926 +INDEX GOES BRRR: 1002 X: -1.31738 +INDEX GOES BRRR: 452 X: 28.3057 +INDEX GOES BRRR: 1022 X: -0.112305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5273 +INDEX GOES BRRR: 935 X: -5.52539 +INDEX GOES BRRR: 945 X: -4.92383 +INDEX GOES BRRR: 216 X: 13.5586 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 922 X: -6.36621 +INDEX GOES BRRR: 472 X: 29.5381 +INDEX GOES BRRR: 915 X: -6.7998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.47949 +INDEX GOES BRRR: 179 X: 11.2051 +INDEX GOES BRRR: 287 X: 17.9434 +INDEX GOES BRRR: 92 X: 5.77051 +INDEX GOES BRRR: 131 X: 8.2168 +INDEX GOES BRRR: 225 X: 14.1055 +INDEX GOES BRRR: 125 X: 7.85645 +INDEX GOES BRRR: 924 X: -6.22363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1514 +INDEX GOES BRRR: 131 X: 8.24121 +INDEX GOES BRRR: 919 X: -6.51758 +INDEX GOES BRRR: 226 X: 14.1504 +INDEX GOES BRRR: 440 X: 27.5449 +INDEX GOES BRRR: 197 X: 12.3613 +INDEX GOES BRRR: 169 X: 10.583 +INDEX GOES BRRR: 113 X: 7.11426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 905 X: -7.41309 +INDEX GOES BRRR: 151 X: 9.46387 +INDEX GOES BRRR: 330 X: 20.6602 +INDEX GOES BRRR: 112 X: 7.01562 +INDEX GOES BRRR: 339 X: 21.2217 +INDEX GOES BRRR: 208 X: 13.0254 +INDEX GOES BRRR: 167 X: 10.4648 +INDEX GOES BRRR: 780 X: -15.2129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 4 X: 0.300781 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 134 X: 8.3916 +INDEX GOES BRRR: 278 X: 17.4004 +INDEX GOES BRRR: 8 X: 0.500977 +INDEX GOES BRRR: 167 X: 10.4541 +INDEX GOES BRRR: 55 X: 3.45312 +INDEX GOES BRRR: 1010 X: -0.850586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 268 X: 16.8105 +INDEX GOES BRRR: 35 X: 2.24609 +INDEX GOES BRRR: 355 X: 22.2451 +INDEX GOES BRRR: 264 X: 16.5068 +INDEX GOES BRRR: 120 X: 7.50488 +INDEX GOES BRRR: 205 X: 12.8428 +INDEX GOES BRRR: 304 X: 19.0605 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0205 +INDEX GOES BRRR: 291 X: 18.2207 +INDEX GOES BRRR: 186 X: 11.6572 +INDEX GOES BRRR: 99 X: 6.22754 +INDEX GOES BRRR: 990 X: -2.06348 +INDEX GOES BRRR: 1008 X: -0.974609 +INDEX GOES BRRR: 35 X: 2.2002 +INDEX GOES BRRR: 956 X: -4.18848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 81 X: 5.07422 +INDEX GOES BRRR: 470 X: 29.4307 +INDEX GOES BRRR: 202 X: 12.6738 +INDEX GOES BRRR: 371 X: 23.2373 +INDEX GOES BRRR: 955 X: -4.27441 +INDEX GOES BRRR: 65 X: 4.11719 +INDEX GOES BRRR: 967 X: -3.51172 +INDEX GOES BRRR: 893 X: -8.14551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.0947 +INDEX GOES BRRR: 237 X: 14.8213 +INDEX GOES BRRR: 182 X: 11.3994 +INDEX GOES BRRR: 170 X: 10.6602 +INDEX GOES BRRR: 183 X: 11.4521 +INDEX GOES BRRR: 112 X: 7.02539 +INDEX GOES BRRR: 131 X: 8.19238 +INDEX GOES BRRR: 68 X: 4.29785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.80469 +INDEX GOES BRRR: 105 X: 6.58105 +INDEX GOES BRRR: 85 X: 5.35645 +INDEX GOES BRRR: 4 X: 0.262695 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 30 X: 1.89941 +INDEX GOES BRRR: 927 X: -6.02344 +INDEX GOES BRRR: 402 X: 25.1768 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 420 X: 26.3086 +INDEX GOES BRRR: 279 X: 17.498 +INDEX GOES BRRR: 105 X: 6.57031 +INDEX GOES BRRR: 429 X: 26.8662 +INDEX GOES BRRR: 198 X: 12.3916 +INDEX GOES BRRR: 308 X: 19.3008 +INDEX GOES BRRR: 305 X: 19.0869 +INDEX GOES BRRR: 153 X: 9.61426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.332 +INDEX GOES BRRR: 389 X: 24.3262 +INDEX GOES BRRR: 81 X: 5.10254 +INDEX GOES BRRR: 121 X: 7.59082 +INDEX GOES BRRR: 159 X: 9.99707 +INDEX GOES BRRR: 267 X: 16.7334 +INDEX GOES BRRR: 154 X: 9.6582 +INDEX GOES BRRR: 1008 X: -0.976562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.539062 +INDEX GOES BRRR: 220 X: 13.7969 +INDEX GOES BRRR: 243 X: 15.2227 +INDEX GOES BRRR: 59 X: 3.7168 +INDEX GOES BRRR: 222 X: 13.9209 +INDEX GOES BRRR: 210 X: 13.165 +INDEX GOES BRRR: 400 X: 25.0254 +INDEX GOES BRRR: 926 X: -6.11816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.8086 +INDEX GOES BRRR: 234 X: 14.6279 +INDEX GOES BRRR: 61 X: 3.82031 +INDEX GOES BRRR: 197 X: 12.335 +INDEX GOES BRRR: 488 X: 30.54 +INDEX GOES BRRR: 107 X: 6.69434 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 172 X: 10.7764 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.082 +INDEX GOES BRRR: 146 X: 9.15234 +INDEX GOES BRRR: 173 X: 10.8574 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 332 X: 20.792 +INDEX GOES BRRR: 110 X: 6.88867 +INDEX GOES BRRR: 25 X: 1.57227 +INDEX GOES BRRR: 53 X: 3.35547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.0635 +INDEX GOES BRRR: 151 X: 9.47461 +INDEX GOES BRRR: 950 X: -4.61719 +INDEX GOES BRRR: 160 X: 10.0244 +INDEX GOES BRRR: 396 X: 24.792 +INDEX GOES BRRR: 27 X: 1.73535 +INDEX GOES BRRR: 227 X: 14.2021 +INDEX GOES BRRR: 307 X: 19.2168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 765 X: -16.165 +INDEX GOES BRRR: 119 X: 7.46582 +INDEX GOES BRRR: 54 X: 3.39746 +INDEX GOES BRRR: 170 X: 10.6738 +INDEX GOES BRRR: 999 X: -1.5166 +INDEX GOES BRRR: 954 X: -4.33691 +INDEX GOES BRRR: 235 X: 14.7246 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.58105 +INDEX GOES BRRR: 66 X: 4.18262 +INDEX GOES BRRR: 894 X: -8.11719 +INDEX GOES BRRR: 338 X: 21.1602 +INDEX GOES BRRR: 180 X: 11.2666 +INDEX GOES BRRR: 54 X: 3.37891 +INDEX GOES BRRR: 236 X: 14.79 +INDEX GOES BRRR: 167 X: 10.4951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 161 X: 10.1201 +INDEX GOES BRRR: 135 X: 8.4375 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 145 X: 9.08789 +INDEX GOES BRRR: 192 X: 12.0029 +INDEX GOES BRRR: 323 X: 20.2246 +INDEX GOES BRRR: 13 X: 0.816406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.71582 +INDEX GOES BRRR: 361 X: 22.5645 +INDEX GOES BRRR: 123 X: 7.69629 +INDEX GOES BRRR: 196 X: 12.2842 +INDEX GOES BRRR: 259 X: 16.2246 +INDEX GOES BRRR: 153 X: 9.60254 +INDEX GOES BRRR: 282 X: 17.626 +INDEX GOES BRRR: 281 X: 17.5635 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.99512 +INDEX GOES BRRR: 38 X: 2.42578 +INDEX GOES BRRR: 47 X: 2.95312 +INDEX GOES BRRR: 288 X: 18.0244 +INDEX GOES BRRR: 354 X: 22.1406 +INDEX GOES BRRR: 224 X: 14.043 +INDEX GOES BRRR: 282 X: 17.6299 +INDEX GOES BRRR: 160 X: 10.0391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.5293 +INDEX GOES BRRR: 68 X: 4.2998 +INDEX GOES BRRR: 235 X: 14.7285 +INDEX GOES BRRR: 188 X: 11.7715 +INDEX GOES BRRR: 7 X: 0.49707 +INDEX GOES BRRR: 162 X: 10.1514 +INDEX GOES BRRR: 258 X: 16.167 +INDEX GOES BRRR: 251 X: 15.6895 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.70801 +INDEX GOES BRRR: 176 X: 11.0156 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 277 X: 17.3584 +INDEX GOES BRRR: 878 X: -9.06836 +INDEX GOES BRRR: 90 X: 5.64062 +INDEX GOES BRRR: 244 X: 15.2764 +INDEX GOES BRRR: 82 X: 5.16309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.19922 +INDEX GOES BRRR: 66 X: 4.17773 +INDEX GOES BRRR: 7 X: 0.49707 +INDEX GOES BRRR: 159 X: 9.94434 +INDEX GOES BRRR: 939 X: -5.30176 +INDEX GOES BRRR: 15 X: 0.99707 +INDEX GOES BRRR: 58 X: 3.65039 +INDEX GOES BRRR: 291 X: 18.1943 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 22 X: 1.42773 +INDEX GOES BRRR: 193 X: 12.0996 +INDEX GOES BRRR: 280 X: 17.5176 +INDEX GOES BRRR: 205 X: 12.8252 +INDEX GOES BRRR: 888 X: -8.49902 +INDEX GOES BRRR: 197 X: 12.3477 +INDEX GOES BRRR: 93 X: 5.81738 +INDEX GOES BRRR: 1015 X: -0.503906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 990 X: -2.11133 +INDEX GOES BRRR: 241 X: 15.1162 +INDEX GOES BRRR: 126 X: 7.93262 +INDEX GOES BRRR: 169 X: 10.582 +INDEX GOES BRRR: 89 X: 5.62012 +INDEX GOES BRRR: 933 X: -5.65234 +INDEX GOES BRRR: 115 X: 7.24316 +INDEX GOES BRRR: 970 X: -3.36133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.10059 +INDEX GOES BRRR: 184 X: 11.5107 +INDEX GOES BRRR: 230 X: 14.4033 +INDEX GOES BRRR: 490 X: 30.6846 +INDEX GOES BRRR: 77 X: 4.84473 +INDEX GOES BRRR: 180 X: 11.3018 +INDEX GOES BRRR: 14 X: 0.93457 +INDEX GOES BRRR: 65 X: 4.08789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.63379 +INDEX GOES BRRR: 219 X: 13.7266 +INDEX GOES BRRR: 44 X: 2.80664 +INDEX GOES BRRR: 122 X: 7.66309 +INDEX GOES BRRR: 417 X: 26.1055 +INDEX GOES BRRR: 99 X: 6.24414 +INDEX GOES BRRR: 165 X: 10.3242 +INDEX GOES BRRR: 92 X: 5.80566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.20801 +INDEX GOES BRRR: 890 X: -8.36133 +INDEX GOES BRRR: 201 X: 12.6035 +INDEX GOES BRRR: 55 X: 3.49805 +INDEX GOES BRRR: 210 X: 13.1738 +INDEX GOES BRRR: 177 X: 11.0938 +INDEX GOES BRRR: 180 X: 11.2881 +INDEX GOES BRRR: 28 X: 1.80078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.7363 +INDEX GOES BRRR: 274 X: 17.1807 +INDEX GOES BRRR: 26 X: 1.66406 +INDEX GOES BRRR: 208 X: 13.0068 +INDEX GOES BRRR: 299 X: 18.6992 +INDEX GOES BRRR: 485 X: 30.3652 +INDEX GOES BRRR: 106 X: 6.67676 +INDEX GOES BRRR: 486 X: 30.4033 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.61816 +INDEX GOES BRRR: 372 X: 23.3018 +INDEX GOES BRRR: 109 X: 6.86426 +INDEX GOES BRRR: 1016 X: -0.466797 +INDEX GOES BRRR: 242 X: 15.166 +INDEX GOES BRRR: 1004 X: -1.23926 +INDEX GOES BRRR: 224 X: 14.0195 +INDEX GOES BRRR: 30 X: 1.92188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.5732 +INDEX GOES BRRR: 329 X: 20.6035 +INDEX GOES BRRR: 789 X: -14.6729 +INDEX GOES BRRR: 222 X: 13.9199 +INDEX GOES BRRR: 266 X: 16.6357 +INDEX GOES BRRR: 224 X: 14.0254 +INDEX GOES BRRR: 1 X: 0.0908203 +INDEX GOES BRRR: 276 X: 17.2705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4072 +INDEX GOES BRRR: 274 X: 17.1807 +INDEX GOES BRRR: 895 X: -8.02832 +INDEX GOES BRRR: 204 X: 12.8027 +INDEX GOES BRRR: 77 X: 4.81641 +INDEX GOES BRRR: 1001 X: -1.38477 +INDEX GOES BRRR: 379 X: 23.7119 +INDEX GOES BRRR: 120 X: 7.53711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.28418 +INDEX GOES BRRR: 214 X: 13.3984 +INDEX GOES BRRR: 365 X: 22.8438 +INDEX GOES BRRR: 314 X: 19.6328 +INDEX GOES BRRR: 14 X: 0.878906 +INDEX GOES BRRR: 160 X: 10.0176 +INDEX GOES BRRR: 317 X: 19.835 +INDEX GOES BRRR: 117 X: 7.3623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 873 X: -9.38477 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 358 X: 22.3896 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 191 X: 11.9854 +INDEX GOES BRRR: 236 X: 14.751 +INDEX GOES BRRR: 35 X: 2.20703 +INDEX GOES BRRR: 138 X: 8.6543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 997 X: -1.65918 +INDEX GOES BRRR: 212 X: 13.3057 +INDEX GOES BRRR: 983 X: -2.52832 +INDEX GOES BRRR: 96 X: 6.02832 +INDEX GOES BRRR: 108 X: 6.79199 +INDEX GOES BRRR: 264 X: 16.5527 +INDEX GOES BRRR: 280 X: 17.5264 +INDEX GOES BRRR: 141 X: 8.83984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.749 +INDEX GOES BRRR: 264 X: 16.5459 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 261 X: 16.3477 +INDEX GOES BRRR: 274 X: 17.1445 +INDEX GOES BRRR: 246 X: 15.3994 +INDEX GOES BRRR: 186 X: 11.666 +INDEX GOES BRRR: 35 X: 2.2334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1017 X: -0.401367 +INDEX GOES BRRR: 381 X: 23.8164 +INDEX GOES BRRR: 282 X: 17.6377 +INDEX GOES BRRR: 304 X: 19.0225 +INDEX GOES BRRR: 52 X: 3.29102 +INDEX GOES BRRR: 122 X: 7.67383 +INDEX GOES BRRR: 871 X: -9.52734 +INDEX GOES BRRR: 106 X: 6.63672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 339 X: 21.1934 +INDEX GOES BRRR: 263 X: 16.4766 +INDEX GOES BRRR: 843 X: -11.3047 +INDEX GOES BRRR: 119 X: 7.47168 +INDEX GOES BRRR: 335 X: 20.9375 +INDEX GOES BRRR: 302 X: 18.8789 +INDEX GOES BRRR: 73 X: 4.61816 +INDEX GOES BRRR: 975 X: -3.0293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.10449 +INDEX GOES BRRR: 352 X: 22.0107 +INDEX GOES BRRR: 23 X: 1.44531 +INDEX GOES BRRR: 898 X: -7.81934 +INDEX GOES BRRR: 194 X: 12.125 +INDEX GOES BRRR: 211 X: 13.2139 +INDEX GOES BRRR: 970 X: -3.32422 +INDEX GOES BRRR: 399 X: 24.9883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 916 X: -6.72559 +INDEX GOES BRRR: 130 X: 8.125 +INDEX GOES BRRR: 939 X: -5.25098 +INDEX GOES BRRR: 262 X: 16.3779 +INDEX GOES BRRR: 387 X: 24.2256 +INDEX GOES BRRR: 48 X: 3.03223 +INDEX GOES BRRR: 281 X: 17.5625 +INDEX GOES BRRR: 995 X: -1.75391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.14551 +INDEX GOES BRRR: 866 X: -9.81934 +INDEX GOES BRRR: 954 X: -4.33594 +INDEX GOES BRRR: 299 X: 18.71 +INDEX GOES BRRR: 304 X: 19.0254 +INDEX GOES BRRR: 240 X: 15.0469 +INDEX GOES BRRR: 170 X: 10.6279 +INDEX GOES BRRR: 105 X: 6.60938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 779 X: -15.2812 +INDEX GOES BRRR: 63 X: 3.93848 +INDEX GOES BRRR: 348 X: 21.791 +INDEX GOES BRRR: 962 X: -3.83496 +INDEX GOES BRRR: 195 X: 12.2139 +INDEX GOES BRRR: 350 X: 21.9238 +INDEX GOES BRRR: 1021 X: -0.18457 +INDEX GOES BRRR: 9 X: 0.575195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.87305 +INDEX GOES BRRR: 246 X: 15.3848 +INDEX GOES BRRR: 380 X: 23.8066 +INDEX GOES BRRR: 385 X: 24.0703 +INDEX GOES BRRR: 959 X: -4.00586 +INDEX GOES BRRR: 37 X: 2.3125 +INDEX GOES BRRR: 381 X: 23.8369 +INDEX GOES BRRR: 151 X: 9.48438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7695 +INDEX GOES BRRR: 996 X: -1.70898 +INDEX GOES BRRR: 154 X: 9.67285 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 177 X: 11.0703 +INDEX GOES BRRR: 476 X: 29.7676 +INDEX GOES BRRR: 44 X: 2.78809 +INDEX GOES BRRR: 32 X: 2.00488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1020 X: -0.191406 +INDEX GOES BRRR: 32 X: 2.05566 +INDEX GOES BRRR: 407 X: 25.4414 +INDEX GOES BRRR: 273 X: 17.1006 +INDEX GOES BRRR: 141 X: 8.85449 +INDEX GOES BRRR: 190 X: 11.9277 +INDEX GOES BRRR: 810 X: -13.3438 +INDEX GOES BRRR: 421 X: 26.3262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 984 X: -2.48633 +INDEX GOES BRRR: 369 X: 23.0996 +INDEX GOES BRRR: 981 X: -2.67773 +INDEX GOES BRRR: 122 X: 7.68164 +INDEX GOES BRRR: 13 X: 0.831055 +INDEX GOES BRRR: 323 X: 20.2158 +INDEX GOES BRRR: 354 X: 22.1445 +INDEX GOES BRRR: 233 X: 14.6064 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.21094 +INDEX GOES BRRR: 944 X: -4.94727 +INDEX GOES BRRR: 61 X: 3.87402 +INDEX GOES BRRR: 335 X: 20.9873 +INDEX GOES BRRR: 142 X: 8.93262 +INDEX GOES BRRR: 878 X: -9.09473 +INDEX GOES BRRR: 356 X: 22.2773 +INDEX GOES BRRR: 429 X: 26.8311 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.88281 +INDEX GOES BRRR: 422 X: 26.4004 +INDEX GOES BRRR: 123 X: 7.69238 +INDEX GOES BRRR: 234 X: 14.6572 +INDEX GOES BRRR: 222 X: 13.9189 +INDEX GOES BRRR: 1016 X: -0.44043 +INDEX GOES BRRR: 201 X: 12.5664 +INDEX GOES BRRR: 349 X: 21.8652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 406 X: 25.3936 +INDEX GOES BRRR: 137 X: 8.60938 +INDEX GOES BRRR: 417 X: 26.0684 +INDEX GOES BRRR: 408 X: 25.5 +INDEX GOES BRRR: 89 X: 5.58398 +INDEX GOES BRRR: 174 X: 10.9229 +INDEX GOES BRRR: 245 X: 15.3281 +INDEX GOES BRRR: 137 X: 8.5625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5342 +INDEX GOES BRRR: 2 X: 0.141602 +INDEX GOES BRRR: 114 X: 7.1543 +INDEX GOES BRRR: 81 X: 5.09961 +INDEX GOES BRRR: 101 X: 6.33496 +INDEX GOES BRRR: 15 X: 0.985352 +INDEX GOES BRRR: 250 X: 15.6484 +INDEX GOES BRRR: 131 X: 8.19531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 942 X: -5.11133 +INDEX GOES BRRR: 171 X: 10.7471 +INDEX GOES BRRR: 225 X: 14.0859 +INDEX GOES BRRR: 160 X: 10.0156 +INDEX GOES BRRR: 350 X: 21.8867 +INDEX GOES BRRR: 980 X: -2.71484 +INDEX GOES BRRR: 163 X: 10.2373 +INDEX GOES BRRR: 250 X: 15.6797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 97 X: 6.09863 +INDEX GOES BRRR: 232 X: 14.5479 +INDEX GOES BRRR: 68 X: 4.26172 +INDEX GOES BRRR: 32 X: 2.00586 +INDEX GOES BRRR: 1000 X: -1.47852 +INDEX GOES BRRR: 34 X: 2.15234 +INDEX GOES BRRR: 439 X: 27.4678 +INDEX GOES BRRR: 276 X: 17.2637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.334 +INDEX GOES BRRR: 325 X: 20.3662 +INDEX GOES BRRR: 255 X: 15.9795 +INDEX GOES BRRR: 275 X: 17.2451 +INDEX GOES BRRR: 374 X: 23.4297 +INDEX GOES BRRR: 85 X: 5.33301 +INDEX GOES BRRR: 77 X: 4.85059 +INDEX GOES BRRR: 173 X: 10.8398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.77148 +INDEX GOES BRRR: 33 X: 2.07617 +INDEX GOES BRRR: 114 X: 7.13965 +INDEX GOES BRRR: 951 X: -4.53613 +INDEX GOES BRRR: 151 X: 9.49707 +INDEX GOES BRRR: 82 X: 5.15527 +INDEX GOES BRRR: 147 X: 9.19336 +INDEX GOES BRRR: 244 X: 15.2598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 189 X: 11.8555 +INDEX GOES BRRR: 976 X: -2.95312 +INDEX GOES BRRR: 71 X: 4.48828 +INDEX GOES BRRR: 9 X: 0.620117 +INDEX GOES BRRR: 222 X: 13.876 +INDEX GOES BRRR: 332 X: 20.7852 +INDEX GOES BRRR: 172 X: 10.7695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 995 X: -1.79883 +INDEX GOES BRRR: 261 X: 16.3398 +INDEX GOES BRRR: 56 X: 3.52051 +INDEX GOES BRRR: 284 X: 17.8008 +INDEX GOES BRRR: 341 X: 21.3496 +INDEX GOES BRRR: 205 X: 12.8701 +INDEX GOES BRRR: 103 X: 6.47266 +INDEX GOES BRRR: 291 X: 18.2266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.0684 +INDEX GOES BRRR: 281 X: 17.623 +INDEX GOES BRRR: 49 X: 3.09766 +INDEX GOES BRRR: 189 X: 11.8691 +INDEX GOES BRRR: 988 X: -2.2334 +INDEX GOES BRRR: 204 X: 12.7539 +INDEX GOES BRRR: 324 X: 20.2969 +INDEX GOES BRRR: 1011 X: -0.785156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 495 X: 30.9395 +INDEX GOES BRRR: 336 X: 21.0127 +INDEX GOES BRRR: 772 X: -15.7168 +INDEX GOES BRRR: 218 X: 13.6436 +INDEX GOES BRRR: 123 X: 7.71582 +INDEX GOES BRRR: 23 X: 1.47461 +INDEX GOES BRRR: 985 X: -2.39648 +INDEX GOES BRRR: 157 X: 9.86426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5107 +INDEX GOES BRRR: 133 X: 8.36816 +INDEX GOES BRRR: 453 X: 28.3535 +INDEX GOES BRRR: 982 X: -2.60742 +INDEX GOES BRRR: 180 X: 11.2559 +INDEX GOES BRRR: 11 X: 0.71875 +INDEX GOES BRRR: 468 X: 29.2881 +INDEX GOES BRRR: 57 X: 3.60449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4502 +INDEX GOES BRRR: 132 X: 8.26367 +INDEX GOES BRRR: 63 X: 3.99609 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 345 X: 21.5674 +INDEX GOES BRRR: 1006 X: -1.08203 +INDEX GOES BRRR: 176 X: 11.0547 +INDEX GOES BRRR: 88 X: 5.54199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 372 X: 23.2803 +INDEX GOES BRRR: 297 X: 18.5859 +INDEX GOES BRRR: 385 X: 24.1074 +INDEX GOES BRRR: 436 X: 27.2988 +INDEX GOES BRRR: 379 X: 23.7158 +INDEX GOES BRRR: 328 X: 20.5176 +INDEX GOES BRRR: 137 X: 8.5625 +INDEX GOES BRRR: 40 X: 2.52832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2334 +INDEX GOES BRRR: 51 X: 3.20605 +INDEX GOES BRRR: 392 X: 24.5361 +INDEX GOES BRRR: 890 X: -8.35156 +INDEX GOES BRRR: 231 X: 14.4688 +INDEX GOES BRRR: 265 X: 16.6162 +INDEX GOES BRRR: 249 X: 15.5908 +INDEX GOES BRRR: 132 X: 8.25684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.86816 +INDEX GOES BRRR: 956 X: -4.19727 +INDEX GOES BRRR: 354 X: 22.1514 +INDEX GOES BRRR: 161 X: 10.0879 +INDEX GOES BRRR: 217 X: 13.5635 +INDEX GOES BRRR: 942 X: -5.06836 +INDEX GOES BRRR: 954 X: -4.33496 +INDEX GOES BRRR: 937 X: -5.43164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.60742 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 376 X: 23.5488 +INDEX GOES BRRR: 373 X: 23.3682 +INDEX GOES BRRR: 975 X: -3.0293 +INDEX GOES BRRR: 294 X: 18.4277 +INDEX GOES BRRR: 145 X: 9.09375 +INDEX GOES BRRR: 896 X: -7.97754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.81055 +INDEX GOES BRRR: 100 X: 6.29395 +INDEX GOES BRRR: 266 X: 16.6797 +INDEX GOES BRRR: 883 X: -8.75391 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 962 X: -3.85645 +INDEX GOES BRRR: 253 X: 15.834 +INDEX GOES BRRR: 86 X: 5.38184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.72656 +INDEX GOES BRRR: 385 X: 24.0723 +INDEX GOES BRRR: 115 X: 7.23926 +INDEX GOES BRRR: 296 X: 18.5449 +INDEX GOES BRRR: 940 X: -5.19629 +INDEX GOES BRRR: 42 X: 2.67676 +INDEX GOES BRRR: 158 X: 9.88867 +INDEX GOES BRRR: 218 X: 13.6768 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 200 X: 12.5488 +INDEX GOES BRRR: 302 X: 18.8984 +INDEX GOES BRRR: 324 X: 20.291 +INDEX GOES BRRR: 357 X: 22.3125 +INDEX GOES BRRR: 13 X: 0.860352 +INDEX GOES BRRR: 932 X: -5.69336 +INDEX GOES BRRR: 437 X: 27.3213 +INDEX GOES BRRR: 220 X: 13.7754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.807617 +INDEX GOES BRRR: 945 X: -4.87793 +INDEX GOES BRRR: 92 X: 5.7959 +INDEX GOES BRRR: 131 X: 8.2334 +INDEX GOES BRRR: 256 X: 16 +INDEX GOES BRRR: 846 X: -11.0938 +INDEX GOES BRRR: 180 X: 11.2744 +INDEX GOES BRRR: 80 X: 5.02051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 974 X: -3.10352 +INDEX GOES BRRR: 154 X: 9.6543 +INDEX GOES BRRR: 909 X: -7.17188 +INDEX GOES BRRR: 94 X: 5.88867 +INDEX GOES BRRR: 220 X: 13.7539 +INDEX GOES BRRR: 357 X: 22.3711 +INDEX GOES BRRR: 110 X: 6.88281 +INDEX GOES BRRR: 980 X: -2.74219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.34766 +INDEX GOES BRRR: 874 X: -9.32812 +INDEX GOES BRRR: 322 X: 20.1611 +INDEX GOES BRRR: 202 X: 12.626 +INDEX GOES BRRR: 44 X: 2.78418 +INDEX GOES BRRR: 883 X: -8.75781 +INDEX GOES BRRR: 38 X: 2.41211 +INDEX GOES BRRR: 299 X: 18.7373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7061 +INDEX GOES BRRR: 107 X: 6.70508 +INDEX GOES BRRR: 109 X: 6.81641 +INDEX GOES BRRR: 177 X: 11.0928 +INDEX GOES BRRR: 347 X: 21.7002 +INDEX GOES BRRR: 131 X: 8.2334 +INDEX GOES BRRR: 53 X: 3.34082 +INDEX GOES BRRR: 917 X: -6.63086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 391 X: 24.4736 +INDEX GOES BRRR: 204 X: 12.7578 +INDEX GOES BRRR: 41 X: 2.58301 +INDEX GOES BRRR: 943 X: -5.04199 +INDEX GOES BRRR: 451 X: 28.1904 +INDEX GOES BRRR: 90 X: 5.67285 +INDEX GOES BRRR: 92 X: 5.80469 +INDEX GOES BRRR: 387 X: 24.2432 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 31 X: 1.94922 +INDEX GOES BRRR: 186 X: 11.6445 +INDEX GOES BRRR: 148 X: 9.26758 +INDEX GOES BRRR: 445 X: 27.8213 +INDEX GOES BRRR: 143 X: 8.96387 +INDEX GOES BRRR: 933 X: -5.68359 +INDEX GOES BRRR: 253 X: 15.832 +INDEX GOES BRRR: 212 X: 13.2607 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 339 X: 21.2168 +INDEX GOES BRRR: 175 X: 10.9668 +INDEX GOES BRRR: 168 X: 10.5391 +INDEX GOES BRRR: 359 X: 22.4395 +INDEX GOES BRRR: 251 X: 15.7324 +INDEX GOES BRRR: 985 X: -2.37793 +INDEX GOES BRRR: 375 X: 23.499 +INDEX GOES BRRR: 249 X: 15.6172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.3916 +INDEX GOES BRRR: 327 X: 20.4385 +INDEX GOES BRRR: 219 X: 13.7002 +INDEX GOES BRRR: 236 X: 14.792 +INDEX GOES BRRR: 813 X: -13.1543 +INDEX GOES BRRR: 992 X: -1.99414 +INDEX GOES BRRR: 295 X: 18.4424 +INDEX GOES BRRR: 104 X: 6.53613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1328 +INDEX GOES BRRR: 997 X: -1.64551 +INDEX GOES BRRR: 308 X: 19.2822 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 151 X: 9.4873 +INDEX GOES BRRR: 117 X: 7.35254 +INDEX GOES BRRR: 84 X: 5.31055 +INDEX GOES BRRR: 72 X: 4.52148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 968 X: -3.46484 +INDEX GOES BRRR: 99 X: 6.22363 +INDEX GOES BRRR: 130 X: 8.17676 +INDEX GOES BRRR: 184 X: 11.5488 +INDEX GOES BRRR: 926 X: -6.0918 +INDEX GOES BRRR: 44 X: 2.80664 +INDEX GOES BRRR: 306 X: 19.1387 +INDEX GOES BRRR: 191 X: 11.9502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.78223 +INDEX GOES BRRR: 207 X: 12.9883 +INDEX GOES BRRR: 160 X: 10.041 +INDEX GOES BRRR: 403 X: 25.2354 +INDEX GOES BRRR: 54 X: 3.42773 +INDEX GOES BRRR: 130 X: 8.16113 +INDEX GOES BRRR: 143 X: 8.94434 +INDEX GOES BRRR: 104 X: 6.51562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 64 X: 4.0498 +INDEX GOES BRRR: 51 X: 3.22949 +INDEX GOES BRRR: 275 X: 17.2227 +INDEX GOES BRRR: 976 X: -2.9873 +INDEX GOES BRRR: 19 X: 1.24023 +INDEX GOES BRRR: 206 X: 12.9277 +INDEX GOES BRRR: 892 X: -8.20215 +INDEX GOES BRRR: 298 X: 18.6699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0527 +INDEX GOES BRRR: 475 X: 29.7373 +INDEX GOES BRRR: 175 X: 10.9922 +INDEX GOES BRRR: 203 X: 12.7354 +INDEX GOES BRRR: 952 X: -4.49316 +INDEX GOES BRRR: 390 X: 24.4189 +INDEX GOES BRRR: 43 X: 2.7334 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 999 X: -1.50879 +INDEX GOES BRRR: 3 X: 0.217773 +INDEX GOES BRRR: 327 X: 20.4922 +INDEX GOES BRRR: 305 X: 19.1006 +INDEX GOES BRRR: 19 X: 1.24023 +INDEX GOES BRRR: 471 X: 29.498 +INDEX GOES BRRR: 264 X: 16.5332 +INDEX GOES BRRR: 282 X: 17.6758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 78 X: 4.93457 +INDEX GOES BRRR: 913 X: -6.91113 +INDEX GOES BRRR: 343 X: 21.4688 +INDEX GOES BRRR: 971 X: -3.29492 +INDEX GOES BRRR: 166 X: 10.3799 +INDEX GOES BRRR: 115 X: 7.2334 +INDEX GOES BRRR: 190 X: 11.9033 +INDEX GOES BRRR: 218 X: 13.6738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0078 +INDEX GOES BRRR: 119 X: 7.49121 +INDEX GOES BRRR: 288 X: 18.0117 +INDEX GOES BRRR: 228 X: 14.2832 +INDEX GOES BRRR: 177 X: 11.0889 +INDEX GOES BRRR: 192 X: 12.0332 +INDEX GOES BRRR: 34 X: 2.17285 +INDEX GOES BRRR: 163 X: 10.1982 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.38184 +INDEX GOES BRRR: 32 X: 2.00586 +INDEX GOES BRRR: 189 X: 11.8174 +INDEX GOES BRRR: 136 X: 8.55176 +INDEX GOES BRRR: 167 X: 10.4932 +INDEX GOES BRRR: 404 X: 25.2656 +INDEX GOES BRRR: 314 X: 19.6475 +INDEX GOES BRRR: 342 X: 21.3896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.667 +INDEX GOES BRRR: 137 X: 8.60742 +INDEX GOES BRRR: 53 X: 3.33203 +INDEX GOES BRRR: 216 X: 13.5156 +INDEX GOES BRRR: 166 X: 10.4033 +INDEX GOES BRRR: 230 X: 14.4238 +INDEX GOES BRRR: 279 X: 17.4707 +INDEX GOES BRRR: 28 X: 1.77344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 729 X: -18.3828 +INDEX GOES BRRR: 201 X: 12.5781 +INDEX GOES BRRR: 286 X: 17.9209 +INDEX GOES BRRR: 95 X: 5.97266 +INDEX GOES BRRR: 330 X: 20.6572 +INDEX GOES BRRR: 267 X: 16.6914 +INDEX GOES BRRR: 447 X: 27.9824 +INDEX GOES BRRR: 133 X: 8.35352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.41797 +INDEX GOES BRRR: 270 X: 16.8906 +INDEX GOES BRRR: 156 X: 9.7793 +INDEX GOES BRRR: 927 X: -6.05176 +INDEX GOES BRRR: 286 X: 17.9336 +INDEX GOES BRRR: 171 X: 10.6963 +INDEX GOES BRRR: 126 X: 7.90723 +INDEX GOES BRRR: 286 X: 17.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.79102 +INDEX GOES BRRR: 278 X: 17.3799 +INDEX GOES BRRR: 257 X: 16.1211 +INDEX GOES BRRR: 63 X: 3.99805 +INDEX GOES BRRR: 250 X: 15.6611 +INDEX GOES BRRR: 262 X: 16.4346 +INDEX GOES BRRR: 1012 X: -0.742188 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.37402 +INDEX GOES BRRR: 306 X: 19.125 +INDEX GOES BRRR: 490 X: 30.6514 +INDEX GOES BRRR: 182 X: 11.4082 +INDEX GOES BRRR: 99 X: 6.20996 +INDEX GOES BRRR: 336 X: 21.0098 +INDEX GOES BRRR: 94 X: 5.92871 +INDEX GOES BRRR: 346 X: 21.6455 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 441 X: 27.5664 +INDEX GOES BRRR: 197 X: 12.3389 +INDEX GOES BRRR: 423 X: 26.4473 +INDEX GOES BRRR: 109 X: 6.83398 +INDEX GOES BRRR: 1022 X: -0.100586 +INDEX GOES BRRR: 77 X: 4.81738 +INDEX GOES BRRR: 52 X: 3.29688 +INDEX GOES BRRR: 108 X: 6.79688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.0966797 +INDEX GOES BRRR: 204 X: 12.7598 +INDEX GOES BRRR: 14 X: 0.882812 +INDEX GOES BRRR: 271 X: 16.9541 +INDEX GOES BRRR: 355 X: 22.2441 +INDEX GOES BRRR: 63 X: 3.94043 +INDEX GOES BRRR: 406 X: 25.3848 +INDEX GOES BRRR: 287 X: 17.9551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.085 +INDEX GOES BRRR: 53 X: 3.36719 +INDEX GOES BRRR: 95 X: 5.99316 +INDEX GOES BRRR: 882 X: -8.8252 +INDEX GOES BRRR: 252 X: 15.7734 +INDEX GOES BRRR: 316 X: 19.7861 +INDEX GOES BRRR: 983 X: -2.50977 +INDEX GOES BRRR: 237 X: 14.8252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 710 X: -19.5977 +INDEX GOES BRRR: 195 X: 12.1953 +INDEX GOES BRRR: 103 X: 6.45508 +INDEX GOES BRRR: 199 X: 12.4795 +INDEX GOES BRRR: 193 X: 12.1006 +INDEX GOES BRRR: 145 X: 9.10547 +INDEX GOES BRRR: 163 X: 10.209 +INDEX GOES BRRR: 987 X: -2.2998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.9033 +INDEX GOES BRRR: 265 X: 16.6172 +INDEX GOES BRRR: 1012 X: -0.748047 +INDEX GOES BRRR: 191 X: 11.9473 +INDEX GOES BRRR: 871 X: -9.54785 +INDEX GOES BRRR: 170 X: 10.6787 +INDEX GOES BRRR: 164 X: 10.3008 +INDEX GOES BRRR: 927 X: -6.00781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 70 X: 4.41895 +INDEX GOES BRRR: 78 X: 4.91113 +INDEX GOES BRRR: 307 X: 19.2461 +INDEX GOES BRRR: 1022 X: -0.0634766 +INDEX GOES BRRR: 427 X: 26.707 +INDEX GOES BRRR: 99 X: 6.22461 +INDEX GOES BRRR: 219 X: 13.7373 +INDEX GOES BRRR: 364 X: 22.7812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 257 X: 16.0654 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 855 X: -10.501 +INDEX GOES BRRR: 815 X: -13.0361 +INDEX GOES BRRR: 1002 X: -1.3584 +INDEX GOES BRRR: 152 X: 9.54199 +INDEX GOES BRRR: 162 X: 10.1426 +INDEX GOES BRRR: 15 X: 0.944336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.3877 +INDEX GOES BRRR: 452 X: 28.29 +INDEX GOES BRRR: 327 X: 20.4395 +INDEX GOES BRRR: 280 X: 17.542 +INDEX GOES BRRR: 59 X: 3.74609 +INDEX GOES BRRR: 69 X: 4.31543 +INDEX GOES BRRR: 435 X: 27.2178 +INDEX GOES BRRR: 200 X: 12.5449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 856 X: -10.4883 +INDEX GOES BRRR: 977 X: -2.92188 +INDEX GOES BRRR: 1021 X: -0.135742 +INDEX GOES BRRR: 430 X: 26.9014 +INDEX GOES BRRR: 175 X: 10.9912 +INDEX GOES BRRR: 867 X: -9.80566 +INDEX GOES BRRR: 223 X: 13.9951 +INDEX GOES BRRR: 25 X: 1.61914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2227 +INDEX GOES BRRR: 85 X: 5.32422 +INDEX GOES BRRR: 100 X: 6.30566 +INDEX GOES BRRR: 294 X: 18.4121 +INDEX GOES BRRR: 43 X: 2.72461 +INDEX GOES BRRR: 17 X: 1.07812 +INDEX GOES BRRR: 969 X: -3.38184 +INDEX GOES BRRR: 271 X: 16.9697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1377 +INDEX GOES BRRR: 137 X: 8.58984 +INDEX GOES BRRR: 384 X: 24.0254 +INDEX GOES BRRR: 253 X: 15.8271 +INDEX GOES BRRR: 223 X: 13.9863 +INDEX GOES BRRR: 965 X: -3.64746 +INDEX GOES BRRR: 165 X: 10.3145 +INDEX GOES BRRR: 75 X: 4.70508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 921 X: -6.39258 +INDEX GOES BRRR: 83 X: 5.22852 +INDEX GOES BRRR: 243 X: 15.2256 +INDEX GOES BRRR: 11 X: 0.691406 +INDEX GOES BRRR: 268 X: 16.7705 +INDEX GOES BRRR: 681 X: -21.4238 +INDEX GOES BRRR: 81 X: 5.06836 +INDEX GOES BRRR: 146 X: 9.16113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.75293 +INDEX GOES BRRR: 970 X: -3.3584 +INDEX GOES BRRR: 339 X: 21.2383 +INDEX GOES BRRR: 228 X: 14.2539 +INDEX GOES BRRR: 265 X: 16.6094 +INDEX GOES BRRR: 58 X: 3.63086 +INDEX GOES BRRR: 340 X: 21.2568 +INDEX GOES BRRR: 954 X: -4.37012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 906 X: -7.37305 +INDEX GOES BRRR: 907 X: -7.27051 +INDEX GOES BRRR: 69 X: 4.3623 +INDEX GOES BRRR: 20 X: 1.27832 +INDEX GOES BRRR: 298 X: 18.6738 +INDEX GOES BRRR: 270 X: 16.8965 +INDEX GOES BRRR: 208 X: 13.0537 +INDEX GOES BRRR: 53 X: 3.33008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1020 X: -0.24707 +INDEX GOES BRRR: 123 X: 7.71582 +INDEX GOES BRRR: 48 X: 3.04102 +INDEX GOES BRRR: 223 X: 13.9854 +INDEX GOES BRRR: 122 X: 7.66309 +INDEX GOES BRRR: 246 X: 15.4287 +INDEX GOES BRRR: 277 X: 17.3545 +INDEX GOES BRRR: 220 X: 13.7861 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.50684 +INDEX GOES BRRR: 407 X: 25.4609 +INDEX GOES BRRR: 102 X: 6.41699 +INDEX GOES BRRR: 46 X: 2.92383 +INDEX GOES BRRR: 38 X: 2.37988 +INDEX GOES BRRR: 88 X: 5.51758 +INDEX GOES BRRR: 117 X: 7.34082 +INDEX GOES BRRR: 253 X: 15.8438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 785 X: -14.8818 +INDEX GOES BRRR: 1005 X: -1.15723 +INDEX GOES BRRR: 111 X: 6.97754 +INDEX GOES BRRR: 300 X: 18.7686 +INDEX GOES BRRR: 67 X: 4.21191 +INDEX GOES BRRR: 364 X: 22.7539 +INDEX GOES BRRR: 174 X: 10.9355 +INDEX GOES BRRR: 128 X: 8.04492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5254 +INDEX GOES BRRR: 390 X: 24.3994 +INDEX GOES BRRR: 225 X: 14.1006 +INDEX GOES BRRR: 107 X: 6.69531 +INDEX GOES BRRR: 79 X: 4.95703 +INDEX GOES BRRR: 110 X: 6.88867 +INDEX GOES BRRR: 188 X: 11.751 +INDEX GOES BRRR: 973 X: -3.1416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 380 X: 23.7598 +INDEX GOES BRRR: 99 X: 6.2373 +INDEX GOES BRRR: 218 X: 13.6289 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 37 X: 2.3457 +INDEX GOES BRRR: 352 X: 22.0166 +INDEX GOES BRRR: 995 X: -1.8125 +INDEX GOES BRRR: 7 X: 0.493164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3574 +INDEX GOES BRRR: 189 X: 11.8701 +INDEX GOES BRRR: 904 X: -7.49121 +INDEX GOES BRRR: 824 X: -12.4482 +INDEX GOES BRRR: 238 X: 14.8857 +INDEX GOES BRRR: 126 X: 7.89844 +INDEX GOES BRRR: 192 X: 12.0205 +INDEX GOES BRRR: 222 X: 13.8799 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 298 X: 18.6387 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 314 X: 19.6348 +INDEX GOES BRRR: 152 X: 9.51953 +INDEX GOES BRRR: 239 X: 14.9873 +INDEX GOES BRRR: 341 X: 21.3184 +INDEX GOES BRRR: 96 X: 6.00879 +INDEX GOES BRRR: 462 X: 28.9268 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 330 X: 20.6289 +INDEX GOES BRRR: 50 X: 3.17188 +INDEX GOES BRRR: 351 X: 21.9814 +INDEX GOES BRRR: 173 X: 10.8242 +INDEX GOES BRRR: 965 X: -3.64941 +INDEX GOES BRRR: 63 X: 3.94043 +INDEX GOES BRRR: 235 X: 14.7373 +INDEX GOES BRRR: 1005 X: -1.16797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5127 +INDEX GOES BRRR: 194 X: 12.1758 +INDEX GOES BRRR: 206 X: 12.8965 +INDEX GOES BRRR: 422 X: 26.3877 +INDEX GOES BRRR: 697 X: -20.4209 +INDEX GOES BRRR: 161 X: 10.0938 +INDEX GOES BRRR: 150 X: 9.40625 +INDEX GOES BRRR: 272 X: 17.0439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.15918 +INDEX GOES BRRR: 300 X: 18.791 +INDEX GOES BRRR: 322 X: 20.1592 +INDEX GOES BRRR: 220 X: 13.8096 +INDEX GOES BRRR: 238 X: 14.9209 +INDEX GOES BRRR: 185 X: 11.5762 +INDEX GOES BRRR: 316 X: 19.7852 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.5859 +INDEX GOES BRRR: 0 X: 0.0390625 +INDEX GOES BRRR: 910 X: -7.0918 +INDEX GOES BRRR: 221 X: 13.8691 +INDEX GOES BRRR: 409 X: 25.5938 +INDEX GOES BRRR: 924 X: -6.23047 +INDEX GOES BRRR: 241 X: 15.1064 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4893 +INDEX GOES BRRR: 173 X: 10.8213 +INDEX GOES BRRR: 117 X: 7.35352 +INDEX GOES BRRR: 63 X: 3.97461 +INDEX GOES BRRR: 121 X: 7.61133 +INDEX GOES BRRR: 1010 X: -0.814453 +INDEX GOES BRRR: 105 X: 6.6123 +INDEX GOES BRRR: 317 X: 19.8223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.5127 +INDEX GOES BRRR: 302 X: 18.9092 +INDEX GOES BRRR: 113 X: 7.11035 +INDEX GOES BRRR: 86 X: 5.41602 +INDEX GOES BRRR: 288 X: 18.0186 +INDEX GOES BRRR: 57 X: 3.56641 +INDEX GOES BRRR: 9 X: 0.624023 +INDEX GOES BRRR: 345 X: 21.6152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2988 +INDEX GOES BRRR: 176 X: 11.0615 +INDEX GOES BRRR: 144 X: 9.04785 +INDEX GOES BRRR: 240 X: 15.0391 +INDEX GOES BRRR: 890 X: -8.35547 +INDEX GOES BRRR: 218 X: 13.6504 +INDEX GOES BRRR: 130 X: 8.15625 +INDEX GOES BRRR: 791 X: -14.5273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.09766 +INDEX GOES BRRR: 92 X: 5.81152 +INDEX GOES BRRR: 81 X: 5.08984 +INDEX GOES BRRR: 86 X: 5.37793 +INDEX GOES BRRR: 992 X: -1.96289 +INDEX GOES BRRR: 148 X: 9.31152 +INDEX GOES BRRR: 988 X: -2.19531 +INDEX GOES BRRR: 937 X: -5.39844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.41797 +INDEX GOES BRRR: 293 X: 18.3184 +INDEX GOES BRRR: 148 X: 9.26562 +INDEX GOES BRRR: 90 X: 5.66309 +INDEX GOES BRRR: 43 X: 2.74805 +INDEX GOES BRRR: 302 X: 18.877 +INDEX GOES BRRR: 34 X: 2.1416 +INDEX GOES BRRR: 330 X: 20.6436 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.1094 +INDEX GOES BRRR: 971 X: -3.3125 +INDEX GOES BRRR: 153 X: 9.61621 +INDEX GOES BRRR: 85 X: 5.32227 +INDEX GOES BRRR: 234 X: 14.6562 +INDEX GOES BRRR: 221 X: 13.8623 +INDEX GOES BRRR: 288 X: 18.0312 +INDEX GOES BRRR: 184 X: 11.542 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 997 X: -1.66211 +INDEX GOES BRRR: 351 X: 21.96 +INDEX GOES BRRR: 194 X: 12.1494 +INDEX GOES BRRR: 298 X: 18.6748 +INDEX GOES BRRR: 446 X: 27.8848 +INDEX GOES BRRR: 315 X: 19.7344 +INDEX GOES BRRR: 128 X: 8.02539 +INDEX GOES BRRR: 168 X: 10.5156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.7324 +INDEX GOES BRRR: 190 X: 11.9014 +INDEX GOES BRRR: 121 X: 7.58594 +INDEX GOES BRRR: 159 X: 9.98633 +INDEX GOES BRRR: 175 X: 10.9619 +INDEX GOES BRRR: 228 X: 14.2783 +INDEX GOES BRRR: 411 X: 25.7256 +INDEX GOES BRRR: 156 X: 9.77539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0458984 +INDEX GOES BRRR: 246 X: 15.4219 +INDEX GOES BRRR: 99 X: 6.24219 +INDEX GOES BRRR: 23 X: 1.48828 +INDEX GOES BRRR: 161 X: 10.1035 +INDEX GOES BRRR: 150 X: 9.38965 +INDEX GOES BRRR: 243 X: 15.2461 +INDEX GOES BRRR: 436 X: 27.2539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.0957 +INDEX GOES BRRR: 1011 X: -0.797852 +INDEX GOES BRRR: 360 X: 22.5205 +INDEX GOES BRRR: 100 X: 6.30664 +INDEX GOES BRRR: 0 X: 0.0205078 +INDEX GOES BRRR: 944 X: -4.95215 +INDEX GOES BRRR: 143 X: 8.96191 +INDEX GOES BRRR: 54 X: 3.39062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.35449 +INDEX GOES BRRR: 114 X: 7.13379 +INDEX GOES BRRR: 1013 X: -0.633789 +INDEX GOES BRRR: 323 X: 20.2119 +INDEX GOES BRRR: 74 X: 4.6582 +INDEX GOES BRRR: 186 X: 11.6807 +INDEX GOES BRRR: 203 X: 12.7344 +INDEX GOES BRRR: 197 X: 12.3359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.78516 +INDEX GOES BRRR: 223 X: 13.9805 +INDEX GOES BRRR: 907 X: -7.26953 +INDEX GOES BRRR: 434 X: 27.1396 +INDEX GOES BRRR: 92 X: 5.80469 +INDEX GOES BRRR: 149 X: 9.34668 +INDEX GOES BRRR: 169 X: 10.6143 +INDEX GOES BRRR: 119 X: 7.47168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 902 X: -7.58105 +INDEX GOES BRRR: 407 X: 25.498 +INDEX GOES BRRR: 343 X: 21.4863 +INDEX GOES BRRR: 25 X: 1.5752 +INDEX GOES BRRR: 999 X: -1.54395 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 156 X: 9.80957 +INDEX GOES BRRR: 907 X: -7.29102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.540039 +INDEX GOES BRRR: 182 X: 11.3857 +INDEX GOES BRRR: 130 X: 8.14648 +INDEX GOES BRRR: 139 X: 8.70703 +INDEX GOES BRRR: 287 X: 17.9434 +INDEX GOES BRRR: 286 X: 17.9287 +INDEX GOES BRRR: 366 X: 22.9043 +INDEX GOES BRRR: 1012 X: -0.713867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 884 X: -8.69922 +INDEX GOES BRRR: 273 X: 17.0957 +INDEX GOES BRRR: 878 X: -9.08105 +INDEX GOES BRRR: 319 X: 19.9854 +INDEX GOES BRRR: 40 X: 2.53809 +INDEX GOES BRRR: 315 X: 19.7158 +INDEX GOES BRRR: 106 X: 6.65625 +INDEX GOES BRRR: 255 X: 15.96 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9834 +INDEX GOES BRRR: 315 X: 19.7383 +INDEX GOES BRRR: 308 X: 19.2891 +INDEX GOES BRRR: 193 X: 12.1162 +INDEX GOES BRRR: 344 X: 21.5186 +INDEX GOES BRRR: 216 X: 13.5273 +INDEX GOES BRRR: 72 X: 4.5459 +INDEX GOES BRRR: 163 X: 10.208 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.23438 +INDEX GOES BRRR: 344 X: 21.5234 +INDEX GOES BRRR: 225 X: 14.0898 +INDEX GOES BRRR: 66 X: 4.15723 +INDEX GOES BRRR: 184 X: 11.5576 +INDEX GOES BRRR: 58 X: 3.65039 +INDEX GOES BRRR: 407 X: 25.4424 +INDEX GOES BRRR: 333 X: 20.8564 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 871 X: -9.51465 +INDEX GOES BRRR: 1000 X: -1.44727 +INDEX GOES BRRR: 471 X: 29.4375 +INDEX GOES BRRR: 370 X: 23.1816 +INDEX GOES BRRR: 100 X: 6.25488 +INDEX GOES BRRR: 257 X: 16.0928 +INDEX GOES BRRR: 216 X: 13.5498 +INDEX GOES BRRR: 77 X: 4.83887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.2373 +INDEX GOES BRRR: 921 X: -6.37988 +INDEX GOES BRRR: 294 X: 18.4258 +INDEX GOES BRRR: 211 X: 13.2354 +INDEX GOES BRRR: 31 X: 1.95117 +INDEX GOES BRRR: 308 X: 19.2881 +INDEX GOES BRRR: 415 X: 25.9385 +INDEX GOES BRRR: 1014 X: -0.62207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 64 X: 4.02539 +INDEX GOES BRRR: 186 X: 11.6631 +INDEX GOES BRRR: 477 X: 29.834 +INDEX GOES BRRR: 127 X: 7.94629 +INDEX GOES BRRR: 113 X: 7.10742 +INDEX GOES BRRR: 326 X: 20.3809 +INDEX GOES BRRR: 470 X: 29.4336 +INDEX GOES BRRR: 409 X: 25.5938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5 +INDEX GOES BRRR: 310 X: 19.4062 +INDEX GOES BRRR: 240 X: 15.0176 +INDEX GOES BRRR: 103 X: 6.48047 +INDEX GOES BRRR: 121 X: 7.59277 +INDEX GOES BRRR: 111 X: 6.9707 +INDEX GOES BRRR: 155 X: 9.69434 +INDEX GOES BRRR: 268 X: 16.7842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.2832 +INDEX GOES BRRR: 1009 X: -0.915039 +INDEX GOES BRRR: 305 X: 19.0635 +INDEX GOES BRRR: 60 X: 3.7959 +INDEX GOES BRRR: 930 X: -5.875 +INDEX GOES BRRR: 853 X: -10.6494 +INDEX GOES BRRR: 444 X: 27.8018 +INDEX GOES BRRR: 167 X: 10.4531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 942 X: -5.07227 +INDEX GOES BRRR: 73 X: 4.59375 +INDEX GOES BRRR: 271 X: 16.9883 +INDEX GOES BRRR: 58 X: 3.64258 +INDEX GOES BRRR: 52 X: 3.28613 +INDEX GOES BRRR: 299 X: 18.7188 +INDEX GOES BRRR: 63 X: 3.94434 +INDEX GOES BRRR: 269 X: 16.8535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 320 X: 20.0508 +INDEX GOES BRRR: 159 X: 9.95801 +INDEX GOES BRRR: 196 X: 12.2939 +INDEX GOES BRRR: 154 X: 9.64746 +INDEX GOES BRRR: 247 X: 15.4512 +INDEX GOES BRRR: 105 X: 6.60254 +INDEX GOES BRRR: 988 X: -2.19336 +INDEX GOES BRRR: 328 X: 20.501 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 789 X: -14.6865 +INDEX GOES BRRR: 157 X: 9.84082 +INDEX GOES BRRR: 180 X: 11.2607 +INDEX GOES BRRR: 1023 X: -0.00390625 +INDEX GOES BRRR: 259 X: 16.2305 +INDEX GOES BRRR: 1004 X: -1.2002 +INDEX GOES BRRR: 976 X: -2.9834 +INDEX GOES BRRR: 962 X: -3.84277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1836 +INDEX GOES BRRR: 107 X: 6.70801 +INDEX GOES BRRR: 169 X: 10.6201 +INDEX GOES BRRR: 279 X: 17.498 +INDEX GOES BRRR: 183 X: 11.4873 +INDEX GOES BRRR: 59 X: 3.69824 +INDEX GOES BRRR: 297 X: 18.624 +INDEX GOES BRRR: 85 X: 5.33789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.4082 +INDEX GOES BRRR: 164 X: 10.2715 +INDEX GOES BRRR: 39 X: 2.44434 +INDEX GOES BRRR: 285 X: 17.8691 +INDEX GOES BRRR: 209 X: 13.1016 +INDEX GOES BRRR: 999 X: -1.53418 +INDEX GOES BRRR: 137 X: 8.57422 +INDEX GOES BRRR: 282 X: 17.667 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.51758 +INDEX GOES BRRR: 184 X: 11.541 +INDEX GOES BRRR: 251 X: 15.7305 +INDEX GOES BRRR: 261 X: 16.3467 +INDEX GOES BRRR: 391 X: 24.4775 +INDEX GOES BRRR: 142 X: 8.90918 +INDEX GOES BRRR: 139 X: 8.71484 +INDEX GOES BRRR: 133 X: 8.31738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 428 X: 26.7686 +INDEX GOES BRRR: 6 X: 0.424805 +INDEX GOES BRRR: 243 X: 15.2363 +INDEX GOES BRRR: 81 X: 5.12012 +INDEX GOES BRRR: 30 X: 1.89355 +INDEX GOES BRRR: 94 X: 5.89551 +INDEX GOES BRRR: 1020 X: -0.207031 +INDEX GOES BRRR: 182 X: 11.4258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2275 +INDEX GOES BRRR: 271 X: 16.9492 +INDEX GOES BRRR: 1015 X: -0.542969 +INDEX GOES BRRR: 78 X: 4.87988 +INDEX GOES BRRR: 93 X: 5.82324 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 144 X: 9.02051 +INDEX GOES BRRR: 295 X: 18.4902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0039 +INDEX GOES BRRR: 259 X: 16.2275 +INDEX GOES BRRR: 81 X: 5.09863 +INDEX GOES BRRR: 387 X: 24.2354 +INDEX GOES BRRR: 288 X: 18.0605 +INDEX GOES BRRR: 38 X: 2.42871 +INDEX GOES BRRR: 134 X: 8.39355 +INDEX GOES BRRR: 203 X: 12.6973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 871 X: -9.55273 +INDEX GOES BRRR: 102 X: 6.40039 +INDEX GOES BRRR: 41 X: 2.57129 +INDEX GOES BRRR: 364 X: 22.7832 +INDEX GOES BRRR: 81 X: 5.10938 +INDEX GOES BRRR: 167 X: 10.4668 +INDEX GOES BRRR: 59 X: 3.69629 +INDEX GOES BRRR: 243 X: 15.2188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 396 X: 24.7891 +INDEX GOES BRRR: 941 X: -5.18262 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 140 X: 8.79199 +INDEX GOES BRRR: 146 X: 9.17871 +INDEX GOES BRRR: 102 X: 6.41406 +INDEX GOES BRRR: 174 X: 10.8779 +INDEX GOES BRRR: 989 X: -2.16113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6494 +INDEX GOES BRRR: 241 X: 15.1162 +INDEX GOES BRRR: 363 X: 22.7451 +INDEX GOES BRRR: 9 X: 0.615234 +INDEX GOES BRRR: 1001 X: -1.37891 +INDEX GOES BRRR: 19 X: 1.21777 +INDEX GOES BRRR: 208 X: 13.0137 +INDEX GOES BRRR: 231 X: 14.4414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.88965 +INDEX GOES BRRR: 425 X: 26.5801 +INDEX GOES BRRR: 153 X: 9.62402 +INDEX GOES BRRR: 960 X: -3.94531 +INDEX GOES BRRR: 813 X: -13.1465 +INDEX GOES BRRR: 6 X: 0.429688 +INDEX GOES BRRR: 323 X: 20.1943 +INDEX GOES BRRR: 835 X: -11.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.2578 +INDEX GOES BRRR: 189 X: 11.8125 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 862 X: -10.1211 +INDEX GOES BRRR: 1017 X: -0.407227 +INDEX GOES BRRR: 307 X: 19.2305 +INDEX GOES BRRR: 130 X: 8.14844 +INDEX GOES BRRR: 482 X: 30.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.9941 +INDEX GOES BRRR: 225 X: 14.0625 +INDEX GOES BRRR: 27 X: 1.6875 +INDEX GOES BRRR: 136 X: 8.54102 +INDEX GOES BRRR: 136 X: 8.5459 +INDEX GOES BRRR: 996 X: -1.7002 +INDEX GOES BRRR: 841 X: -11.4346 +INDEX GOES BRRR: 57 X: 3.58887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.25488 +INDEX GOES BRRR: 995 X: -1.80273 +INDEX GOES BRRR: 349 X: 21.8232 +INDEX GOES BRRR: 208 X: 13.0107 +INDEX GOES BRRR: 272 X: 17.0312 +INDEX GOES BRRR: 325 X: 20.3418 +INDEX GOES BRRR: 19 X: 1.22559 +INDEX GOES BRRR: 82 X: 5.1543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.05371 +INDEX GOES BRRR: 79 X: 4.94629 +INDEX GOES BRRR: 256 X: 16.0303 +INDEX GOES BRRR: 857 X: -10.4209 +INDEX GOES BRRR: 191 X: 11.9756 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 338 X: 21.1768 +INDEX GOES BRRR: 49 X: 3.09863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.148438 +INDEX GOES BRRR: 150 X: 9.43164 +INDEX GOES BRRR: 115 X: 7.20312 +INDEX GOES BRRR: 322 X: 20.1719 +INDEX GOES BRRR: 393 X: 24.5859 +INDEX GOES BRRR: 300 X: 18.7988 +INDEX GOES BRRR: 867 X: -9.80957 +INDEX GOES BRRR: 928 X: -5.97656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4961 +INDEX GOES BRRR: 301 X: 18.8662 +INDEX GOES BRRR: 171 X: 10.7119 +INDEX GOES BRRR: 172 X: 10.792 +INDEX GOES BRRR: 950 X: -4.625 +INDEX GOES BRRR: 110 X: 6.9209 +INDEX GOES BRRR: 22 X: 1.42773 +INDEX GOES BRRR: 214 X: 13.3926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 337 X: 21.1006 +INDEX GOES BRRR: 76 X: 4.76465 +INDEX GOES BRRR: 872 X: -9.46094 +INDEX GOES BRRR: 1014 X: -0.608398 +INDEX GOES BRRR: 373 X: 23.3496 +INDEX GOES BRRR: 905 X: -7.41895 +INDEX GOES BRRR: 308 X: 19.2705 +INDEX GOES BRRR: 838 X: -11.5947 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.96484 +INDEX GOES BRRR: 227 X: 14.2119 +INDEX GOES BRRR: 317 X: 19.8721 +INDEX GOES BRRR: 14 X: 0.936523 +INDEX GOES BRRR: 132 X: 8.31055 +INDEX GOES BRRR: 796 X: -14.25 +INDEX GOES BRRR: 403 X: 25.2256 +INDEX GOES BRRR: 263 X: 16.4932 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.8008 +INDEX GOES BRRR: 905 X: -7.40039 +INDEX GOES BRRR: 145 X: 9.10254 +INDEX GOES BRRR: 63 X: 3.97266 +INDEX GOES BRRR: 323 X: 20.1924 +INDEX GOES BRRR: 929 X: -5.92773 +INDEX GOES BRRR: 344 X: 21.5615 +INDEX GOES BRRR: 241 X: 15.1025 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.52148 +INDEX GOES BRRR: 228 X: 14.251 +INDEX GOES BRRR: 231 X: 14.4541 +INDEX GOES BRRR: 161 X: 10.1123 +INDEX GOES BRRR: 871 X: -9.50195 +INDEX GOES BRRR: 151 X: 9.48535 +INDEX GOES BRRR: 210 X: 13.1318 +INDEX GOES BRRR: 175 X: 10.9414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.8994 +INDEX GOES BRRR: 121 X: 7.59863 +INDEX GOES BRRR: 58 X: 3.64453 +INDEX GOES BRRR: 204 X: 12.7969 +INDEX GOES BRRR: 242 X: 15.1562 +INDEX GOES BRRR: 338 X: 21.1318 +INDEX GOES BRRR: 470 X: 29.418 +INDEX GOES BRRR: 69 X: 4.33887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.085 +INDEX GOES BRRR: 199 X: 12.4678 +INDEX GOES BRRR: 418 X: 26.1836 +INDEX GOES BRRR: 349 X: 21.8662 +INDEX GOES BRRR: 950 X: -4.61621 +INDEX GOES BRRR: 244 X: 15.2607 +INDEX GOES BRRR: 78 X: 4.89355 +INDEX GOES BRRR: 81 X: 5.08203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1426 +INDEX GOES BRRR: 967 X: -3.56055 +INDEX GOES BRRR: 234 X: 14.6719 +INDEX GOES BRRR: 202 X: 12.6572 +INDEX GOES BRRR: 123 X: 7.71875 +INDEX GOES BRRR: 890 X: -8.3291 +INDEX GOES BRRR: 34 X: 2.13965 +INDEX GOES BRRR: 33 X: 2.06445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.8125 +INDEX GOES BRRR: 60 X: 3.79883 +INDEX GOES BRRR: 193 X: 12.0811 +INDEX GOES BRRR: 87 X: 5.49902 +INDEX GOES BRRR: 934 X: -5.60156 +INDEX GOES BRRR: 243 X: 15.2051 +INDEX GOES BRRR: 60 X: 3.7666 +INDEX GOES BRRR: 227 X: 14.2217 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.625977 +INDEX GOES BRRR: 425 X: 26.5674 +INDEX GOES BRRR: 136 X: 8.51172 +INDEX GOES BRRR: 8 X: 0.519531 +INDEX GOES BRRR: 221 X: 13.8516 +INDEX GOES BRRR: 391 X: 24.4551 +INDEX GOES BRRR: 96 X: 6.05664 +INDEX GOES BRRR: 257 X: 16.1133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 861 X: -10.166 +INDEX GOES BRRR: 342 X: 21.4062 +INDEX GOES BRRR: 353 X: 22.1006 +INDEX GOES BRRR: 44 X: 2.75684 +INDEX GOES BRRR: 121 X: 7.61523 +INDEX GOES BRRR: 113 X: 7.10254 +INDEX GOES BRRR: 61 X: 3.81641 +INDEX GOES BRRR: 47 X: 2.9502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1289 +INDEX GOES BRRR: 278 X: 17.3877 +INDEX GOES BRRR: 80 X: 5 +INDEX GOES BRRR: 239 X: 14.9629 +INDEX GOES BRRR: 983 X: -2.54102 +INDEX GOES BRRR: 402 X: 25.1719 +INDEX GOES BRRR: 91 X: 5.69238 +INDEX GOES BRRR: 987 X: -2.27148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 380 X: 23.8018 +INDEX GOES BRRR: 263 X: 16.4912 +INDEX GOES BRRR: 226 X: 14.1387 +INDEX GOES BRRR: 965 X: -3.68359 +INDEX GOES BRRR: 46 X: 2.91309 +INDEX GOES BRRR: 339 X: 21.2344 +INDEX GOES BRRR: 388 X: 24.2725 +INDEX GOES BRRR: 954 X: -4.31738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 987 X: -2.26953 +INDEX GOES BRRR: 145 X: 9.08594 +INDEX GOES BRRR: 112 X: 7.00977 +INDEX GOES BRRR: 232 X: 14.5586 +INDEX GOES BRRR: 911 X: -7.03711 +INDEX GOES BRRR: 236 X: 14.7588 +INDEX GOES BRRR: 323 X: 20.2461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.002 +INDEX GOES BRRR: 218 X: 13.668 +INDEX GOES BRRR: 1016 X: -0.498047 +INDEX GOES BRRR: 165 X: 10.332 +INDEX GOES BRRR: 291 X: 18.2246 +INDEX GOES BRRR: 121 X: 7.57129 +INDEX GOES BRRR: 208 X: 13.0381 +INDEX GOES BRRR: 1022 X: -0.109375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.68164 +INDEX GOES BRRR: 257 X: 16.0957 +INDEX GOES BRRR: 183 X: 11.4941 +INDEX GOES BRRR: 819 X: -12.791 +INDEX GOES BRRR: 268 X: 16.7783 +INDEX GOES BRRR: 219 X: 13.7129 +INDEX GOES BRRR: 197 X: 12.3389 +INDEX GOES BRRR: 136 X: 8.52539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.714844 +INDEX GOES BRRR: 19 X: 1.22168 +INDEX GOES BRRR: 210 X: 13.1572 +INDEX GOES BRRR: 968 X: -3.49414 +INDEX GOES BRRR: 459 X: 28.708 +INDEX GOES BRRR: 998 X: -1.625 +INDEX GOES BRRR: 98 X: 6.17383 +INDEX GOES BRRR: 242 X: 15.1611 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.581055 +INDEX GOES BRRR: 358 X: 22.4189 +INDEX GOES BRRR: 352 X: 22.0293 +INDEX GOES BRRR: 79 X: 4.96387 +INDEX GOES BRRR: 384 X: 24.0186 +INDEX GOES BRRR: 48 X: 3.00879 +INDEX GOES BRRR: 306 X: 19.1787 +INDEX GOES BRRR: 109 X: 6.86914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.7031 +INDEX GOES BRRR: 291 X: 18.2129 +INDEX GOES BRRR: 226 X: 14.1641 +INDEX GOES BRRR: 251 X: 15.7031 +INDEX GOES BRRR: 334 X: 20.918 +INDEX GOES BRRR: 197 X: 12.3252 +INDEX GOES BRRR: 151 X: 9.44922 +INDEX GOES BRRR: 50 X: 3.12695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.99316 +INDEX GOES BRRR: 794 X: -14.3711 +INDEX GOES BRRR: 332 X: 20.7764 +INDEX GOES BRRR: 94 X: 5.92773 +INDEX GOES BRRR: 189 X: 11.8584 +INDEX GOES BRRR: 921 X: -6.39746 +INDEX GOES BRRR: 236 X: 14.7959 +INDEX GOES BRRR: 148 X: 9.25977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.31348 +INDEX GOES BRRR: 575 X: -28.0352 +INDEX GOES BRRR: 221 X: 13.8389 +INDEX GOES BRRR: 947 X: -4.7998 +INDEX GOES BRRR: 272 X: 17.0293 +INDEX GOES BRRR: 374 X: 23.3906 +INDEX GOES BRRR: 206 X: 12.9316 +INDEX GOES BRRR: 333 X: 20.8506 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 452 X: 28.3096 +INDEX GOES BRRR: 108 X: 6.77832 +INDEX GOES BRRR: 211 X: 13.1943 +INDEX GOES BRRR: 195 X: 12.21 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 259 X: 16.2119 +INDEX GOES BRRR: 341 X: 21.3574 +INDEX GOES BRRR: 139 X: 8.73145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.85938 +INDEX GOES BRRR: 127 X: 7.9707 +INDEX GOES BRRR: 170 X: 10.625 +INDEX GOES BRRR: 55 X: 3.46191 +INDEX GOES BRRR: 340 X: 21.2676 +INDEX GOES BRRR: 899 X: -7.75488 +INDEX GOES BRRR: 209 X: 13.0859 +INDEX GOES BRRR: 157 X: 9.87305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.21191 +INDEX GOES BRRR: 102 X: 6.43262 +INDEX GOES BRRR: 871 X: -9.50977 +INDEX GOES BRRR: 150 X: 9.41113 +INDEX GOES BRRR: 932 X: -5.70117 +INDEX GOES BRRR: 140 X: 8.77344 +INDEX GOES BRRR: 256 X: 16.0352 +INDEX GOES BRRR: 100 X: 6.25586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.0459 +INDEX GOES BRRR: 184 X: 11.5127 +INDEX GOES BRRR: 12 X: 0.782227 +INDEX GOES BRRR: 329 X: 20.6143 +INDEX GOES BRRR: 231 X: 14.4551 +INDEX GOES BRRR: 488 X: 30.5527 +INDEX GOES BRRR: 256 X: 16.0205 +INDEX GOES BRRR: 233 X: 14.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.808594 +INDEX GOES BRRR: 181 X: 11.3301 +INDEX GOES BRRR: 184 X: 11.5459 +INDEX GOES BRRR: 1019 X: -0.273438 +INDEX GOES BRRR: 1013 X: -0.65918 +INDEX GOES BRRR: 215 X: 13.4775 +INDEX GOES BRRR: 175 X: 10.9756 +INDEX GOES BRRR: 976 X: -2.97461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 429 X: 26.8154 +INDEX GOES BRRR: 896 X: -7.98242 +INDEX GOES BRRR: 280 X: 17.5576 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 172 X: 10.7578 +INDEX GOES BRRR: 147 X: 9.24316 +INDEX GOES BRRR: 217 X: 13.5703 +INDEX GOES BRRR: 261 X: 16.3252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.376 +INDEX GOES BRRR: 908 X: -7.19141 +INDEX GOES BRRR: 125 X: 7.86035 +INDEX GOES BRRR: 99 X: 6.20605 +INDEX GOES BRRR: 211 X: 13.2285 +INDEX GOES BRRR: 169 X: 10.624 +INDEX GOES BRRR: 184 X: 11.502 +INDEX GOES BRRR: 233 X: 14.6006 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 445 X: 27.8486 +INDEX GOES BRRR: 155 X: 9.74512 +INDEX GOES BRRR: 108 X: 6.80176 +INDEX GOES BRRR: 986 X: -2.35938 +INDEX GOES BRRR: 272 X: 17.0439 +INDEX GOES BRRR: 336 X: 21.0449 +INDEX GOES BRRR: 805 X: -13.6611 +INDEX GOES BRRR: 233 X: 14.6143 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 822 X: -12.6162 +INDEX GOES BRRR: 125 X: 7.86523 +INDEX GOES BRRR: 44 X: 2.81055 +INDEX GOES BRRR: 170 X: 10.6328 +INDEX GOES BRRR: 188 X: 11.751 +INDEX GOES BRRR: 399 X: 24.999 +INDEX GOES BRRR: 181 X: 11.3535 +INDEX GOES BRRR: 81 X: 5.10254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.76172 +INDEX GOES BRRR: 29 X: 1.85938 +INDEX GOES BRRR: 1002 X: -1.37207 +INDEX GOES BRRR: 267 X: 16.6914 +INDEX GOES BRRR: 729 X: -18.4043 +INDEX GOES BRRR: 45 X: 2.82324 +INDEX GOES BRRR: 363 X: 22.7246 +INDEX GOES BRRR: 13 X: 0.87207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2705 +INDEX GOES BRRR: 987 X: -2.2666 +INDEX GOES BRRR: 100 X: 6.28809 +INDEX GOES BRRR: 231 X: 14.4727 +INDEX GOES BRRR: 983 X: -2.50977 +INDEX GOES BRRR: 87 X: 5.45605 +INDEX GOES BRRR: 336 X: 21.0498 +INDEX GOES BRRR: 18 X: 1.15918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 23 X: 1.44141 +INDEX GOES BRRR: 160 X: 10.0439 +INDEX GOES BRRR: 77 X: 4.84668 +INDEX GOES BRRR: 312 X: 19.542 +INDEX GOES BRRR: 131 X: 8.22559 +INDEX GOES BRRR: 61 X: 3.84766 +INDEX GOES BRRR: 939 X: -5.28223 +INDEX GOES BRRR: 402 X: 25.1689 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.759766 +INDEX GOES BRRR: 45 X: 2.83496 +INDEX GOES BRRR: 126 X: 7.92188 +INDEX GOES BRRR: 940 X: -5.2168 +INDEX GOES BRRR: 245 X: 15.3721 +INDEX GOES BRRR: 437 X: 27.3252 +INDEX GOES BRRR: 322 X: 20.1611 +INDEX GOES BRRR: 426 X: 26.6582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.51367 +INDEX GOES BRRR: 36 X: 2.30566 +INDEX GOES BRRR: 322 X: 20.1738 +INDEX GOES BRRR: 232 X: 14.5479 +INDEX GOES BRRR: 989 X: -2.16602 +INDEX GOES BRRR: 322 X: 20.1289 +INDEX GOES BRRR: 81 X: 5.09082 +INDEX GOES BRRR: 227 X: 14.2012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0557 +INDEX GOES BRRR: 326 X: 20.4111 +INDEX GOES BRRR: 1022 X: -0.100586 +INDEX GOES BRRR: 36 X: 2.28613 +INDEX GOES BRRR: 189 X: 11.8623 +INDEX GOES BRRR: 286 X: 17.8857 +INDEX GOES BRRR: 236 X: 14.7559 +INDEX GOES BRRR: 1019 X: -0.270508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.59863 +INDEX GOES BRRR: 343 X: 21.4824 +INDEX GOES BRRR: 46 X: 2.9248 +INDEX GOES BRRR: 759 X: -16.5078 +INDEX GOES BRRR: 306 X: 19.1826 +INDEX GOES BRRR: 148 X: 9.29004 +INDEX GOES BRRR: 306 X: 19.1562 +INDEX GOES BRRR: 212 X: 13.2871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.81934 +INDEX GOES BRRR: 352 X: 22.0381 +INDEX GOES BRRR: 47 X: 2.94141 +INDEX GOES BRRR: 17 X: 1.0957 +INDEX GOES BRRR: 35 X: 2.20312 +INDEX GOES BRRR: 345 X: 21.5967 +INDEX GOES BRRR: 347 X: 21.7363 +INDEX GOES BRRR: 188 X: 11.7783 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 470 X: 29.375 +INDEX GOES BRRR: 238 X: 14.9199 +INDEX GOES BRRR: 145 X: 9.11133 +INDEX GOES BRRR: 134 X: 8.38086 +INDEX GOES BRRR: 173 X: 10.8438 +INDEX GOES BRRR: 270 X: 16.9004 +INDEX GOES BRRR: 241 X: 15.1211 +INDEX GOES BRRR: 1018 X: -0.373047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6338 +INDEX GOES BRRR: 274 X: 17.1357 +INDEX GOES BRRR: 873 X: -9.38281 +INDEX GOES BRRR: 109 X: 6.87109 +INDEX GOES BRRR: 262 X: 16.3848 +INDEX GOES BRRR: 218 X: 13.6689 +INDEX GOES BRRR: 135 X: 8.45703 +INDEX GOES BRRR: 183 X: 11.4922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 957 X: -4.14746 +INDEX GOES BRRR: 105 X: 6.60156 +INDEX GOES BRRR: 348 X: 21.7588 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 231 X: 14.4629 +INDEX GOES BRRR: 73 X: 4.61133 +INDEX GOES BRRR: 45 X: 2.83008 +INDEX GOES BRRR: 181 X: 11.3213 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.0967 +INDEX GOES BRRR: 27 X: 1.69238 +INDEX GOES BRRR: 393 X: 24.5898 +INDEX GOES BRRR: 270 X: 16.9209 +INDEX GOES BRRR: 374 X: 23.4258 +INDEX GOES BRRR: 131 X: 8.21191 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 30 X: 1.93262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.77832 +INDEX GOES BRRR: 182 X: 11.4346 +INDEX GOES BRRR: 187 X: 11.6943 +INDEX GOES BRRR: 91 X: 5.71973 +INDEX GOES BRRR: 162 X: 10.1367 +INDEX GOES BRRR: 267 X: 16.7451 +INDEX GOES BRRR: 282 X: 17.6318 +INDEX GOES BRRR: 55 X: 3.44043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.9111 +INDEX GOES BRRR: 364 X: 22.7539 +INDEX GOES BRRR: 219 X: 13.708 +INDEX GOES BRRR: 187 X: 11.6875 +INDEX GOES BRRR: 930 X: -5.85645 +INDEX GOES BRRR: 306 X: 19.1553 +INDEX GOES BRRR: 126 X: 7.875 +INDEX GOES BRRR: 906 X: -7.37305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 393 X: 24.6006 +INDEX GOES BRRR: 182 X: 11.3857 +INDEX GOES BRRR: 156 X: 9.78516 +INDEX GOES BRRR: 327 X: 20.4873 +INDEX GOES BRRR: 226 X: 14.1807 +INDEX GOES BRRR: 797 X: -14.1436 +INDEX GOES BRRR: 984 X: -2.45117 +INDEX GOES BRRR: 229 X: 14.3555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.430664 +INDEX GOES BRRR: 102 X: 6.40137 +INDEX GOES BRRR: 836 X: -11.708 +INDEX GOES BRRR: 112 X: 7.05859 +INDEX GOES BRRR: 964 X: -3.70801 +INDEX GOES BRRR: 249 X: 15.5723 +INDEX GOES BRRR: 14 X: 0.923828 +INDEX GOES BRRR: 1023 X: -0.0175781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.31055 +INDEX GOES BRRR: 853 X: -10.667 +INDEX GOES BRRR: 703 X: -20.0186 +INDEX GOES BRRR: 192 X: 12.0381 +INDEX GOES BRRR: 362 X: 22.6514 +INDEX GOES BRRR: 7 X: 0.47168 +INDEX GOES BRRR: 124 X: 7.79883 +INDEX GOES BRRR: 206 X: 12.917 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6465 +INDEX GOES BRRR: 150 X: 9.43457 +INDEX GOES BRRR: 103 X: 6.44141 +INDEX GOES BRRR: 888 X: -8.47852 +INDEX GOES BRRR: 371 X: 23.2314 +INDEX GOES BRRR: 131 X: 8.24414 +INDEX GOES BRRR: 118 X: 7.37695 +INDEX GOES BRRR: 41 X: 2.57617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 991 X: -2.05859 +INDEX GOES BRRR: 18 X: 1.13574 +INDEX GOES BRRR: 100 X: 6.2998 +INDEX GOES BRRR: 275 X: 17.2383 +INDEX GOES BRRR: 293 X: 18.3291 +INDEX GOES BRRR: 266 X: 16.6299 +INDEX GOES BRRR: 145 X: 9.06445 +INDEX GOES BRRR: 300 X: 18.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 361 X: 22.5791 +INDEX GOES BRRR: 135 X: 8.46387 +INDEX GOES BRRR: 41 X: 2.6123 +INDEX GOES BRRR: 108 X: 6.77539 +INDEX GOES BRRR: 169 X: 10.5947 +INDEX GOES BRRR: 44 X: 2.78223 +INDEX GOES BRRR: 970 X: -3.36816 +INDEX GOES BRRR: 123 X: 7.71289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.9043 +INDEX GOES BRRR: 402 X: 25.1338 +INDEX GOES BRRR: 264 X: 16.502 +INDEX GOES BRRR: 203 X: 12.7227 +INDEX GOES BRRR: 278 X: 17.3838 +INDEX GOES BRRR: 172 X: 10.7998 +INDEX GOES BRRR: 488 X: 30.5459 +INDEX GOES BRRR: 18 X: 1.18066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5312 +INDEX GOES BRRR: 253 X: 15.8164 +INDEX GOES BRRR: 155 X: 9.68848 +INDEX GOES BRRR: 144 X: 9.01465 +INDEX GOES BRRR: 253 X: 15.8301 +INDEX GOES BRRR: 39 X: 2.48926 +INDEX GOES BRRR: 940 X: -5.2334 +INDEX GOES BRRR: 136 X: 8.50488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.68652 +INDEX GOES BRRR: 169 X: 10.6152 +INDEX GOES BRRR: 396 X: 24.8096 +INDEX GOES BRRR: 131 X: 8.22461 +INDEX GOES BRRR: 49 X: 3.10156 +INDEX GOES BRRR: 930 X: -5.87109 +INDEX GOES BRRR: 903 X: -7.54785 +INDEX GOES BRRR: 378 X: 23.665 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.80371 +INDEX GOES BRRR: 1014 X: -0.599609 +INDEX GOES BRRR: 321 X: 20.0996 +INDEX GOES BRRR: 70 X: 4.43262 +INDEX GOES BRRR: 999 X: -1.53125 +INDEX GOES BRRR: 160 X: 10.0225 +INDEX GOES BRRR: 992 X: -1.94531 +INDEX GOES BRRR: 104 X: 6.55273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 896 X: -7.96777 +INDEX GOES BRRR: 274 X: 17.166 +INDEX GOES BRRR: 115 X: 7.21777 +INDEX GOES BRRR: 937 X: -5.37793 +INDEX GOES BRRR: 116 X: 7.26855 +INDEX GOES BRRR: 286 X: 17.8877 +INDEX GOES BRRR: 298 X: 18.6367 +INDEX GOES BRRR: 250 X: 15.6709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.672852 +INDEX GOES BRRR: 127 X: 7.99121 +INDEX GOES BRRR: 492 X: 30.8008 +INDEX GOES BRRR: 106 X: 6.62891 +INDEX GOES BRRR: 76 X: 4.79492 +INDEX GOES BRRR: 161 X: 10.1035 +INDEX GOES BRRR: 954 X: -4.3291 +INDEX GOES BRRR: 470 X: 29.3945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 861 X: -10.1426 +INDEX GOES BRRR: 13 X: 0.856445 +INDEX GOES BRRR: 253 X: 15.8467 +INDEX GOES BRRR: 64 X: 4.00879 +INDEX GOES BRRR: 365 X: 22.8525 +INDEX GOES BRRR: 469 X: 29.3545 +INDEX GOES BRRR: 1023 X: -0.0625 +INDEX GOES BRRR: 223 X: 13.9697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.87598 +INDEX GOES BRRR: 183 X: 11.4727 +INDEX GOES BRRR: 255 X: 15.9521 +INDEX GOES BRRR: 325 X: 20.3447 +INDEX GOES BRRR: 199 X: 12.46 +INDEX GOES BRRR: 393 X: 24.584 +INDEX GOES BRRR: 124 X: 7.77246 +INDEX GOES BRRR: 258 X: 16.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 929 X: -5.87598 +INDEX GOES BRRR: 98 X: 6.16113 +INDEX GOES BRRR: 79 X: 4.94629 +INDEX GOES BRRR: 111 X: 6.99219 +INDEX GOES BRRR: 16 X: 1.02246 +INDEX GOES BRRR: 333 X: 20.8301 +INDEX GOES BRRR: 109 X: 6.85254 +INDEX GOES BRRR: 970 X: -3.37402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 14 X: 0.876953 +INDEX GOES BRRR: 103 X: 6.49023 +INDEX GOES BRRR: 997 X: -1.65234 +INDEX GOES BRRR: 158 X: 9.93164 +INDEX GOES BRRR: 237 X: 14.8193 +INDEX GOES BRRR: 251 X: 15.7451 +INDEX GOES BRRR: 248 X: 15.543 +INDEX GOES BRRR: 341 X: 21.373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.3809 +INDEX GOES BRRR: 188 X: 11.7627 +INDEX GOES BRRR: 302 X: 18.8896 +INDEX GOES BRRR: 338 X: 21.1777 +INDEX GOES BRRR: 297 X: 18.5693 +INDEX GOES BRRR: 311 X: 19.4443 +INDEX GOES BRRR: 322 X: 20.167 +INDEX GOES BRRR: 135 X: 8.49316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 287 X: 17.9463 +INDEX GOES BRRR: 30 X: 1.91016 +INDEX GOES BRRR: 145 X: 9.11133 +INDEX GOES BRRR: 309 X: 19.3135 +INDEX GOES BRRR: 254 X: 15.9082 +INDEX GOES BRRR: 371 X: 23.1914 +INDEX GOES BRRR: 271 X: 16.9668 +INDEX GOES BRRR: 288 X: 18.0078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.58301 +INDEX GOES BRRR: 228 X: 14.3096 +INDEX GOES BRRR: 981 X: -2.67969 +INDEX GOES BRRR: 306 X: 19.1318 +INDEX GOES BRRR: 380 X: 23.751 +INDEX GOES BRRR: 1 X: 0.0742188 +INDEX GOES BRRR: 963 X: -3.77441 +INDEX GOES BRRR: 137 X: 8.56641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.792 +INDEX GOES BRRR: 38 X: 2.43262 +INDEX GOES BRRR: 408 X: 25.5098 +INDEX GOES BRRR: 255 X: 15.9561 +INDEX GOES BRRR: 897 X: -7.91504 +INDEX GOES BRRR: 177 X: 11.0869 +INDEX GOES BRRR: 340 X: 21.2998 +INDEX GOES BRRR: 59 X: 3.7002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 931 X: -5.80078 +INDEX GOES BRRR: 231 X: 14.4854 +INDEX GOES BRRR: 221 X: 13.8594 +INDEX GOES BRRR: 989 X: -2.12598 +INDEX GOES BRRR: 221 X: 13.8428 +INDEX GOES BRRR: 38 X: 2.41602 +INDEX GOES BRRR: 205 X: 12.8145 +INDEX GOES BRRR: 90 X: 5.68555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 420 X: 26.3018 +INDEX GOES BRRR: 241 X: 15.0996 +INDEX GOES BRRR: 170 X: 10.6338 +INDEX GOES BRRR: 404 X: 25.25 +INDEX GOES BRRR: 75 X: 4.69043 +INDEX GOES BRRR: 130 X: 8.16406 +INDEX GOES BRRR: 96 X: 6.01953 +INDEX GOES BRRR: 50 X: 3.18359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6416 +INDEX GOES BRRR: 273 X: 17.1025 +INDEX GOES BRRR: 287 X: 17.958 +INDEX GOES BRRR: 150 X: 9.40723 +INDEX GOES BRRR: 177 X: 11.0732 +INDEX GOES BRRR: 307 X: 19.1953 +INDEX GOES BRRR: 137 X: 8.59277 +INDEX GOES BRRR: 139 X: 8.72559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2139 +INDEX GOES BRRR: 244 X: 15.3076 +INDEX GOES BRRR: 377 X: 23.5781 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 21 X: 1.31348 +INDEX GOES BRRR: 978 X: -2.875 +INDEX GOES BRRR: 399 X: 24.957 +INDEX GOES BRRR: 234 X: 14.6494 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9619 +INDEX GOES BRRR: 159 X: 9.95215 +INDEX GOES BRRR: 6 X: 0.390625 +INDEX GOES BRRR: 428 X: 26.7842 +INDEX GOES BRRR: 148 X: 9.2793 +INDEX GOES BRRR: 311 X: 19.4375 +INDEX GOES BRRR: 2 X: 0.161133 +INDEX GOES BRRR: 151 X: 9.49707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5547 +INDEX GOES BRRR: 374 X: 23.3828 +INDEX GOES BRRR: 109 X: 6.84082 +INDEX GOES BRRR: 86 X: 5.39062 +INDEX GOES BRRR: 36 X: 2.30078 +INDEX GOES BRRR: 984 X: -2.48633 +INDEX GOES BRRR: 383 X: 23.958 +INDEX GOES BRRR: 365 X: 22.8477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.55566 +INDEX GOES BRRR: 75 X: 4.73242 +INDEX GOES BRRR: 134 X: 8.38086 +INDEX GOES BRRR: 257 X: 16.1201 +INDEX GOES BRRR: 12 X: 0.78125 +INDEX GOES BRRR: 389 X: 24.374 +INDEX GOES BRRR: 228 X: 14.2822 +INDEX GOES BRRR: 967 X: -3.50195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 974 X: -3.09766 +INDEX GOES BRRR: 355 X: 22.1924 +INDEX GOES BRRR: 312 X: 19.5225 +INDEX GOES BRRR: 227 X: 14.2314 +INDEX GOES BRRR: 935 X: -5.54102 +INDEX GOES BRRR: 202 X: 12.6836 +INDEX GOES BRRR: 109 X: 6.87012 +INDEX GOES BRRR: 364 X: 22.8027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 835 X: -11.7949 +INDEX GOES BRRR: 163 X: 10.2207 +INDEX GOES BRRR: 224 X: 14.0498 +INDEX GOES BRRR: 184 X: 11.5498 +INDEX GOES BRRR: 14 X: 0.895508 +INDEX GOES BRRR: 69 X: 4.34277 +INDEX GOES BRRR: 460 X: 28.8086 +INDEX GOES BRRR: 401 X: 25.1191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.563477 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 311 X: 19.4453 +INDEX GOES BRRR: 69 X: 4.35254 +INDEX GOES BRRR: 264 X: 16.5234 +INDEX GOES BRRR: 280 X: 17.5137 +INDEX GOES BRRR: 37 X: 2.32129 +INDEX GOES BRRR: 85 X: 5.35645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.623 +INDEX GOES BRRR: 93 X: 5.82812 +INDEX GOES BRRR: 295 X: 18.4834 +INDEX GOES BRRR: 1006 X: -1.0752 +INDEX GOES BRRR: 255 X: 15.9854 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 480 X: 30.0078 +INDEX GOES BRRR: 264 X: 16.5449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 449 X: 28.0645 +INDEX GOES BRRR: 221 X: 13.8174 +INDEX GOES BRRR: 271 X: 16.9912 +INDEX GOES BRRR: 134 X: 8.41309 +INDEX GOES BRRR: 868 X: -9.72559 +INDEX GOES BRRR: 192 X: 12.0176 +INDEX GOES BRRR: 969 X: -3.38281 +INDEX GOES BRRR: 128 X: 8.0498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.2324 +INDEX GOES BRRR: 982 X: -2.625 +INDEX GOES BRRR: 218 X: 13.6279 +INDEX GOES BRRR: 39 X: 2.47461 +INDEX GOES BRRR: 223 X: 13.9648 +INDEX GOES BRRR: 249 X: 15.5859 +INDEX GOES BRRR: 124 X: 7.80957 +INDEX GOES BRRR: 419 X: 26.1982 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 401 X: 25.0947 +INDEX GOES BRRR: 125 X: 7.8418 +INDEX GOES BRRR: 293 X: 18.3594 +INDEX GOES BRRR: 143 X: 8.95508 +INDEX GOES BRRR: 137 X: 8.61914 +INDEX GOES BRRR: 11 X: 0.71875 +INDEX GOES BRRR: 117 X: 7.32715 +INDEX GOES BRRR: 23 X: 1.44141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0615 +INDEX GOES BRRR: 320 X: 20.0479 +INDEX GOES BRRR: 193 X: 12.0703 +INDEX GOES BRRR: 768 X: -15.9883 +INDEX GOES BRRR: 170 X: 10.6445 +INDEX GOES BRRR: 159 X: 9.9668 +INDEX GOES BRRR: 147 X: 9.21094 +INDEX GOES BRRR: 204 X: 12.7793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.29395 +INDEX GOES BRRR: 920 X: -6.48926 +INDEX GOES BRRR: 145 X: 9.10547 +INDEX GOES BRRR: 872 X: -9.48047 +INDEX GOES BRRR: 225 X: 14.1211 +INDEX GOES BRRR: 152 X: 9.54297 +INDEX GOES BRRR: 161 X: 10.0957 +INDEX GOES BRRR: 219 X: 13.749 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.3682 +INDEX GOES BRRR: 103 X: 6.4375 +INDEX GOES BRRR: 430 X: 26.9219 +INDEX GOES BRRR: 924 X: -6.24609 +INDEX GOES BRRR: 37 X: 2.31934 +INDEX GOES BRRR: 113 X: 7.07129 +INDEX GOES BRRR: 357 X: 22.3555 +INDEX GOES BRRR: 236 X: 14.7686 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.1064 +INDEX GOES BRRR: 33 X: 2.0957 +INDEX GOES BRRR: 88 X: 5.53906 +INDEX GOES BRRR: 421 X: 26.3652 +INDEX GOES BRRR: 306 X: 19.1445 +INDEX GOES BRRR: 956 X: -4.19922 +INDEX GOES BRRR: 249 X: 15.5674 +INDEX GOES BRRR: 76 X: 4.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 299 X: 18.749 +INDEX GOES BRRR: 57 X: 3.61035 +INDEX GOES BRRR: 288 X: 18.0029 +INDEX GOES BRRR: 217 X: 13.6104 +INDEX GOES BRRR: 460 X: 28.7969 +INDEX GOES BRRR: 6 X: 0.405273 +INDEX GOES BRRR: 136 X: 8.54785 +INDEX GOES BRRR: 109 X: 6.83008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.7773 +INDEX GOES BRRR: 356 X: 22.2764 +INDEX GOES BRRR: 33 X: 2.12109 +INDEX GOES BRRR: 188 X: 11.7549 +INDEX GOES BRRR: 17 X: 1.10645 +INDEX GOES BRRR: 159 X: 9.9873 +INDEX GOES BRRR: 203 X: 12.7334 +INDEX GOES BRRR: 822 X: -12.5791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9941 +INDEX GOES BRRR: 737 X: -17.9336 +INDEX GOES BRRR: 117 X: 7.31641 +INDEX GOES BRRR: 224 X: 14.0439 +INDEX GOES BRRR: 165 X: 10.3164 +INDEX GOES BRRR: 144 X: 9.02539 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 136 X: 8.55859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.29199 +INDEX GOES BRRR: 193 X: 12.0801 +INDEX GOES BRRR: 196 X: 12.2773 +INDEX GOES BRRR: 5 X: 0.337891 +INDEX GOES BRRR: 137 X: 8.58301 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 273 X: 17.0977 +INDEX GOES BRRR: 379 X: 23.707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 984 X: -2.47363 +INDEX GOES BRRR: 259 X: 16.1934 +INDEX GOES BRRR: 1022 X: -0.0820312 +INDEX GOES BRRR: 981 X: -2.66113 +INDEX GOES BRRR: 1018 X: -0.313477 +INDEX GOES BRRR: 306 X: 19.1523 +INDEX GOES BRRR: 178 X: 11.1309 +INDEX GOES BRRR: 980 X: -2.73926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.86719 +INDEX GOES BRRR: 981 X: -2.66602 +INDEX GOES BRRR: 393 X: 24.623 +INDEX GOES BRRR: 763 X: -16.251 +INDEX GOES BRRR: 131 X: 8.23242 +INDEX GOES BRRR: 232 X: 14.542 +INDEX GOES BRRR: 807 X: -13.5537 +INDEX GOES BRRR: 228 X: 14.2646 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.25098 +INDEX GOES BRRR: 242 X: 15.1836 +INDEX GOES BRRR: 374 X: 23.4092 +INDEX GOES BRRR: 26 X: 1.64941 +INDEX GOES BRRR: 1006 X: -1.12012 +INDEX GOES BRRR: 435 X: 27.2266 +INDEX GOES BRRR: 211 X: 13.1904 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.223633 +INDEX GOES BRRR: 160 X: 10.04 +INDEX GOES BRRR: 13 X: 0.867188 +INDEX GOES BRRR: 213 X: 13.374 +INDEX GOES BRRR: 182 X: 11.3877 +INDEX GOES BRRR: 362 X: 22.6455 +INDEX GOES BRRR: 152 X: 9.54492 +INDEX GOES BRRR: 72 X: 4.54102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.1895 +INDEX GOES BRRR: 228 X: 14.2568 +INDEX GOES BRRR: 389 X: 24.3623 +INDEX GOES BRRR: 240 X: 15.0205 +INDEX GOES BRRR: 82 X: 5.15723 +INDEX GOES BRRR: 93 X: 5.8584 +INDEX GOES BRRR: 209 X: 13.1016 +INDEX GOES BRRR: 31 X: 1.9375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1012 X: -0.735352 +INDEX GOES BRRR: 349 X: 21.8232 +INDEX GOES BRRR: 132 X: 8.26758 +INDEX GOES BRRR: 98 X: 6.16406 +INDEX GOES BRRR: 133 X: 8.34961 +INDEX GOES BRRR: 433 X: 27.1152 +INDEX GOES BRRR: 917 X: -6.68164 +INDEX GOES BRRR: 476 X: 29.8018 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.7998 +INDEX GOES BRRR: 485 X: 30.3408 +INDEX GOES BRRR: 17 X: 1.12109 +INDEX GOES BRRR: 386 X: 24.1572 +INDEX GOES BRRR: 282 X: 17.6377 +INDEX GOES BRRR: 150 X: 9.38086 +INDEX GOES BRRR: 965 X: -3.66504 +INDEX GOES BRRR: 265 X: 16.5986 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 947 X: -4.76855 +INDEX GOES BRRR: 482 X: 30.1484 +INDEX GOES BRRR: 39 X: 2.48438 +INDEX GOES BRRR: 139 X: 8.73145 +INDEX GOES BRRR: 40 X: 2.53223 +INDEX GOES BRRR: 420 X: 26.2607 +INDEX GOES BRRR: 134 X: 8.41309 +INDEX GOES BRRR: 40 X: 2.55371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.19043 +INDEX GOES BRRR: 218 X: 13.666 +INDEX GOES BRRR: 961 X: -3.87988 +INDEX GOES BRRR: 33 X: 2.08691 +INDEX GOES BRRR: 90 X: 5.62695 +INDEX GOES BRRR: 165 X: 10.3682 +INDEX GOES BRRR: 327 X: 20.4531 +INDEX GOES BRRR: 166 X: 10.3809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 274 X: 17.167 +INDEX GOES BRRR: 233 X: 14.6143 +INDEX GOES BRRR: 136 X: 8.50293 +INDEX GOES BRRR: 61 X: 3.85156 +INDEX GOES BRRR: 980 X: -2.73242 +INDEX GOES BRRR: 51 X: 3.20996 +INDEX GOES BRRR: 270 X: 16.8896 +INDEX GOES BRRR: 271 X: 16.9912 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.0771 +INDEX GOES BRRR: 275 X: 17.2383 +INDEX GOES BRRR: 264 X: 16.5361 +INDEX GOES BRRR: 75 X: 4.69141 +INDEX GOES BRRR: 127 X: 7.99121 +INDEX GOES BRRR: 41 X: 2.61035 +INDEX GOES BRRR: 250 X: 15.6484 +INDEX GOES BRRR: 35 X: 2.21777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 377 X: 23.582 +INDEX GOES BRRR: 1 X: 0.107422 +INDEX GOES BRRR: 408 X: 25.5029 +INDEX GOES BRRR: 963 X: -3.77734 +INDEX GOES BRRR: 279 X: 17.4502 +INDEX GOES BRRR: 166 X: 10.4238 +INDEX GOES BRRR: 403 X: 25.248 +INDEX GOES BRRR: 299 X: 18.709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.79785 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1016 X: -0.483398 +INDEX GOES BRRR: 133 X: 8.31641 +INDEX GOES BRRR: 196 X: 12.2676 +INDEX GOES BRRR: 1015 X: -0.545898 +INDEX GOES BRRR: 121 X: 7.62109 +INDEX GOES BRRR: 295 X: 18.4434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.43066 +INDEX GOES BRRR: 140 X: 8.81152 +INDEX GOES BRRR: 921 X: -6.38672 +INDEX GOES BRRR: 402 X: 25.1641 +INDEX GOES BRRR: 244 X: 15.3018 +INDEX GOES BRRR: 7 X: 0.490234 +INDEX GOES BRRR: 1021 X: -0.170898 +INDEX GOES BRRR: 154 X: 9.66602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 993 X: -1.93359 +INDEX GOES BRRR: 160 X: 10.0332 +INDEX GOES BRRR: 247 X: 15.498 +INDEX GOES BRRR: 263 X: 16.4395 +INDEX GOES BRRR: 274 X: 17.1738 +INDEX GOES BRRR: 359 X: 22.4746 +INDEX GOES BRRR: 996 X: -1.69238 +INDEX GOES BRRR: 88 X: 5.52246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.8223 +INDEX GOES BRRR: 341 X: 21.3691 +INDEX GOES BRRR: 1017 X: -0.410156 +INDEX GOES BRRR: 190 X: 11.8896 +INDEX GOES BRRR: 285 X: 17.8154 +INDEX GOES BRRR: 179 X: 11.2334 +INDEX GOES BRRR: 79 X: 4.97754 +INDEX GOES BRRR: 18 X: 1.14453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0879 +INDEX GOES BRRR: 236 X: 14.7617 +INDEX GOES BRRR: 7 X: 0.457031 +INDEX GOES BRRR: 236 X: 14.7578 +INDEX GOES BRRR: 953 X: -4.4043 +INDEX GOES BRRR: 203 X: 12.7217 +INDEX GOES BRRR: 395 X: 24.71 +INDEX GOES BRRR: 1020 X: -0.192383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.22852 +INDEX GOES BRRR: 405 X: 25.3164 +INDEX GOES BRRR: 77 X: 4.85156 +INDEX GOES BRRR: 470 X: 29.3779 +INDEX GOES BRRR: 184 X: 11.5439 +INDEX GOES BRRR: 163 X: 10.1885 +INDEX GOES BRRR: 255 X: 15.9561 +INDEX GOES BRRR: 152 X: 9.55859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.94238 +INDEX GOES BRRR: 992 X: -1.94824 +INDEX GOES BRRR: 359 X: 22.4541 +INDEX GOES BRRR: 181 X: 11.3408 +INDEX GOES BRRR: 303 X: 18.9512 +INDEX GOES BRRR: 283 X: 17.7314 +INDEX GOES BRRR: 785 X: -14.9375 +INDEX GOES BRRR: 208 X: 13.0312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.1416 +INDEX GOES BRRR: 783 X: -15.0117 +INDEX GOES BRRR: 268 X: 16.7793 +INDEX GOES BRRR: 415 X: 25.9609 +INDEX GOES BRRR: 394 X: 24.6338 +INDEX GOES BRRR: 387 X: 24.2021 +INDEX GOES BRRR: 146 X: 9.17871 +INDEX GOES BRRR: 1021 X: -0.165039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.22754 +INDEX GOES BRRR: 153 X: 9.58594 +INDEX GOES BRRR: 5 X: 0.355469 +INDEX GOES BRRR: 72 X: 4.50098 +INDEX GOES BRRR: 207 X: 12.9775 +INDEX GOES BRRR: 155 X: 9.73047 +INDEX GOES BRRR: 118 X: 7.41699 +INDEX GOES BRRR: 242 X: 15.1328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.74414 +INDEX GOES BRRR: 205 X: 12.8271 +INDEX GOES BRRR: 333 X: 20.8242 +INDEX GOES BRRR: 27 X: 1.70312 +INDEX GOES BRRR: 244 X: 15.3105 +INDEX GOES BRRR: 679 X: -21.5352 +INDEX GOES BRRR: 1021 X: -0.144531 +INDEX GOES BRRR: 106 X: 6.64844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 437 X: 27.3555 +INDEX GOES BRRR: 88 X: 5.53223 +INDEX GOES BRRR: 228 X: 14.2539 +INDEX GOES BRRR: 212 X: 13.2656 +INDEX GOES BRRR: 260 X: 16.3096 +INDEX GOES BRRR: 140 X: 8.77051 +INDEX GOES BRRR: 164 X: 10.2627 +INDEX GOES BRRR: 134 X: 8.41211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0244 +INDEX GOES BRRR: 143 X: 8.97168 +INDEX GOES BRRR: 785 X: -14.9053 +INDEX GOES BRRR: 107 X: 6.69043 +INDEX GOES BRRR: 1008 X: -0.987305 +INDEX GOES BRRR: 292 X: 18.2891 +INDEX GOES BRRR: 359 X: 22.4727 +INDEX GOES BRRR: 120 X: 7.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.53125 +INDEX GOES BRRR: 258 X: 16.1348 +INDEX GOES BRRR: 932 X: -5.74414 +INDEX GOES BRRR: 251 X: 15.7012 +INDEX GOES BRRR: 84 X: 5.26367 +INDEX GOES BRRR: 364 X: 22.7773 +INDEX GOES BRRR: 8 X: 0.543945 +INDEX GOES BRRR: 121 X: 7.58203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.70312 +INDEX GOES BRRR: 341 X: 21.3721 +INDEX GOES BRRR: 157 X: 9.86328 +INDEX GOES BRRR: 165 X: 10.3438 +INDEX GOES BRRR: 35 X: 2.23242 +INDEX GOES BRRR: 175 X: 10.9912 +INDEX GOES BRRR: 150 X: 9.38574 +INDEX GOES BRRR: 865 X: -9.91406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.7041 +INDEX GOES BRRR: 967 X: -3.52734 +INDEX GOES BRRR: 272 X: 17.0537 +INDEX GOES BRRR: 188 X: 11.7793 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 409 X: 25.6162 +INDEX GOES BRRR: 957 X: -4.1416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 97 X: 6.09668 +INDEX GOES BRRR: 241 X: 15.1221 +INDEX GOES BRRR: 460 X: 28.7852 +INDEX GOES BRRR: 300 X: 18.7891 +INDEX GOES BRRR: 365 X: 22.873 +INDEX GOES BRRR: 79 X: 4.99316 +INDEX GOES BRRR: 1009 X: -0.935547 +INDEX GOES BRRR: 224 X: 14.0381 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.2002 +INDEX GOES BRRR: 888 X: -8.48145 +INDEX GOES BRRR: 72 X: 4.53223 +INDEX GOES BRRR: 213 X: 13.3154 +INDEX GOES BRRR: 101 X: 6.3252 +INDEX GOES BRRR: 246 X: 15.3867 +INDEX GOES BRRR: 117 X: 7.33594 +INDEX GOES BRRR: 106 X: 6.66699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.25098 +INDEX GOES BRRR: 345 X: 21.623 +INDEX GOES BRRR: 370 X: 23.1592 +INDEX GOES BRRR: 976 X: -2.95801 +INDEX GOES BRRR: 323 X: 20.2275 +INDEX GOES BRRR: 96 X: 6.01855 +INDEX GOES BRRR: 226 X: 14.1318 +INDEX GOES BRRR: 43 X: 2.70605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1523 +INDEX GOES BRRR: 139 X: 8.72168 +INDEX GOES BRRR: 840 X: -11.499 +INDEX GOES BRRR: 971 X: -3.26074 +INDEX GOES BRRR: 253 X: 15.8252 +INDEX GOES BRRR: 192 X: 12.0039 +INDEX GOES BRRR: 957 X: -4.1416 +INDEX GOES BRRR: 80 X: 5.01074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.1738 +INDEX GOES BRRR: 411 X: 25.7207 +INDEX GOES BRRR: 328 X: 20.5518 +INDEX GOES BRRR: 411 X: 25.7061 +INDEX GOES BRRR: 111 X: 6.94141 +INDEX GOES BRRR: 115 X: 7.19238 +INDEX GOES BRRR: 111 X: 6.99414 +INDEX GOES BRRR: 991 X: -2.02539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.11035 +INDEX GOES BRRR: 965 X: -3.63965 +INDEX GOES BRRR: 202 X: 12.6758 +INDEX GOES BRRR: 18 X: 1.16602 +INDEX GOES BRRR: 108 X: 6.80078 +INDEX GOES BRRR: 157 X: 9.85156 +INDEX GOES BRRR: 357 X: 22.3184 +INDEX GOES BRRR: 1009 X: -0.904297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.915 +INDEX GOES BRRR: 107 X: 6.70605 +INDEX GOES BRRR: 258 X: 16.1533 +INDEX GOES BRRR: 838 X: -11.5645 +INDEX GOES BRRR: 169 X: 10.6221 +INDEX GOES BRRR: 74 X: 4.63281 +INDEX GOES BRRR: 953 X: -4.42969 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.38281 +INDEX GOES BRRR: 968 X: -3.43848 +INDEX GOES BRRR: 265 X: 16.5898 +INDEX GOES BRRR: 451 X: 28.2314 +INDEX GOES BRRR: 228 X: 14.2646 +INDEX GOES BRRR: 993 X: -1.93457 +INDEX GOES BRRR: 921 X: -6.40039 +INDEX GOES BRRR: 231 X: 14.4385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.10059 +INDEX GOES BRRR: 92 X: 5.79004 +INDEX GOES BRRR: 375 X: 23.4375 +INDEX GOES BRRR: 321 X: 20.0957 +INDEX GOES BRRR: 284 X: 17.8096 +INDEX GOES BRRR: 264 X: 16.5322 +INDEX GOES BRRR: 164 X: 10.2871 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.26074 +INDEX GOES BRRR: 29 X: 1.86719 +INDEX GOES BRRR: 184 X: 11.5273 +INDEX GOES BRRR: 246 X: 15.3975 +INDEX GOES BRRR: 858 X: -10.3262 +INDEX GOES BRRR: 268 X: 16.8057 +INDEX GOES BRRR: 200 X: 12.5361 +INDEX GOES BRRR: 938 X: -5.33398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5225 +INDEX GOES BRRR: 331 X: 20.7168 +INDEX GOES BRRR: 38 X: 2.42383 +INDEX GOES BRRR: 183 X: 11.4424 +INDEX GOES BRRR: 141 X: 8.84277 +INDEX GOES BRRR: 0 X: 0.0615234 +INDEX GOES BRRR: 278 X: 17.4365 +INDEX GOES BRRR: 254 X: 15.8955 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.6572 +INDEX GOES BRRR: 352 X: 22.0518 +INDEX GOES BRRR: 400 X: 25.0137 +INDEX GOES BRRR: 302 X: 18.9248 +INDEX GOES BRRR: 23 X: 1.49121 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 339 X: 21.2373 +INDEX GOES BRRR: 157 X: 9.8418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 46 X: 2.91016 +INDEX GOES BRRR: 988 X: -2.2373 +INDEX GOES BRRR: 431 X: 26.9561 +INDEX GOES BRRR: 299 X: 18.71 +INDEX GOES BRRR: 485 X: 30.3242 +INDEX GOES BRRR: 254 X: 15.9346 +INDEX GOES BRRR: 166 X: 10.4355 +INDEX GOES BRRR: 312 X: 19.5166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4893 +INDEX GOES BRRR: 130 X: 8.15137 +INDEX GOES BRRR: 281 X: 17.623 +INDEX GOES BRRR: 157 X: 9.81738 +INDEX GOES BRRR: 449 X: 28.1016 +INDEX GOES BRRR: 135 X: 8.47656 +INDEX GOES BRRR: 1008 X: -0.945312 +INDEX GOES BRRR: 23 X: 1.45605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.75977 +INDEX GOES BRRR: 227 X: 14.2383 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 259 X: 16.2354 +INDEX GOES BRRR: 74 X: 4.6582 +INDEX GOES BRRR: 1022 X: -0.105469 +INDEX GOES BRRR: 109 X: 6.85645 +INDEX GOES BRRR: 1002 X: -1.36816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 376 X: 23.5283 +INDEX GOES BRRR: 161 X: 10.1104 +INDEX GOES BRRR: 187 X: 11.7422 +INDEX GOES BRRR: 154 X: 9.67383 +INDEX GOES BRRR: 310 X: 19.3828 +INDEX GOES BRRR: 89 X: 5.57715 +INDEX GOES BRRR: 14 X: 0.891602 +INDEX GOES BRRR: 320 X: 20.0107 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 827 X: -12.2725 +INDEX GOES BRRR: 299 X: 18.7158 +INDEX GOES BRRR: 23 X: 1.48926 +INDEX GOES BRRR: 109 X: 6.85645 +INDEX GOES BRRR: 980 X: -2.74414 +INDEX GOES BRRR: 458 X: 28.6406 +INDEX GOES BRRR: 163 X: 10.1875 +INDEX GOES BRRR: 34 X: 2.17188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.958 +INDEX GOES BRRR: 1004 X: -1.20312 +INDEX GOES BRRR: 942 X: -5.09961 +INDEX GOES BRRR: 837 X: -11.6465 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 194 X: 12.167 +INDEX GOES BRRR: 186 X: 11.6484 +INDEX GOES BRRR: 853 X: -10.6748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9824 +INDEX GOES BRRR: 1002 X: -1.35254 +INDEX GOES BRRR: 137 X: 8.56348 +INDEX GOES BRRR: 281 X: 17.5703 +INDEX GOES BRRR: 126 X: 7.90625 +INDEX GOES BRRR: 187 X: 11.7246 +INDEX GOES BRRR: 129 X: 8.12109 +INDEX GOES BRRR: 222 X: 13.8789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.32617 +INDEX GOES BRRR: 192 X: 12.0137 +INDEX GOES BRRR: 883 X: -8.81055 +INDEX GOES BRRR: 98 X: 6.14062 +INDEX GOES BRRR: 268 X: 16.8037 +INDEX GOES BRRR: 191 X: 11.999 +INDEX GOES BRRR: 221 X: 13.8193 +INDEX GOES BRRR: 52 X: 3.25293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 451 X: 28.207 +INDEX GOES BRRR: 173 X: 10.8486 +INDEX GOES BRRR: 979 X: -2.79492 +INDEX GOES BRRR: 324 X: 20.3105 +INDEX GOES BRRR: 150 X: 9.43457 +INDEX GOES BRRR: 273 X: 17.0967 +INDEX GOES BRRR: 193 X: 12.0752 +INDEX GOES BRRR: 348 X: 21.7734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 243 X: 15.1895 +INDEX GOES BRRR: 48 X: 3.04004 +INDEX GOES BRRR: 995 X: -1.77344 +INDEX GOES BRRR: 301 X: 18.8145 +INDEX GOES BRRR: 965 X: -3.68262 +INDEX GOES BRRR: 922 X: -6.31738 +INDEX GOES BRRR: 269 X: 16.8145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.74316 +INDEX GOES BRRR: 117 X: 7.33496 +INDEX GOES BRRR: 89 X: 5.58984 +INDEX GOES BRRR: 173 X: 10.8535 +INDEX GOES BRRR: 144 X: 9.01367 +INDEX GOES BRRR: 145 X: 9.12305 +INDEX GOES BRRR: 110 X: 6.93555 +INDEX GOES BRRR: 751 X: -17.0488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6279 +INDEX GOES BRRR: 363 X: 22.7383 +INDEX GOES BRRR: 88 X: 5.50488 +INDEX GOES BRRR: 327 X: 20.4414 +INDEX GOES BRRR: 997 X: -1.66113 +INDEX GOES BRRR: 136 X: 8.50586 +INDEX GOES BRRR: 888 X: -8.46094 +INDEX GOES BRRR: 272 X: 17.0586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5859 +INDEX GOES BRRR: 342 X: 21.3916 +INDEX GOES BRRR: 179 X: 11.2051 +INDEX GOES BRRR: 91 X: 5.71582 +INDEX GOES BRRR: 114 X: 7.12598 +INDEX GOES BRRR: 80 X: 5.01074 +INDEX GOES BRRR: 25 X: 1.58496 +INDEX GOES BRRR: 110 X: 6.89941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.23438 +INDEX GOES BRRR: 899 X: -7.77734 +INDEX GOES BRRR: 9 X: 0.610352 +INDEX GOES BRRR: 30 X: 1.89062 +INDEX GOES BRRR: 49 X: 3.10352 +INDEX GOES BRRR: 937 X: -5.41992 +INDEX GOES BRRR: 155 X: 9.70508 +INDEX GOES BRRR: 40 X: 2.50195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6621 +INDEX GOES BRRR: 303 X: 18.9736 +INDEX GOES BRRR: 22 X: 1.40723 +INDEX GOES BRRR: 257 X: 16.0859 +INDEX GOES BRRR: 487 X: 30.4727 +INDEX GOES BRRR: 218 X: 13.625 +INDEX GOES BRRR: 406 X: 25.4023 +INDEX GOES BRRR: 278 X: 17.4355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.042 +INDEX GOES BRRR: 1010 X: -0.832031 +INDEX GOES BRRR: 206 X: 12.9326 +INDEX GOES BRRR: 120 X: 7.53711 +INDEX GOES BRRR: 236 X: 14.8047 +INDEX GOES BRRR: 86 X: 5.43262 +INDEX GOES BRRR: 463 X: 28.9551 +INDEX GOES BRRR: 941 X: -5.1416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.95898 +INDEX GOES BRRR: 30 X: 1.93066 +INDEX GOES BRRR: 210 X: 13.1611 +INDEX GOES BRRR: 203 X: 12.7158 +INDEX GOES BRRR: 114 X: 7.1748 +INDEX GOES BRRR: 119 X: 7.45605 +INDEX GOES BRRR: 223 X: 13.9756 +INDEX GOES BRRR: 976 X: -2.98926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.6621 +INDEX GOES BRRR: 211 X: 13.1895 +INDEX GOES BRRR: 218 X: 13.6387 +INDEX GOES BRRR: 998 X: -1.57715 +INDEX GOES BRRR: 17 X: 1.06738 +INDEX GOES BRRR: 164 X: 10.2881 +INDEX GOES BRRR: 429 X: 26.8154 +INDEX GOES BRRR: 161 X: 10.124 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.0800781 +INDEX GOES BRRR: 248 X: 15.5215 +INDEX GOES BRRR: 263 X: 16.4648 +INDEX GOES BRRR: 294 X: 18.4287 +INDEX GOES BRRR: 223 X: 13.998 +INDEX GOES BRRR: 853 X: -10.6396 +INDEX GOES BRRR: 387 X: 24.2002 +INDEX GOES BRRR: 231 X: 14.4824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7246 +INDEX GOES BRRR: 245 X: 15.3164 +INDEX GOES BRRR: 191 X: 11.96 +INDEX GOES BRRR: 295 X: 18.4707 +INDEX GOES BRRR: 264 X: 16.5391 +INDEX GOES BRRR: 335 X: 20.9395 +INDEX GOES BRRR: 99 X: 6.19336 +INDEX GOES BRRR: 904 X: -7.45898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.52539 +INDEX GOES BRRR: 755 X: -16.752 +INDEX GOES BRRR: 888 X: -8.47852 +INDEX GOES BRRR: 929 X: -5.87793 +INDEX GOES BRRR: 92 X: 5.78613 +INDEX GOES BRRR: 77 X: 4.86621 +INDEX GOES BRRR: 452 X: 28.2861 +INDEX GOES BRRR: 348 X: 21.7861 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 364 X: 22.7988 +INDEX GOES BRRR: 945 X: -4.90137 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 216 X: 13.5176 +INDEX GOES BRRR: 139 X: 8.69238 +INDEX GOES BRRR: 288 X: 18.0469 +INDEX GOES BRRR: 960 X: -3.97461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.4824 +INDEX GOES BRRR: 61 X: 3.86523 +INDEX GOES BRRR: 343 X: 21.4873 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 352 X: 22.0361 +INDEX GOES BRRR: 209 X: 13.1006 +INDEX GOES BRRR: 50 X: 3.17285 +INDEX GOES BRRR: 148 X: 9.26562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.2725 +INDEX GOES BRRR: 275 X: 17.2236 +INDEX GOES BRRR: 131 X: 8.2207 +INDEX GOES BRRR: 428 X: 26.7705 +INDEX GOES BRRR: 447 X: 27.9766 +INDEX GOES BRRR: 324 X: 20.2803 +INDEX GOES BRRR: 80 X: 5.00098 +INDEX GOES BRRR: 369 X: 23.123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.2041 +INDEX GOES BRRR: 340 X: 21.3047 +INDEX GOES BRRR: 902 X: -7.60352 +INDEX GOES BRRR: 226 X: 14.1299 +INDEX GOES BRRR: 163 X: 10.2051 +INDEX GOES BRRR: 121 X: 7.61914 +INDEX GOES BRRR: 322 X: 20.1494 +INDEX GOES BRRR: 445 X: 27.8574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 439 X: 27.499 +INDEX GOES BRRR: 43 X: 2.70898 +INDEX GOES BRRR: 115 X: 7.2334 +INDEX GOES BRRR: 212 X: 13.2988 +INDEX GOES BRRR: 150 X: 9.43457 +INDEX GOES BRRR: 884 X: -8.70996 +INDEX GOES BRRR: 330 X: 20.6289 +INDEX GOES BRRR: 144 X: 9 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3486 +INDEX GOES BRRR: 993 X: -1.93066 +INDEX GOES BRRR: 396 X: 24.7725 +INDEX GOES BRRR: 94 X: 5.91309 +INDEX GOES BRRR: 906 X: -7.36914 +INDEX GOES BRRR: 162 X: 10.1318 +INDEX GOES BRRR: 255 X: 15.9619 +INDEX GOES BRRR: 100 X: 6.30469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.3252 +INDEX GOES BRRR: 197 X: 12.3428 +INDEX GOES BRRR: 335 X: 20.9746 +INDEX GOES BRRR: 451 X: 28.2314 +INDEX GOES BRRR: 140 X: 8.79199 +INDEX GOES BRRR: 174 X: 10.8867 +INDEX GOES BRRR: 676 X: -21.748 +INDEX GOES BRRR: 96 X: 6.00488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.76465 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 3 X: 0.231445 +INDEX GOES BRRR: 395 X: 24.6895 +INDEX GOES BRRR: 275 X: 17.2236 +INDEX GOES BRRR: 35 X: 2.2041 +INDEX GOES BRRR: 214 X: 13.4277 +INDEX GOES BRRR: 281 X: 17.5635 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7529 +INDEX GOES BRRR: 230 X: 14.4326 +INDEX GOES BRRR: 230 X: 14.4297 +INDEX GOES BRRR: 217 X: 13.5781 +INDEX GOES BRRR: 189 X: 11.8516 +INDEX GOES BRRR: 248 X: 15.5234 +INDEX GOES BRRR: 233 X: 14.6035 +INDEX GOES BRRR: 345 X: 21.6084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0195 +INDEX GOES BRRR: 241 X: 15.0928 +INDEX GOES BRRR: 134 X: 8.3916 +INDEX GOES BRRR: 1023 X: -0.0224609 +INDEX GOES BRRR: 318 X: 19.8945 +INDEX GOES BRRR: 384 X: 24.0547 +INDEX GOES BRRR: 273 X: 17.1211 +INDEX GOES BRRR: 175 X: 10.9531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 253 X: 15.874 +INDEX GOES BRRR: 47 X: 2.9707 +INDEX GOES BRRR: 53 X: 3.34961 +INDEX GOES BRRR: 991 X: -2.00488 +INDEX GOES BRRR: 267 X: 16.6973 +INDEX GOES BRRR: 154 X: 9.63965 +INDEX GOES BRRR: 249 X: 15.582 +INDEX GOES BRRR: 35 X: 2.22266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 805 X: -13.6396 +INDEX GOES BRRR: 19 X: 1.19531 +INDEX GOES BRRR: 976 X: -2.97168 +INDEX GOES BRRR: 196 X: 12.2803 +INDEX GOES BRRR: 861 X: -10.1826 +INDEX GOES BRRR: 63 X: 3.99609 +INDEX GOES BRRR: 289 X: 18.1123 +INDEX GOES BRRR: 5 X: 0.316406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6377 +INDEX GOES BRRR: 749 X: -17.1416 +INDEX GOES BRRR: 1010 X: -0.854492 +INDEX GOES BRRR: 12 X: 0.800781 +INDEX GOES BRRR: 42 X: 2.68164 +INDEX GOES BRRR: 41 X: 2.62305 +INDEX GOES BRRR: 948 X: -4.71973 +INDEX GOES BRRR: 68 X: 4.29297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 746 X: -17.3271 +INDEX GOES BRRR: 248 X: 15.5439 +INDEX GOES BRRR: 35 X: 2.2207 +INDEX GOES BRRR: 462 X: 28.9014 +INDEX GOES BRRR: 45 X: 2.87109 +INDEX GOES BRRR: 344 X: 21.5322 +INDEX GOES BRRR: 330 X: 20.6719 +INDEX GOES BRRR: 164 X: 10.2988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.2666 +INDEX GOES BRRR: 295 X: 18.4639 +INDEX GOES BRRR: 120 X: 7.54492 +INDEX GOES BRRR: 26 X: 1.6748 +INDEX GOES BRRR: 365 X: 22.8564 +INDEX GOES BRRR: 107 X: 6.74609 +INDEX GOES BRRR: 991 X: -2.00879 +INDEX GOES BRRR: 60 X: 3.78418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.18848 +INDEX GOES BRRR: 78 X: 4.9248 +INDEX GOES BRRR: 398 X: 24.9229 +INDEX GOES BRRR: 877 X: -9.18457 +INDEX GOES BRRR: 943 X: -5.0166 +INDEX GOES BRRR: 238 X: 14.9189 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 979 X: -2.77246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.1045 +INDEX GOES BRRR: 242 X: 15.165 +INDEX GOES BRRR: 98 X: 6.13672 +INDEX GOES BRRR: 964 X: -3.71973 +INDEX GOES BRRR: 20 X: 1.25977 +INDEX GOES BRRR: 276 X: 17.2695 +INDEX GOES BRRR: 227 X: 14.2158 +INDEX GOES BRRR: 104 X: 6.56055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 774 X: -15.5635 +INDEX GOES BRRR: 300 X: 18.8027 +INDEX GOES BRRR: 361 X: 22.6182 +INDEX GOES BRRR: 69 X: 4.37402 +INDEX GOES BRRR: 317 X: 19.8477 +INDEX GOES BRRR: 260 X: 16.3105 +INDEX GOES BRRR: 153 X: 9.61035 +INDEX GOES BRRR: 1023 X: -0.0498047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.80371 +INDEX GOES BRRR: 210 X: 13.127 +INDEX GOES BRRR: 85 X: 5.33496 +INDEX GOES BRRR: 167 X: 10.4893 +INDEX GOES BRRR: 209 X: 13.1055 +INDEX GOES BRRR: 226 X: 14.1807 +INDEX GOES BRRR: 9 X: 0.572266 +INDEX GOES BRRR: 258 X: 16.1553 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.92871 +INDEX GOES BRRR: 87 X: 5.46387 +INDEX GOES BRRR: 251 X: 15.7139 +INDEX GOES BRRR: 112 X: 7.00293 +INDEX GOES BRRR: 985 X: -2.43652 +INDEX GOES BRRR: 831 X: -12.041 +INDEX GOES BRRR: 273 X: 17.0938 +INDEX GOES BRRR: 224 X: 14.0244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.27246 +INDEX GOES BRRR: 186 X: 11.6279 +INDEX GOES BRRR: 290 X: 18.125 +INDEX GOES BRRR: 179 X: 11.2373 +INDEX GOES BRRR: 36 X: 2.30859 +INDEX GOES BRRR: 201 X: 12.583 +INDEX GOES BRRR: 186 X: 11.6777 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 857 X: -10.4121 +INDEX GOES BRRR: 367 X: 22.9795 +INDEX GOES BRRR: 303 X: 18.9414 +INDEX GOES BRRR: 46 X: 2.90234 +INDEX GOES BRRR: 42 X: 2.66406 +INDEX GOES BRRR: 106 X: 6.6377 +INDEX GOES BRRR: 229 X: 14.3145 +INDEX GOES BRRR: 978 X: -2.83398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 924 X: -6.23145 +INDEX GOES BRRR: 3 X: 0.226562 +INDEX GOES BRRR: 983 X: -2.55273 +INDEX GOES BRRR: 141 X: 8.81934 +INDEX GOES BRRR: 267 X: 16.708 +INDEX GOES BRRR: 1019 X: -0.268555 +INDEX GOES BRRR: 158 X: 9.91504 +INDEX GOES BRRR: 969 X: -3.41406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6748 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 75 X: 4.74023 +INDEX GOES BRRR: 142 X: 8.92188 +INDEX GOES BRRR: 226 X: 14.1865 +INDEX GOES BRRR: 236 X: 14.7549 +INDEX GOES BRRR: 321 X: 20.0859 +INDEX GOES BRRR: 1013 X: -0.626953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7822 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 229 X: 14.3711 +INDEX GOES BRRR: 177 X: 11.1035 +INDEX GOES BRRR: 150 X: 9.39453 +INDEX GOES BRRR: 488 X: 30.5176 +INDEX GOES BRRR: 205 X: 12.8545 +INDEX GOES BRRR: 967 X: -3.53027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6289 +INDEX GOES BRRR: 323 X: 20.2295 +INDEX GOES BRRR: 250 X: 15.6602 +INDEX GOES BRRR: 191 X: 11.9375 +INDEX GOES BRRR: 820 X: -12.749 +INDEX GOES BRRR: 97 X: 6.10059 +INDEX GOES BRRR: 241 X: 15.1055 +INDEX GOES BRRR: 42 X: 2.66113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.749 +INDEX GOES BRRR: 206 X: 12.9111 +INDEX GOES BRRR: 291 X: 18.2471 +INDEX GOES BRRR: 96 X: 6.01758 +INDEX GOES BRRR: 82 X: 5.15527 +INDEX GOES BRRR: 277 X: 17.3662 +INDEX GOES BRRR: 305 X: 19.082 +INDEX GOES BRRR: 271 X: 16.9785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.47754 +INDEX GOES BRRR: 139 X: 8.71191 +INDEX GOES BRRR: 307 X: 19.2422 +INDEX GOES BRRR: 261 X: 16.3613 +INDEX GOES BRRR: 115 X: 7.23926 +INDEX GOES BRRR: 152 X: 9.5166 +INDEX GOES BRRR: 32 X: 2.05762 +INDEX GOES BRRR: 721 X: -18.9004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 395 X: 24.7451 +INDEX GOES BRRR: 444 X: 27.7939 +INDEX GOES BRRR: 438 X: 27.4355 +INDEX GOES BRRR: 436 X: 27.2793 +INDEX GOES BRRR: 150 X: 9.40723 +INDEX GOES BRRR: 173 X: 10.8438 +INDEX GOES BRRR: 211 X: 13.2119 +INDEX GOES BRRR: 161 X: 10.0684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 285 X: 17.8281 +INDEX GOES BRRR: 693 X: -20.6787 +INDEX GOES BRRR: 275 X: 17.2002 +INDEX GOES BRRR: 38 X: 2.40918 +INDEX GOES BRRR: 368 X: 23.0244 +INDEX GOES BRRR: 172 X: 10.7969 +INDEX GOES BRRR: 207 X: 12.9453 +INDEX GOES BRRR: 100 X: 6.27637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2812 +INDEX GOES BRRR: 77 X: 4.86816 +INDEX GOES BRRR: 138 X: 8.63281 +INDEX GOES BRRR: 990 X: -2.11328 +INDEX GOES BRRR: 69 X: 4.37402 +INDEX GOES BRRR: 193 X: 12.1045 +INDEX GOES BRRR: 897 X: -7.88965 +INDEX GOES BRRR: 961 X: -3.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.31641 +INDEX GOES BRRR: 1004 X: -1.24219 +INDEX GOES BRRR: 130 X: 8.125 +INDEX GOES BRRR: 296 X: 18.5186 +INDEX GOES BRRR: 986 X: -2.33008 +INDEX GOES BRRR: 14 X: 0.93457 +INDEX GOES BRRR: 327 X: 20.4756 +INDEX GOES BRRR: 936 X: -5.46387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.33887 +INDEX GOES BRRR: 960 X: -3.94336 +INDEX GOES BRRR: 20 X: 1.30273 +INDEX GOES BRRR: 890 X: -8.3623 +INDEX GOES BRRR: 234 X: 14.626 +INDEX GOES BRRR: 98 X: 6.17285 +INDEX GOES BRRR: 366 X: 22.9219 +INDEX GOES BRRR: 405 X: 25.3701 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.6611 +INDEX GOES BRRR: 38 X: 2.3916 +INDEX GOES BRRR: 985 X: -2.3916 +INDEX GOES BRRR: 232 X: 14.5137 +INDEX GOES BRRR: 207 X: 12.9893 +INDEX GOES BRRR: 72 X: 4.55176 +INDEX GOES BRRR: 206 X: 12.9248 +INDEX GOES BRRR: 257 X: 16.0928 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2344 +INDEX GOES BRRR: 876 X: -9.22363 +INDEX GOES BRRR: 399 X: 24.9717 +INDEX GOES BRRR: 420 X: 26.2959 +INDEX GOES BRRR: 82 X: 5.16699 +INDEX GOES BRRR: 216 X: 13.5547 +INDEX GOES BRRR: 38 X: 2.37598 +INDEX GOES BRRR: 268 X: 16.7822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1348 +INDEX GOES BRRR: 902 X: -7.57324 +INDEX GOES BRRR: 23 X: 1.4375 +INDEX GOES BRRR: 429 X: 26.8193 +INDEX GOES BRRR: 146 X: 9.14258 +INDEX GOES BRRR: 279 X: 17.4482 +INDEX GOES BRRR: 1010 X: -0.866211 +INDEX GOES BRRR: 160 X: 10.0029 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.501 +INDEX GOES BRRR: 227 X: 14.1963 +INDEX GOES BRRR: 345 X: 21.585 +INDEX GOES BRRR: 122 X: 7.66113 +INDEX GOES BRRR: 30 X: 1.88281 +INDEX GOES BRRR: 263 X: 16.4961 +INDEX GOES BRRR: 296 X: 18.54 +INDEX GOES BRRR: 148 X: 9.25195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 392 X: 24.5234 +INDEX GOES BRRR: 196 X: 12.3047 +INDEX GOES BRRR: 18 X: 1.13867 +INDEX GOES BRRR: 132 X: 8.27539 +INDEX GOES BRRR: 72 X: 4.54395 +INDEX GOES BRRR: 37 X: 2.35742 +INDEX GOES BRRR: 152 X: 9.51562 +INDEX GOES BRRR: 49 X: 3.08398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.93066 +INDEX GOES BRRR: 280 X: 17.5068 +INDEX GOES BRRR: 144 X: 9.03711 +INDEX GOES BRRR: 899 X: -7.78027 +INDEX GOES BRRR: 30 X: 1.93457 +INDEX GOES BRRR: 167 X: 10.457 +INDEX GOES BRRR: 1001 X: -1.43164 +INDEX GOES BRRR: 144 X: 9.06055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.928711 +INDEX GOES BRRR: 210 X: 13.1582 +INDEX GOES BRRR: 952 X: -4.47266 +INDEX GOES BRRR: 242 X: 15.1641 +INDEX GOES BRRR: 382 X: 23.9043 +INDEX GOES BRRR: 187 X: 11.7148 +INDEX GOES BRRR: 179 X: 11.2402 +INDEX GOES BRRR: 155 X: 9.71484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 396 X: 24.7832 +INDEX GOES BRRR: 44 X: 2.79004 +INDEX GOES BRRR: 151 X: 9.46875 +INDEX GOES BRRR: 237 X: 14.8467 +INDEX GOES BRRR: 329 X: 20.6201 +INDEX GOES BRRR: 369 X: 23.1104 +INDEX GOES BRRR: 214 X: 13.4199 +INDEX GOES BRRR: 961 X: -3.91602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6709 +INDEX GOES BRRR: 273 X: 17.1211 +INDEX GOES BRRR: 381 X: 23.8174 +INDEX GOES BRRR: 120 X: 7.50488 +INDEX GOES BRRR: 49 X: 3.08496 +INDEX GOES BRRR: 222 X: 13.9141 +INDEX GOES BRRR: 202 X: 12.6807 +INDEX GOES BRRR: 144 X: 9.03027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.62598 +INDEX GOES BRRR: 218 X: 13.6416 +INDEX GOES BRRR: 425 X: 26.5977 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 106 X: 6.64355 +INDEX GOES BRRR: 957 X: -4.13184 +INDEX GOES BRRR: 91 X: 5.70996 +INDEX GOES BRRR: 968 X: -3.49707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.56055 +INDEX GOES BRRR: 58 X: 3.63574 +INDEX GOES BRRR: 246 X: 15.4023 +INDEX GOES BRRR: 181 X: 11.3545 +INDEX GOES BRRR: 235 X: 14.7422 +INDEX GOES BRRR: 1010 X: -0.84668 +INDEX GOES BRRR: 41 X: 2.62305 +INDEX GOES BRRR: 120 X: 7.50586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2539 +INDEX GOES BRRR: 58 X: 3.66699 +INDEX GOES BRRR: 209 X: 13.0889 +INDEX GOES BRRR: 102 X: 6.38281 +INDEX GOES BRRR: 301 X: 18.8301 +INDEX GOES BRRR: 465 X: 29.1006 +INDEX GOES BRRR: 136 X: 8.54785 +INDEX GOES BRRR: 1016 X: -0.454102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.69141 +INDEX GOES BRRR: 50 X: 3.16406 +INDEX GOES BRRR: 984 X: -2.49023 +INDEX GOES BRRR: 313 X: 19.5654 +INDEX GOES BRRR: 114 X: 7.1543 +INDEX GOES BRRR: 445 X: 27.8428 +INDEX GOES BRRR: 953 X: -4.43652 +INDEX GOES BRRR: 69 X: 4.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.28711 +INDEX GOES BRRR: 438 X: 27.3857 +INDEX GOES BRRR: 163 X: 10.2334 +INDEX GOES BRRR: 160 X: 10.001 +INDEX GOES BRRR: 318 X: 19.8965 +INDEX GOES BRRR: 185 X: 11.5752 +INDEX GOES BRRR: 376 X: 23.5312 +INDEX GOES BRRR: 351 X: 21.9951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 29 X: 1.84766 +INDEX GOES BRRR: 75 X: 4.72168 +INDEX GOES BRRR: 244 X: 15.2676 +INDEX GOES BRRR: 363 X: 22.709 +INDEX GOES BRRR: 458 X: 28.6738 +INDEX GOES BRRR: 264 X: 16.5537 +INDEX GOES BRRR: 229 X: 14.3672 +INDEX GOES BRRR: 446 X: 27.9346 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5986 +INDEX GOES BRRR: 64 X: 4.05469 +INDEX GOES BRRR: 320 X: 20.0342 +INDEX GOES BRRR: 206 X: 12.9053 +INDEX GOES BRRR: 77 X: 4.83008 +INDEX GOES BRRR: 13 X: 0.860352 +INDEX GOES BRRR: 54 X: 3.3877 +INDEX GOES BRRR: 18 X: 1.15723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8564 +INDEX GOES BRRR: 207 X: 12.9717 +INDEX GOES BRRR: 131 X: 8.24805 +INDEX GOES BRRR: 1013 X: -0.643555 +INDEX GOES BRRR: 115 X: 7.19434 +INDEX GOES BRRR: 36 X: 2.26465 +INDEX GOES BRRR: 191 X: 11.9922 +INDEX GOES BRRR: 302 X: 18.9199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.32227 +INDEX GOES BRRR: 143 X: 8.99219 +INDEX GOES BRRR: 70 X: 4.43359 +INDEX GOES BRRR: 158 X: 9.89648 +INDEX GOES BRRR: 163 X: 10.2197 +INDEX GOES BRRR: 118 X: 7.42285 +INDEX GOES BRRR: 82 X: 5.16016 +INDEX GOES BRRR: 892 X: -8.2207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 960 X: -3.96484 +INDEX GOES BRRR: 992 X: -1.98633 +INDEX GOES BRRR: 41 X: 2.62305 +INDEX GOES BRRR: 136 X: 8.50098 +INDEX GOES BRRR: 1005 X: -1.15625 +INDEX GOES BRRR: 370 X: 23.126 +INDEX GOES BRRR: 31 X: 1.94043 +INDEX GOES BRRR: 46 X: 2.93359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0507812 +INDEX GOES BRRR: 106 X: 6.63184 +INDEX GOES BRRR: 4 X: 0.294922 +INDEX GOES BRRR: 61 X: 3.85645 +INDEX GOES BRRR: 283 X: 17.7197 +INDEX GOES BRRR: 113 X: 7.06934 +INDEX GOES BRRR: 309 X: 19.3398 +INDEX GOES BRRR: 211 X: 13.2432 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 23 X: 1.47949 +INDEX GOES BRRR: 871 X: -9.55566 +INDEX GOES BRRR: 382 X: 23.8896 +INDEX GOES BRRR: 462 X: 28.9033 +INDEX GOES BRRR: 56 X: 3.50684 +INDEX GOES BRRR: 225 X: 14.1182 +INDEX GOES BRRR: 49 X: 3.08984 +INDEX GOES BRRR: 140 X: 8.77637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.52148 +INDEX GOES BRRR: 70 X: 4.40137 +INDEX GOES BRRR: 313 X: 19.583 +INDEX GOES BRRR: 414 X: 25.8965 +INDEX GOES BRRR: 80 X: 5.02246 +INDEX GOES BRRR: 242 X: 15.1426 +INDEX GOES BRRR: 7 X: 0.491211 +INDEX GOES BRRR: 898 X: -7.87012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0576 +INDEX GOES BRRR: 301 X: 18.8125 +INDEX GOES BRRR: 243 X: 15.2363 +INDEX GOES BRRR: 222 X: 13.8809 +INDEX GOES BRRR: 840 X: -11.4824 +INDEX GOES BRRR: 216 X: 13.5586 +INDEX GOES BRRR: 166 X: 10.3789 +INDEX GOES BRRR: 199 X: 12.4541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.32227 +INDEX GOES BRRR: 157 X: 9.84082 +INDEX GOES BRRR: 102 X: 6.38672 +INDEX GOES BRRR: 249 X: 15.6094 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 368 X: 23.0488 +INDEX GOES BRRR: 248 X: 15.5215 +INDEX GOES BRRR: 1023 X: -0.00488281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 341 X: 21.3682 +INDEX GOES BRRR: 256 X: 16.0332 +INDEX GOES BRRR: 1001 X: -1.40918 +INDEX GOES BRRR: 258 X: 16.168 +INDEX GOES BRRR: 85 X: 5.3623 +INDEX GOES BRRR: 1021 X: -0.18457 +INDEX GOES BRRR: 298 X: 18.6396 +INDEX GOES BRRR: 305 X: 19.1221 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 368 X: 23.0518 +INDEX GOES BRRR: 1009 X: -0.932617 +INDEX GOES BRRR: 101 X: 6.35156 +INDEX GOES BRRR: 51 X: 3.19141 +INDEX GOES BRRR: 176 X: 11.0215 +INDEX GOES BRRR: 915 X: -6.75977 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 281 X: 17.6094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5557 +INDEX GOES BRRR: 1004 X: -1.19727 +INDEX GOES BRRR: 174 X: 10.9248 +INDEX GOES BRRR: 213 X: 13.3525 +INDEX GOES BRRR: 152 X: 9.52051 +INDEX GOES BRRR: 389 X: 24.3633 +INDEX GOES BRRR: 991 X: -2.02637 +INDEX GOES BRRR: 226 X: 14.1641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.3896 +INDEX GOES BRRR: 1013 X: -0.649414 +INDEX GOES BRRR: 211 X: 13.2422 +INDEX GOES BRRR: 54 X: 3.39258 +INDEX GOES BRRR: 207 X: 12.9707 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 243 X: 15.2256 +INDEX GOES BRRR: 877 X: -9.16016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 934 X: -5.60645 +INDEX GOES BRRR: 97 X: 6.11816 +INDEX GOES BRRR: 29 X: 1.8623 +INDEX GOES BRRR: 981 X: -2.64453 +INDEX GOES BRRR: 368 X: 23.0059 +INDEX GOES BRRR: 333 X: 20.8633 +INDEX GOES BRRR: 1002 X: -1.33984 +INDEX GOES BRRR: 332 X: 20.7754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3633 +INDEX GOES BRRR: 145 X: 9.10254 +INDEX GOES BRRR: 149 X: 9.32812 +INDEX GOES BRRR: 348 X: 21.793 +INDEX GOES BRRR: 106 X: 6.66602 +INDEX GOES BRRR: 335 X: 20.9844 +INDEX GOES BRRR: 314 X: 19.6807 +INDEX GOES BRRR: 205 X: 12.8662 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5869 +INDEX GOES BRRR: 314 X: 19.6367 +INDEX GOES BRRR: 210 X: 13.1328 +INDEX GOES BRRR: 211 X: 13.2051 +INDEX GOES BRRR: 23 X: 1.44629 +INDEX GOES BRRR: 73 X: 4.5752 +INDEX GOES BRRR: 860 X: -10.2402 +INDEX GOES BRRR: 129 X: 8.12109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4961 +INDEX GOES BRRR: 111 X: 6.97168 +INDEX GOES BRRR: 875 X: -9.30078 +INDEX GOES BRRR: 54 X: 3.37988 +INDEX GOES BRRR: 139 X: 8.69531 +INDEX GOES BRRR: 155 X: 9.73242 +INDEX GOES BRRR: 40 X: 2.51074 +INDEX GOES BRRR: 237 X: 14.8184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 18 X: 1.18359 +INDEX GOES BRRR: 92 X: 5.75977 +INDEX GOES BRRR: 204 X: 12.7959 +INDEX GOES BRRR: 96 X: 6.00391 +INDEX GOES BRRR: 224 X: 14.0508 +INDEX GOES BRRR: 302 X: 18.9355 +INDEX GOES BRRR: 154 X: 9.68164 +INDEX GOES BRRR: 83 X: 5.20801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1016 X: -0.438477 +INDEX GOES BRRR: 201 X: 12.5723 +INDEX GOES BRRR: 130 X: 8.15137 +INDEX GOES BRRR: 187 X: 11.6982 +INDEX GOES BRRR: 103 X: 6.44043 +INDEX GOES BRRR: 116 X: 7.30469 +INDEX GOES BRRR: 167 X: 10.4463 +INDEX GOES BRRR: 982 X: -2.56836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.61328 +INDEX GOES BRRR: 96 X: 6.04199 +INDEX GOES BRRR: 64 X: 4.0498 +INDEX GOES BRRR: 229 X: 14.3672 +INDEX GOES BRRR: 161 X: 10.1104 +INDEX GOES BRRR: 160 X: 10.0352 +INDEX GOES BRRR: 179 X: 11.1895 +INDEX GOES BRRR: 49 X: 3.08008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 418 X: 26.1689 +INDEX GOES BRRR: 382 X: 23.8877 +INDEX GOES BRRR: 195 X: 12.2051 +INDEX GOES BRRR: 19 X: 1.21973 +INDEX GOES BRRR: 182 X: 11.3926 +INDEX GOES BRRR: 369 X: 23.0908 +INDEX GOES BRRR: 2 X: 0.15332 +INDEX GOES BRRR: 130 X: 8.12988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.55957 +INDEX GOES BRRR: 337 X: 21.123 +INDEX GOES BRRR: 119 X: 7.47852 +INDEX GOES BRRR: 271 X: 16.9756 +INDEX GOES BRRR: 386 X: 24.1348 +INDEX GOES BRRR: 188 X: 11.752 +INDEX GOES BRRR: 203 X: 12.7168 +INDEX GOES BRRR: 153 X: 9.61426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.62012 +INDEX GOES BRRR: 169 X: 10.6094 +INDEX GOES BRRR: 214 X: 13.4268 +INDEX GOES BRRR: 111 X: 6.93848 +INDEX GOES BRRR: 897 X: -7.8916 +INDEX GOES BRRR: 249 X: 15.6113 +INDEX GOES BRRR: 158 X: 9.9043 +INDEX GOES BRRR: 283 X: 17.7139 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.7588 +INDEX GOES BRRR: 392 X: 24.5352 +INDEX GOES BRRR: 208 X: 13.0605 +INDEX GOES BRRR: 264 X: 16.5557 +INDEX GOES BRRR: 287 X: 17.9385 +INDEX GOES BRRR: 389 X: 24.3408 +INDEX GOES BRRR: 182 X: 11.4307 +INDEX GOES BRRR: 288 X: 18.0361 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0635 +INDEX GOES BRRR: 152 X: 9.51367 +INDEX GOES BRRR: 195 X: 12.2061 +INDEX GOES BRRR: 27 X: 1.71777 +INDEX GOES BRRR: 279 X: 17.4717 +INDEX GOES BRRR: 62 X: 3.91895 +INDEX GOES BRRR: 38 X: 2.42871 +INDEX GOES BRRR: 82 X: 5.13672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1017 X: -0.43457 +INDEX GOES BRRR: 94 X: 5.91797 +INDEX GOES BRRR: 156 X: 9.75684 +INDEX GOES BRRR: 402 X: 25.1797 +INDEX GOES BRRR: 133 X: 8.34961 +INDEX GOES BRRR: 159 X: 9.99414 +INDEX GOES BRRR: 55 X: 3.47266 +INDEX GOES BRRR: 941 X: -5.18555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7305 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 160 X: 10.0049 +INDEX GOES BRRR: 49 X: 3.09668 +INDEX GOES BRRR: 1 X: 0.113281 +INDEX GOES BRRR: 151 X: 9.49316 +INDEX GOES BRRR: 157 X: 9.85742 +INDEX GOES BRRR: 460 X: 28.8115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.54688 +INDEX GOES BRRR: 421 X: 26.3379 +INDEX GOES BRRR: 91 X: 5.69727 +INDEX GOES BRRR: 953 X: -4.42383 +INDEX GOES BRRR: 103 X: 6.4834 +INDEX GOES BRRR: 159 X: 9.9502 +INDEX GOES BRRR: 76 X: 4.78125 +INDEX GOES BRRR: 263 X: 16.4609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 350 X: 21.915 +INDEX GOES BRRR: 353 X: 22.0986 +INDEX GOES BRRR: 372 X: 23.2666 +INDEX GOES BRRR: 274 X: 17.166 +INDEX GOES BRRR: 167 X: 10.4639 +INDEX GOES BRRR: 279 X: 17.4639 +INDEX GOES BRRR: 271 X: 16.9375 +INDEX GOES BRRR: 175 X: 10.999 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.2949 +INDEX GOES BRRR: 198 X: 12.3828 +INDEX GOES BRRR: 128 X: 8.0459 +INDEX GOES BRRR: 120 X: 7.54102 +INDEX GOES BRRR: 4 X: 0.308594 +INDEX GOES BRRR: 133 X: 8.35938 +INDEX GOES BRRR: 259 X: 16.2217 +INDEX GOES BRRR: 63 X: 3.96289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.125 +INDEX GOES BRRR: 161 X: 10.1182 +INDEX GOES BRRR: 182 X: 11.4297 +INDEX GOES BRRR: 102 X: 6.43066 +INDEX GOES BRRR: 44 X: 2.78906 +INDEX GOES BRRR: 242 X: 15.1338 +INDEX GOES BRRR: 244 X: 15.2754 +INDEX GOES BRRR: 270 X: 16.9229 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 963 X: -3.75684 +INDEX GOES BRRR: 919 X: -6.50879 +INDEX GOES BRRR: 366 X: 22.8838 +INDEX GOES BRRR: 348 X: 21.7764 +INDEX GOES BRRR: 340 X: 21.2725 +INDEX GOES BRRR: 325 X: 20.3594 +INDEX GOES BRRR: 339 X: 21.2344 +INDEX GOES BRRR: 374 X: 23.4219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.05859 +INDEX GOES BRRR: 1021 X: -0.183594 +INDEX GOES BRRR: 193 X: 12.1064 +INDEX GOES BRRR: 1018 X: -0.330078 +INDEX GOES BRRR: 935 X: -5.50098 +INDEX GOES BRRR: 137 X: 8.58301 +INDEX GOES BRRR: 85 X: 5.37109 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4346 +INDEX GOES BRRR: 176 X: 11.0195 +INDEX GOES BRRR: 53 X: 3.35059 +INDEX GOES BRRR: 49 X: 3.08789 +INDEX GOES BRRR: 210 X: 13.1426 +INDEX GOES BRRR: 57 X: 3.60059 +INDEX GOES BRRR: 136 X: 8.54297 +INDEX GOES BRRR: 316 X: 19.7949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4678 +INDEX GOES BRRR: 100 X: 6.28027 +INDEX GOES BRRR: 154 X: 9.63477 +INDEX GOES BRRR: 258 X: 16.1328 +INDEX GOES BRRR: 958 X: -4.10352 +INDEX GOES BRRR: 249 X: 15.5957 +INDEX GOES BRRR: 878 X: -9.11914 +INDEX GOES BRRR: 228 X: 14.2939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.58008 +INDEX GOES BRRR: 211 X: 13.2246 +INDEX GOES BRRR: 2 X: 0.126953 +INDEX GOES BRRR: 45 X: 2.82617 +INDEX GOES BRRR: 1012 X: -0.729492 +INDEX GOES BRRR: 77 X: 4.81738 +INDEX GOES BRRR: 165 X: 10.3467 +INDEX GOES BRRR: 63 X: 3.94043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.34863 +INDEX GOES BRRR: 229 X: 14.3545 +INDEX GOES BRRR: 472 X: 29.5488 +INDEX GOES BRRR: 235 X: 14.7119 +INDEX GOES BRRR: 80 X: 5.04492 +INDEX GOES BRRR: 871 X: -9.53906 +INDEX GOES BRRR: 335 X: 20.959 +INDEX GOES BRRR: 24 X: 1.55957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.97559 +INDEX GOES BRRR: 431 X: 26.9736 +INDEX GOES BRRR: 326 X: 20.4111 +INDEX GOES BRRR: 818 X: -12.8135 +INDEX GOES BRRR: 3 X: 0.22168 +INDEX GOES BRRR: 452 X: 28.2568 +INDEX GOES BRRR: 166 X: 10.4268 +INDEX GOES BRRR: 901 X: -7.65332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 369 X: 23.0986 +INDEX GOES BRRR: 251 X: 15.7197 +INDEX GOES BRRR: 60 X: 3.77734 +INDEX GOES BRRR: 163 X: 10.2168 +INDEX GOES BRRR: 40 X: 2.53516 +INDEX GOES BRRR: 881 X: -8.92871 +INDEX GOES BRRR: 117 X: 7.34668 +INDEX GOES BRRR: 285 X: 17.8213 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.04199 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 267 X: 16.7451 +INDEX GOES BRRR: 1018 X: -0.34668 +INDEX GOES BRRR: 68 X: 4.29785 +INDEX GOES BRRR: 328 X: 20.5293 +INDEX GOES BRRR: 91 X: 5.74902 +INDEX GOES BRRR: 184 X: 11.5488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 63 X: 3.9502 +INDEX GOES BRRR: 239 X: 14.9648 +INDEX GOES BRRR: 243 X: 15.209 +INDEX GOES BRRR: 111 X: 6.96289 +INDEX GOES BRRR: 350 X: 21.9199 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 374 X: 23.4277 +INDEX GOES BRRR: 162 X: 10.1611 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 742 X: -17.5703 +INDEX GOES BRRR: 169 X: 10.5723 +INDEX GOES BRRR: 324 X: 20.2656 +INDEX GOES BRRR: 137 X: 8.60156 +INDEX GOES BRRR: 290 X: 18.1426 +INDEX GOES BRRR: 144 X: 9.02539 +INDEX GOES BRRR: 200 X: 12.5098 +INDEX GOES BRRR: 1009 X: -0.904297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.2432 +INDEX GOES BRRR: 55 X: 3.47559 +INDEX GOES BRRR: 151 X: 9.4375 +INDEX GOES BRRR: 173 X: 10.8672 +INDEX GOES BRRR: 139 X: 8.74805 +INDEX GOES BRRR: 119 X: 7.45605 +INDEX GOES BRRR: 292 X: 18.2969 +INDEX GOES BRRR: 80 X: 5.04395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.75879 +INDEX GOES BRRR: 197 X: 12.3555 +INDEX GOES BRRR: 225 X: 14.1162 +INDEX GOES BRRR: 220 X: 13.7988 +INDEX GOES BRRR: 150 X: 9.41797 +INDEX GOES BRRR: 50 X: 3.17871 +INDEX GOES BRRR: 279 X: 17.4814 +INDEX GOES BRRR: 361 X: 22.5742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 57 X: 3.58984 +INDEX GOES BRRR: 127 X: 7.99902 +INDEX GOES BRRR: 376 X: 23.5322 +INDEX GOES BRRR: 373 X: 23.3457 +INDEX GOES BRRR: 787 X: -14.7549 +INDEX GOES BRRR: 314 X: 19.627 +INDEX GOES BRRR: 154 X: 9.66602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.26562 +INDEX GOES BRRR: 949 X: -4.66016 +INDEX GOES BRRR: 144 X: 9.02539 +INDEX GOES BRRR: 1020 X: -0.197266 +INDEX GOES BRRR: 245 X: 15.3369 +INDEX GOES BRRR: 104 X: 6.5166 +INDEX GOES BRRR: 466 X: 29.1758 +INDEX GOES BRRR: 171 X: 10.7129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.8066 +INDEX GOES BRRR: 209 X: 13.0645 +INDEX GOES BRRR: 108 X: 6.76855 +INDEX GOES BRRR: 77 X: 4.81641 +INDEX GOES BRRR: 272 X: 17.0547 +INDEX GOES BRRR: 194 X: 12.1357 +INDEX GOES BRRR: 275 X: 17.1934 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 929 X: -5.91309 +INDEX GOES BRRR: 336 X: 21.0107 +INDEX GOES BRRR: 54 X: 3.43652 +INDEX GOES BRRR: 231 X: 14.4873 +INDEX GOES BRRR: 279 X: 17.4453 +INDEX GOES BRRR: 228 X: 14.2705 +INDEX GOES BRRR: 405 X: 25.3633 +INDEX GOES BRRR: 1000 X: -1.46289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.99121 +INDEX GOES BRRR: 396 X: 24.7988 +INDEX GOES BRRR: 348 X: 21.8027 +INDEX GOES BRRR: 40 X: 2.53613 +INDEX GOES BRRR: 226 X: 14.1367 +INDEX GOES BRRR: 198 X: 12.3848 +INDEX GOES BRRR: 1003 X: -1.25391 +INDEX GOES BRRR: 76 X: 4.75391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.5645 +INDEX GOES BRRR: 353 X: 22.1045 +INDEX GOES BRRR: 103 X: 6.47656 +INDEX GOES BRRR: 278 X: 17.4023 +INDEX GOES BRRR: 241 X: 15.0771 +INDEX GOES BRRR: 399 X: 24.9512 +INDEX GOES BRRR: 330 X: 20.6719 +INDEX GOES BRRR: 1020 X: -0.21582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.8896 +INDEX GOES BRRR: 28 X: 1.7832 +INDEX GOES BRRR: 355 X: 22.1992 +INDEX GOES BRRR: 343 X: 21.4414 +INDEX GOES BRRR: 201 X: 12.5986 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 5 X: 0.314453 +INDEX GOES BRRR: 77 X: 4.83105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 83 X: 5.19336 +INDEX GOES BRRR: 924 X: -6.20508 +INDEX GOES BRRR: 125 X: 7.86133 +INDEX GOES BRRR: 80 X: 5.03223 +INDEX GOES BRRR: 220 X: 13.7793 +INDEX GOES BRRR: 53 X: 3.32617 +INDEX GOES BRRR: 42 X: 2.66602 +INDEX GOES BRRR: 81 X: 5.06934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.085 +INDEX GOES BRRR: 909 X: -7.17383 +INDEX GOES BRRR: 55 X: 3.49121 +INDEX GOES BRRR: 12 X: 0.804688 +INDEX GOES BRRR: 953 X: -4.39062 +INDEX GOES BRRR: 308 X: 19.291 +INDEX GOES BRRR: 50 X: 3.18262 +INDEX GOES BRRR: 360 X: 22.5107 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.31543 +INDEX GOES BRRR: 1008 X: -0.941406 +INDEX GOES BRRR: 362 X: 22.6484 +INDEX GOES BRRR: 310 X: 19.4287 +INDEX GOES BRRR: 92 X: 5.7832 +INDEX GOES BRRR: 979 X: -2.80273 +INDEX GOES BRRR: 485 X: 30.3379 +INDEX GOES BRRR: 835 X: -11.8105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 34 X: 2.16406 +INDEX GOES BRRR: 110 X: 6.89453 +INDEX GOES BRRR: 285 X: 17.8174 +INDEX GOES BRRR: 230 X: 14.3994 +INDEX GOES BRRR: 156 X: 9.80176 +INDEX GOES BRRR: 199 X: 12.4473 +INDEX GOES BRRR: 110 X: 6.91211 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 844 X: -11.2412 +INDEX GOES BRRR: 1003 X: -1.25488 +INDEX GOES BRRR: 467 X: 29.2012 +INDEX GOES BRRR: 899 X: -7.7666 +INDEX GOES BRRR: 232 X: 14.5098 +INDEX GOES BRRR: 138 X: 8.63379 +INDEX GOES BRRR: 93 X: 5.83008 +INDEX GOES BRRR: 4 X: 0.304688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.16211 +INDEX GOES BRRR: 204 X: 12.7812 +INDEX GOES BRRR: 71 X: 4.47266 +INDEX GOES BRRR: 84 X: 5.28906 +INDEX GOES BRRR: 324 X: 20.2588 +INDEX GOES BRRR: 241 X: 15.0693 +INDEX GOES BRRR: 486 X: 30.4287 +INDEX GOES BRRR: 363 X: 22.7295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5176 +INDEX GOES BRRR: 168 X: 10.5615 +INDEX GOES BRRR: 48 X: 3.01074 +INDEX GOES BRRR: 22 X: 1.40527 +INDEX GOES BRRR: 200 X: 12.5049 +INDEX GOES BRRR: 105 X: 6.60742 +INDEX GOES BRRR: 337 X: 21.0898 +INDEX GOES BRRR: 70 X: 4.3877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.293 +INDEX GOES BRRR: 425 X: 26.5967 +INDEX GOES BRRR: 233 X: 14.5889 +INDEX GOES BRRR: 199 X: 12.4717 +INDEX GOES BRRR: 139 X: 8.71289 +INDEX GOES BRRR: 425 X: 26.5967 +INDEX GOES BRRR: 161 X: 10.0947 +INDEX GOES BRRR: 873 X: -9.43066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 318 X: 19.8994 +INDEX GOES BRRR: 990 X: -2.09961 +INDEX GOES BRRR: 154 X: 9.66699 +INDEX GOES BRRR: 150 X: 9.41113 +INDEX GOES BRRR: 828 X: -12.2285 +INDEX GOES BRRR: 75 X: 4.73438 +INDEX GOES BRRR: 158 X: 9.91895 +INDEX GOES BRRR: 341 X: 21.335 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0635 +INDEX GOES BRRR: 976 X: -2.99121 +INDEX GOES BRRR: 1016 X: -0.467773 +INDEX GOES BRRR: 102 X: 6.40918 +INDEX GOES BRRR: 115 X: 7.2168 +INDEX GOES BRRR: 419 X: 26.2178 +INDEX GOES BRRR: 382 X: 23.9277 +INDEX GOES BRRR: 428 X: 26.8027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.67969 +INDEX GOES BRRR: 94 X: 5.91992 +INDEX GOES BRRR: 85 X: 5.37305 +INDEX GOES BRRR: 310 X: 19.3857 +INDEX GOES BRRR: 172 X: 10.7686 +INDEX GOES BRRR: 7 X: 0.438477 +INDEX GOES BRRR: 235 X: 14.7363 +INDEX GOES BRRR: 216 X: 13.5088 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 270 X: 16.918 +INDEX GOES BRRR: 177 X: 11.0908 +INDEX GOES BRRR: 118 X: 7.39551 +INDEX GOES BRRR: 11 X: 0.744141 +INDEX GOES BRRR: 443 X: 27.6992 +INDEX GOES BRRR: 321 X: 20.0742 +INDEX GOES BRRR: 416 X: 26.0195 +INDEX GOES BRRR: 412 X: 25.7549 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4707 +INDEX GOES BRRR: 72 X: 4.55078 +INDEX GOES BRRR: 1014 X: -0.587891 +INDEX GOES BRRR: 265 X: 16.5957 +INDEX GOES BRRR: 266 X: 16.6514 +INDEX GOES BRRR: 215 X: 13.498 +INDEX GOES BRRR: 16 X: 1.02637 +INDEX GOES BRRR: 155 X: 9.7002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 279 X: 17.4385 +INDEX GOES BRRR: 223 X: 13.9414 +INDEX GOES BRRR: 201 X: 12.6064 +INDEX GOES BRRR: 953 X: -4.38281 +INDEX GOES BRRR: 929 X: -5.90234 +INDEX GOES BRRR: 269 X: 16.835 +INDEX GOES BRRR: 91 X: 5.74707 +INDEX GOES BRRR: 63 X: 3.95898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.11426 +INDEX GOES BRRR: 278 X: 17.4033 +INDEX GOES BRRR: 91 X: 5.73633 +INDEX GOES BRRR: 95 X: 5.94238 +INDEX GOES BRRR: 809 X: -13.4209 +INDEX GOES BRRR: 208 X: 13.0088 +INDEX GOES BRRR: 107 X: 6.74023 +INDEX GOES BRRR: 393 X: 24.5742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1582 +INDEX GOES BRRR: 271 X: 16.9482 +INDEX GOES BRRR: 205 X: 12.8564 +INDEX GOES BRRR: 947 X: -4.80078 +INDEX GOES BRRR: 249 X: 15.6084 +INDEX GOES BRRR: 116 X: 7.25293 +INDEX GOES BRRR: 245 X: 15.334 +INDEX GOES BRRR: 351 X: 21.9521 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3154 +INDEX GOES BRRR: 283 X: 17.7188 +INDEX GOES BRRR: 66 X: 4.17188 +INDEX GOES BRRR: 271 X: 16.9834 +INDEX GOES BRRR: 66 X: 4.12891 +INDEX GOES BRRR: 84 X: 5.30176 +INDEX GOES BRRR: 227 X: 14.2266 +INDEX GOES BRRR: 176 X: 11.0439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0732 +INDEX GOES BRRR: 145 X: 9.12207 +INDEX GOES BRRR: 111 X: 6.9541 +INDEX GOES BRRR: 844 X: -11.1992 +INDEX GOES BRRR: 54 X: 3.43652 +INDEX GOES BRRR: 248 X: 15.5078 +INDEX GOES BRRR: 78 X: 4.91797 +INDEX GOES BRRR: 44 X: 2.81152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4072 +INDEX GOES BRRR: 59 X: 3.70508 +INDEX GOES BRRR: 362 X: 22.6377 +INDEX GOES BRRR: 125 X: 7.86914 +INDEX GOES BRRR: 67 X: 4.21289 +INDEX GOES BRRR: 1012 X: -0.700195 +INDEX GOES BRRR: 82 X: 5.15625 +INDEX GOES BRRR: 76 X: 4.80273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.36816 +INDEX GOES BRRR: 306 X: 19.1387 +INDEX GOES BRRR: 274 X: 17.1855 +INDEX GOES BRRR: 929 X: -5.89941 +INDEX GOES BRRR: 345 X: 21.5752 +INDEX GOES BRRR: 194 X: 12.167 +INDEX GOES BRRR: 961 X: -3.92285 +INDEX GOES BRRR: 995 X: -1.76465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.16699 +INDEX GOES BRRR: 328 X: 20.5244 +INDEX GOES BRRR: 911 X: -7.02246 +INDEX GOES BRRR: 936 X: -5.44922 +INDEX GOES BRRR: 290 X: 18.1699 +INDEX GOES BRRR: 112 X: 7.04199 +INDEX GOES BRRR: 996 X: -1.72168 +INDEX GOES BRRR: 158 X: 9.92383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1504 +INDEX GOES BRRR: 43 X: 2.69629 +INDEX GOES BRRR: 19 X: 1.18945 +INDEX GOES BRRR: 316 X: 19.7529 +INDEX GOES BRRR: 176 X: 11.0039 +INDEX GOES BRRR: 440 X: 27.5264 +INDEX GOES BRRR: 433 X: 27.0977 +INDEX GOES BRRR: 218 X: 13.6611 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 334 X: 20.8916 +INDEX GOES BRRR: 122 X: 7.65234 +INDEX GOES BRRR: 361 X: 22.5723 +INDEX GOES BRRR: 887 X: -8.55078 +INDEX GOES BRRR: 149 X: 9.32715 +INDEX GOES BRRR: 331 X: 20.708 +INDEX GOES BRRR: 169 X: 10.5791 +INDEX GOES BRRR: 113 X: 7.08203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.2334 +INDEX GOES BRRR: 84 X: 5.25293 +INDEX GOES BRRR: 169 X: 10.5938 +INDEX GOES BRRR: 97 X: 6.12012 +INDEX GOES BRRR: 990 X: -2.08105 +INDEX GOES BRRR: 292 X: 18.291 +INDEX GOES BRRR: 969 X: -3.4082 +INDEX GOES BRRR: 179 X: 11.2217 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.23926 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 185 X: 11.5732 +INDEX GOES BRRR: 954 X: -4.35645 +INDEX GOES BRRR: 292 X: 18.2949 +INDEX GOES BRRR: 345 X: 21.5703 +INDEX GOES BRRR: 278 X: 17.3838 +INDEX GOES BRRR: 322 X: 20.1797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2432 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 399 X: 24.9424 +INDEX GOES BRRR: 20 X: 1.26367 +INDEX GOES BRRR: 47 X: 2.96289 +INDEX GOES BRRR: 65 X: 4.12012 +INDEX GOES BRRR: 346 X: 21.6562 +INDEX GOES BRRR: 166 X: 10.4287 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 932 X: -5.73633 +INDEX GOES BRRR: 88 X: 5.50586 +INDEX GOES BRRR: 49 X: 3.09766 +INDEX GOES BRRR: 324 X: 20.3076 +INDEX GOES BRRR: 110 X: 6.93359 +INDEX GOES BRRR: 345 X: 21.6025 +INDEX GOES BRRR: 182 X: 11.3936 +INDEX GOES BRRR: 244 X: 15.3096 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 921 X: -6.4043 +INDEX GOES BRRR: 114 X: 7.14941 +INDEX GOES BRRR: 316 X: 19.7949 +INDEX GOES BRRR: 851 X: -10.8125 +INDEX GOES BRRR: 129 X: 8.11816 +INDEX GOES BRRR: 174 X: 10.9033 +INDEX GOES BRRR: 85 X: 5.31348 +INDEX GOES BRRR: 1014 X: -0.604492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.88672 +INDEX GOES BRRR: 247 X: 15.4951 +INDEX GOES BRRR: 309 X: 19.3564 +INDEX GOES BRRR: 923 X: -6.25781 +INDEX GOES BRRR: 371 X: 23.2383 +INDEX GOES BRRR: 210 X: 13.1807 +INDEX GOES BRRR: 998 X: -1.60449 +INDEX GOES BRRR: 982 X: -2.5918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.7578 +INDEX GOES BRRR: 113 X: 7.07617 +INDEX GOES BRRR: 422 X: 26.4248 +INDEX GOES BRRR: 181 X: 11.3262 +INDEX GOES BRRR: 44 X: 2.75391 +INDEX GOES BRRR: 317 X: 19.8516 +INDEX GOES BRRR: 113 X: 7.10449 +INDEX GOES BRRR: 145 X: 9.08301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0459 +INDEX GOES BRRR: 123 X: 7.72852 +INDEX GOES BRRR: 31 X: 1.95996 +INDEX GOES BRRR: 242 X: 15.1338 +INDEX GOES BRRR: 19 X: 1.24902 +INDEX GOES BRRR: 13 X: 0.828125 +INDEX GOES BRRR: 404 X: 25.2793 +INDEX GOES BRRR: 72 X: 4.53906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 318 X: 19.8857 +INDEX GOES BRRR: 296 X: 18.5557 +INDEX GOES BRRR: 422 X: 26.377 +INDEX GOES BRRR: 62 X: 3.91895 +INDEX GOES BRRR: 377 X: 23.6006 +INDEX GOES BRRR: 130 X: 8.15527 +INDEX GOES BRRR: 1015 X: -0.510742 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 399 X: 24.9697 +INDEX GOES BRRR: 264 X: 16.501 +INDEX GOES BRRR: 54 X: 3.41113 +INDEX GOES BRRR: 998 X: -1.56641 +INDEX GOES BRRR: 816 X: -12.9668 +INDEX GOES BRRR: 90 X: 5.66309 +INDEX GOES BRRR: 76 X: 4.80273 +INDEX GOES BRRR: 346 X: 21.6572 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.58984 +INDEX GOES BRRR: 980 X: -2.73535 +INDEX GOES BRRR: 1005 X: -1.13574 +INDEX GOES BRRR: 224 X: 14.0039 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 52 X: 3.26367 +INDEX GOES BRRR: 57 X: 3.60059 +INDEX GOES BRRR: 164 X: 10.2939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 966 X: -3.60156 +INDEX GOES BRRR: 970 X: -3.34668 +INDEX GOES BRRR: 198 X: 12.4062 +INDEX GOES BRRR: 993 X: -1.92578 +INDEX GOES BRRR: 185 X: 11.5967 +INDEX GOES BRRR: 60 X: 3.79004 +INDEX GOES BRRR: 201 X: 12.6104 +INDEX GOES BRRR: 76 X: 4.7998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6611 +INDEX GOES BRRR: 952 X: -4.48633 +INDEX GOES BRRR: 21 X: 1.32129 +INDEX GOES BRRR: 1005 X: -1.14355 +INDEX GOES BRRR: 1 X: 0.113281 +INDEX GOES BRRR: 1010 X: -0.81543 +INDEX GOES BRRR: 10 X: 0.644531 +INDEX GOES BRRR: 168 X: 10.5518 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9268 +INDEX GOES BRRR: 251 X: 15.7451 +INDEX GOES BRRR: 391 X: 24.498 +INDEX GOES BRRR: 92 X: 5.81055 +INDEX GOES BRRR: 962 X: -3.82422 +INDEX GOES BRRR: 360 X: 22.5127 +INDEX GOES BRRR: 20 X: 1.30957 +INDEX GOES BRRR: 265 X: 16.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 159 X: 9.96875 +INDEX GOES BRRR: 74 X: 4.66113 +INDEX GOES BRRR: 215 X: 13.4678 +INDEX GOES BRRR: 21 X: 1.34961 +INDEX GOES BRRR: 204 X: 12.8096 +INDEX GOES BRRR: 6 X: 0.432617 +INDEX GOES BRRR: 34 X: 2.13379 +INDEX GOES BRRR: 182 X: 11.377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.70117 +INDEX GOES BRRR: 198 X: 12.3779 +INDEX GOES BRRR: 396 X: 24.752 +INDEX GOES BRRR: 213 X: 13.3467 +INDEX GOES BRRR: 1009 X: -0.881836 +INDEX GOES BRRR: 260 X: 16.2578 +INDEX GOES BRRR: 97 X: 6.0625 +INDEX GOES BRRR: 61 X: 3.86328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 493 X: 30.8301 +INDEX GOES BRRR: 229 X: 14.3643 +INDEX GOES BRRR: 988 X: -2.19336 +INDEX GOES BRRR: 853 X: -10.6494 +INDEX GOES BRRR: 1014 X: -0.56543 +INDEX GOES BRRR: 170 X: 10.6621 +INDEX GOES BRRR: 296 X: 18.5215 +INDEX GOES BRRR: 88 X: 5.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.3887 +INDEX GOES BRRR: 775 X: -15.542 +INDEX GOES BRRR: 98 X: 6.13672 +INDEX GOES BRRR: 1004 X: -1.20605 +INDEX GOES BRRR: 162 X: 10.1865 +INDEX GOES BRRR: 383 X: 23.9424 +INDEX GOES BRRR: 470 X: 29.4307 +INDEX GOES BRRR: 25 X: 1.59766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1338 +INDEX GOES BRRR: 336 X: 21.0498 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 146 X: 9.14746 +INDEX GOES BRRR: 897 X: -7.93652 +INDEX GOES BRRR: 950 X: -4.60156 +INDEX GOES BRRR: 367 X: 22.9795 +INDEX GOES BRRR: 995 X: -1.77441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.77832 +INDEX GOES BRRR: 181 X: 11.3457 +INDEX GOES BRRR: 294 X: 18.4023 +INDEX GOES BRRR: 91 X: 5.74316 +INDEX GOES BRRR: 335 X: 20.9893 +INDEX GOES BRRR: 296 X: 18.5469 +INDEX GOES BRRR: 171 X: 10.7256 +INDEX GOES BRRR: 73 X: 4.56543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.6211 +INDEX GOES BRRR: 120 X: 7.5498 +INDEX GOES BRRR: 37 X: 2.31836 +INDEX GOES BRRR: 186 X: 11.6592 +INDEX GOES BRRR: 386 X: 24.1299 +INDEX GOES BRRR: 354 X: 22.1826 +INDEX GOES BRRR: 446 X: 27.8955 +INDEX GOES BRRR: 218 X: 13.6426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 97 X: 6.09082 +INDEX GOES BRRR: 915 X: -6.79102 +INDEX GOES BRRR: 14 X: 0.905273 +INDEX GOES BRRR: 274 X: 17.168 +INDEX GOES BRRR: 357 X: 22.3369 +INDEX GOES BRRR: 1016 X: -0.462891 +INDEX GOES BRRR: 268 X: 16.7627 +INDEX GOES BRRR: 105 X: 6.59082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0576 +INDEX GOES BRRR: 59 X: 3.71191 +INDEX GOES BRRR: 287 X: 17.9727 +INDEX GOES BRRR: 390 X: 24.3887 +INDEX GOES BRRR: 118 X: 7.375 +INDEX GOES BRRR: 130 X: 8.17383 +INDEX GOES BRRR: 468 X: 29.2959 +INDEX GOES BRRR: 50 X: 3.13867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.1221 +INDEX GOES BRRR: 107 X: 6.68848 +INDEX GOES BRRR: 211 X: 13.2158 +INDEX GOES BRRR: 166 X: 10.4316 +INDEX GOES BRRR: 188 X: 11.7744 +INDEX GOES BRRR: 410 X: 25.6572 +INDEX GOES BRRR: 126 X: 7.93164 +INDEX GOES BRRR: 213 X: 13.3242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.667 +INDEX GOES BRRR: 80 X: 5.01367 +INDEX GOES BRRR: 1018 X: -0.330078 +INDEX GOES BRRR: 120 X: 7.56055 +INDEX GOES BRRR: 135 X: 8.49316 +INDEX GOES BRRR: 145 X: 9.09277 +INDEX GOES BRRR: 23 X: 1.46582 +INDEX GOES BRRR: 318 X: 19.8828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.6943 +INDEX GOES BRRR: 397 X: 24.874 +INDEX GOES BRRR: 166 X: 10.4043 +INDEX GOES BRRR: 911 X: -7.00391 +INDEX GOES BRRR: 177 X: 11.0879 +INDEX GOES BRRR: 227 X: 14.2266 +INDEX GOES BRRR: 200 X: 12.5039 +INDEX GOES BRRR: 883 X: -8.76562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 441 X: 27.6133 +INDEX GOES BRRR: 27 X: 1.72168 +INDEX GOES BRRR: 125 X: 7.82812 +INDEX GOES BRRR: 222 X: 13.9336 +INDEX GOES BRRR: 103 X: 6.44336 +INDEX GOES BRRR: 103 X: 6.46094 +INDEX GOES BRRR: 432 X: 27.0195 +INDEX GOES BRRR: 129 X: 8.08594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.18945 +INDEX GOES BRRR: 140 X: 8.77734 +INDEX GOES BRRR: 831 X: -12.0176 +INDEX GOES BRRR: 151 X: 9.48633 +INDEX GOES BRRR: 179 X: 11.249 +INDEX GOES BRRR: 99 X: 6.20312 +INDEX GOES BRRR: 449 X: 28.0654 +INDEX GOES BRRR: 310 X: 19.3994 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9102 +INDEX GOES BRRR: 21 X: 1.35059 +INDEX GOES BRRR: 329 X: 20.5762 +INDEX GOES BRRR: 167 X: 10.4902 +INDEX GOES BRRR: 21 X: 1.37012 +INDEX GOES BRRR: 457 X: 28.585 +INDEX GOES BRRR: 88 X: 5.50879 +INDEX GOES BRRR: 286 X: 17.9238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 419 X: 26.2178 +INDEX GOES BRRR: 1006 X: -1.10449 +INDEX GOES BRRR: 234 X: 14.6816 +INDEX GOES BRRR: 933 X: -5.68359 +INDEX GOES BRRR: 191 X: 11.9375 +INDEX GOES BRRR: 315 X: 19.7188 +INDEX GOES BRRR: 216 X: 13.5449 +INDEX GOES BRRR: 144 X: 9.00488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.165 +INDEX GOES BRRR: 75 X: 4.73438 +INDEX GOES BRRR: 256 X: 16.0596 +INDEX GOES BRRR: 210 X: 13.1572 +INDEX GOES BRRR: 126 X: 7.88867 +INDEX GOES BRRR: 280 X: 17.5 +INDEX GOES BRRR: 1011 X: -0.768555 +INDEX GOES BRRR: 192 X: 12.0176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 955 X: -4.2832 +INDEX GOES BRRR: 288 X: 18.0312 +INDEX GOES BRRR: 187 X: 11.7178 +INDEX GOES BRRR: 300 X: 18.7578 +INDEX GOES BRRR: 123 X: 7.74414 +INDEX GOES BRRR: 280 X: 17.5146 +INDEX GOES BRRR: 952 X: -4.48535 +INDEX GOES BRRR: 420 X: 26.2969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.39355 +INDEX GOES BRRR: 994 X: -1.82715 +INDEX GOES BRRR: 452 X: 28.2988 +INDEX GOES BRRR: 430 X: 26.8799 +INDEX GOES BRRR: 85 X: 5.37012 +INDEX GOES BRRR: 862 X: -10.1016 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 321 X: 20.0918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.51074 +INDEX GOES BRRR: 358 X: 22.4014 +INDEX GOES BRRR: 196 X: 12.2969 +INDEX GOES BRRR: 183 X: 11.4805 +INDEX GOES BRRR: 66 X: 4.13281 +INDEX GOES BRRR: 267 X: 16.7295 +INDEX GOES BRRR: 87 X: 5.48145 +INDEX GOES BRRR: 812 X: -13.209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.83301 +INDEX GOES BRRR: 312 X: 19.5127 +INDEX GOES BRRR: 181 X: 11.3691 +INDEX GOES BRRR: 23 X: 1.4834 +INDEX GOES BRRR: 953 X: -4.40137 +INDEX GOES BRRR: 361 X: 22.5918 +INDEX GOES BRRR: 266 X: 16.6641 +INDEX GOES BRRR: 836 X: -11.6943 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.38574 +INDEX GOES BRRR: 201 X: 12.5791 +INDEX GOES BRRR: 339 X: 21.2334 +INDEX GOES BRRR: 329 X: 20.5762 +INDEX GOES BRRR: 400 X: 25.0605 +INDEX GOES BRRR: 293 X: 18.3193 +INDEX GOES BRRR: 193 X: 12.0752 +INDEX GOES BRRR: 362 X: 22.626 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 450 X: 28.1738 +INDEX GOES BRRR: 329 X: 20.5938 +INDEX GOES BRRR: 68 X: 4.25586 +INDEX GOES BRRR: 348 X: 21.7637 +INDEX GOES BRRR: 221 X: 13.8271 +INDEX GOES BRRR: 291 X: 18.1904 +INDEX GOES BRRR: 116 X: 7.2666 +INDEX GOES BRRR: 105 X: 6.5957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.88281 +INDEX GOES BRRR: 48 X: 3.03125 +INDEX GOES BRRR: 6 X: 0.40332 +INDEX GOES BRRR: 30 X: 1.92188 +INDEX GOES BRRR: 425 X: 26.584 +INDEX GOES BRRR: 447 X: 27.999 +INDEX GOES BRRR: 223 X: 13.9551 +INDEX GOES BRRR: 398 X: 24.8877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 990 X: -2.08203 +INDEX GOES BRRR: 139 X: 8.69238 +INDEX GOES BRRR: 7 X: 0.44043 +INDEX GOES BRRR: 22 X: 1.41406 +INDEX GOES BRRR: 99 X: 6.1875 +INDEX GOES BRRR: 97 X: 6.09961 +INDEX GOES BRRR: 166 X: 10.415 +INDEX GOES BRRR: 230 X: 14.3955 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 298 X: 18.6309 +INDEX GOES BRRR: 984 X: -2.47656 +INDEX GOES BRRR: 213 X: 13.3174 +INDEX GOES BRRR: 104 X: 6.51855 +INDEX GOES BRRR: 125 X: 7.81738 +INDEX GOES BRRR: 65 X: 4.0625 +INDEX GOES BRRR: 942 X: -5.06348 +INDEX GOES BRRR: 954 X: -4.32812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0732 +INDEX GOES BRRR: 783 X: -15.0391 +INDEX GOES BRRR: 267 X: 16.6914 +INDEX GOES BRRR: 107 X: 6.73926 +INDEX GOES BRRR: 177 X: 11.0898 +INDEX GOES BRRR: 62 X: 3.93555 +INDEX GOES BRRR: 239 X: 14.998 +INDEX GOES BRRR: 68 X: 4.26074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7031 +INDEX GOES BRRR: 280 X: 17.5361 +INDEX GOES BRRR: 34 X: 2.1582 +INDEX GOES BRRR: 94 X: 5.91309 +INDEX GOES BRRR: 89 X: 5.5957 +INDEX GOES BRRR: 423 X: 26.499 +INDEX GOES BRRR: 259 X: 16.2129 +INDEX GOES BRRR: 393 X: 24.5879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.2129 +INDEX GOES BRRR: 13 X: 0.864258 +INDEX GOES BRRR: 9 X: 0.609375 +INDEX GOES BRRR: 221 X: 13.8154 +INDEX GOES BRRR: 114 X: 7.12891 +INDEX GOES BRRR: 790 X: -14.5947 +INDEX GOES BRRR: 486 X: 30.3857 +INDEX GOES BRRR: 204 X: 12.7705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0791 +INDEX GOES BRRR: 482 X: 30.1621 +INDEX GOES BRRR: 90 X: 5.66992 +INDEX GOES BRRR: 994 X: -1.8623 +INDEX GOES BRRR: 924 X: -6.19043 +INDEX GOES BRRR: 184 X: 11.5283 +INDEX GOES BRRR: 72 X: 4.53809 +INDEX GOES BRRR: 191 X: 11.9561 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 440 X: 27.5098 +INDEX GOES BRRR: 186 X: 11.6865 +INDEX GOES BRRR: 190 X: 11.9092 +INDEX GOES BRRR: 208 X: 13.0557 +INDEX GOES BRRR: 54 X: 3.42188 +INDEX GOES BRRR: 339 X: 21.2363 +INDEX GOES BRRR: 375 X: 23.4551 +INDEX GOES BRRR: 136 X: 8.54199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 338 X: 21.1865 +INDEX GOES BRRR: 368 X: 23.0146 +INDEX GOES BRRR: 100 X: 6.30762 +INDEX GOES BRRR: 170 X: 10.666 +INDEX GOES BRRR: 452 X: 28.2842 +INDEX GOES BRRR: 141 X: 8.84473 +INDEX GOES BRRR: 931 X: -5.81152 +INDEX GOES BRRR: 362 X: 22.6465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.2471 +INDEX GOES BRRR: 1011 X: -0.807617 +INDEX GOES BRRR: 328 X: 20.5518 +INDEX GOES BRRR: 250 X: 15.6416 +INDEX GOES BRRR: 199 X: 12.4971 +INDEX GOES BRRR: 373 X: 23.3691 +INDEX GOES BRRR: 14 X: 0.899414 +INDEX GOES BRRR: 160 X: 10.0508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.69141 +INDEX GOES BRRR: 279 X: 17.4951 +INDEX GOES BRRR: 125 X: 7.82422 +INDEX GOES BRRR: 33 X: 2.10938 +INDEX GOES BRRR: 19 X: 1.24609 +INDEX GOES BRRR: 365 X: 22.8652 +INDEX GOES BRRR: 29 X: 1.84473 +INDEX GOES BRRR: 236 X: 14.7812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 412 X: 25.8057 +INDEX GOES BRRR: 14 X: 0.905273 +INDEX GOES BRRR: 109 X: 6.82617 +INDEX GOES BRRR: 436 X: 27.2773 +INDEX GOES BRRR: 195 X: 12.1973 +INDEX GOES BRRR: 137 X: 8.5625 +INDEX GOES BRRR: 139 X: 8.68848 +INDEX GOES BRRR: 8 X: 0.508789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.79395 +INDEX GOES BRRR: 965 X: -3.68555 +INDEX GOES BRRR: 204 X: 12.793 +INDEX GOES BRRR: 174 X: 10.917 +INDEX GOES BRRR: 247 X: 15.4922 +INDEX GOES BRRR: 207 X: 12.957 +INDEX GOES BRRR: 166 X: 10.4346 +INDEX GOES BRRR: 955 X: -4.27148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0234 +INDEX GOES BRRR: 150 X: 9.375 +INDEX GOES BRRR: 69 X: 4.33496 +INDEX GOES BRRR: 182 X: 11.3867 +INDEX GOES BRRR: 162 X: 10.1807 +INDEX GOES BRRR: 212 X: 13.2529 +INDEX GOES BRRR: 271 X: 16.9697 +INDEX GOES BRRR: 794 X: -14.3506 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.55664 +INDEX GOES BRRR: 30 X: 1.91797 +INDEX GOES BRRR: 28 X: 1.77637 +INDEX GOES BRRR: 1014 X: -0.609375 +INDEX GOES BRRR: 345 X: 21.6016 +INDEX GOES BRRR: 90 X: 5.64551 +INDEX GOES BRRR: 100 X: 6.2998 +INDEX GOES BRRR: 125 X: 7.86914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.72461 +INDEX GOES BRRR: 151 X: 9.45898 +INDEX GOES BRRR: 231 X: 14.4873 +INDEX GOES BRRR: 19 X: 1.24414 +INDEX GOES BRRR: 237 X: 14.8643 +INDEX GOES BRRR: 259 X: 16.2197 +INDEX GOES BRRR: 945 X: -4.92969 +INDEX GOES BRRR: 135 X: 8.47754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3545 +INDEX GOES BRRR: 958 X: -4.07812 +INDEX GOES BRRR: 91 X: 5.74902 +INDEX GOES BRRR: 28 X: 1.80273 +INDEX GOES BRRR: 204 X: 12.7764 +INDEX GOES BRRR: 183 X: 11.4766 +INDEX GOES BRRR: 246 X: 15.3926 +INDEX GOES BRRR: 948 X: -4.71484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5342 +INDEX GOES BRRR: 124 X: 7.76074 +INDEX GOES BRRR: 82 X: 5.16406 +INDEX GOES BRRR: 173 X: 10.8672 +INDEX GOES BRRR: 91 X: 5.69043 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 18 X: 1.13379 +INDEX GOES BRRR: 75 X: 4.73047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1387 +INDEX GOES BRRR: 191 X: 11.9502 +INDEX GOES BRRR: 32 X: 2.06055 +INDEX GOES BRRR: 190 X: 11.9102 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 238 X: 14.876 +INDEX GOES BRRR: 258 X: 16.1787 +INDEX GOES BRRR: 443 X: 27.7119 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.76465 +INDEX GOES BRRR: 321 X: 20.1016 +INDEX GOES BRRR: 95 X: 5.96875 +INDEX GOES BRRR: 197 X: 12.3564 +INDEX GOES BRRR: 14 X: 0.921875 +INDEX GOES BRRR: 245 X: 15.335 +INDEX GOES BRRR: 73 X: 4.57422 +INDEX GOES BRRR: 173 X: 10.8438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 485 X: 30.3467 +INDEX GOES BRRR: 961 X: -3.91504 +INDEX GOES BRRR: 69 X: 4.3291 +INDEX GOES BRRR: 157 X: 9.85254 +INDEX GOES BRRR: 99 X: 6.24023 +INDEX GOES BRRR: 192 X: 12.0254 +INDEX GOES BRRR: 65 X: 4.09473 +INDEX GOES BRRR: 301 X: 18.8193 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.60938 +INDEX GOES BRRR: 124 X: 7.79785 +INDEX GOES BRRR: 92 X: 5.76562 +INDEX GOES BRRR: 195 X: 12.2061 +INDEX GOES BRRR: 992 X: -1.98242 +INDEX GOES BRRR: 29 X: 1.85547 +INDEX GOES BRRR: 926 X: -6.08496 +INDEX GOES BRRR: 1019 X: -0.295898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3379 +INDEX GOES BRRR: 112 X: 7.00488 +INDEX GOES BRRR: 41 X: 2.56445 +INDEX GOES BRRR: 947 X: -4.75391 +INDEX GOES BRRR: 378 X: 23.6279 +INDEX GOES BRRR: 314 X: 19.6318 +INDEX GOES BRRR: 1006 X: -1.12305 +INDEX GOES BRRR: 30 X: 1.91211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4551 +INDEX GOES BRRR: 241 X: 15.1211 +INDEX GOES BRRR: 174 X: 10.9258 +INDEX GOES BRRR: 176 X: 11.0234 +INDEX GOES BRRR: 235 X: 14.7422 +INDEX GOES BRRR: 180 X: 11.3096 +INDEX GOES BRRR: 84 X: 5.26562 +INDEX GOES BRRR: 32 X: 2.05371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 383 X: 23.9521 +INDEX GOES BRRR: 445 X: 27.8242 +INDEX GOES BRRR: 209 X: 13.1191 +INDEX GOES BRRR: 975 X: -3.05078 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 143 X: 8.94141 +INDEX GOES BRRR: 173 X: 10.8379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.46875 +INDEX GOES BRRR: 168 X: 10.5557 +INDEX GOES BRRR: 190 X: 11.9141 +INDEX GOES BRRR: 182 X: 11.4053 +INDEX GOES BRRR: 192 X: 12.0176 +INDEX GOES BRRR: 171 X: 10.6992 +INDEX GOES BRRR: 5 X: 0.369141 +INDEX GOES BRRR: 192 X: 12.0605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 483 X: 30.1914 +INDEX GOES BRRR: 315 X: 19.7148 +INDEX GOES BRRR: 733 X: -18.1729 +INDEX GOES BRRR: 45 X: 2.85938 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 151 X: 9.48438 +INDEX GOES BRRR: 32 X: 2.00781 +INDEX GOES BRRR: 232 X: 14.5127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.18652 +INDEX GOES BRRR: 81 X: 5.09473 +INDEX GOES BRRR: 147 X: 9.20996 +INDEX GOES BRRR: 10 X: 0.649414 +INDEX GOES BRRR: 81 X: 5.08984 +INDEX GOES BRRR: 1023 X: -0.0527344 +INDEX GOES BRRR: 120 X: 7.52148 +INDEX GOES BRRR: 229 X: 14.3457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0537 +INDEX GOES BRRR: 797 X: -14.1816 +INDEX GOES BRRR: 202 X: 12.6768 +INDEX GOES BRRR: 93 X: 5.83691 +INDEX GOES BRRR: 174 X: 10.8955 +INDEX GOES BRRR: 281 X: 17.5664 +INDEX GOES BRRR: 135 X: 8.49805 +INDEX GOES BRRR: 156 X: 9.76074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3516 +INDEX GOES BRRR: 183 X: 11.458 +INDEX GOES BRRR: 139 X: 8.72559 +INDEX GOES BRRR: 976 X: -2.96484 +INDEX GOES BRRR: 1015 X: -0.527344 +INDEX GOES BRRR: 147 X: 9.20117 +INDEX GOES BRRR: 8 X: 0.555664 +INDEX GOES BRRR: 177 X: 11.0869 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.3877 +INDEX GOES BRRR: 335 X: 20.9697 +INDEX GOES BRRR: 163 X: 10.2227 +INDEX GOES BRRR: 1006 X: -1.10352 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 103 X: 6.49609 +INDEX GOES BRRR: 225 X: 14.1182 +INDEX GOES BRRR: 65 X: 4.08594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 984 X: -2.44434 +INDEX GOES BRRR: 9 X: 0.607422 +INDEX GOES BRRR: 52 X: 3.2666 +INDEX GOES BRRR: 989 X: -2.14453 +INDEX GOES BRRR: 1013 X: -0.642578 +INDEX GOES BRRR: 5 X: 0.339844 +INDEX GOES BRRR: 339 X: 21.249 +INDEX GOES BRRR: 114 X: 7.13379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1020 X: -0.246094 +INDEX GOES BRRR: 908 X: -7.22168 +INDEX GOES BRRR: 975 X: -3.04199 +INDEX GOES BRRR: 335 X: 20.9717 +INDEX GOES BRRR: 34 X: 2.16113 +INDEX GOES BRRR: 279 X: 17.4697 +INDEX GOES BRRR: 308 X: 19.3047 +INDEX GOES BRRR: 173 X: 10.8691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 446 X: 27.9004 +INDEX GOES BRRR: 220 X: 13.7988 +INDEX GOES BRRR: 28 X: 1.75781 +INDEX GOES BRRR: 133 X: 8.32617 +INDEX GOES BRRR: 1020 X: -0.203125 +INDEX GOES BRRR: 59 X: 3.74902 +INDEX GOES BRRR: 206 X: 12.9141 +INDEX GOES BRRR: 948 X: -4.7168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4307 +INDEX GOES BRRR: 292 X: 18.2832 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 99 X: 6.19336 +INDEX GOES BRRR: 390 X: 24.4365 +INDEX GOES BRRR: 212 X: 13.2979 +INDEX GOES BRRR: 35 X: 2.20703 +INDEX GOES BRRR: 207 X: 12.9873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.195312 +INDEX GOES BRRR: 148 X: 9.31152 +INDEX GOES BRRR: 37 X: 2.35449 +INDEX GOES BRRR: 461 X: 28.8125 +INDEX GOES BRRR: 348 X: 21.7539 +INDEX GOES BRRR: 136 X: 8.51855 +INDEX GOES BRRR: 185 X: 11.6191 +INDEX GOES BRRR: 217 X: 13.6221 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.80078 +INDEX GOES BRRR: 1002 X: -1.34277 +INDEX GOES BRRR: 994 X: -1.84473 +INDEX GOES BRRR: 108 X: 6.80371 +INDEX GOES BRRR: 117 X: 7.35156 +INDEX GOES BRRR: 129 X: 8.08203 +INDEX GOES BRRR: 861 X: -10.1514 +INDEX GOES BRRR: 76 X: 4.80664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.77832 +INDEX GOES BRRR: 168 X: 10.5166 +INDEX GOES BRRR: 1005 X: -1.18164 +INDEX GOES BRRR: 926 X: -6.08887 +INDEX GOES BRRR: 428 X: 26.7764 +INDEX GOES BRRR: 426 X: 26.6758 +INDEX GOES BRRR: 55 X: 3.47266 +INDEX GOES BRRR: 95 X: 5.94629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 13 X: 0.814453 +INDEX GOES BRRR: 990 X: -2.10156 +INDEX GOES BRRR: 191 X: 11.9561 +INDEX GOES BRRR: 173 X: 10.8584 +INDEX GOES BRRR: 88 X: 5.51562 +INDEX GOES BRRR: 280 X: 17.5537 +INDEX GOES BRRR: 915 X: -6.78613 +INDEX GOES BRRR: 249 X: 15.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.5928 +INDEX GOES BRRR: 986 X: -2.35059 +INDEX GOES BRRR: 164 X: 10.2998 +INDEX GOES BRRR: 361 X: 22.5703 +INDEX GOES BRRR: 199 X: 12.4463 +INDEX GOES BRRR: 367 X: 22.9814 +INDEX GOES BRRR: 259 X: 16.2441 +INDEX GOES BRRR: 129 X: 8.10742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 764 X: -16.2207 +INDEX GOES BRRR: 261 X: 16.3652 +INDEX GOES BRRR: 89 X: 5.6084 +INDEX GOES BRRR: 905 X: -7.39941 +INDEX GOES BRRR: 44 X: 2.78027 +INDEX GOES BRRR: 324 X: 20.293 +INDEX GOES BRRR: 116 X: 7.25391 +INDEX GOES BRRR: 338 X: 21.1504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 324 X: 20.293 +INDEX GOES BRRR: 984 X: -2.44922 +INDEX GOES BRRR: 49 X: 3.07129 +INDEX GOES BRRR: 179 X: 11.2354 +INDEX GOES BRRR: 162 X: 10.1289 +INDEX GOES BRRR: 46 X: 2.88965 +INDEX GOES BRRR: 54 X: 3.43359 +INDEX GOES BRRR: 349 X: 21.8516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.3623 +INDEX GOES BRRR: 868 X: -9.74512 +INDEX GOES BRRR: 276 X: 17.2891 +INDEX GOES BRRR: 22 X: 1.43359 +INDEX GOES BRRR: 469 X: 29.3125 +INDEX GOES BRRR: 203 X: 12.7129 +INDEX GOES BRRR: 195 X: 12.2139 +INDEX GOES BRRR: 824 X: -12.4941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.35059 +INDEX GOES BRRR: 171 X: 10.748 +INDEX GOES BRRR: 228 X: 14.3086 +INDEX GOES BRRR: 381 X: 23.8701 +INDEX GOES BRRR: 168 X: 10.541 +INDEX GOES BRRR: 187 X: 11.7373 +INDEX GOES BRRR: 95 X: 5.96973 +INDEX GOES BRRR: 767 X: -16.0391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.2695 +INDEX GOES BRRR: 349 X: 21.8672 +INDEX GOES BRRR: 165 X: 10.3477 +INDEX GOES BRRR: 113 X: 7.09082 +INDEX GOES BRRR: 372 X: 23.3018 +INDEX GOES BRRR: 135 X: 8.48145 +INDEX GOES BRRR: 165 X: 10.334 +INDEX GOES BRRR: 380 X: 23.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.458 +INDEX GOES BRRR: 275 X: 17.2021 +INDEX GOES BRRR: 226 X: 14.1611 +INDEX GOES BRRR: 961 X: -3.88477 +INDEX GOES BRRR: 140 X: 8.75586 +INDEX GOES BRRR: 64 X: 4.05078 +INDEX GOES BRRR: 330 X: 20.6582 +INDEX GOES BRRR: 122 X: 7.62988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.082 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 60 X: 3.77246 +INDEX GOES BRRR: 337 X: 21.1211 +INDEX GOES BRRR: 88 X: 5.54883 +INDEX GOES BRRR: 321 X: 20.0703 +INDEX GOES BRRR: 316 X: 19.7949 +INDEX GOES BRRR: 252 X: 15.7705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1602 +INDEX GOES BRRR: 972 X: -3.2002 +INDEX GOES BRRR: 8 X: 0.530273 +INDEX GOES BRRR: 96 X: 6.02148 +INDEX GOES BRRR: 155 X: 9.70898 +INDEX GOES BRRR: 199 X: 12.4688 +INDEX GOES BRRR: 248 X: 15.5469 +INDEX GOES BRRR: 174 X: 10.8916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.72363 +INDEX GOES BRRR: 902 X: -7.61914 +INDEX GOES BRRR: 229 X: 14.3428 +INDEX GOES BRRR: 164 X: 10.3105 +INDEX GOES BRRR: 329 X: 20.5869 +INDEX GOES BRRR: 319 X: 19.9541 +INDEX GOES BRRR: 56 X: 3.55078 +INDEX GOES BRRR: 140 X: 8.78418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7598 +INDEX GOES BRRR: 157 X: 9.84668 +INDEX GOES BRRR: 315 X: 19.7178 +INDEX GOES BRRR: 184 X: 11.5137 +INDEX GOES BRRR: 40 X: 2.52344 +INDEX GOES BRRR: 106 X: 6.66016 +INDEX GOES BRRR: 162 X: 10.1777 +INDEX GOES BRRR: 761 X: -16.4219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9189 +INDEX GOES BRRR: 280 X: 17.5264 +INDEX GOES BRRR: 185 X: 11.6064 +INDEX GOES BRRR: 119 X: 7.44434 +INDEX GOES BRRR: 481 X: 30.1201 +INDEX GOES BRRR: 770 X: -15.8281 +INDEX GOES BRRR: 187 X: 11.7471 +INDEX GOES BRRR: 305 X: 19.0723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1013 X: -0.666992 +INDEX GOES BRRR: 158 X: 9.90137 +INDEX GOES BRRR: 147 X: 9.20801 +INDEX GOES BRRR: 190 X: 11.8877 +INDEX GOES BRRR: 1007 X: -1.03027 +INDEX GOES BRRR: 83 X: 5.2334 +INDEX GOES BRRR: 167 X: 10.4717 +INDEX GOES BRRR: 266 X: 16.6729 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.3418 +INDEX GOES BRRR: 284 X: 17.7842 +INDEX GOES BRRR: 208 X: 13.0059 +INDEX GOES BRRR: 184 X: 11.5234 +INDEX GOES BRRR: 926 X: -6.10156 +INDEX GOES BRRR: 969 X: -3.42871 +INDEX GOES BRRR: 210 X: 13.125 +INDEX GOES BRRR: 279 X: 17.4531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 957 X: -4.17285 +INDEX GOES BRRR: 299 X: 18.7383 +INDEX GOES BRRR: 33 X: 2.10645 +INDEX GOES BRRR: 924 X: -6.24121 +INDEX GOES BRRR: 267 X: 16.7451 +INDEX GOES BRRR: 416 X: 26.0146 +INDEX GOES BRRR: 45 X: 2.85156 +INDEX GOES BRRR: 117 X: 7.37402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.3457 +INDEX GOES BRRR: 865 X: -9.87988 +INDEX GOES BRRR: 32 X: 2.00684 +INDEX GOES BRRR: 183 X: 11.4707 +INDEX GOES BRRR: 969 X: -3.38379 +INDEX GOES BRRR: 322 X: 20.1338 +INDEX GOES BRRR: 37 X: 2.33887 +INDEX GOES BRRR: 261 X: 16.3447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.96777 +INDEX GOES BRRR: 136 X: 8.5127 +INDEX GOES BRRR: 32 X: 2.02148 +INDEX GOES BRRR: 493 X: 30.8516 +INDEX GOES BRRR: 349 X: 21.8203 +INDEX GOES BRRR: 22 X: 1.375 +INDEX GOES BRRR: 216 X: 13.5498 +INDEX GOES BRRR: 262 X: 16.4043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 338 X: 21.166 +INDEX GOES BRRR: 188 X: 11.752 +INDEX GOES BRRR: 916 X: -6.69043 +INDEX GOES BRRR: 35 X: 2.21387 +INDEX GOES BRRR: 134 X: 8.42383 +INDEX GOES BRRR: 351 X: 21.9619 +INDEX GOES BRRR: 169 X: 10.5723 +INDEX GOES BRRR: 431 X: 26.9824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.3887 +INDEX GOES BRRR: 229 X: 14.3359 +INDEX GOES BRRR: 126 X: 7.9082 +INDEX GOES BRRR: 310 X: 19.376 +INDEX GOES BRRR: 272 X: 17.002 +INDEX GOES BRRR: 140 X: 8.80176 +INDEX GOES BRRR: 397 X: 24.8486 +INDEX GOES BRRR: 108 X: 6.75488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7754 +INDEX GOES BRRR: 313 X: 19.6016 +INDEX GOES BRRR: 318 X: 19.8945 +INDEX GOES BRRR: 223 X: 13.9912 +INDEX GOES BRRR: 141 X: 8.86621 +INDEX GOES BRRR: 995 X: -1.75684 +INDEX GOES BRRR: 194 X: 12.1816 +INDEX GOES BRRR: 486 X: 30.4219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 128 X: 8.01172 +INDEX GOES BRRR: 225 X: 14.1025 +INDEX GOES BRRR: 1006 X: -1.12402 +INDEX GOES BRRR: 916 X: -6.70215 +INDEX GOES BRRR: 108 X: 6.7959 +INDEX GOES BRRR: 414 X: 25.9229 +INDEX GOES BRRR: 286 X: 17.8848 +INDEX GOES BRRR: 952 X: -4.44531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.23145 +INDEX GOES BRRR: 36 X: 2.31152 +INDEX GOES BRRR: 1007 X: -1.0127 +INDEX GOES BRRR: 454 X: 28.3965 +INDEX GOES BRRR: 389 X: 24.3477 +INDEX GOES BRRR: 328 X: 20.5068 +INDEX GOES BRRR: 481 X: 30.0723 +INDEX GOES BRRR: 954 X: -4.33887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.5234 +INDEX GOES BRRR: 136 X: 8.5498 +INDEX GOES BRRR: 82 X: 5.17773 +INDEX GOES BRRR: 18 X: 1.16699 +INDEX GOES BRRR: 28 X: 1.78613 +INDEX GOES BRRR: 233 X: 14.6152 +INDEX GOES BRRR: 38 X: 2.41699 +INDEX GOES BRRR: 993 X: -1.92871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.119141 +INDEX GOES BRRR: 46 X: 2.90527 +INDEX GOES BRRR: 261 X: 16.3359 +INDEX GOES BRRR: 161 X: 10.1162 +INDEX GOES BRRR: 113 X: 7.10742 +INDEX GOES BRRR: 329 X: 20.6133 +INDEX GOES BRRR: 191 X: 11.9756 +INDEX GOES BRRR: 345 X: 21.5859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 349 X: 21.8555 +INDEX GOES BRRR: 199 X: 12.4854 +INDEX GOES BRRR: 184 X: 11.5127 +INDEX GOES BRRR: 141 X: 8.87305 +INDEX GOES BRRR: 283 X: 17.7236 +INDEX GOES BRRR: 212 X: 13.2549 +INDEX GOES BRRR: 297 X: 18.6025 +INDEX GOES BRRR: 870 X: -9.6123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.50684 +INDEX GOES BRRR: 115 X: 7.21094 +INDEX GOES BRRR: 168 X: 10.5352 +INDEX GOES BRRR: 901 X: -7.62793 +INDEX GOES BRRR: 123 X: 7.71973 +INDEX GOES BRRR: 122 X: 7.68652 +INDEX GOES BRRR: 180 X: 11.2812 +INDEX GOES BRRR: 101 X: 6.31836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.40137 +INDEX GOES BRRR: 997 X: -1.64355 +INDEX GOES BRRR: 331 X: 20.707 +INDEX GOES BRRR: 388 X: 24.2871 +INDEX GOES BRRR: 864 X: -9.94629 +INDEX GOES BRRR: 63 X: 3.97949 +INDEX GOES BRRR: 31 X: 1.95898 +INDEX GOES BRRR: 383 X: 23.9854 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 431 X: 26.9619 +INDEX GOES BRRR: 495 X: 30.999 +INDEX GOES BRRR: 847 X: -11.0127 +INDEX GOES BRRR: 36 X: 2.30664 +INDEX GOES BRRR: 126 X: 7.93652 +INDEX GOES BRRR: 326 X: 20.4043 +INDEX GOES BRRR: 268 X: 16.7578 +INDEX GOES BRRR: 929 X: -5.88086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 376 X: 23.5547 +INDEX GOES BRRR: 203 X: 12.7295 +INDEX GOES BRRR: 215 X: 13.4775 +INDEX GOES BRRR: 345 X: 21.5625 +INDEX GOES BRRR: 65 X: 4.07715 +INDEX GOES BRRR: 208 X: 13.0254 +INDEX GOES BRRR: 246 X: 15.3877 +INDEX GOES BRRR: 400 X: 25.04 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.34668 +INDEX GOES BRRR: 204 X: 12.7549 +INDEX GOES BRRR: 201 X: 12.5938 +INDEX GOES BRRR: 28 X: 1.79004 +INDEX GOES BRRR: 19 X: 1.23145 +INDEX GOES BRRR: 234 X: 14.6836 +INDEX GOES BRRR: 258 X: 16.1797 +INDEX GOES BRRR: 19 X: 1.1875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.94043 +INDEX GOES BRRR: 1019 X: -0.295898 +INDEX GOES BRRR: 76 X: 4.7832 +INDEX GOES BRRR: 200 X: 12.5029 +INDEX GOES BRRR: 92 X: 5.76074 +INDEX GOES BRRR: 272 X: 17.0098 +INDEX GOES BRRR: 932 X: -5.74414 +INDEX GOES BRRR: 158 X: 9.90039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.6885 +INDEX GOES BRRR: 137 X: 8.59473 +INDEX GOES BRRR: 195 X: 12.2275 +INDEX GOES BRRR: 186 X: 11.6465 +INDEX GOES BRRR: 176 X: 11.0195 +INDEX GOES BRRR: 161 X: 10.083 +INDEX GOES BRRR: 260 X: 16.3066 +INDEX GOES BRRR: 180 X: 11.2959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 844 X: -11.2393 +INDEX GOES BRRR: 229 X: 14.3418 +INDEX GOES BRRR: 332 X: 20.8105 +INDEX GOES BRRR: 60 X: 3.80371 +INDEX GOES BRRR: 77 X: 4.8125 +INDEX GOES BRRR: 977 X: -2.88867 +INDEX GOES BRRR: 297 X: 18.6074 +INDEX GOES BRRR: 2 X: 0.176758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 460 X: 28.7539 +INDEX GOES BRRR: 181 X: 11.3359 +INDEX GOES BRRR: 235 X: 14.7275 +INDEX GOES BRRR: 1012 X: -0.719727 +INDEX GOES BRRR: 215 X: 13.4629 +INDEX GOES BRRR: 19 X: 1.19043 +INDEX GOES BRRR: 188 X: 11.8037 +INDEX GOES BRRR: 950 X: -4.625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.06934 +INDEX GOES BRRR: 853 X: -10.6768 +INDEX GOES BRRR: 3 X: 0.204102 +INDEX GOES BRRR: 343 X: 21.4902 +INDEX GOES BRRR: 92 X: 5.79688 +INDEX GOES BRRR: 214 X: 13.3828 +INDEX GOES BRRR: 42 X: 2.64551 +INDEX GOES BRRR: 150 X: 9.39746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.1182 +INDEX GOES BRRR: 272 X: 17.0049 +INDEX GOES BRRR: 440 X: 27.5127 +INDEX GOES BRRR: 100 X: 6.2832 +INDEX GOES BRRR: 1015 X: -0.524414 +INDEX GOES BRRR: 1014 X: -0.563477 +INDEX GOES BRRR: 440 X: 27.5059 +INDEX GOES BRRR: 258 X: 16.1758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 865 X: -9.90625 +INDEX GOES BRRR: 124 X: 7.79883 +INDEX GOES BRRR: 890 X: -8.35449 +INDEX GOES BRRR: 256 X: 16.0283 +INDEX GOES BRRR: 99 X: 6.22754 +INDEX GOES BRRR: 256 X: 16.0098 +INDEX GOES BRRR: 66 X: 4.18066 +INDEX GOES BRRR: 112 X: 7.05762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 642 X: -23.8691 +INDEX GOES BRRR: 23 X: 1.44629 +INDEX GOES BRRR: 181 X: 11.3486 +INDEX GOES BRRR: 242 X: 15.1758 +INDEX GOES BRRR: 94 X: 5.90234 +INDEX GOES BRRR: 218 X: 13.6396 +INDEX GOES BRRR: 0 X: 0.00292969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 813 X: -13.1553 +INDEX GOES BRRR: 146 X: 9.15918 +INDEX GOES BRRR: 371 X: 23.2393 +INDEX GOES BRRR: 340 X: 21.3076 +INDEX GOES BRRR: 275 X: 17.1875 +INDEX GOES BRRR: 77 X: 4.85547 +INDEX GOES BRRR: 439 X: 27.4658 +INDEX GOES BRRR: 465 X: 29.0684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5439 +INDEX GOES BRRR: 375 X: 23.4648 +INDEX GOES BRRR: 138 X: 8.66211 +INDEX GOES BRRR: 42 X: 2.68555 +INDEX GOES BRRR: 159 X: 9.94141 +INDEX GOES BRRR: 260 X: 16.2598 +INDEX GOES BRRR: 168 X: 10.5547 +INDEX GOES BRRR: 205 X: 12.8691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4102 +INDEX GOES BRRR: 184 X: 11.5127 +INDEX GOES BRRR: 301 X: 18.8223 +INDEX GOES BRRR: 1008 X: -0.949219 +INDEX GOES BRRR: 305 X: 19.0879 +INDEX GOES BRRR: 34 X: 2.13281 +INDEX GOES BRRR: 279 X: 17.4492 +INDEX GOES BRRR: 895 X: -8.02734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 489 X: 30.6006 +INDEX GOES BRRR: 8 X: 0.545898 +INDEX GOES BRRR: 10 X: 0.646484 +INDEX GOES BRRR: 91 X: 5.69434 +INDEX GOES BRRR: 129 X: 8.06934 +INDEX GOES BRRR: 149 X: 9.31836 +INDEX GOES BRRR: 108 X: 6.76367 +INDEX GOES BRRR: 348 X: 21.7842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.1895 +INDEX GOES BRRR: 233 X: 14.5996 +INDEX GOES BRRR: 315 X: 19.749 +INDEX GOES BRRR: 185 X: 11.6133 +INDEX GOES BRRR: 358 X: 22.375 +INDEX GOES BRRR: 356 X: 22.2559 +INDEX GOES BRRR: 205 X: 12.873 +INDEX GOES BRRR: 87 X: 5.46973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.598633 +INDEX GOES BRRR: 367 X: 22.9863 +INDEX GOES BRRR: 365 X: 22.8359 +INDEX GOES BRRR: 414 X: 25.9219 +INDEX GOES BRRR: 372 X: 23.292 +INDEX GOES BRRR: 385 X: 24.0791 +INDEX GOES BRRR: 131 X: 8.2373 +INDEX GOES BRRR: 262 X: 16.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 899 X: -7.76562 +INDEX GOES BRRR: 170 X: 10.6855 +INDEX GOES BRRR: 279 X: 17.4922 +INDEX GOES BRRR: 124 X: 7.79492 +INDEX GOES BRRR: 109 X: 6.83984 +INDEX GOES BRRR: 114 X: 7.18262 +INDEX GOES BRRR: 897 X: -7.92188 +INDEX GOES BRRR: 3 X: 0.24707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6709 +INDEX GOES BRRR: 115 X: 7.22852 +INDEX GOES BRRR: 195 X: 12.248 +INDEX GOES BRRR: 1007 X: -1.00586 +INDEX GOES BRRR: 1018 X: -0.316406 +INDEX GOES BRRR: 237 X: 14.8164 +INDEX GOES BRRR: 234 X: 14.627 +INDEX GOES BRRR: 90 X: 5.68555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1572 +INDEX GOES BRRR: 155 X: 9.70508 +INDEX GOES BRRR: 119 X: 7.4873 +INDEX GOES BRRR: 286 X: 17.9189 +INDEX GOES BRRR: 113 X: 7.0791 +INDEX GOES BRRR: 154 X: 9.67578 +INDEX GOES BRRR: 339 X: 21.2451 +INDEX GOES BRRR: 88 X: 5.50586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.547852 +INDEX GOES BRRR: 280 X: 17.5176 +INDEX GOES BRRR: 152 X: 9.55469 +INDEX GOES BRRR: 340 X: 21.3037 +INDEX GOES BRRR: 299 X: 18.7412 +INDEX GOES BRRR: 1020 X: -0.24707 +INDEX GOES BRRR: 204 X: 12.7842 +INDEX GOES BRRR: 124 X: 7.80566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3672 +INDEX GOES BRRR: 44 X: 2.79883 +INDEX GOES BRRR: 57 X: 3.61035 +INDEX GOES BRRR: 937 X: -5.42285 +INDEX GOES BRRR: 93 X: 5.83203 +INDEX GOES BRRR: 169 X: 10.6064 +INDEX GOES BRRR: 164 X: 10.2549 +INDEX GOES BRRR: 974 X: -3.09961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6318 +INDEX GOES BRRR: 402 X: 25.1631 +INDEX GOES BRRR: 216 X: 13.5049 +INDEX GOES BRRR: 999 X: -1.54199 +INDEX GOES BRRR: 944 X: -4.95312 +INDEX GOES BRRR: 431 X: 26.9492 +INDEX GOES BRRR: 176 X: 11.0283 +INDEX GOES BRRR: 200 X: 12.5234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2627 +INDEX GOES BRRR: 285 X: 17.8555 +INDEX GOES BRRR: 226 X: 14.1621 +INDEX GOES BRRR: 342 X: 21.3838 +INDEX GOES BRRR: 74 X: 4.66797 +INDEX GOES BRRR: 226 X: 14.1396 +INDEX GOES BRRR: 87 X: 5.4502 +INDEX GOES BRRR: 963 X: -3.77344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.25 +INDEX GOES BRRR: 199 X: 12.4844 +INDEX GOES BRRR: 152 X: 9.5498 +INDEX GOES BRRR: 10 X: 0.626953 +INDEX GOES BRRR: 168 X: 10.5176 +INDEX GOES BRRR: 387 X: 24.249 +INDEX GOES BRRR: 264 X: 16.5303 +INDEX GOES BRRR: 957 X: -4.15234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.13965 +INDEX GOES BRRR: 289 X: 18.1074 +INDEX GOES BRRR: 297 X: 18.6094 +INDEX GOES BRRR: 787 X: -14.8115 +INDEX GOES BRRR: 276 X: 17.2744 +INDEX GOES BRRR: 232 X: 14.541 +INDEX GOES BRRR: 275 X: 17.2334 +INDEX GOES BRRR: 897 X: -7.92383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6777 +INDEX GOES BRRR: 971 X: -3.29297 +INDEX GOES BRRR: 190 X: 11.9053 +INDEX GOES BRRR: 170 X: 10.6543 +INDEX GOES BRRR: 1004 X: -1.2168 +INDEX GOES BRRR: 309 X: 19.3418 +INDEX GOES BRRR: 300 X: 18.7891 +INDEX GOES BRRR: 58 X: 3.67578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 435 X: 27.2451 +INDEX GOES BRRR: 941 X: -5.13086 +INDEX GOES BRRR: 282 X: 17.6768 +INDEX GOES BRRR: 252 X: 15.7969 +INDEX GOES BRRR: 322 X: 20.1729 +INDEX GOES BRRR: 946 X: -4.85254 +INDEX GOES BRRR: 211 X: 13.2422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9658 +INDEX GOES BRRR: 128 X: 8.00293 +INDEX GOES BRRR: 129 X: 8.06836 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 40 X: 2.50586 +INDEX GOES BRRR: 1000 X: -1.46875 +INDEX GOES BRRR: 1022 X: -0.0849609 +INDEX GOES BRRR: 248 X: 15.5029 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5195 +INDEX GOES BRRR: 242 X: 15.1582 +INDEX GOES BRRR: 70 X: 4.38379 +INDEX GOES BRRR: 168 X: 10.5547 +INDEX GOES BRRR: 419 X: 26.2061 +INDEX GOES BRRR: 143 X: 8.99316 +INDEX GOES BRRR: 114 X: 7.14844 +INDEX GOES BRRR: 270 X: 16.8809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.71484 +INDEX GOES BRRR: 76 X: 4.77246 +INDEX GOES BRRR: 172 X: 10.7598 +INDEX GOES BRRR: 329 X: 20.5996 +INDEX GOES BRRR: 406 X: 25.3867 +INDEX GOES BRRR: 219 X: 13.709 +INDEX GOES BRRR: 64 X: 4.04492 +INDEX GOES BRRR: 1020 X: -0.223633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.49707 +INDEX GOES BRRR: 918 X: -6.58398 +INDEX GOES BRRR: 174 X: 10.9004 +INDEX GOES BRRR: 984 X: -2.49512 +INDEX GOES BRRR: 929 X: -5.93262 +INDEX GOES BRRR: 277 X: 17.3203 +INDEX GOES BRRR: 55 X: 3.45996 +INDEX GOES BRRR: 244 X: 15.2773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.7969 +INDEX GOES BRRR: 124 X: 7.75879 +INDEX GOES BRRR: 403 X: 25.2402 +INDEX GOES BRRR: 350 X: 21.876 +INDEX GOES BRRR: 168 X: 10.5088 +INDEX GOES BRRR: 975 X: -3.00879 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 247 X: 15.4639 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1641 +INDEX GOES BRRR: 121 X: 7.58691 +INDEX GOES BRRR: 219 X: 13.7305 +INDEX GOES BRRR: 348 X: 21.7568 +INDEX GOES BRRR: 247 X: 15.4375 +INDEX GOES BRRR: 205 X: 12.8174 +INDEX GOES BRRR: 254 X: 15.8936 +INDEX GOES BRRR: 156 X: 9.77539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.1162 +INDEX GOES BRRR: 140 X: 8.77832 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 200 X: 12.5156 +INDEX GOES BRRR: 200 X: 12.5156 +INDEX GOES BRRR: 362 X: 22.6543 +INDEX GOES BRRR: 315 X: 19.6895 +INDEX GOES BRRR: 244 X: 15.2783 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.3809 +INDEX GOES BRRR: 294 X: 18.418 +INDEX GOES BRRR: 51 X: 3.21973 +INDEX GOES BRRR: 31 X: 1.98047 +INDEX GOES BRRR: 1003 X: -1.31152 +INDEX GOES BRRR: 69 X: 4.34863 +INDEX GOES BRRR: 223 X: 13.9697 +INDEX GOES BRRR: 1011 X: -0.782227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 299 X: 18.7295 +INDEX GOES BRRR: 218 X: 13.6709 +INDEX GOES BRRR: 183 X: 11.4453 +INDEX GOES BRRR: 190 X: 11.8809 +INDEX GOES BRRR: 128 X: 8.04199 +INDEX GOES BRRR: 35 X: 2.24023 +INDEX GOES BRRR: 222 X: 13.9131 +INDEX GOES BRRR: 840 X: -11.4531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.3184 +INDEX GOES BRRR: 280 X: 17.5137 +INDEX GOES BRRR: 971 X: -3.29492 +INDEX GOES BRRR: 207 X: 12.9932 +INDEX GOES BRRR: 360 X: 22.5576 +INDEX GOES BRRR: 226 X: 14.1709 +INDEX GOES BRRR: 127 X: 7.9375 +INDEX GOES BRRR: 354 X: 22.1348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 444 X: 27.7686 +INDEX GOES BRRR: 267 X: 16.7275 +INDEX GOES BRRR: 932 X: -5.69727 +INDEX GOES BRRR: 62 X: 3.91992 +INDEX GOES BRRR: 132 X: 8.27441 +INDEX GOES BRRR: 184 X: 11.5566 +INDEX GOES BRRR: 1007 X: -1.06152 +INDEX GOES BRRR: 88 X: 5.53711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.624 +INDEX GOES BRRR: 298 X: 18.6816 +INDEX GOES BRRR: 307 X: 19.2266 +INDEX GOES BRRR: 242 X: 15.1719 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 171 X: 10.6885 +INDEX GOES BRRR: 184 X: 11.5469 +INDEX GOES BRRR: 89 X: 5.5625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 23 X: 1.45117 +INDEX GOES BRRR: 11 X: 0.738281 +INDEX GOES BRRR: 298 X: 18.6309 +INDEX GOES BRRR: 443 X: 27.6973 +INDEX GOES BRRR: 106 X: 6.67871 +INDEX GOES BRRR: 939 X: -5.27344 +INDEX GOES BRRR: 404 X: 25.2832 +INDEX GOES BRRR: 189 X: 11.8633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 97 X: 6.09277 +INDEX GOES BRRR: 441 X: 27.6133 +INDEX GOES BRRR: 56 X: 3.54004 +INDEX GOES BRRR: 231 X: 14.4697 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 408 X: 25.5469 +INDEX GOES BRRR: 155 X: 9.72266 +INDEX GOES BRRR: 267 X: 16.6992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0586 +INDEX GOES BRRR: 88 X: 5.53906 +INDEX GOES BRRR: 181 X: 11.3506 +INDEX GOES BRRR: 288 X: 18.0439 +INDEX GOES BRRR: 400 X: 25.002 +INDEX GOES BRRR: 335 X: 20.9502 +INDEX GOES BRRR: 285 X: 17.8271 +INDEX GOES BRRR: 121 X: 7.60547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 865 X: -9.93066 +INDEX GOES BRRR: 77 X: 4.83105 +INDEX GOES BRRR: 968 X: -3.45215 +INDEX GOES BRRR: 243 X: 15.2402 +INDEX GOES BRRR: 393 X: 24.5684 +INDEX GOES BRRR: 169 X: 10.6025 +INDEX GOES BRRR: 84 X: 5.25586 +INDEX GOES BRRR: 283 X: 17.6924 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 423 X: 26.4736 +INDEX GOES BRRR: 174 X: 10.9062 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 82 X: 5.13867 +INDEX GOES BRRR: 221 X: 13.8662 +INDEX GOES BRRR: 230 X: 14.4189 +INDEX GOES BRRR: 361 X: 22.5889 +INDEX GOES BRRR: 349 X: 21.8701 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5498 +INDEX GOES BRRR: 216 X: 13.5049 +INDEX GOES BRRR: 57 X: 3.56934 +INDEX GOES BRRR: 269 X: 16.8369 +INDEX GOES BRRR: 84 X: 5.25 +INDEX GOES BRRR: 84 X: 5.27832 +INDEX GOES BRRR: 183 X: 11.498 +INDEX GOES BRRR: 243 X: 15.2402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.48535 +INDEX GOES BRRR: 967 X: -3.53027 +INDEX GOES BRRR: 13 X: 0.832031 +INDEX GOES BRRR: 749 X: -17.1357 +INDEX GOES BRRR: 78 X: 4.92871 +INDEX GOES BRRR: 328 X: 20.5391 +INDEX GOES BRRR: 130 X: 8.15527 +INDEX GOES BRRR: 348 X: 21.8066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2422 +INDEX GOES BRRR: 186 X: 11.6689 +INDEX GOES BRRR: 154 X: 9.64258 +INDEX GOES BRRR: 40 X: 2.54688 +INDEX GOES BRRR: 410 X: 25.6846 +INDEX GOES BRRR: 133 X: 8.33105 +INDEX GOES BRRR: 297 X: 18.5967 +INDEX GOES BRRR: 895 X: -8.05957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.19238 +INDEX GOES BRRR: 981 X: -2.65918 +INDEX GOES BRRR: 207 X: 12.9746 +INDEX GOES BRRR: 809 X: -13.3848 +INDEX GOES BRRR: 222 X: 13.8799 +INDEX GOES BRRR: 966 X: -3.59766 +INDEX GOES BRRR: 43 X: 2.73535 +INDEX GOES BRRR: 411 X: 25.709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 295 X: 18.4404 +INDEX GOES BRRR: 63 X: 3.96484 +INDEX GOES BRRR: 239 X: 14.9941 +INDEX GOES BRRR: 29 X: 1.8125 +INDEX GOES BRRR: 802 X: -13.8311 +INDEX GOES BRRR: 130 X: 8.13867 +INDEX GOES BRRR: 0 X: 0.00683594 +INDEX GOES BRRR: 6 X: 0.425781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.73926 +INDEX GOES BRRR: 341 X: 21.333 +INDEX GOES BRRR: 187 X: 11.6992 +INDEX GOES BRRR: 144 X: 9.05664 +INDEX GOES BRRR: 126 X: 7.9248 +INDEX GOES BRRR: 439 X: 27.4883 +INDEX GOES BRRR: 472 X: 29.5029 +INDEX GOES BRRR: 124 X: 7.78027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.85254 +INDEX GOES BRRR: 934 X: -5.59668 +INDEX GOES BRRR: 234 X: 14.6777 +INDEX GOES BRRR: 141 X: 8.82031 +INDEX GOES BRRR: 1020 X: -0.208984 +INDEX GOES BRRR: 27 X: 1.69824 +INDEX GOES BRRR: 859 X: -10.2959 +INDEX GOES BRRR: 304 X: 19 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.92969 +INDEX GOES BRRR: 64 X: 4.00488 +INDEX GOES BRRR: 151 X: 9.44336 +INDEX GOES BRRR: 286 X: 17.9248 +INDEX GOES BRRR: 271 X: 16.9688 +INDEX GOES BRRR: 432 X: 27.0488 +INDEX GOES BRRR: 55 X: 3.44922 +INDEX GOES BRRR: 305 X: 19.084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.32324 +INDEX GOES BRRR: 354 X: 22.167 +INDEX GOES BRRR: 53 X: 3.3623 +INDEX GOES BRRR: 948 X: -4.72656 +INDEX GOES BRRR: 286 X: 17.8779 +INDEX GOES BRRR: 86 X: 5.42383 +INDEX GOES BRRR: 5 X: 0.317383 +INDEX GOES BRRR: 111 X: 6.9707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 435 X: 27.1953 +INDEX GOES BRRR: 24 X: 1.5127 +INDEX GOES BRRR: 1002 X: -1.33203 +INDEX GOES BRRR: 105 X: 6.58203 +INDEX GOES BRRR: 169 X: 10.5967 +INDEX GOES BRRR: 95 X: 5.94336 +INDEX GOES BRRR: 172 X: 10.7666 +INDEX GOES BRRR: 987 X: -2.28418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.44727 +INDEX GOES BRRR: 962 X: -3.87305 +INDEX GOES BRRR: 912 X: -6.98633 +INDEX GOES BRRR: 234 X: 14.6758 +INDEX GOES BRRR: 166 X: 10.3975 +INDEX GOES BRRR: 978 X: -2.86523 +INDEX GOES BRRR: 154 X: 9.6748 +INDEX GOES BRRR: 143 X: 8.98145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 263 X: 16.4795 +INDEX GOES BRRR: 1007 X: -1.03809 +INDEX GOES BRRR: 82 X: 5.18652 +INDEX GOES BRRR: 139 X: 8.71582 +INDEX GOES BRRR: 68 X: 4.28613 +INDEX GOES BRRR: 52 X: 3.29004 +INDEX GOES BRRR: 92 X: 5.78418 +INDEX GOES BRRR: 1011 X: -0.768555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7881 +INDEX GOES BRRR: 48 X: 3.00684 +INDEX GOES BRRR: 90 X: 5.62988 +INDEX GOES BRRR: 51 X: 3.23828 +INDEX GOES BRRR: 85 X: 5.31543 +INDEX GOES BRRR: 256 X: 16.0098 +INDEX GOES BRRR: 6 X: 0.37793 +INDEX GOES BRRR: 13 X: 0.849609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.49805 +INDEX GOES BRRR: 16 X: 1.02246 +INDEX GOES BRRR: 89 X: 5.58008 +INDEX GOES BRRR: 20 X: 1.26758 +INDEX GOES BRRR: 170 X: 10.6689 +INDEX GOES BRRR: 45 X: 2.85156 +INDEX GOES BRRR: 380 X: 23.8105 +INDEX GOES BRRR: 956 X: -4.19043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 827 X: -12.2529 +INDEX GOES BRRR: 103 X: 6.49512 +INDEX GOES BRRR: 228 X: 14.2539 +INDEX GOES BRRR: 106 X: 6.6543 +INDEX GOES BRRR: 85 X: 5.35547 +INDEX GOES BRRR: 757 X: -16.6836 +INDEX GOES BRRR: 331 X: 20.6973 +INDEX GOES BRRR: 870 X: -9.59668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.57812 +INDEX GOES BRRR: 301 X: 18.8672 +INDEX GOES BRRR: 106 X: 6.64453 +INDEX GOES BRRR: 197 X: 12.3721 +INDEX GOES BRRR: 338 X: 21.1807 +INDEX GOES BRRR: 163 X: 10.2012 +INDEX GOES BRRR: 11 X: 0.744141 +INDEX GOES BRRR: 15 X: 0.955078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.625 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 50 X: 3.16504 +INDEX GOES BRRR: 134 X: 8.41504 +INDEX GOES BRRR: 140 X: 8.80078 +INDEX GOES BRRR: 56 X: 3.51953 +INDEX GOES BRRR: 114 X: 7.16504 +INDEX GOES BRRR: 274 X: 17.1797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.259766 +INDEX GOES BRRR: 221 X: 13.8438 +INDEX GOES BRRR: 77 X: 4.85059 +INDEX GOES BRRR: 890 X: -8.36914 +INDEX GOES BRRR: 87 X: 5.49219 +INDEX GOES BRRR: 207 X: 12.9678 +INDEX GOES BRRR: 275 X: 17.1914 +INDEX GOES BRRR: 16 X: 1.01074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 26 X: 1.63379 +INDEX GOES BRRR: 182 X: 11.3799 +INDEX GOES BRRR: 390 X: 24.4297 +INDEX GOES BRRR: 233 X: 14.584 +INDEX GOES BRRR: 376 X: 23.501 +INDEX GOES BRRR: 58 X: 3.64258 +INDEX GOES BRRR: 131 X: 8.20312 +INDEX GOES BRRR: 967 X: -3.50879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 63 X: 3.94727 +INDEX GOES BRRR: 113 X: 7.07715 +INDEX GOES BRRR: 999 X: -1.54395 +INDEX GOES BRRR: 251 X: 15.7471 +INDEX GOES BRRR: 141 X: 8.8623 +INDEX GOES BRRR: 241 X: 15.0625 +INDEX GOES BRRR: 969 X: -3.39551 +INDEX GOES BRRR: 350 X: 21.8955 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 985 X: -2.38086 +INDEX GOES BRRR: 77 X: 4.85156 +INDEX GOES BRRR: 27 X: 1.74707 +INDEX GOES BRRR: 84 X: 5.30859 +INDEX GOES BRRR: 220 X: 13.752 +INDEX GOES BRRR: 356 X: 22.2754 +INDEX GOES BRRR: 177 X: 11.0957 +INDEX GOES BRRR: 237 X: 14.8145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 758 X: -16.5674 +INDEX GOES BRRR: 986 X: -2.31348 +INDEX GOES BRRR: 32 X: 2.01367 +INDEX GOES BRRR: 279 X: 17.4785 +INDEX GOES BRRR: 358 X: 22.4277 +INDEX GOES BRRR: 175 X: 10.9854 +INDEX GOES BRRR: 343 X: 21.4697 +INDEX GOES BRRR: 194 X: 12.1543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.52051 +INDEX GOES BRRR: 40 X: 2.55273 +INDEX GOES BRRR: 90 X: 5.66797 +INDEX GOES BRRR: 964 X: -3.69336 +INDEX GOES BRRR: 297 X: 18.5898 +INDEX GOES BRRR: 1014 X: -0.581055 +INDEX GOES BRRR: 96 X: 6.00586 +INDEX GOES BRRR: 148 X: 9.28906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.4082 +INDEX GOES BRRR: 31 X: 1.97852 +INDEX GOES BRRR: 410 X: 25.6748 +INDEX GOES BRRR: 352 X: 22.0508 +INDEX GOES BRRR: 65 X: 4.11719 +INDEX GOES BRRR: 18 X: 1.15332 +INDEX GOES BRRR: 343 X: 21.4961 +INDEX GOES BRRR: 341 X: 21.3408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.68359 +INDEX GOES BRRR: 206 X: 12.9121 +INDEX GOES BRRR: 282 X: 17.6436 +INDEX GOES BRRR: 42 X: 2.6709 +INDEX GOES BRRR: 70 X: 4.43457 +INDEX GOES BRRR: 200 X: 12.5332 +INDEX GOES BRRR: 343 X: 21.4941 +INDEX GOES BRRR: 366 X: 22.9326 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 365 X: 22.8613 +INDEX GOES BRRR: 310 X: 19.4336 +INDEX GOES BRRR: 196 X: 12.2861 +INDEX GOES BRRR: 231 X: 14.4932 +INDEX GOES BRRR: 178 X: 11.1729 +INDEX GOES BRRR: 119 X: 7.45605 +INDEX GOES BRRR: 234 X: 14.6387 +INDEX GOES BRRR: 265 X: 16.5898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.248 +INDEX GOES BRRR: 200 X: 12.5195 +INDEX GOES BRRR: 156 X: 9.80957 +INDEX GOES BRRR: 275 X: 17.2256 +INDEX GOES BRRR: 272 X: 17.0303 +INDEX GOES BRRR: 189 X: 11.8135 +INDEX GOES BRRR: 47 X: 2.98633 +INDEX GOES BRRR: 885 X: -8.6377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0576 +INDEX GOES BRRR: 112 X: 7.04883 +INDEX GOES BRRR: 24 X: 1.54102 +INDEX GOES BRRR: 159 X: 9.96582 +INDEX GOES BRRR: 876 X: -9.20996 +INDEX GOES BRRR: 321 X: 20.1162 +INDEX GOES BRRR: 918 X: -6.56738 +INDEX GOES BRRR: 34 X: 2.18359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5156 +INDEX GOES BRRR: 378 X: 23.6787 +INDEX GOES BRRR: 412 X: 25.8105 +INDEX GOES BRRR: 224 X: 14.04 +INDEX GOES BRRR: 188 X: 11.7832 +INDEX GOES BRRR: 420 X: 26.2773 +INDEX GOES BRRR: 141 X: 8.8418 +INDEX GOES BRRR: 436 X: 27.2705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 324 X: 20.2939 +INDEX GOES BRRR: 274 X: 17.1279 +INDEX GOES BRRR: 362 X: 22.6533 +INDEX GOES BRRR: 263 X: 16.4941 +INDEX GOES BRRR: 9 X: 0.608398 +INDEX GOES BRRR: 424 X: 26.5146 +INDEX GOES BRRR: 118 X: 7.43359 +INDEX GOES BRRR: 317 X: 19.8301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.5977 +INDEX GOES BRRR: 946 X: -4.8291 +INDEX GOES BRRR: 271 X: 16.9697 +INDEX GOES BRRR: 211 X: 13.2305 +INDEX GOES BRRR: 223 X: 13.9736 +INDEX GOES BRRR: 812 X: -13.2227 +INDEX GOES BRRR: 37 X: 2.35156 +INDEX GOES BRRR: 163 X: 10.2461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 29 X: 1.83691 +INDEX GOES BRRR: 268 X: 16.8096 +INDEX GOES BRRR: 211 X: 13.2236 +INDEX GOES BRRR: 916 X: -6.74609 +INDEX GOES BRRR: 91 X: 5.69043 +INDEX GOES BRRR: 20 X: 1.26562 +INDEX GOES BRRR: 60 X: 3.7793 +INDEX GOES BRRR: 139 X: 8.7168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4844 +INDEX GOES BRRR: 153 X: 9.58008 +INDEX GOES BRRR: 266 X: 16.6338 +INDEX GOES BRRR: 377 X: 23.5684 +INDEX GOES BRRR: 977 X: -2.88965 +INDEX GOES BRRR: 255 X: 15.9639 +INDEX GOES BRRR: 929 X: -5.92188 +INDEX GOES BRRR: 50 X: 3.17773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.22852 +INDEX GOES BRRR: 361 X: 22.585 +INDEX GOES BRRR: 232 X: 14.5146 +INDEX GOES BRRR: 1012 X: -0.705078 +INDEX GOES BRRR: 7 X: 0.44043 +INDEX GOES BRRR: 1008 X: -0.942383 +INDEX GOES BRRR: 33 X: 2.08105 +INDEX GOES BRRR: 69 X: 4.3584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5049 +INDEX GOES BRRR: 113 X: 7.11816 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 889 X: -8.40918 +INDEX GOES BRRR: 268 X: 16.7832 +INDEX GOES BRRR: 300 X: 18.7783 +INDEX GOES BRRR: 271 X: 16.9492 +INDEX GOES BRRR: 340 X: 21.2803 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.0654 +INDEX GOES BRRR: 213 X: 13.3525 +INDEX GOES BRRR: 125 X: 7.8291 +INDEX GOES BRRR: 142 X: 8.88086 +INDEX GOES BRRR: 80 X: 5.01172 +INDEX GOES BRRR: 391 X: 24.4863 +INDEX GOES BRRR: 112 X: 7.02637 +INDEX GOES BRRR: 274 X: 17.1855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7178 +INDEX GOES BRRR: 910 X: -7.10645 +INDEX GOES BRRR: 141 X: 8.84961 +INDEX GOES BRRR: 171 X: 10.6904 +INDEX GOES BRRR: 54 X: 3.42773 +INDEX GOES BRRR: 1021 X: -0.180664 +INDEX GOES BRRR: 357 X: 22.3496 +INDEX GOES BRRR: 228 X: 14.2842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.1162 +INDEX GOES BRRR: 91 X: 5.72363 +INDEX GOES BRRR: 32 X: 2.00488 +INDEX GOES BRRR: 348 X: 21.8008 +INDEX GOES BRRR: 137 X: 8.59766 +INDEX GOES BRRR: 18 X: 1.15527 +INDEX GOES BRRR: 863 X: -10.0127 +INDEX GOES BRRR: 187 X: 11.7441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 947 X: -4.76074 +INDEX GOES BRRR: 247 X: 15.4658 +INDEX GOES BRRR: 337 X: 21.1094 +INDEX GOES BRRR: 168 X: 10.5039 +INDEX GOES BRRR: 40 X: 2.56152 +INDEX GOES BRRR: 224 X: 14.0322 +INDEX GOES BRRR: 378 X: 23.627 +INDEX GOES BRRR: 68 X: 4.30566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.708 +INDEX GOES BRRR: 163 X: 10.2227 +INDEX GOES BRRR: 314 X: 19.627 +INDEX GOES BRRR: 42 X: 2.68164 +INDEX GOES BRRR: 742 X: -17.5908 +INDEX GOES BRRR: 10 X: 0.679688 +INDEX GOES BRRR: 103 X: 6.44238 +INDEX GOES BRRR: 380 X: 23.7812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.499 +INDEX GOES BRRR: 170 X: 10.6338 +INDEX GOES BRRR: 954 X: -4.36914 +INDEX GOES BRRR: 991 X: -2.02441 +INDEX GOES BRRR: 387 X: 24.2422 +INDEX GOES BRRR: 15 X: 0.96582 +INDEX GOES BRRR: 199 X: 12.4404 +INDEX GOES BRRR: 311 X: 19.4756 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.80957 +INDEX GOES BRRR: 352 X: 22.0283 +INDEX GOES BRRR: 103 X: 6.46191 +INDEX GOES BRRR: 119 X: 7.44043 +INDEX GOES BRRR: 37 X: 2.35938 +INDEX GOES BRRR: 171 X: 10.7305 +INDEX GOES BRRR: 237 X: 14.835 +INDEX GOES BRRR: 48 X: 3.03223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.08301 +INDEX GOES BRRR: 899 X: -7.79102 +INDEX GOES BRRR: 133 X: 8.36328 +INDEX GOES BRRR: 79 X: 4.95996 +INDEX GOES BRRR: 212 X: 13.292 +INDEX GOES BRRR: 95 X: 5.9707 +INDEX GOES BRRR: 192 X: 12.0244 +INDEX GOES BRRR: 896 X: -7.96387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.321289 +INDEX GOES BRRR: 323 X: 20.209 +INDEX GOES BRRR: 28 X: 1.80273 +INDEX GOES BRRR: 393 X: 24.5869 +INDEX GOES BRRR: 195 X: 12.2324 +INDEX GOES BRRR: 115 X: 7.22656 +INDEX GOES BRRR: 249 X: 15.5713 +INDEX GOES BRRR: 248 X: 15.5059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 920 X: -6.43848 +INDEX GOES BRRR: 122 X: 7.63574 +INDEX GOES BRRR: 194 X: 12.1494 +INDEX GOES BRRR: 341 X: 21.3154 +INDEX GOES BRRR: 171 X: 10.7236 +INDEX GOES BRRR: 974 X: -3.06934 +INDEX GOES BRRR: 220 X: 13.7764 +INDEX GOES BRRR: 1003 X: -1.2793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.59277 +INDEX GOES BRRR: 75 X: 4.68945 +INDEX GOES BRRR: 210 X: 13.1514 +INDEX GOES BRRR: 186 X: 11.6758 +INDEX GOES BRRR: 75 X: 4.69336 +INDEX GOES BRRR: 92 X: 5.76855 +INDEX GOES BRRR: 217 X: 13.5869 +INDEX GOES BRRR: 422 X: 26.3926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.329102 +INDEX GOES BRRR: 1 X: 0.113281 +INDEX GOES BRRR: 213 X: 13.3301 +INDEX GOES BRRR: 274 X: 17.1719 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 343 X: 21.4844 +INDEX GOES BRRR: 151 X: 9.4541 +INDEX GOES BRRR: 989 X: -2.1875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 992 X: -1.96289 +INDEX GOES BRRR: 162 X: 10.1523 +INDEX GOES BRRR: 283 X: 17.6885 +INDEX GOES BRRR: 292 X: 18.2939 +INDEX GOES BRRR: 116 X: 7.25684 +INDEX GOES BRRR: 927 X: -6.03809 +INDEX GOES BRRR: 41 X: 2.59375 +INDEX GOES BRRR: 198 X: 12.3887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 30 X: 1.93164 +INDEX GOES BRRR: 226 X: 14.1865 +INDEX GOES BRRR: 101 X: 6.37402 +INDEX GOES BRRR: 252 X: 15.8105 +INDEX GOES BRRR: 964 X: -3.70215 +INDEX GOES BRRR: 209 X: 13.1123 +INDEX GOES BRRR: 953 X: -4.4375 +INDEX GOES BRRR: 26 X: 1.68066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.665 +INDEX GOES BRRR: 274 X: 17.1426 +INDEX GOES BRRR: 122 X: 7.66895 +INDEX GOES BRRR: 254 X: 15.8799 +INDEX GOES BRRR: 196 X: 12.2607 +INDEX GOES BRRR: 182 X: 11.4268 +INDEX GOES BRRR: 72 X: 4.53418 +INDEX GOES BRRR: 9 X: 0.59668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.27148 +INDEX GOES BRRR: 312 X: 19.5059 +INDEX GOES BRRR: 1002 X: -1.34863 +INDEX GOES BRRR: 236 X: 14.8105 +INDEX GOES BRRR: 803 X: -13.7656 +INDEX GOES BRRR: 221 X: 13.8438 +INDEX GOES BRRR: 217 X: 13.6152 +INDEX GOES BRRR: 39 X: 2.44434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.51172 +INDEX GOES BRRR: 254 X: 15.9111 +INDEX GOES BRRR: 910 X: -7.10059 +INDEX GOES BRRR: 94 X: 5.88574 +INDEX GOES BRRR: 123 X: 7.7207 +INDEX GOES BRRR: 215 X: 13.4482 +INDEX GOES BRRR: 2 X: 0.140625 +INDEX GOES BRRR: 116 X: 7.26758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0576 +INDEX GOES BRRR: 194 X: 12.1289 +INDEX GOES BRRR: 48 X: 3.02344 +INDEX GOES BRRR: 144 X: 9.05078 +INDEX GOES BRRR: 72 X: 4.54785 +INDEX GOES BRRR: 196 X: 12.2822 +INDEX GOES BRRR: 194 X: 12.1475 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2354 +INDEX GOES BRRR: 260 X: 16.2822 +INDEX GOES BRRR: 102 X: 6.42383 +INDEX GOES BRRR: 967 X: -3.51953 +INDEX GOES BRRR: 38 X: 2.4043 +INDEX GOES BRRR: 75 X: 4.74414 +INDEX GOES BRRR: 103 X: 6.43848 +INDEX GOES BRRR: 359 X: 22.4922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4453 +INDEX GOES BRRR: 75 X: 4.72363 +INDEX GOES BRRR: 976 X: -2.95508 +INDEX GOES BRRR: 98 X: 6.16895 +INDEX GOES BRRR: 1007 X: -1.02148 +INDEX GOES BRRR: 124 X: 7.79004 +INDEX GOES BRRR: 257 X: 16.1035 +INDEX GOES BRRR: 235 X: 14.7451 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1709 +INDEX GOES BRRR: 91 X: 5.72754 +INDEX GOES BRRR: 309 X: 19.3262 +INDEX GOES BRRR: 291 X: 18.2354 +INDEX GOES BRRR: 898 X: -7.81836 +INDEX GOES BRRR: 181 X: 11.3662 +INDEX GOES BRRR: 148 X: 9.28418 +INDEX GOES BRRR: 321 X: 20.1094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 937 X: -5.42285 +INDEX GOES BRRR: 985 X: -2.43066 +INDEX GOES BRRR: 174 X: 10.9219 +INDEX GOES BRRR: 180 X: 11.3027 +INDEX GOES BRRR: 401 X: 25.1133 +INDEX GOES BRRR: 970 X: -3.36816 +INDEX GOES BRRR: 28 X: 1.75195 +INDEX GOES BRRR: 157 X: 9.85352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0508 +INDEX GOES BRRR: 109 X: 6.81543 +INDEX GOES BRRR: 25 X: 1.58105 +INDEX GOES BRRR: 269 X: 16.832 +INDEX GOES BRRR: 364 X: 22.7861 +INDEX GOES BRRR: 130 X: 8.16797 +INDEX GOES BRRR: 27 X: 1.68848 +INDEX GOES BRRR: 360 X: 22.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 883 X: -8.76367 +INDEX GOES BRRR: 99 X: 6.19141 +INDEX GOES BRRR: 283 X: 17.6914 +INDEX GOES BRRR: 142 X: 8.91406 +INDEX GOES BRRR: 14 X: 0.925781 +INDEX GOES BRRR: 335 X: 20.959 +INDEX GOES BRRR: 220 X: 13.7861 +INDEX GOES BRRR: 161 X: 10.0977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 495 X: 30.9463 +INDEX GOES BRRR: 965 X: -3.64844 +INDEX GOES BRRR: 14 X: 0.921875 +INDEX GOES BRRR: 304 X: 19.0508 +INDEX GOES BRRR: 261 X: 16.3184 +INDEX GOES BRRR: 384 X: 24 +INDEX GOES BRRR: 27 X: 1.74902 +INDEX GOES BRRR: 877 X: -9.15137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 389 X: 24.3594 +INDEX GOES BRRR: 199 X: 12.499 +INDEX GOES BRRR: 838 X: -11.5674 +INDEX GOES BRRR: 967 X: -3.55957 +INDEX GOES BRRR: 21 X: 1.34668 +INDEX GOES BRRR: 996 X: -1.73438 +INDEX GOES BRRR: 963 X: -3.76465 +INDEX GOES BRRR: 110 X: 6.91504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.209 +INDEX GOES BRRR: 173 X: 10.8447 +INDEX GOES BRRR: 891 X: -8.26074 +INDEX GOES BRRR: 977 X: -2.92773 +INDEX GOES BRRR: 905 X: -7.38965 +INDEX GOES BRRR: 197 X: 12.334 +INDEX GOES BRRR: 208 X: 13.0137 +INDEX GOES BRRR: 968 X: -3.45312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 87 X: 5.47461 +INDEX GOES BRRR: 241 X: 15.0938 +INDEX GOES BRRR: 58 X: 3.65039 +INDEX GOES BRRR: 289 X: 18.1113 +INDEX GOES BRRR: 142 X: 8.93164 +INDEX GOES BRRR: 78 X: 4.90137 +INDEX GOES BRRR: 915 X: -6.76367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 389 X: 24.3711 +INDEX GOES BRRR: 911 X: -7.06152 +INDEX GOES BRRR: 348 X: 21.7734 +INDEX GOES BRRR: 377 X: 23.5928 +INDEX GOES BRRR: 906 X: -7.3418 +INDEX GOES BRRR: 256 X: 16.0107 +INDEX GOES BRRR: 137 X: 8.60352 +INDEX GOES BRRR: 154 X: 9.63281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.543945 +INDEX GOES BRRR: 902 X: -7.58789 +INDEX GOES BRRR: 423 X: 26.4795 +INDEX GOES BRRR: 792 X: -14.4395 +INDEX GOES BRRR: 196 X: 12.2949 +INDEX GOES BRRR: 169 X: 10.5898 +INDEX GOES BRRR: 122 X: 7.66699 +INDEX GOES BRRR: 10 X: 0.686523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.1914 +INDEX GOES BRRR: 118 X: 7.39453 +INDEX GOES BRRR: 4 X: 0.259766 +INDEX GOES BRRR: 182 X: 11.377 +INDEX GOES BRRR: 931 X: -5.80078 +INDEX GOES BRRR: 66 X: 4.17676 +INDEX GOES BRRR: 162 X: 10.1309 +INDEX GOES BRRR: 331 X: 20.7432 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 950 X: -4.56934 +INDEX GOES BRRR: 187 X: 11.7344 +INDEX GOES BRRR: 16 X: 1.05566 +INDEX GOES BRRR: 316 X: 19.7656 +INDEX GOES BRRR: 185 X: 11.584 +INDEX GOES BRRR: 92 X: 5.79004 +INDEX GOES BRRR: 279 X: 17.4629 +INDEX GOES BRRR: 984 X: -2.46094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.43848 +INDEX GOES BRRR: 218 X: 13.6621 +INDEX GOES BRRR: 1020 X: -0.229492 +INDEX GOES BRRR: 297 X: 18.5752 +INDEX GOES BRRR: 6 X: 0.402344 +INDEX GOES BRRR: 372 X: 23.2988 +INDEX GOES BRRR: 122 X: 7.68359 +INDEX GOES BRRR: 1001 X: -1.41602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.42676 +INDEX GOES BRRR: 202 X: 12.6807 +INDEX GOES BRRR: 132 X: 8.28809 +INDEX GOES BRRR: 98 X: 6.12793 +INDEX GOES BRRR: 116 X: 7.27637 +INDEX GOES BRRR: 313 X: 19.582 +INDEX GOES BRRR: 10 X: 0.680664 +INDEX GOES BRRR: 290 X: 18.1533 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.8037 +INDEX GOES BRRR: 155 X: 9.69336 +INDEX GOES BRRR: 320 X: 20.0605 +INDEX GOES BRRR: 903 X: -7.5625 +INDEX GOES BRRR: 440 X: 27.5557 +INDEX GOES BRRR: 345 X: 21.6182 +INDEX GOES BRRR: 111 X: 6.94531 +INDEX GOES BRRR: 197 X: 12.3145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 438 X: 27.4336 +INDEX GOES BRRR: 108 X: 6.76562 +INDEX GOES BRRR: 1001 X: -1.43359 +INDEX GOES BRRR: 935 X: -5.53516 +INDEX GOES BRRR: 851 X: -10.7754 +INDEX GOES BRRR: 133 X: 8.32031 +INDEX GOES BRRR: 805 X: -13.6299 +INDEX GOES BRRR: 149 X: 9.34766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3691 +INDEX GOES BRRR: 925 X: -6.12598 +INDEX GOES BRRR: 381 X: 23.8633 +INDEX GOES BRRR: 132 X: 8.28516 +INDEX GOES BRRR: 110 X: 6.9043 +INDEX GOES BRRR: 223 X: 13.9785 +INDEX GOES BRRR: 27 X: 1.74414 +INDEX GOES BRRR: 233 X: 14.6152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.2275 +INDEX GOES BRRR: 35 X: 2.19531 +INDEX GOES BRRR: 991 X: -2.00586 +INDEX GOES BRRR: 931 X: -5.76367 +INDEX GOES BRRR: 855 X: -10.5273 +INDEX GOES BRRR: 485 X: 30.3164 +INDEX GOES BRRR: 150 X: 9.41113 +INDEX GOES BRRR: 230 X: 14.3945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.128906 +INDEX GOES BRRR: 239 X: 14.999 +INDEX GOES BRRR: 249 X: 15.624 +INDEX GOES BRRR: 164 X: 10.2783 +INDEX GOES BRRR: 1001 X: -1.4209 +INDEX GOES BRRR: 190 X: 11.8994 +INDEX GOES BRRR: 406 X: 25.4053 +INDEX GOES BRRR: 309 X: 19.3418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.05273 +INDEX GOES BRRR: 6 X: 0.405273 +INDEX GOES BRRR: 335 X: 20.959 +INDEX GOES BRRR: 42 X: 2.67676 +INDEX GOES BRRR: 124 X: 7.80469 +INDEX GOES BRRR: 221 X: 13.835 +INDEX GOES BRRR: 980 X: -2.68848 +INDEX GOES BRRR: 196 X: 12.2773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.458 +INDEX GOES BRRR: 25 X: 1.58789 +INDEX GOES BRRR: 322 X: 20.1543 +INDEX GOES BRRR: 205 X: 12.8418 +INDEX GOES BRRR: 156 X: 9.77051 +INDEX GOES BRRR: 2 X: 0.131836 +INDEX GOES BRRR: 225 X: 14.0977 +INDEX GOES BRRR: 1003 X: -1.2666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2129 +INDEX GOES BRRR: 815 X: -13.0029 +INDEX GOES BRRR: 74 X: 4.66797 +INDEX GOES BRRR: 172 X: 10.7764 +INDEX GOES BRRR: 284 X: 17.8008 +INDEX GOES BRRR: 70 X: 4.40625 +INDEX GOES BRRR: 428 X: 26.7695 +INDEX GOES BRRR: 191 X: 11.9707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 75 X: 4.74414 +INDEX GOES BRRR: 182 X: 11.3945 +INDEX GOES BRRR: 210 X: 13.1729 +INDEX GOES BRRR: 36 X: 2.26758 +INDEX GOES BRRR: 233 X: 14.6152 +INDEX GOES BRRR: 39 X: 2.45703 +INDEX GOES BRRR: 351 X: 21.9541 +INDEX GOES BRRR: 161 X: 10.0742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9658 +INDEX GOES BRRR: 944 X: -4.98926 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 209 X: 13.1143 +INDEX GOES BRRR: 109 X: 6.87207 +INDEX GOES BRRR: 193 X: 12.0811 +INDEX GOES BRRR: 118 X: 7.39648 +INDEX GOES BRRR: 9 X: 0.568359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.52539 +INDEX GOES BRRR: 238 X: 14.8857 +INDEX GOES BRRR: 115 X: 7.23438 +INDEX GOES BRRR: 411 X: 25.7217 +INDEX GOES BRRR: 268 X: 16.793 +INDEX GOES BRRR: 270 X: 16.9258 +INDEX GOES BRRR: 838 X: -11.6084 +INDEX GOES BRRR: 854 X: -10.5908 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1010 X: -0.829102 +INDEX GOES BRRR: 968 X: -3.47363 +INDEX GOES BRRR: 183 X: 11.4746 +INDEX GOES BRRR: 107 X: 6.69141 +INDEX GOES BRRR: 945 X: -4.91406 +INDEX GOES BRRR: 982 X: -2.56641 +INDEX GOES BRRR: 83 X: 5.22949 +INDEX GOES BRRR: 307 X: 19.2051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 492 X: 30.79 +INDEX GOES BRRR: 942 X: -5.09766 +INDEX GOES BRRR: 324 X: 20.3008 +INDEX GOES BRRR: 196 X: 12.2754 +INDEX GOES BRRR: 215 X: 13.498 +INDEX GOES BRRR: 160 X: 10.0566 +INDEX GOES BRRR: 152 X: 9.55371 +INDEX GOES BRRR: 259 X: 16.2207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 236 X: 14.7783 +INDEX GOES BRRR: 303 X: 18.9482 +INDEX GOES BRRR: 911 X: -7.03613 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 21 X: 1.32422 +INDEX GOES BRRR: 38 X: 2.42285 +INDEX GOES BRRR: 983 X: -2.52246 +INDEX GOES BRRR: 13 X: 0.854492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.6113 +INDEX GOES BRRR: 104 X: 6.52148 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 79 X: 4.95703 +INDEX GOES BRRR: 1014 X: -0.616211 +INDEX GOES BRRR: 935 X: -5.52051 +INDEX GOES BRRR: 208 X: 13.0439 +INDEX GOES BRRR: 237 X: 14.833 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 297 X: 18.6006 +INDEX GOES BRRR: 235 X: 14.7129 +INDEX GOES BRRR: 235 X: 14.748 +INDEX GOES BRRR: 947 X: -4.79297 +INDEX GOES BRRR: 260 X: 16.2881 +INDEX GOES BRRR: 105 X: 6.60254 +INDEX GOES BRRR: 421 X: 26.3574 +INDEX GOES BRRR: 942 X: -5.10645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.6016 +INDEX GOES BRRR: 1017 X: -0.378906 +INDEX GOES BRRR: 843 X: -11.2676 +INDEX GOES BRRR: 93 X: 5.85254 +INDEX GOES BRRR: 264 X: 16.5381 +INDEX GOES BRRR: 181 X: 11.3193 +INDEX GOES BRRR: 340 X: 21.2705 +INDEX GOES BRRR: 915 X: -6.77539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2324 +INDEX GOES BRRR: 1011 X: -0.763672 +INDEX GOES BRRR: 7 X: 0.467773 +INDEX GOES BRRR: 117 X: 7.35059 +INDEX GOES BRRR: 256 X: 16.0615 +INDEX GOES BRRR: 397 X: 24.8643 +INDEX GOES BRRR: 236 X: 14.7617 +INDEX GOES BRRR: 392 X: 24.5186 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.8398 +INDEX GOES BRRR: 31 X: 1.98145 +INDEX GOES BRRR: 293 X: 18.3457 +INDEX GOES BRRR: 188 X: 11.8047 +INDEX GOES BRRR: 315 X: 19.7441 +INDEX GOES BRRR: 161 X: 10.1172 +INDEX GOES BRRR: 320 X: 20.0244 +INDEX GOES BRRR: 877 X: -9.14258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.31836 +INDEX GOES BRRR: 336 X: 21.0059 +INDEX GOES BRRR: 203 X: 12.7354 +INDEX GOES BRRR: 959 X: -4.05762 +INDEX GOES BRRR: 461 X: 28.8408 +INDEX GOES BRRR: 37 X: 2.35547 +INDEX GOES BRRR: 258 X: 16.1289 +INDEX GOES BRRR: 267 X: 16.7363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 936 X: -5.4707 +INDEX GOES BRRR: 191 X: 11.9961 +INDEX GOES BRRR: 89 X: 5.5957 +INDEX GOES BRRR: 953 X: -4.40234 +INDEX GOES BRRR: 370 X: 23.1416 +INDEX GOES BRRR: 199 X: 12.4551 +INDEX GOES BRRR: 44 X: 2.78125 +INDEX GOES BRRR: 219 X: 13.7461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 449 X: 28.1162 +INDEX GOES BRRR: 219 X: 13.7363 +INDEX GOES BRRR: 235 X: 14.7031 +INDEX GOES BRRR: 998 X: -1.57617 +INDEX GOES BRRR: 102 X: 6.41504 +INDEX GOES BRRR: 78 X: 4.91602 +INDEX GOES BRRR: 188 X: 11.8008 +INDEX GOES BRRR: 344 X: 21.5186 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.66016 +INDEX GOES BRRR: 228 X: 14.3066 +INDEX GOES BRRR: 949 X: -4.65332 +INDEX GOES BRRR: 900 X: -7.72559 +INDEX GOES BRRR: 123 X: 7.70898 +INDEX GOES BRRR: 42 X: 2.63574 +INDEX GOES BRRR: 310 X: 19.3955 +INDEX GOES BRRR: 405 X: 25.3262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 447 X: 27.9951 +INDEX GOES BRRR: 142 X: 8.93652 +INDEX GOES BRRR: 320 X: 20.0293 +INDEX GOES BRRR: 159 X: 9.97852 +INDEX GOES BRRR: 245 X: 15.3438 +INDEX GOES BRRR: 48 X: 3.0293 +INDEX GOES BRRR: 143 X: 8.96973 +INDEX GOES BRRR: 234 X: 14.6553 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.62012 +INDEX GOES BRRR: 291 X: 18.2432 +INDEX GOES BRRR: 940 X: -5.24902 +INDEX GOES BRRR: 48 X: 3.04785 +INDEX GOES BRRR: 5 X: 0.368164 +INDEX GOES BRRR: 156 X: 9.77148 +INDEX GOES BRRR: 942 X: -5.12207 +INDEX GOES BRRR: 214 X: 13.4365 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4375 +INDEX GOES BRRR: 172 X: 10.7783 +INDEX GOES BRRR: 335 X: 20.9375 +INDEX GOES BRRR: 342 X: 21.3809 +INDEX GOES BRRR: 208 X: 13.0488 +INDEX GOES BRRR: 173 X: 10.8271 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 48 X: 3.02734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.32324 +INDEX GOES BRRR: 5 X: 0.364258 +INDEX GOES BRRR: 220 X: 13.7979 +INDEX GOES BRRR: 841 X: -11.376 +INDEX GOES BRRR: 465 X: 29.0889 +INDEX GOES BRRR: 1012 X: -0.723633 +INDEX GOES BRRR: 995 X: -1.75391 +INDEX GOES BRRR: 106 X: 6.65234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.15918 +INDEX GOES BRRR: 116 X: 7.27832 +INDEX GOES BRRR: 998 X: -1.60352 +INDEX GOES BRRR: 456 X: 28.5449 +INDEX GOES BRRR: 280 X: 17.5352 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 114 X: 7.18457 +INDEX GOES BRRR: 364 X: 22.8037 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0439 +INDEX GOES BRRR: 231 X: 14.4639 +INDEX GOES BRRR: 247 X: 15.4502 +INDEX GOES BRRR: 988 X: -2.20703 +INDEX GOES BRRR: 224 X: 14.0605 +INDEX GOES BRRR: 271 X: 16.957 +INDEX GOES BRRR: 444 X: 27.7617 +INDEX GOES BRRR: 1002 X: -1.36719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.58203 +INDEX GOES BRRR: 68 X: 4.30078 +INDEX GOES BRRR: 194 X: 12.1299 +INDEX GOES BRRR: 240 X: 15.0215 +INDEX GOES BRRR: 197 X: 12.3408 +INDEX GOES BRRR: 281 X: 17.6152 +INDEX GOES BRRR: 128 X: 8.0293 +INDEX GOES BRRR: 135 X: 8.46094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.53809 +INDEX GOES BRRR: 207 X: 12.9824 +INDEX GOES BRRR: 302 X: 18.8789 +INDEX GOES BRRR: 303 X: 18.9834 +INDEX GOES BRRR: 279 X: 17.4854 +INDEX GOES BRRR: 216 X: 13.5322 +INDEX GOES BRRR: 958 X: -4.07812 +INDEX GOES BRRR: 1018 X: -0.324219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.47461 +INDEX GOES BRRR: 307 X: 19.2266 +INDEX GOES BRRR: 218 X: 13.6416 +INDEX GOES BRRR: 62 X: 3.875 +INDEX GOES BRRR: 156 X: 9.79395 +INDEX GOES BRRR: 1021 X: -0.150391 +INDEX GOES BRRR: 110 X: 6.88281 +INDEX GOES BRRR: 331 X: 20.7354 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7666 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 231 X: 14.4795 +INDEX GOES BRRR: 208 X: 13.0088 +INDEX GOES BRRR: 293 X: 18.3281 +INDEX GOES BRRR: 361 X: 22.5645 +INDEX GOES BRRR: 296 X: 18.5078 +INDEX GOES BRRR: 235 X: 14.7383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 400 X: 25.0039 +INDEX GOES BRRR: 293 X: 18.3535 +INDEX GOES BRRR: 157 X: 9.83105 +INDEX GOES BRRR: 134 X: 8.38086 +INDEX GOES BRRR: 122 X: 7.66211 +INDEX GOES BRRR: 291 X: 18.2275 +INDEX GOES BRRR: 153 X: 9.62207 +INDEX GOES BRRR: 163 X: 10.2246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.126 +INDEX GOES BRRR: 327 X: 20.4766 +INDEX GOES BRRR: 405 X: 25.3145 +INDEX GOES BRRR: 340 X: 21.2861 +INDEX GOES BRRR: 427 X: 26.6875 +INDEX GOES BRRR: 145 X: 9.12305 +INDEX GOES BRRR: 118 X: 7.4043 +INDEX GOES BRRR: 81 X: 5.08984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 75 X: 4.71191 +INDEX GOES BRRR: 167 X: 10.4766 +INDEX GOES BRRR: 226 X: 14.1758 +INDEX GOES BRRR: 307 X: 19.2158 +INDEX GOES BRRR: 214 X: 13.418 +INDEX GOES BRRR: 479 X: 29.9443 +INDEX GOES BRRR: 352 X: 22.04 +INDEX GOES BRRR: 18 X: 1.1709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 484 X: 30.2686 +INDEX GOES BRRR: 151 X: 9.48438 +INDEX GOES BRRR: 963 X: -3.77051 +INDEX GOES BRRR: 954 X: -4.33594 +INDEX GOES BRRR: 985 X: -2.39062 +INDEX GOES BRRR: 68 X: 4.28516 +INDEX GOES BRRR: 166 X: 10.3857 +INDEX GOES BRRR: 172 X: 10.8086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 894 X: -8.10254 +INDEX GOES BRRR: 97 X: 6.12012 +INDEX GOES BRRR: 119 X: 7.49609 +INDEX GOES BRRR: 371 X: 23.2334 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 21 X: 1.35254 +INDEX GOES BRRR: 189 X: 11.8193 +INDEX GOES BRRR: 261 X: 16.332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1777 +INDEX GOES BRRR: 53 X: 3.33594 +INDEX GOES BRRR: 126 X: 7.91406 +INDEX GOES BRRR: 323 X: 20.1973 +INDEX GOES BRRR: 1001 X: -1.39453 +INDEX GOES BRRR: 1008 X: -0.943359 +INDEX GOES BRRR: 179 X: 11.2314 +INDEX GOES BRRR: 460 X: 28.8037 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.95508 +INDEX GOES BRRR: 996 X: -1.72754 +INDEX GOES BRRR: 1023 X: -0.0458984 +INDEX GOES BRRR: 114 X: 7.15137 +INDEX GOES BRRR: 185 X: 11.6221 +INDEX GOES BRRR: 170 X: 10.6846 +INDEX GOES BRRR: 396 X: 24.7949 +INDEX GOES BRRR: 318 X: 19.8838 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3203 +INDEX GOES BRRR: 1022 X: -0.0634766 +INDEX GOES BRRR: 999 X: -1.51172 +INDEX GOES BRRR: 296 X: 18.5039 +INDEX GOES BRRR: 219 X: 13.7158 +INDEX GOES BRRR: 81 X: 5.0752 +INDEX GOES BRRR: 310 X: 19.4111 +INDEX GOES BRRR: 371 X: 23.2041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 233 X: 14.5664 +INDEX GOES BRRR: 178 X: 11.1768 +INDEX GOES BRRR: 25 X: 1.58398 +INDEX GOES BRRR: 328 X: 20.541 +INDEX GOES BRRR: 186 X: 11.6777 +INDEX GOES BRRR: 373 X: 23.3232 +INDEX GOES BRRR: 30 X: 1.88672 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 990 X: -2.08203 +INDEX GOES BRRR: 1002 X: -1.34668 +INDEX GOES BRRR: 170 X: 10.6455 +INDEX GOES BRRR: 472 X: 29.5166 +INDEX GOES BRRR: 152 X: 9.55078 +INDEX GOES BRRR: 401 X: 25.123 +INDEX GOES BRRR: 302 X: 18.8789 +INDEX GOES BRRR: 64 X: 4.06055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.7266 +INDEX GOES BRRR: 0 X: 0.0380859 +INDEX GOES BRRR: 330 X: 20.6621 +INDEX GOES BRRR: 120 X: 7.53027 +INDEX GOES BRRR: 133 X: 8.36523 +INDEX GOES BRRR: 212 X: 13.2646 +INDEX GOES BRRR: 188 X: 11.7695 +INDEX GOES BRRR: 138 X: 8.64746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 446 X: 27.8965 +INDEX GOES BRRR: 486 X: 30.3945 +INDEX GOES BRRR: 43 X: 2.7168 +INDEX GOES BRRR: 275 X: 17.248 +INDEX GOES BRRR: 110 X: 6.93359 +INDEX GOES BRRR: 107 X: 6.70703 +INDEX GOES BRRR: 182 X: 11.3955 +INDEX GOES BRRR: 142 X: 8.88867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.7266 +INDEX GOES BRRR: 156 X: 9.75586 +INDEX GOES BRRR: 15 X: 0.998047 +INDEX GOES BRRR: 271 X: 16.9775 +INDEX GOES BRRR: 153 X: 9.6084 +INDEX GOES BRRR: 89 X: 5.5752 +INDEX GOES BRRR: 883 X: -8.78809 +INDEX GOES BRRR: 121 X: 7.59082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.9189 +INDEX GOES BRRR: 1002 X: -1.35156 +INDEX GOES BRRR: 191 X: 11.9463 +INDEX GOES BRRR: 66 X: 4.1748 +INDEX GOES BRRR: 20 X: 1.2959 +INDEX GOES BRRR: 88 X: 5.54688 +INDEX GOES BRRR: 264 X: 16.5488 +INDEX GOES BRRR: 26 X: 1.63379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.74805 +INDEX GOES BRRR: 206 X: 12.9248 +INDEX GOES BRRR: 18 X: 1.12988 +INDEX GOES BRRR: 313 X: 19.5918 +INDEX GOES BRRR: 249 X: 15.6162 +INDEX GOES BRRR: 388 X: 24.2734 +INDEX GOES BRRR: 147 X: 9.23633 +INDEX GOES BRRR: 483 X: 30.2432 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.23242 +INDEX GOES BRRR: 994 X: -1.81348 +INDEX GOES BRRR: 106 X: 6.6377 +INDEX GOES BRRR: 143 X: 8.99902 +INDEX GOES BRRR: 200 X: 12.5352 +INDEX GOES BRRR: 88 X: 5.5127 +INDEX GOES BRRR: 407 X: 25.4785 +INDEX GOES BRRR: 148 X: 9.27148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 308 X: 19.2891 +INDEX GOES BRRR: 75 X: 4.70703 +INDEX GOES BRRR: 276 X: 17.2734 +INDEX GOES BRRR: 76 X: 4.79785 +INDEX GOES BRRR: 6 X: 0.422852 +INDEX GOES BRRR: 104 X: 6.54004 +INDEX GOES BRRR: 999 X: -1.53223 +INDEX GOES BRRR: 186 X: 11.6719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 617 X: -25.3867 +INDEX GOES BRRR: 174 X: 10.8877 +INDEX GOES BRRR: 47 X: 2.94727 +INDEX GOES BRRR: 188 X: 11.8076 +INDEX GOES BRRR: 907 X: -7.27637 +INDEX GOES BRRR: 153 X: 9.61816 +INDEX GOES BRRR: 269 X: 16.8154 +INDEX GOES BRRR: 132 X: 8.25195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3477 +INDEX GOES BRRR: 431 X: 26.9717 +INDEX GOES BRRR: 1013 X: -0.674805 +INDEX GOES BRRR: 153 X: 9.5957 +INDEX GOES BRRR: 84 X: 5.28418 +INDEX GOES BRRR: 100 X: 6.31152 +INDEX GOES BRRR: 240 X: 15.002 +INDEX GOES BRRR: 164 X: 10.3018 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 677 X: -21.6699 +INDEX GOES BRRR: 208 X: 13.0205 +INDEX GOES BRRR: 117 X: 7.32617 +INDEX GOES BRRR: 958 X: -4.08887 +INDEX GOES BRRR: 138 X: 8.64355 +INDEX GOES BRRR: 177 X: 11.0781 +INDEX GOES BRRR: 370 X: 23.1582 +INDEX GOES BRRR: 326 X: 20.4258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.6279 +INDEX GOES BRRR: 211 X: 13.2383 +INDEX GOES BRRR: 240 X: 15.0137 +INDEX GOES BRRR: 459 X: 28.7031 +INDEX GOES BRRR: 174 X: 10.8838 +INDEX GOES BRRR: 62 X: 3.90723 +INDEX GOES BRRR: 53 X: 3.37012 +INDEX GOES BRRR: 391 X: 24.4727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.89551 +INDEX GOES BRRR: 158 X: 9.91504 +INDEX GOES BRRR: 221 X: 13.8604 +INDEX GOES BRRR: 420 X: 26.2715 +INDEX GOES BRRR: 139 X: 8.74512 +INDEX GOES BRRR: 984 X: -2.4668 +INDEX GOES BRRR: 148 X: 9.28223 +INDEX GOES BRRR: 300 X: 18.7578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 276 X: 17.2539 +INDEX GOES BRRR: 19 X: 1.20508 +INDEX GOES BRRR: 291 X: 18.2061 +INDEX GOES BRRR: 862 X: -10.1094 +INDEX GOES BRRR: 192 X: 12.0508 +INDEX GOES BRRR: 880 X: -8.97266 +INDEX GOES BRRR: 78 X: 4.90723 +INDEX GOES BRRR: 169 X: 10.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.3359 +INDEX GOES BRRR: 214 X: 13.415 +INDEX GOES BRRR: 367 X: 22.9541 +INDEX GOES BRRR: 429 X: 26.8359 +INDEX GOES BRRR: 10 X: 0.645508 +INDEX GOES BRRR: 213 X: 13.3633 +INDEX GOES BRRR: 83 X: 5.19043 +INDEX GOES BRRR: 110 X: 6.90918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.47949 +INDEX GOES BRRR: 293 X: 18.3604 +INDEX GOES BRRR: 117 X: 7.34082 +INDEX GOES BRRR: 955 X: -4.28711 +INDEX GOES BRRR: 37 X: 2.33789 +INDEX GOES BRRR: 1008 X: -0.96582 +INDEX GOES BRRR: 300 X: 18.7803 +INDEX GOES BRRR: 141 X: 8.83398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 953 X: -4.41699 +INDEX GOES BRRR: 446 X: 27.8779 +INDEX GOES BRRR: 457 X: 28.6201 +INDEX GOES BRRR: 256 X: 16.0098 +INDEX GOES BRRR: 200 X: 12.5312 +INDEX GOES BRRR: 32 X: 2.03125 +INDEX GOES BRRR: 427 X: 26.6992 +INDEX GOES BRRR: 252 X: 15.7764 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 311 X: 19.4766 +INDEX GOES BRRR: 968 X: -3.44336 +INDEX GOES BRRR: 286 X: 17.9033 +INDEX GOES BRRR: 204 X: 12.7686 +INDEX GOES BRRR: 120 X: 7.50488 +INDEX GOES BRRR: 315 X: 19.7041 +INDEX GOES BRRR: 6 X: 0.431641 +INDEX GOES BRRR: 268 X: 16.7988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.83203 +INDEX GOES BRRR: 935 X: -5.56055 +INDEX GOES BRRR: 116 X: 7.25977 +INDEX GOES BRRR: 969 X: -3.38281 +INDEX GOES BRRR: 339 X: 21.2471 +INDEX GOES BRRR: 239 X: 14.959 +INDEX GOES BRRR: 206 X: 12.8975 +INDEX GOES BRRR: 286 X: 17.8809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.642578 +INDEX GOES BRRR: 299 X: 18.7383 +INDEX GOES BRRR: 976 X: -2.95605 +INDEX GOES BRRR: 907 X: -7.27832 +INDEX GOES BRRR: 336 X: 21.0566 +INDEX GOES BRRR: 396 X: 24.7607 +INDEX GOES BRRR: 56 X: 3.54102 +INDEX GOES BRRR: 311 X: 19.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.81641 +INDEX GOES BRRR: 140 X: 8.81055 +INDEX GOES BRRR: 161 X: 10.1006 +INDEX GOES BRRR: 183 X: 11.4502 +INDEX GOES BRRR: 271 X: 16.9688 +INDEX GOES BRRR: 353 X: 22.0723 +INDEX GOES BRRR: 289 X: 18.0928 +INDEX GOES BRRR: 1020 X: -0.192383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.96094 +INDEX GOES BRRR: 369 X: 23.0918 +INDEX GOES BRRR: 138 X: 8.6416 +INDEX GOES BRRR: 202 X: 12.6406 +INDEX GOES BRRR: 0 X: 0.00390625 +INDEX GOES BRRR: 96 X: 6.02246 +INDEX GOES BRRR: 64 X: 4.03418 +INDEX GOES BRRR: 115 X: 7.24219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 18 X: 1.12598 +INDEX GOES BRRR: 1016 X: -0.454102 +INDEX GOES BRRR: 157 X: 9.81836 +INDEX GOES BRRR: 380 X: 23.7666 +INDEX GOES BRRR: 51 X: 3.2168 +INDEX GOES BRRR: 991 X: -2.03223 +INDEX GOES BRRR: 67 X: 4.19043 +INDEX GOES BRRR: 124 X: 7.77734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.20117 +INDEX GOES BRRR: 464 X: 29.0361 +INDEX GOES BRRR: 289 X: 18.084 +INDEX GOES BRRR: 961 X: -3.88965 +INDEX GOES BRRR: 141 X: 8.84668 +INDEX GOES BRRR: 85 X: 5.33398 +INDEX GOES BRRR: 389 X: 24.3662 +INDEX GOES BRRR: 983 X: -2.52051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3682 +INDEX GOES BRRR: 1012 X: -0.703125 +INDEX GOES BRRR: 42 X: 2.67676 +INDEX GOES BRRR: 65 X: 4.08984 +INDEX GOES BRRR: 292 X: 18.3066 +INDEX GOES BRRR: 250 X: 15.6611 +INDEX GOES BRRR: 278 X: 17.3799 +INDEX GOES BRRR: 361 X: 22.5977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 331 X: 20.6943 +INDEX GOES BRRR: 468 X: 29.3096 +INDEX GOES BRRR: 245 X: 15.3369 +INDEX GOES BRRR: 203 X: 12.7178 +INDEX GOES BRRR: 223 X: 13.9678 +INDEX GOES BRRR: 261 X: 16.3691 +INDEX GOES BRRR: 208 X: 13.0469 +INDEX GOES BRRR: 382 X: 23.9365 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.88184 +INDEX GOES BRRR: 118 X: 7.4209 +INDEX GOES BRRR: 1008 X: -0.955078 +INDEX GOES BRRR: 24 X: 1.53027 +INDEX GOES BRRR: 130 X: 8.14453 +INDEX GOES BRRR: 152 X: 9.50977 +INDEX GOES BRRR: 367 X: 22.9756 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 265 X: 16.5723 +INDEX GOES BRRR: 465 X: 29.0684 +INDEX GOES BRRR: 45 X: 2.84863 +INDEX GOES BRRR: 962 X: -3.82227 +INDEX GOES BRRR: 917 X: -6.64648 +INDEX GOES BRRR: 296 X: 18.5596 +INDEX GOES BRRR: 122 X: 7.66895 +INDEX GOES BRRR: 40 X: 2.55371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 998 X: -1.60352 +INDEX GOES BRRR: 249 X: 15.5635 +INDEX GOES BRRR: 92 X: 5.77148 +INDEX GOES BRRR: 402 X: 25.1436 +INDEX GOES BRRR: 263 X: 16.458 +INDEX GOES BRRR: 239 X: 14.9619 +INDEX GOES BRRR: 327 X: 20.4424 +INDEX GOES BRRR: 144 X: 9.02051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 300 X: 18.79 +INDEX GOES BRRR: 217 X: 13.5752 +INDEX GOES BRRR: 188 X: 11.7578 +INDEX GOES BRRR: 313 X: 19.6152 +INDEX GOES BRRR: 356 X: 22.2754 +INDEX GOES BRRR: 288 X: 18.0488 +INDEX GOES BRRR: 349 X: 21.8643 +INDEX GOES BRRR: 349 X: 21.8408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.2959 +INDEX GOES BRRR: 189 X: 11.8135 +INDEX GOES BRRR: 110 X: 6.93555 +INDEX GOES BRRR: 323 X: 20.1963 +INDEX GOES BRRR: 384 X: 24.0596 +INDEX GOES BRRR: 61 X: 3.8418 +INDEX GOES BRRR: 949 X: -4.64453 +INDEX GOES BRRR: 465 X: 29.0645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.78711 +INDEX GOES BRRR: 1000 X: -1.46289 +INDEX GOES BRRR: 338 X: 21.1348 +INDEX GOES BRRR: 45 X: 2.84473 +INDEX GOES BRRR: 1004 X: -1.2207 +INDEX GOES BRRR: 104 X: 6.55078 +INDEX GOES BRRR: 250 X: 15.6553 +INDEX GOES BRRR: 349 X: 21.8711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 914 X: -6.87305 +INDEX GOES BRRR: 171 X: 10.6914 +INDEX GOES BRRR: 105 X: 6.61914 +INDEX GOES BRRR: 991 X: -2.02539 +INDEX GOES BRRR: 332 X: 20.7539 +INDEX GOES BRRR: 191 X: 11.9902 +INDEX GOES BRRR: 109 X: 6.84766 +INDEX GOES BRRR: 137 X: 8.60547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 995 X: -1.75879 +INDEX GOES BRRR: 154 X: 9.64355 +INDEX GOES BRRR: 55 X: 3.48633 +INDEX GOES BRRR: 157 X: 9.83203 +INDEX GOES BRRR: 182 X: 11.3779 +INDEX GOES BRRR: 142 X: 8.9043 +INDEX GOES BRRR: 129 X: 8.0791 +INDEX GOES BRRR: 78 X: 4.91992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6865 +INDEX GOES BRRR: 199 X: 12.4443 +INDEX GOES BRRR: 130 X: 8.17871 +INDEX GOES BRRR: 69 X: 4.34863 +INDEX GOES BRRR: 93 X: 5.86621 +INDEX GOES BRRR: 155 X: 9.71289 +INDEX GOES BRRR: 331 X: 20.7334 +INDEX GOES BRRR: 349 X: 21.8193 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.8057 +INDEX GOES BRRR: 385 X: 24.0713 +INDEX GOES BRRR: 312 X: 19.5527 +INDEX GOES BRRR: 9 X: 0.569336 +INDEX GOES BRRR: 930 X: -5.81836 +INDEX GOES BRRR: 15 X: 0.987305 +INDEX GOES BRRR: 265 X: 16.6035 +INDEX GOES BRRR: 999 X: -1.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.20312 +INDEX GOES BRRR: 957 X: -4.16895 +INDEX GOES BRRR: 31 X: 1.96875 +INDEX GOES BRRR: 35 X: 2.24414 +INDEX GOES BRRR: 294 X: 18.415 +INDEX GOES BRRR: 395 X: 24.7217 +INDEX GOES BRRR: 1 X: 0.103516 +INDEX GOES BRRR: 10 X: 0.678711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2412 +INDEX GOES BRRR: 865 X: -9.93457 +INDEX GOES BRRR: 909 X: -7.1416 +INDEX GOES BRRR: 79 X: 4.99805 +INDEX GOES BRRR: 170 X: 10.6641 +INDEX GOES BRRR: 271 X: 16.9414 +INDEX GOES BRRR: 960 X: -3.9707 +INDEX GOES BRRR: 89 X: 5.57617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 898 X: -7.87012 +INDEX GOES BRRR: 166 X: 10.3906 +INDEX GOES BRRR: 314 X: 19.6504 +INDEX GOES BRRR: 931 X: -5.77246 +INDEX GOES BRRR: 366 X: 22.8965 +INDEX GOES BRRR: 993 X: -1.90039 +INDEX GOES BRRR: 241 X: 15.124 +INDEX GOES BRRR: 279 X: 17.4961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.7695 +INDEX GOES BRRR: 50 X: 3.13477 +INDEX GOES BRRR: 405 X: 25.3594 +INDEX GOES BRRR: 208 X: 13.0547 +INDEX GOES BRRR: 1009 X: -0.916992 +INDEX GOES BRRR: 146 X: 9.16602 +INDEX GOES BRRR: 998 X: -1.59473 +INDEX GOES BRRR: 344 X: 21.5234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1787 +INDEX GOES BRRR: 220 X: 13.7783 +INDEX GOES BRRR: 278 X: 17.3975 +INDEX GOES BRRR: 1022 X: -0.0986328 +INDEX GOES BRRR: 244 X: 15.3086 +INDEX GOES BRRR: 255 X: 15.9502 +INDEX GOES BRRR: 21 X: 1.31445 +INDEX GOES BRRR: 129 X: 8.09375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.35352 +INDEX GOES BRRR: 112 X: 7.00391 +INDEX GOES BRRR: 228 X: 14.2939 +INDEX GOES BRRR: 208 X: 13.0156 +INDEX GOES BRRR: 155 X: 9.69238 +INDEX GOES BRRR: 921 X: -6.40039 +INDEX GOES BRRR: 134 X: 8.41211 +INDEX GOES BRRR: 89 X: 5.57227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 379 X: 23.6992 +INDEX GOES BRRR: 210 X: 13.166 +INDEX GOES BRRR: 209 X: 13.083 +INDEX GOES BRRR: 943 X: -5.02734 +INDEX GOES BRRR: 986 X: -2.3252 +INDEX GOES BRRR: 172 X: 10.7637 +INDEX GOES BRRR: 100 X: 6.27148 +INDEX GOES BRRR: 143 X: 8.97559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.6104 +INDEX GOES BRRR: 945 X: -4.89355 +INDEX GOES BRRR: 125 X: 7.83105 +INDEX GOES BRRR: 961 X: -3.90527 +INDEX GOES BRRR: 879 X: -9.00977 +INDEX GOES BRRR: 140 X: 8.75781 +INDEX GOES BRRR: 416 X: 26.0205 +INDEX GOES BRRR: 349 X: 21.8242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.0869 +INDEX GOES BRRR: 396 X: 24.7793 +INDEX GOES BRRR: 1013 X: -0.640625 +INDEX GOES BRRR: 51 X: 3.23145 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 341 X: 21.3691 +INDEX GOES BRRR: 387 X: 24.2197 +INDEX GOES BRRR: 939 X: -5.2832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 970 X: -3.34082 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 411 X: 25.6934 +INDEX GOES BRRR: 156 X: 9.76953 +INDEX GOES BRRR: 198 X: 12.4287 +INDEX GOES BRRR: 164 X: 10.292 +INDEX GOES BRRR: 223 X: 13.9648 +INDEX GOES BRRR: 168 X: 10.5605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 905 X: -7.37598 +INDEX GOES BRRR: 23 X: 1.47559 +INDEX GOES BRRR: 435 X: 27.2432 +INDEX GOES BRRR: 229 X: 14.3701 +INDEX GOES BRRR: 971 X: -3.26855 +INDEX GOES BRRR: 119 X: 7.43848 +INDEX GOES BRRR: 333 X: 20.832 +INDEX GOES BRRR: 992 X: -1.97949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 978 X: -2.85156 +INDEX GOES BRRR: 207 X: 12.9844 +INDEX GOES BRRR: 321 X: 20.082 +INDEX GOES BRRR: 326 X: 20.4209 +INDEX GOES BRRR: 309 X: 19.3662 +INDEX GOES BRRR: 154 X: 9.6543 +INDEX GOES BRRR: 927 X: -6.0498 +INDEX GOES BRRR: 785 X: -14.9258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6318 +INDEX GOES BRRR: 393 X: 24.5781 +INDEX GOES BRRR: 313 X: 19.585 +INDEX GOES BRRR: 1002 X: -1.37109 +INDEX GOES BRRR: 250 X: 15.6426 +INDEX GOES BRRR: 184 X: 11.5459 +INDEX GOES BRRR: 34 X: 2.16504 +INDEX GOES BRRR: 174 X: 10.9326 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 326 X: 20.4092 +INDEX GOES BRRR: 198 X: 12.416 +INDEX GOES BRRR: 185 X: 11.6133 +INDEX GOES BRRR: 320 X: 20.001 +INDEX GOES BRRR: 157 X: 9.81348 +INDEX GOES BRRR: 305 X: 19.1074 +INDEX GOES BRRR: 269 X: 16.874 +INDEX GOES BRRR: 1022 X: -0.121094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.2959 +INDEX GOES BRRR: 150 X: 9.38574 +INDEX GOES BRRR: 971 X: -3.28516 +INDEX GOES BRRR: 358 X: 22.4297 +INDEX GOES BRRR: 12 X: 0.800781 +INDEX GOES BRRR: 32 X: 2.01172 +INDEX GOES BRRR: 33 X: 2.07324 +INDEX GOES BRRR: 135 X: 8.45996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.395508 +INDEX GOES BRRR: 180 X: 11.2803 +INDEX GOES BRRR: 148 X: 9.29004 +INDEX GOES BRRR: 723 X: -18.7725 +INDEX GOES BRRR: 325 X: 20.3203 +INDEX GOES BRRR: 111 X: 6.98145 +INDEX GOES BRRR: 67 X: 4.20312 +INDEX GOES BRRR: 41 X: 2.56641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 74 X: 4.67188 +INDEX GOES BRRR: 151 X: 9.48242 +INDEX GOES BRRR: 93 X: 5.8291 +INDEX GOES BRRR: 142 X: 8.93066 +INDEX GOES BRRR: 162 X: 10.1641 +INDEX GOES BRRR: 90 X: 5.65625 +INDEX GOES BRRR: 333 X: 20.8135 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.2354 +INDEX GOES BRRR: 976 X: -2.94531 +INDEX GOES BRRR: 57 X: 3.58496 +INDEX GOES BRRR: 252 X: 15.7773 +INDEX GOES BRRR: 468 X: 29.2861 +INDEX GOES BRRR: 127 X: 7.9375 +INDEX GOES BRRR: 44 X: 2.76953 +INDEX GOES BRRR: 254 X: 15.9209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 947 X: -4.81152 +INDEX GOES BRRR: 932 X: -5.74707 +INDEX GOES BRRR: 136 X: 8.50879 +INDEX GOES BRRR: 371 X: 23.1934 +INDEX GOES BRRR: 68 X: 4.27148 +INDEX GOES BRRR: 307 X: 19.1963 +INDEX GOES BRRR: 189 X: 11.8486 +INDEX GOES BRRR: 13 X: 0.861328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2275 +INDEX GOES BRRR: 855 X: -10.501 +INDEX GOES BRRR: 170 X: 10.6611 +INDEX GOES BRRR: 180 X: 11.2783 +INDEX GOES BRRR: 144 X: 9.04102 +INDEX GOES BRRR: 99 X: 6.22168 +INDEX GOES BRRR: 339 X: 21.2432 +INDEX GOES BRRR: 131 X: 8.20605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9639 +INDEX GOES BRRR: 202 X: 12.6426 +INDEX GOES BRRR: 190 X: 11.9258 +INDEX GOES BRRR: 300 X: 18.7949 +INDEX GOES BRRR: 114 X: 7.16699 +INDEX GOES BRRR: 174 X: 10.8828 +INDEX GOES BRRR: 27 X: 1.72168 +INDEX GOES BRRR: 189 X: 11.8232 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.07129 +INDEX GOES BRRR: 835 X: -11.8057 +INDEX GOES BRRR: 1 X: 0.0683594 +INDEX GOES BRRR: 917 X: -6.66895 +INDEX GOES BRRR: 189 X: 11.8594 +INDEX GOES BRRR: 147 X: 9.19434 +INDEX GOES BRRR: 145 X: 9.12402 +INDEX GOES BRRR: 273 X: 17.0752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2744 +INDEX GOES BRRR: 1018 X: -0.330078 +INDEX GOES BRRR: 795 X: -14.2783 +INDEX GOES BRRR: 99 X: 6.1875 +INDEX GOES BRRR: 964 X: -3.69727 +INDEX GOES BRRR: 148 X: 9.26758 +INDEX GOES BRRR: 1014 X: -0.582031 +INDEX GOES BRRR: 194 X: 12.1553 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 478 X: 29.9297 +INDEX GOES BRRR: 232 X: 14.5068 +INDEX GOES BRRR: 236 X: 14.7656 +INDEX GOES BRRR: 21 X: 1.36328 +INDEX GOES BRRR: 942 X: -5.08789 +INDEX GOES BRRR: 308 X: 19.293 +INDEX GOES BRRR: 216 X: 13.5449 +INDEX GOES BRRR: 157 X: 9.82129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 906 X: -7.31348 +INDEX GOES BRRR: 87 X: 5.49414 +INDEX GOES BRRR: 61 X: 3.81543 +INDEX GOES BRRR: 257 X: 16.1064 +INDEX GOES BRRR: 306 X: 19.127 +INDEX GOES BRRR: 826 X: -12.3271 +INDEX GOES BRRR: 170 X: 10.6836 +INDEX GOES BRRR: 988 X: -2.24023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 847 X: -11.0029 +INDEX GOES BRRR: 260 X: 16.3105 +INDEX GOES BRRR: 134 X: 8.38281 +INDEX GOES BRRR: 950 X: -4.62012 +INDEX GOES BRRR: 1021 X: -0.130859 +INDEX GOES BRRR: 209 X: 13.1045 +INDEX GOES BRRR: 21 X: 1.32129 +INDEX GOES BRRR: 140 X: 8.7832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.168 +INDEX GOES BRRR: 33 X: 2.11035 +INDEX GOES BRRR: 976 X: -2.96973 +INDEX GOES BRRR: 315 X: 19.7324 +INDEX GOES BRRR: 10 X: 0.634766 +INDEX GOES BRRR: 196 X: 12.2754 +INDEX GOES BRRR: 82 X: 5.18359 +INDEX GOES BRRR: 314 X: 19.6543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.5752 +INDEX GOES BRRR: 944 X: -4.9873 +INDEX GOES BRRR: 402 X: 25.1865 +INDEX GOES BRRR: 1013 X: -0.676758 +INDEX GOES BRRR: 34 X: 2.17676 +INDEX GOES BRRR: 93 X: 5.83887 +INDEX GOES BRRR: 77 X: 4.8418 +INDEX GOES BRRR: 205 X: 12.8359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.35645 +INDEX GOES BRRR: 300 X: 18.7949 +INDEX GOES BRRR: 273 X: 17.1221 +INDEX GOES BRRR: 12 X: 0.761719 +INDEX GOES BRRR: 127 X: 7.94922 +INDEX GOES BRRR: 284 X: 17.7979 +INDEX GOES BRRR: 181 X: 11.3213 +INDEX GOES BRRR: 1006 X: -1.1123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.37598 +INDEX GOES BRRR: 1017 X: -0.407227 +INDEX GOES BRRR: 116 X: 7.30469 +INDEX GOES BRRR: 934 X: -5.59375 +INDEX GOES BRRR: 217 X: 13.6045 +INDEX GOES BRRR: 340 X: 21.2754 +INDEX GOES BRRR: 250 X: 15.6729 +INDEX GOES BRRR: 173 X: 10.8135 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 459 X: 28.7236 +INDEX GOES BRRR: 363 X: 22.7236 +INDEX GOES BRRR: 333 X: 20.8682 +INDEX GOES BRRR: 89 X: 5.56543 +INDEX GOES BRRR: 93 X: 5.84082 +INDEX GOES BRRR: 136 X: 8.51758 +INDEX GOES BRRR: 350 X: 21.9072 +INDEX GOES BRRR: 49 X: 3.10645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.31055 +INDEX GOES BRRR: 336 X: 21.0371 +INDEX GOES BRRR: 38 X: 2.37891 +INDEX GOES BRRR: 20 X: 1.29785 +INDEX GOES BRRR: 258 X: 16.1494 +INDEX GOES BRRR: 20 X: 1.30762 +INDEX GOES BRRR: 166 X: 10.4131 +INDEX GOES BRRR: 158 X: 9.92676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 373 X: 23.3643 +INDEX GOES BRRR: 308 X: 19.3066 +INDEX GOES BRRR: 753 X: -16.8789 +INDEX GOES BRRR: 311 X: 19.4951 +INDEX GOES BRRR: 118 X: 7.41113 +INDEX GOES BRRR: 91 X: 5.6875 +INDEX GOES BRRR: 970 X: -3.34668 +INDEX GOES BRRR: 982 X: -2.62305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 980 X: -2.69434 +INDEX GOES BRRR: 230 X: 14.3877 +INDEX GOES BRRR: 953 X: -4.43359 +INDEX GOES BRRR: 62 X: 3.92383 +INDEX GOES BRRR: 272 X: 17.0176 +INDEX GOES BRRR: 124 X: 7.78711 +INDEX GOES BRRR: 80 X: 5.05859 +INDEX GOES BRRR: 158 X: 9.90723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 831 X: -12.0225 +INDEX GOES BRRR: 160 X: 10.001 +INDEX GOES BRRR: 468 X: 29.2666 +INDEX GOES BRRR: 339 X: 21.2061 +INDEX GOES BRRR: 146 X: 9.13086 +INDEX GOES BRRR: 291 X: 18.2354 +INDEX GOES BRRR: 885 X: -8.6709 +INDEX GOES BRRR: 758 X: -16.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 412 X: 25.7598 +INDEX GOES BRRR: 95 X: 5.9541 +INDEX GOES BRRR: 134 X: 8.41113 +INDEX GOES BRRR: 251 X: 15.7412 +INDEX GOES BRRR: 908 X: -7.22363 +INDEX GOES BRRR: 159 X: 9.98633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.58203 +INDEX GOES BRRR: 911 X: -7.06055 +INDEX GOES BRRR: 27 X: 1.69629 +INDEX GOES BRRR: 71 X: 4.4375 +INDEX GOES BRRR: 981 X: -2.64355 +INDEX GOES BRRR: 150 X: 9.43262 +INDEX GOES BRRR: 23 X: 1.44629 +INDEX GOES BRRR: 1009 X: -0.896484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5166 +INDEX GOES BRRR: 199 X: 12.4531 +INDEX GOES BRRR: 178 X: 11.127 +INDEX GOES BRRR: 915 X: -6.75781 +INDEX GOES BRRR: 988 X: -2.24121 +INDEX GOES BRRR: 438 X: 27.3809 +INDEX GOES BRRR: 94 X: 5.90234 +INDEX GOES BRRR: 351 X: 21.9492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.90723 +INDEX GOES BRRR: 1012 X: -0.722656 +INDEX GOES BRRR: 283 X: 17.749 +INDEX GOES BRRR: 172 X: 10.8105 +INDEX GOES BRRR: 60 X: 3.76562 +INDEX GOES BRRR: 300 X: 18.7969 +INDEX GOES BRRR: 175 X: 10.9951 +INDEX GOES BRRR: 171 X: 10.7119 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 5 X: 0.364258 +INDEX GOES BRRR: 142 X: 8.90039 +INDEX GOES BRRR: 162 X: 10.1699 +INDEX GOES BRRR: 316 X: 19.8047 +INDEX GOES BRRR: 84 X: 5.25098 +INDEX GOES BRRR: 44 X: 2.77344 +INDEX GOES BRRR: 322 X: 20.1807 +INDEX GOES BRRR: 103 X: 6.44531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.01758 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 13 X: 0.8125 +INDEX GOES BRRR: 143 X: 8.96973 +INDEX GOES BRRR: 207 X: 12.9688 +INDEX GOES BRRR: 121 X: 7.62109 +INDEX GOES BRRR: 457 X: 28.583 +INDEX GOES BRRR: 1023 X: -0.0205078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9346 +INDEX GOES BRRR: 99 X: 6.24219 +INDEX GOES BRRR: 1014 X: -0.587891 +INDEX GOES BRRR: 1019 X: -0.283203 +INDEX GOES BRRR: 1 X: 0.0654297 +INDEX GOES BRRR: 413 X: 25.8252 +INDEX GOES BRRR: 949 X: -4.67773 +INDEX GOES BRRR: 249 X: 15.6211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.915 +INDEX GOES BRRR: 156 X: 9.7998 +INDEX GOES BRRR: 959 X: -4.00391 +INDEX GOES BRRR: 931 X: -5.79785 +INDEX GOES BRRR: 183 X: 11.4707 +INDEX GOES BRRR: 137 X: 8.61523 +INDEX GOES BRRR: 198 X: 12.3789 +INDEX GOES BRRR: 1020 X: -0.220703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 864 X: -10 +INDEX GOES BRRR: 143 X: 8.94922 +INDEX GOES BRRR: 410 X: 25.6855 +INDEX GOES BRRR: 271 X: 16.9922 +INDEX GOES BRRR: 93 X: 5.81348 +INDEX GOES BRRR: 82 X: 5.14258 +INDEX GOES BRRR: 253 X: 15.8369 +INDEX GOES BRRR: 101 X: 6.34766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.21289 +INDEX GOES BRRR: 953 X: -4.4248 +INDEX GOES BRRR: 56 X: 3.52637 +INDEX GOES BRRR: 112 X: 7.06152 +INDEX GOES BRRR: 990 X: -2.11133 +INDEX GOES BRRR: 163 X: 10.1914 +INDEX GOES BRRR: 74 X: 4.64551 +INDEX GOES BRRR: 100 X: 6.25488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 993 X: -1.93652 +INDEX GOES BRRR: 100 X: 6.26367 +INDEX GOES BRRR: 332 X: 20.7686 +INDEX GOES BRRR: 4 X: 0.298828 +INDEX GOES BRRR: 141 X: 8.84863 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 34 X: 2.13477 +INDEX GOES BRRR: 331 X: 20.7363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 890 X: -8.31641 +INDEX GOES BRRR: 146 X: 9.18262 +INDEX GOES BRRR: 274 X: 17.1602 +INDEX GOES BRRR: 213 X: 13.3545 +INDEX GOES BRRR: 192 X: 12.0332 +INDEX GOES BRRR: 66 X: 4.17871 +INDEX GOES BRRR: 273 X: 17.0879 +INDEX GOES BRRR: 124 X: 7.7793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.08496 +INDEX GOES BRRR: 940 X: -5.22754 +INDEX GOES BRRR: 141 X: 8.86914 +INDEX GOES BRRR: 355 X: 22.1914 +INDEX GOES BRRR: 921 X: -6.42188 +INDEX GOES BRRR: 70 X: 4.43066 +INDEX GOES BRRR: 243 X: 15.1924 +INDEX GOES BRRR: 165 X: 10.3213 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.9834 +INDEX GOES BRRR: 843 X: -11.2695 +INDEX GOES BRRR: 222 X: 13.875 +INDEX GOES BRRR: 891 X: -8.27539 +INDEX GOES BRRR: 149 X: 9.37207 +INDEX GOES BRRR: 142 X: 8.9248 +INDEX GOES BRRR: 237 X: 14.8633 +INDEX GOES BRRR: 328 X: 20.5557 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.8125 +INDEX GOES BRRR: 445 X: 27.8408 +INDEX GOES BRRR: 57 X: 3.61133 +INDEX GOES BRRR: 224 X: 14.0303 +INDEX GOES BRRR: 728 X: -18.4443 +INDEX GOES BRRR: 34 X: 2.1543 +INDEX GOES BRRR: 105 X: 6.56348 +INDEX GOES BRRR: 111 X: 6.94141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.49707 +INDEX GOES BRRR: 143 X: 8.94629 +INDEX GOES BRRR: 50 X: 3.13867 +INDEX GOES BRRR: 88 X: 5.54785 +INDEX GOES BRRR: 206 X: 12.8789 +INDEX GOES BRRR: 195 X: 12.1992 +INDEX GOES BRRR: 81 X: 5.08984 +INDEX GOES BRRR: 50 X: 3.16992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4834 +INDEX GOES BRRR: 35 X: 2.24414 +INDEX GOES BRRR: 968 X: -3.49121 +INDEX GOES BRRR: 274 X: 17.1445 +INDEX GOES BRRR: 220 X: 13.7773 +INDEX GOES BRRR: 949 X: -4.65723 +INDEX GOES BRRR: 117 X: 7.35449 +INDEX GOES BRRR: 423 X: 26.4961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4727 +INDEX GOES BRRR: 109 X: 6.83105 +INDEX GOES BRRR: 958 X: -4.12305 +INDEX GOES BRRR: 96 X: 6.01758 +INDEX GOES BRRR: 89 X: 5.58887 +INDEX GOES BRRR: 915 X: -6.7998 +INDEX GOES BRRR: 145 X: 9.10547 +INDEX GOES BRRR: 472 X: 29.5342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5303 +INDEX GOES BRRR: 96 X: 6.05469 +INDEX GOES BRRR: 1002 X: -1.31348 +INDEX GOES BRRR: 74 X: 4.66504 +INDEX GOES BRRR: 861 X: -10.1582 +INDEX GOES BRRR: 792 X: -14.4951 +INDEX GOES BRRR: 101 X: 6.31348 +INDEX GOES BRRR: 127 X: 7.97656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.53906 +INDEX GOES BRRR: 46 X: 2.87793 +INDEX GOES BRRR: 46 X: 2.91504 +INDEX GOES BRRR: 127 X: 7.9375 +INDEX GOES BRRR: 113 X: 7.08887 +INDEX GOES BRRR: 255 X: 15.9746 +INDEX GOES BRRR: 156 X: 9.76465 +INDEX GOES BRRR: 255 X: 15.9844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.95215 +INDEX GOES BRRR: 185 X: 11.5771 +INDEX GOES BRRR: 233 X: 14.5654 +INDEX GOES BRRR: 236 X: 14.7881 +INDEX GOES BRRR: 476 X: 29.8018 +INDEX GOES BRRR: 247 X: 15.4648 +INDEX GOES BRRR: 1010 X: -0.832031 +INDEX GOES BRRR: 171 X: 10.7402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.15332 +INDEX GOES BRRR: 231 X: 14.4502 +INDEX GOES BRRR: 97 X: 6.1123 +INDEX GOES BRRR: 329 X: 20.624 +INDEX GOES BRRR: 308 X: 19.2852 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 200 X: 12.5264 +INDEX GOES BRRR: 115 X: 7.19434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.70215 +INDEX GOES BRRR: 1005 X: -1.12598 +INDEX GOES BRRR: 306 X: 19.1592 +INDEX GOES BRRR: 145 X: 9.06641 +INDEX GOES BRRR: 1020 X: -0.205078 +INDEX GOES BRRR: 74 X: 4.64648 +INDEX GOES BRRR: 12 X: 0.768555 +INDEX GOES BRRR: 41 X: 2.58984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.418 +INDEX GOES BRRR: 295 X: 18.4727 +INDEX GOES BRRR: 192 X: 12.0117 +INDEX GOES BRRR: 232 X: 14.5195 +INDEX GOES BRRR: 277 X: 17.3428 +INDEX GOES BRRR: 347 X: 21.7334 +INDEX GOES BRRR: 416 X: 26.0342 +INDEX GOES BRRR: 278 X: 17.4219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.8447 +INDEX GOES BRRR: 45 X: 2.83887 +INDEX GOES BRRR: 228 X: 14.3076 +INDEX GOES BRRR: 970 X: -3.37012 +INDEX GOES BRRR: 227 X: 14.1982 +INDEX GOES BRRR: 1020 X: -0.230469 +INDEX GOES BRRR: 212 X: 13.2559 +INDEX GOES BRRR: 200 X: 12.5547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1611 +INDEX GOES BRRR: 0 X: 0.0224609 +INDEX GOES BRRR: 466 X: 29.1318 +INDEX GOES BRRR: 270 X: 16.875 +INDEX GOES BRRR: 121 X: 7.62109 +INDEX GOES BRRR: 44 X: 2.76855 +INDEX GOES BRRR: 301 X: 18.8457 +INDEX GOES BRRR: 995 X: -1.76562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.09668 +INDEX GOES BRRR: 985 X: -2.39062 +INDEX GOES BRRR: 945 X: -4.89551 +INDEX GOES BRRR: 209 X: 13.1064 +INDEX GOES BRRR: 267 X: 16.749 +INDEX GOES BRRR: 40 X: 2.50781 +INDEX GOES BRRR: 449 X: 28.0938 +INDEX GOES BRRR: 862 X: -10.0752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.30859 +INDEX GOES BRRR: 184 X: 11.5322 +INDEX GOES BRRR: 398 X: 24.9277 +INDEX GOES BRRR: 184 X: 11.54 +INDEX GOES BRRR: 17 X: 1.06738 +INDEX GOES BRRR: 216 X: 13.5088 +INDEX GOES BRRR: 134 X: 8.39746 +INDEX GOES BRRR: 86 X: 5.38184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9951 +INDEX GOES BRRR: 169 X: 10.583 +INDEX GOES BRRR: 104 X: 6.55371 +INDEX GOES BRRR: 170 X: 10.6553 +INDEX GOES BRRR: 61 X: 3.84277 +INDEX GOES BRRR: 78 X: 4.88086 +INDEX GOES BRRR: 198 X: 12.4023 +INDEX GOES BRRR: 339 X: 21.1904 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.77832 +INDEX GOES BRRR: 308 X: 19.2588 +INDEX GOES BRRR: 836 X: -11.7031 +INDEX GOES BRRR: 368 X: 23.0244 +INDEX GOES BRRR: 239 X: 14.959 +INDEX GOES BRRR: 250 X: 15.6572 +INDEX GOES BRRR: 275 X: 17.2461 +INDEX GOES BRRR: 209 X: 13.084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.4131 +INDEX GOES BRRR: 918 X: -6.60254 +INDEX GOES BRRR: 987 X: -2.27832 +INDEX GOES BRRR: 115 X: 7.19238 +INDEX GOES BRRR: 185 X: 11.5938 +INDEX GOES BRRR: 345 X: 21.5889 +INDEX GOES BRRR: 170 X: 10.6416 +INDEX GOES BRRR: 110 X: 6.88086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 990 X: -2.11523 +INDEX GOES BRRR: 1010 X: -0.84668 +INDEX GOES BRRR: 271 X: 16.9473 +INDEX GOES BRRR: 178 X: 11.1543 +INDEX GOES BRRR: 425 X: 26.584 +INDEX GOES BRRR: 150 X: 9.43164 +INDEX GOES BRRR: 23 X: 1.47266 +INDEX GOES BRRR: 365 X: 22.834 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.21094 +INDEX GOES BRRR: 435 X: 27.2188 +INDEX GOES BRRR: 937 X: -5.4248 +INDEX GOES BRRR: 412 X: 25.79 +INDEX GOES BRRR: 190 X: 11.9023 +INDEX GOES BRRR: 192 X: 12.0312 +INDEX GOES BRRR: 158 X: 9.88965 +INDEX GOES BRRR: 374 X: 23.3965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 901 X: -7.64062 +INDEX GOES BRRR: 207 X: 12.9551 +INDEX GOES BRRR: 62 X: 3.93555 +INDEX GOES BRRR: 328 X: 20.5303 +INDEX GOES BRRR: 21 X: 1.33594 +INDEX GOES BRRR: 108 X: 6.7627 +INDEX GOES BRRR: 847 X: -11.042 +INDEX GOES BRRR: 205 X: 12.8604 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.04004 +INDEX GOES BRRR: 88 X: 5.55566 +INDEX GOES BRRR: 161 X: 10.0859 +INDEX GOES BRRR: 98 X: 6.13379 +INDEX GOES BRRR: 266 X: 16.6396 +INDEX GOES BRRR: 1005 X: -1.18262 +INDEX GOES BRRR: 450 X: 28.1748 +INDEX GOES BRRR: 75 X: 4.68945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 117 X: 7.32617 +INDEX GOES BRRR: 108 X: 6.7666 +INDEX GOES BRRR: 72 X: 4.56055 +INDEX GOES BRRR: 200 X: 12.5195 +INDEX GOES BRRR: 240 X: 15.0322 +INDEX GOES BRRR: 123 X: 7.74219 +INDEX GOES BRRR: 127 X: 7.99023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.90625 +INDEX GOES BRRR: 209 X: 13.1221 +INDEX GOES BRRR: 320 X: 20.0146 +INDEX GOES BRRR: 444 X: 27.7539 +INDEX GOES BRRR: 180 X: 11.2754 +INDEX GOES BRRR: 39 X: 2.44531 +INDEX GOES BRRR: 134 X: 8.41016 +INDEX GOES BRRR: 46 X: 2.91895 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 292 X: 18.2812 +INDEX GOES BRRR: 77 X: 4.8418 +INDEX GOES BRRR: 148 X: 9.29883 +INDEX GOES BRRR: 273 X: 17.124 +INDEX GOES BRRR: 135 X: 8.49609 +INDEX GOES BRRR: 50 X: 3.14551 +INDEX GOES BRRR: 257 X: 16.0771 +INDEX GOES BRRR: 299 X: 18.7314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.98926 +INDEX GOES BRRR: 286 X: 17.8838 +INDEX GOES BRRR: 316 X: 19.751 +INDEX GOES BRRR: 46 X: 2.91504 +INDEX GOES BRRR: 4 X: 0.263672 +INDEX GOES BRRR: 210 X: 13.1289 +INDEX GOES BRRR: 138 X: 8.6543 +INDEX GOES BRRR: 150 X: 9.3877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 896 X: -7.97656 +INDEX GOES BRRR: 88 X: 5.53223 +INDEX GOES BRRR: 289 X: 18.0674 +INDEX GOES BRRR: 228 X: 14.2666 +INDEX GOES BRRR: 369 X: 23.0898 +INDEX GOES BRRR: 972 X: -3.23633 +INDEX GOES BRRR: 125 X: 7.87402 +INDEX GOES BRRR: 143 X: 8.94922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.76172 +INDEX GOES BRRR: 35 X: 2.20605 +INDEX GOES BRRR: 1006 X: -1.08594 +INDEX GOES BRRR: 346 X: 21.6289 +INDEX GOES BRRR: 314 X: 19.6338 +INDEX GOES BRRR: 730 X: -18.3174 +INDEX GOES BRRR: 51 X: 3.21289 +INDEX GOES BRRR: 406 X: 25.3887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.43066 +INDEX GOES BRRR: 406 X: 25.4316 +INDEX GOES BRRR: 275 X: 17.2275 +INDEX GOES BRRR: 972 X: -3.24219 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 669 X: -22.1582 +INDEX GOES BRRR: 984 X: -2.44629 +INDEX GOES BRRR: 32 X: 2.04004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1387 +INDEX GOES BRRR: 76 X: 4.77441 +INDEX GOES BRRR: 143 X: 8.94434 +INDEX GOES BRRR: 29 X: 1.81445 +INDEX GOES BRRR: 908 X: -7.21973 +INDEX GOES BRRR: 143 X: 8.95605 +INDEX GOES BRRR: 166 X: 10.4092 +INDEX GOES BRRR: 846 X: -11.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.21289 +INDEX GOES BRRR: 119 X: 7.47559 +INDEX GOES BRRR: 267 X: 16.7256 +INDEX GOES BRRR: 219 X: 13.7305 +INDEX GOES BRRR: 128 X: 8.0166 +INDEX GOES BRRR: 999 X: -1.56055 +INDEX GOES BRRR: 15 X: 0.961914 +INDEX GOES BRRR: 274 X: 17.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.7002 +INDEX GOES BRRR: 954 X: -4.36426 +INDEX GOES BRRR: 53 X: 3.31934 +INDEX GOES BRRR: 89 X: 5.58301 +INDEX GOES BRRR: 722 X: -18.8262 +INDEX GOES BRRR: 97 X: 6.12207 +INDEX GOES BRRR: 218 X: 13.6631 +INDEX GOES BRRR: 210 X: 13.1318 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1010 X: -0.869141 +INDEX GOES BRRR: 467 X: 29.209 +INDEX GOES BRRR: 1019 X: -0.301758 +INDEX GOES BRRR: 341 X: 21.3477 +INDEX GOES BRRR: 259 X: 16.2451 +INDEX GOES BRRR: 62 X: 3.89062 +INDEX GOES BRRR: 133 X: 8.3418 +INDEX GOES BRRR: 779 X: -15.2539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.519531 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 306 X: 19.1533 +INDEX GOES BRRR: 243 X: 15.2422 +INDEX GOES BRRR: 291 X: 18.2295 +INDEX GOES BRRR: 106 X: 6.63477 +INDEX GOES BRRR: 151 X: 9.47656 +INDEX GOES BRRR: 344 X: 21.5342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.12109 +INDEX GOES BRRR: 213 X: 13.3477 +INDEX GOES BRRR: 152 X: 9.56055 +INDEX GOES BRRR: 784 X: -14.9814 +INDEX GOES BRRR: 871 X: -9.50098 +INDEX GOES BRRR: 113 X: 7.12305 +INDEX GOES BRRR: 231 X: 14.4883 +INDEX GOES BRRR: 271 X: 16.9609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.8818 +INDEX GOES BRRR: 88 X: 5.54883 +INDEX GOES BRRR: 99 X: 6.22949 +INDEX GOES BRRR: 133 X: 8.34277 +INDEX GOES BRRR: 194 X: 12.1836 +INDEX GOES BRRR: 1019 X: -0.305664 +INDEX GOES BRRR: 122 X: 7.67969 +INDEX GOES BRRR: 293 X: 18.3535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 450 X: 28.1572 +INDEX GOES BRRR: 86 X: 5.4248 +INDEX GOES BRRR: 251 X: 15.7451 +INDEX GOES BRRR: 279 X: 17.4678 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 83 X: 5.23145 +INDEX GOES BRRR: 110 X: 6.91504 +INDEX GOES BRRR: 879 X: -9.06055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2168 +INDEX GOES BRRR: 241 X: 15.1133 +INDEX GOES BRRR: 48 X: 3.05664 +INDEX GOES BRRR: 821 X: -12.6553 +INDEX GOES BRRR: 332 X: 20.7832 +INDEX GOES BRRR: 848 X: -10.9893 +INDEX GOES BRRR: 1010 X: -0.874023 +INDEX GOES BRRR: 311 X: 19.4609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3516 +INDEX GOES BRRR: 143 X: 8.95312 +INDEX GOES BRRR: 330 X: 20.6357 +INDEX GOES BRRR: 162 X: 10.1611 +INDEX GOES BRRR: 150 X: 9.41406 +INDEX GOES BRRR: 30 X: 1.90918 +INDEX GOES BRRR: 939 X: -5.27637 +INDEX GOES BRRR: 130 X: 8.18066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.8604 +INDEX GOES BRRR: 67 X: 4.24707 +INDEX GOES BRRR: 301 X: 18.8203 +INDEX GOES BRRR: 205 X: 12.8301 +INDEX GOES BRRR: 998 X: -1.56543 +INDEX GOES BRRR: 971 X: -3.29492 +INDEX GOES BRRR: 480 X: 30.001 +INDEX GOES BRRR: 924 X: -6.2373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.587891 +INDEX GOES BRRR: 129 X: 8.11621 +INDEX GOES BRRR: 78 X: 4.92383 +INDEX GOES BRRR: 34 X: 2.15137 +INDEX GOES BRRR: 978 X: -2.87012 +INDEX GOES BRRR: 484 X: 30.291 +INDEX GOES BRRR: 353 X: 22.0762 +INDEX GOES BRRR: 317 X: 19.8662 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 429 X: 26.8271 +INDEX GOES BRRR: 16 X: 1.03125 +INDEX GOES BRRR: 73 X: 4.5918 +INDEX GOES BRRR: 198 X: 12.3887 +INDEX GOES BRRR: 215 X: 13.4619 +INDEX GOES BRRR: 199 X: 12.4951 +INDEX GOES BRRR: 178 X: 11.1582 +INDEX GOES BRRR: 51 X: 3.23633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.08301 +INDEX GOES BRRR: 58 X: 3.66406 +INDEX GOES BRRR: 133 X: 8.36133 +INDEX GOES BRRR: 990 X: -2.08594 +INDEX GOES BRRR: 136 X: 8.56152 +INDEX GOES BRRR: 194 X: 12.166 +INDEX GOES BRRR: 20 X: 1.27441 +INDEX GOES BRRR: 380 X: 23.8076 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 391 X: 24.4834 +INDEX GOES BRRR: 67 X: 4.24902 +INDEX GOES BRRR: 203 X: 12.7432 +INDEX GOES BRRR: 356 X: 22.2676 +INDEX GOES BRRR: 71 X: 4.47949 +INDEX GOES BRRR: 961 X: -3.9209 +INDEX GOES BRRR: 97 X: 6.1084 +INDEX GOES BRRR: 47 X: 2.94531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.50391 +INDEX GOES BRRR: 216 X: 13.5068 +INDEX GOES BRRR: 321 X: 20.085 +INDEX GOES BRRR: 114 X: 7.16016 +INDEX GOES BRRR: 126 X: 7.93262 +INDEX GOES BRRR: 64 X: 4.0459 +INDEX GOES BRRR: 116 X: 7.29297 +INDEX GOES BRRR: 81 X: 5.11426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.30078 +INDEX GOES BRRR: 109 X: 6.86133 +INDEX GOES BRRR: 462 X: 28.9199 +INDEX GOES BRRR: 125 X: 7.82324 +INDEX GOES BRRR: 253 X: 15.8418 +INDEX GOES BRRR: 237 X: 14.8682 +INDEX GOES BRRR: 183 X: 11.4609 +INDEX GOES BRRR: 179 X: 11.2227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 30 X: 1.87793 +INDEX GOES BRRR: 954 X: -4.31543 +INDEX GOES BRRR: 74 X: 4.66797 +INDEX GOES BRRR: 992 X: -1.94727 +INDEX GOES BRRR: 1008 X: -0.949219 +INDEX GOES BRRR: 283 X: 17.6934 +INDEX GOES BRRR: 305 X: 19.0713 +INDEX GOES BRRR: 208 X: 13.0215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.74219 +INDEX GOES BRRR: 311 X: 19.4912 +INDEX GOES BRRR: 239 X: 14.9668 +INDEX GOES BRRR: 973 X: -3.12793 +INDEX GOES BRRR: 394 X: 24.6572 +INDEX GOES BRRR: 342 X: 21.4082 +INDEX GOES BRRR: 947 X: -4.7832 +INDEX GOES BRRR: 119 X: 7.46289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.60254 +INDEX GOES BRRR: 15 X: 0.96582 +INDEX GOES BRRR: 181 X: 11.3545 +INDEX GOES BRRR: 4 X: 0.289062 +INDEX GOES BRRR: 169 X: 10.582 +INDEX GOES BRRR: 925 X: -6.12695 +INDEX GOES BRRR: 181 X: 11.3467 +INDEX GOES BRRR: 142 X: 8.91309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 989 X: -2.13672 +INDEX GOES BRRR: 93 X: 5.82617 +INDEX GOES BRRR: 2 X: 0.141602 +INDEX GOES BRRR: 294 X: 18.376 +INDEX GOES BRRR: 162 X: 10.1631 +INDEX GOES BRRR: 946 X: -4.86426 +INDEX GOES BRRR: 373 X: 23.3711 +INDEX GOES BRRR: 205 X: 12.8438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.2998 +INDEX GOES BRRR: 213 X: 13.3652 +INDEX GOES BRRR: 261 X: 16.3174 +INDEX GOES BRRR: 402 X: 25.1348 +INDEX GOES BRRR: 7 X: 0.456055 +INDEX GOES BRRR: 306 X: 19.1865 +INDEX GOES BRRR: 414 X: 25.876 +INDEX GOES BRRR: 411 X: 25.6992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 282 X: 17.6318 +INDEX GOES BRRR: 175 X: 10.9531 +INDEX GOES BRRR: 224 X: 14.0527 +INDEX GOES BRRR: 278 X: 17.4307 +INDEX GOES BRRR: 150 X: 9.42773 +INDEX GOES BRRR: 254 X: 15.8779 +INDEX GOES BRRR: 335 X: 20.9619 +INDEX GOES BRRR: 940 X: -5.21387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 320 X: 20.0098 +INDEX GOES BRRR: 266 X: 16.6426 +INDEX GOES BRRR: 129 X: 8.08496 +INDEX GOES BRRR: 74 X: 4.63477 +INDEX GOES BRRR: 356 X: 22.3076 +INDEX GOES BRRR: 184 X: 11.5537 +INDEX GOES BRRR: 287 X: 17.9746 +INDEX GOES BRRR: 888 X: -8.45703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.9473 +INDEX GOES BRRR: 117 X: 7.31348 +INDEX GOES BRRR: 255 X: 15.9521 +INDEX GOES BRRR: 205 X: 12.8613 +INDEX GOES BRRR: 259 X: 16.2393 +INDEX GOES BRRR: 113 X: 7.06641 +INDEX GOES BRRR: 255 X: 15.9941 +INDEX GOES BRRR: 345 X: 21.5977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 412 X: 25.7549 +INDEX GOES BRRR: 125 X: 7.82031 +INDEX GOES BRRR: 18 X: 1.16309 +INDEX GOES BRRR: 355 X: 22.2158 +INDEX GOES BRRR: 104 X: 6.55762 +INDEX GOES BRRR: 255 X: 15.9551 +INDEX GOES BRRR: 171 X: 10.7354 +INDEX GOES BRRR: 975 X: -3.0166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 392 X: 24.5615 +INDEX GOES BRRR: 157 X: 9.83105 +INDEX GOES BRRR: 151 X: 9.46289 +INDEX GOES BRRR: 950 X: -4.60645 +INDEX GOES BRRR: 46 X: 2.90625 +INDEX GOES BRRR: 248 X: 15.5254 +INDEX GOES BRRR: 153 X: 9.58496 +INDEX GOES BRRR: 320 X: 20.0439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.083 +INDEX GOES BRRR: 17 X: 1.07324 +INDEX GOES BRRR: 67 X: 4.24707 +INDEX GOES BRRR: 316 X: 19.7734 +INDEX GOES BRRR: 398 X: 24.9316 +INDEX GOES BRRR: 110 X: 6.89551 +INDEX GOES BRRR: 247 X: 15.498 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.6123 +INDEX GOES BRRR: 970 X: -3.35645 +INDEX GOES BRRR: 397 X: 24.8506 +INDEX GOES BRRR: 165 X: 10.3682 +INDEX GOES BRRR: 346 X: 21.6475 +INDEX GOES BRRR: 310 X: 19.3906 +INDEX GOES BRRR: 96 X: 6.03711 +INDEX GOES BRRR: 5 X: 0.363281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 159 X: 9.98242 +INDEX GOES BRRR: 243 X: 15.2178 +INDEX GOES BRRR: 202 X: 12.6562 +INDEX GOES BRRR: 284 X: 17.792 +INDEX GOES BRRR: 265 X: 16.5664 +INDEX GOES BRRR: 232 X: 14.5293 +INDEX GOES BRRR: 19 X: 1.19043 +INDEX GOES BRRR: 215 X: 13.4473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7598 +INDEX GOES BRRR: 181 X: 11.3701 +INDEX GOES BRRR: 924 X: -6.20312 +INDEX GOES BRRR: 186 X: 11.6504 +INDEX GOES BRRR: 40 X: 2.52246 +INDEX GOES BRRR: 162 X: 10.1865 +INDEX GOES BRRR: 68 X: 4.26367 +INDEX GOES BRRR: 358 X: 22.4004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.86621 +INDEX GOES BRRR: 273 X: 17.0625 +INDEX GOES BRRR: 262 X: 16.4082 +INDEX GOES BRRR: 89 X: 5.5957 +INDEX GOES BRRR: 155 X: 9.73047 +INDEX GOES BRRR: 220 X: 13.751 +INDEX GOES BRRR: 306 X: 19.1816 +INDEX GOES BRRR: 116 X: 7.29297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.08203 +INDEX GOES BRRR: 284 X: 17.7988 +INDEX GOES BRRR: 1016 X: -0.448242 +INDEX GOES BRRR: 220 X: 13.7822 +INDEX GOES BRRR: 220 X: 13.8037 +INDEX GOES BRRR: 61 X: 3.84961 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 370 X: 23.1367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.69141 +INDEX GOES BRRR: 67 X: 4.22656 +INDEX GOES BRRR: 161 X: 10.1016 +INDEX GOES BRRR: 225 X: 14.0645 +INDEX GOES BRRR: 104 X: 6.55371 +INDEX GOES BRRR: 72 X: 4.53223 +INDEX GOES BRRR: 285 X: 17.8486 +INDEX GOES BRRR: 278 X: 17.3926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.79102 +INDEX GOES BRRR: 118 X: 7.39746 +INDEX GOES BRRR: 116 X: 7.2959 +INDEX GOES BRRR: 966 X: -3.58301 +INDEX GOES BRRR: 439 X: 27.4912 +INDEX GOES BRRR: 153 X: 9.56934 +INDEX GOES BRRR: 237 X: 14.8184 +INDEX GOES BRRR: 131 X: 8.24219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 761 X: -16.4189 +INDEX GOES BRRR: 245 X: 15.3633 +INDEX GOES BRRR: 146 X: 9.12695 +INDEX GOES BRRR: 293 X: 18.3594 +INDEX GOES BRRR: 97 X: 6.10059 +INDEX GOES BRRR: 364 X: 22.7598 +INDEX GOES BRRR: 239 X: 14.9512 +INDEX GOES BRRR: 112 X: 7.01074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 468 X: 29.2705 +INDEX GOES BRRR: 205 X: 12.8164 +INDEX GOES BRRR: 422 X: 26.3857 +INDEX GOES BRRR: 201 X: 12.6191 +INDEX GOES BRRR: 268 X: 16.8027 +INDEX GOES BRRR: 13 X: 0.837891 +INDEX GOES BRRR: 155 X: 9.72266 +INDEX GOES BRRR: 483 X: 30.1924 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 964 X: -3.73047 +INDEX GOES BRRR: 47 X: 2.99902 +INDEX GOES BRRR: 338 X: 21.1807 +INDEX GOES BRRR: 283 X: 17.7021 +INDEX GOES BRRR: 341 X: 21.332 +INDEX GOES BRRR: 288 X: 18.0469 +INDEX GOES BRRR: 122 X: 7.6377 +INDEX GOES BRRR: 179 X: 11.2344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.24805 +INDEX GOES BRRR: 41 X: 2.57422 +INDEX GOES BRRR: 32 X: 2.05273 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 103 X: 6.44922 +INDEX GOES BRRR: 149 X: 9.31738 +INDEX GOES BRRR: 158 X: 9.92285 +INDEX GOES BRRR: 415 X: 25.957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9141 +INDEX GOES BRRR: 183 X: 11.4668 +INDEX GOES BRRR: 41 X: 2.59375 +INDEX GOES BRRR: 293 X: 18.3154 +INDEX GOES BRRR: 988 X: -2.22266 +INDEX GOES BRRR: 154 X: 9.66309 +INDEX GOES BRRR: 89 X: 5.56445 +INDEX GOES BRRR: 717 X: -19.1865 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.0625 +INDEX GOES BRRR: 251 X: 15.7441 +INDEX GOES BRRR: 986 X: -2.36035 +INDEX GOES BRRR: 1016 X: -0.441406 +INDEX GOES BRRR: 183 X: 11.4658 +INDEX GOES BRRR: 912 X: -6.95703 +INDEX GOES BRRR: 142 X: 8.88281 +INDEX GOES BRRR: 389 X: 24.3428 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.46875 +INDEX GOES BRRR: 27 X: 1.69531 +INDEX GOES BRRR: 200 X: 12.542 +INDEX GOES BRRR: 297 X: 18.6162 +INDEX GOES BRRR: 219 X: 13.7432 +INDEX GOES BRRR: 241 X: 15.1064 +INDEX GOES BRRR: 135 X: 8.49707 +INDEX GOES BRRR: 281 X: 17.5742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.8564 +INDEX GOES BRRR: 238 X: 14.9248 +INDEX GOES BRRR: 964 X: -3.69824 +INDEX GOES BRRR: 170 X: 10.6533 +INDEX GOES BRRR: 26 X: 1.64258 +INDEX GOES BRRR: 171 X: 10.6875 +INDEX GOES BRRR: 290 X: 18.1523 +INDEX GOES BRRR: 191 X: 11.9805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.52637 +INDEX GOES BRRR: 269 X: 16.8516 +INDEX GOES BRRR: 293 X: 18.3623 +INDEX GOES BRRR: 464 X: 29.0078 +INDEX GOES BRRR: 293 X: 18.3633 +INDEX GOES BRRR: 340 X: 21.2832 +INDEX GOES BRRR: 1019 X: -0.257812 +INDEX GOES BRRR: 116 X: 7.30664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 876 X: -9.22852 +INDEX GOES BRRR: 919 X: -6.52246 +INDEX GOES BRRR: 984 X: -2.44727 +INDEX GOES BRRR: 56 X: 3.51855 +INDEX GOES BRRR: 52 X: 3.2998 +INDEX GOES BRRR: 364 X: 22.8086 +INDEX GOES BRRR: 82 X: 5.17383 +INDEX GOES BRRR: 211 X: 13.2031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 905 X: -7.37598 +INDEX GOES BRRR: 76 X: 4.78027 +INDEX GOES BRRR: 236 X: 14.7939 +INDEX GOES BRRR: 879 X: -9.0459 +INDEX GOES BRRR: 967 X: -3.52734 +INDEX GOES BRRR: 184 X: 11.5596 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 271 X: 16.9971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.083 +INDEX GOES BRRR: 87 X: 5.45117 +INDEX GOES BRRR: 449 X: 28.1221 +INDEX GOES BRRR: 98 X: 6.13574 +INDEX GOES BRRR: 878 X: -9.11621 +INDEX GOES BRRR: 897 X: -7.87891 +INDEX GOES BRRR: 266 X: 16.6436 +INDEX GOES BRRR: 2 X: 0.140625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.3047 +INDEX GOES BRRR: 934 X: -5.57422 +INDEX GOES BRRR: 237 X: 14.8223 +INDEX GOES BRRR: 327 X: 20.4912 +INDEX GOES BRRR: 937 X: -5.42871 +INDEX GOES BRRR: 197 X: 12.3242 +INDEX GOES BRRR: 107 X: 6.6875 +INDEX GOES BRRR: 859 X: -10.292 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.80273 +INDEX GOES BRRR: 99 X: 6.21387 +INDEX GOES BRRR: 984 X: -2.45312 +INDEX GOES BRRR: 313 X: 19.5898 +INDEX GOES BRRR: 2 X: 0.166992 +INDEX GOES BRRR: 122 X: 7.67773 +INDEX GOES BRRR: 144 X: 9.06055 +INDEX GOES BRRR: 115 X: 7.21973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 445 X: 27.8604 +INDEX GOES BRRR: 962 X: -3.86719 +INDEX GOES BRRR: 312 X: 19.5059 +INDEX GOES BRRR: 148 X: 9.27344 +INDEX GOES BRRR: 286 X: 17.9062 +INDEX GOES BRRR: 1001 X: -1.4209 +INDEX GOES BRRR: 228 X: 14.3008 +INDEX GOES BRRR: 989 X: -2.13086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 442 X: 27.6689 +INDEX GOES BRRR: 192 X: 12.0547 +INDEX GOES BRRR: 1 X: 0.0820312 +INDEX GOES BRRR: 50 X: 3.13477 +INDEX GOES BRRR: 1007 X: -1.0166 +INDEX GOES BRRR: 269 X: 16.8584 +INDEX GOES BRRR: 226 X: 14.1416 +INDEX GOES BRRR: 291 X: 18.2109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3223 +INDEX GOES BRRR: 111 X: 6.96387 +INDEX GOES BRRR: 368 X: 23.0352 +INDEX GOES BRRR: 957 X: -4.16602 +INDEX GOES BRRR: 271 X: 16.9805 +INDEX GOES BRRR: 899 X: -7.77637 +INDEX GOES BRRR: 1009 X: -0.913086 +INDEX GOES BRRR: 102 X: 6.42969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 823 X: -12.54 +INDEX GOES BRRR: 12 X: 0.795898 +INDEX GOES BRRR: 189 X: 11.8213 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 18 X: 1.13477 +INDEX GOES BRRR: 110 X: 6.875 +INDEX GOES BRRR: 266 X: 16.6748 +INDEX GOES BRRR: 103 X: 6.47266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.9082 +INDEX GOES BRRR: 221 X: 13.8154 +INDEX GOES BRRR: 160 X: 10 +INDEX GOES BRRR: 308 X: 19.2783 +INDEX GOES BRRR: 100 X: 6.27734 +INDEX GOES BRRR: 400 X: 25.0332 +INDEX GOES BRRR: 128 X: 8.06152 +INDEX GOES BRRR: 369 X: 23.0771 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.50586 +INDEX GOES BRRR: 158 X: 9.88184 +INDEX GOES BRRR: 882 X: -8.85742 +INDEX GOES BRRR: 964 X: -3.72754 +INDEX GOES BRRR: 691 X: -20.7783 +INDEX GOES BRRR: 102 X: 6.40039 +INDEX GOES BRRR: 378 X: 23.6582 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7646 +INDEX GOES BRRR: 212 X: 13.2861 +INDEX GOES BRRR: 368 X: 23.0127 +INDEX GOES BRRR: 16 X: 1.05469 +INDEX GOES BRRR: 247 X: 15.4668 +INDEX GOES BRRR: 307 X: 19.2422 +INDEX GOES BRRR: 39 X: 2.45801 +INDEX GOES BRRR: 386 X: 24.1836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1357 +INDEX GOES BRRR: 304 X: 19.0449 +INDEX GOES BRRR: 196 X: 12.2949 +INDEX GOES BRRR: 205 X: 12.8281 +INDEX GOES BRRR: 161 X: 10.0752 +INDEX GOES BRRR: 79 X: 4.97266 +INDEX GOES BRRR: 95 X: 5.94922 +INDEX GOES BRRR: 389 X: 24.3682 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.143555 +INDEX GOES BRRR: 268 X: 16.8066 +INDEX GOES BRRR: 189 X: 11.8633 +INDEX GOES BRRR: 1001 X: -1.38184 +INDEX GOES BRRR: 985 X: -2.41016 +INDEX GOES BRRR: 12 X: 0.796875 +INDEX GOES BRRR: 463 X: 28.9414 +INDEX GOES BRRR: 181 X: 11.3535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.40039 +INDEX GOES BRRR: 230 X: 14.3975 +INDEX GOES BRRR: 9 X: 0.616211 +INDEX GOES BRRR: 154 X: 9.64062 +INDEX GOES BRRR: 254 X: 15.915 +INDEX GOES BRRR: 217 X: 13.6133 +INDEX GOES BRRR: 162 X: 10.1846 +INDEX GOES BRRR: 288 X: 18.0049 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.873 +INDEX GOES BRRR: 239 X: 14.9912 +INDEX GOES BRRR: 391 X: 24.4932 +INDEX GOES BRRR: 148 X: 9.29883 +INDEX GOES BRRR: 53 X: 3.33008 +INDEX GOES BRRR: 54 X: 3.41895 +INDEX GOES BRRR: 426 X: 26.6797 +INDEX GOES BRRR: 54 X: 3.43262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.60156 +INDEX GOES BRRR: 117 X: 7.3584 +INDEX GOES BRRR: 91 X: 5.73535 +INDEX GOES BRRR: 147 X: 9.24414 +INDEX GOES BRRR: 124 X: 7.78809 +INDEX GOES BRRR: 422 X: 26.4121 +INDEX GOES BRRR: 365 X: 22.8311 +INDEX GOES BRRR: 325 X: 20.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0371 +INDEX GOES BRRR: 366 X: 22.915 +INDEX GOES BRRR: 214 X: 13.4307 +INDEX GOES BRRR: 440 X: 27.5449 +INDEX GOES BRRR: 916 X: -6.7334 +INDEX GOES BRRR: 803 X: -13.7715 +INDEX GOES BRRR: 191 X: 11.9688 +INDEX GOES BRRR: 11 X: 0.702148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.28809 +INDEX GOES BRRR: 122 X: 7.64844 +INDEX GOES BRRR: 177 X: 11.0996 +INDEX GOES BRRR: 231 X: 14.4609 +INDEX GOES BRRR: 1022 X: -0.0996094 +INDEX GOES BRRR: 374 X: 23.416 +INDEX GOES BRRR: 884 X: -8.69238 +INDEX GOES BRRR: 979 X: -2.7666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 766 X: -16.1172 +INDEX GOES BRRR: 226 X: 14.1836 +INDEX GOES BRRR: 409 X: 25.5938 +INDEX GOES BRRR: 963 X: -3.77344 +INDEX GOES BRRR: 147 X: 9.20312 +INDEX GOES BRRR: 179 X: 11.2012 +INDEX GOES BRRR: 220 X: 13.8057 +INDEX GOES BRRR: 370 X: 23.166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5049 +INDEX GOES BRRR: 300 X: 18.7734 +INDEX GOES BRRR: 280 X: 17.5361 +INDEX GOES BRRR: 53 X: 3.32812 +INDEX GOES BRRR: 104 X: 6.54688 +INDEX GOES BRRR: 1003 X: -1.27734 +INDEX GOES BRRR: 396 X: 24.8115 +INDEX GOES BRRR: 376 X: 23.5596 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.65234 +INDEX GOES BRRR: 28 X: 1.7832 +INDEX GOES BRRR: 165 X: 10.3311 +INDEX GOES BRRR: 215 X: 13.4648 +INDEX GOES BRRR: 40 X: 2.54004 +INDEX GOES BRRR: 146 X: 9.17188 +INDEX GOES BRRR: 1005 X: -1.15332 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.4102 +INDEX GOES BRRR: 97 X: 6.09277 +INDEX GOES BRRR: 99 X: 6.2168 +INDEX GOES BRRR: 38 X: 2.43066 +INDEX GOES BRRR: 1000 X: -1.44922 +INDEX GOES BRRR: 187 X: 11.7158 +INDEX GOES BRRR: 138 X: 8.65723 +INDEX GOES BRRR: 345 X: 21.5664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3389 +INDEX GOES BRRR: 371 X: 23.1934 +INDEX GOES BRRR: 152 X: 9.55371 +INDEX GOES BRRR: 278 X: 17.4326 +INDEX GOES BRRR: 234 X: 14.6475 +INDEX GOES BRRR: 218 X: 13.6328 +INDEX GOES BRRR: 159 X: 9.99609 +INDEX GOES BRRR: 80 X: 5.05664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5166 +INDEX GOES BRRR: 212 X: 13.2754 +INDEX GOES BRRR: 391 X: 24.4443 +INDEX GOES BRRR: 16 X: 1.05762 +INDEX GOES BRRR: 972 X: -3.23535 +INDEX GOES BRRR: 985 X: -2.39551 +INDEX GOES BRRR: 156 X: 9.76172 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5068 +INDEX GOES BRRR: 25 X: 1.56836 +INDEX GOES BRRR: 227 X: 14.209 +INDEX GOES BRRR: 188 X: 11.7529 +INDEX GOES BRRR: 158 X: 9.90039 +INDEX GOES BRRR: 25 X: 1.56445 +INDEX GOES BRRR: 37 X: 2.36621 +INDEX GOES BRRR: 93 X: 5.85645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.8896 +INDEX GOES BRRR: 145 X: 9.11816 +INDEX GOES BRRR: 127 X: 7.93945 +INDEX GOES BRRR: 270 X: 16.8828 +INDEX GOES BRRR: 297 X: 18.5654 +INDEX GOES BRRR: 438 X: 27.3867 +INDEX GOES BRRR: 322 X: 20.168 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.1162 +INDEX GOES BRRR: 352 X: 22.0537 +INDEX GOES BRRR: 285 X: 17.833 +INDEX GOES BRRR: 997 X: -1.66602 +INDEX GOES BRRR: 297 X: 18.5703 +INDEX GOES BRRR: 18 X: 1.16113 +INDEX GOES BRRR: 210 X: 13.1553 +INDEX GOES BRRR: 372 X: 23.2646 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 5 X: 0.369141 +INDEX GOES BRRR: 255 X: 15.9541 +INDEX GOES BRRR: 97 X: 6.0918 +INDEX GOES BRRR: 444 X: 27.75 +INDEX GOES BRRR: 800 X: -13.9678 +INDEX GOES BRRR: 130 X: 8.16602 +INDEX GOES BRRR: 86 X: 5.3916 +INDEX GOES BRRR: 137 X: 8.58789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.3066 +INDEX GOES BRRR: 281 X: 17.6162 +INDEX GOES BRRR: 147 X: 9.21484 +INDEX GOES BRRR: 103 X: 6.48242 +INDEX GOES BRRR: 933 X: -5.66113 +INDEX GOES BRRR: 300 X: 18.7637 +INDEX GOES BRRR: 200 X: 12.5107 +INDEX GOES BRRR: 944 X: -4.95801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.96387 +INDEX GOES BRRR: 247 X: 15.4697 +INDEX GOES BRRR: 166 X: 10.4258 +INDEX GOES BRRR: 250 X: 15.6289 +INDEX GOES BRRR: 219 X: 13.7451 +INDEX GOES BRRR: 219 X: 13.7148 +INDEX GOES BRRR: 998 X: -1.60254 +INDEX GOES BRRR: 192 X: 12.0127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 299 X: 18.7012 +INDEX GOES BRRR: 345 X: 21.6143 +INDEX GOES BRRR: 960 X: -3.96387 +INDEX GOES BRRR: 197 X: 12.3691 +INDEX GOES BRRR: 920 X: -6.44238 +INDEX GOES BRRR: 2 X: 0.136719 +INDEX GOES BRRR: 917 X: -6.64551 +INDEX GOES BRRR: 392 X: 24.5117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 282 X: 17.6465 +INDEX GOES BRRR: 922 X: -6.35547 +INDEX GOES BRRR: 248 X: 15.5244 +INDEX GOES BRRR: 182 X: 11.3916 +INDEX GOES BRRR: 262 X: 16.4229 +INDEX GOES BRRR: 898 X: -7.8252 +INDEX GOES BRRR: 292 X: 18.3057 +INDEX GOES BRRR: 325 X: 20.3408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1016 X: -0.451172 +INDEX GOES BRRR: 261 X: 16.3145 +INDEX GOES BRRR: 474 X: 29.6748 +INDEX GOES BRRR: 150 X: 9.43164 +INDEX GOES BRRR: 318 X: 19.9082 +INDEX GOES BRRR: 196 X: 12.2695 +INDEX GOES BRRR: 987 X: -2.25781 +INDEX GOES BRRR: 149 X: 9.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5059 +INDEX GOES BRRR: 210 X: 13.1768 +INDEX GOES BRRR: 308 X: 19.29 +INDEX GOES BRRR: 632 X: -24.4395 +INDEX GOES BRRR: 447 X: 27.9561 +INDEX GOES BRRR: 290 X: 18.1709 +INDEX GOES BRRR: 114 X: 7.13477 +INDEX GOES BRRR: 261 X: 16.3711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.48047 +INDEX GOES BRRR: 28 X: 1.78613 +INDEX GOES BRRR: 150 X: 9.38965 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 949 X: -4.64648 +INDEX GOES BRRR: 236 X: 14.7881 +INDEX GOES BRRR: 152 X: 9.56055 +INDEX GOES BRRR: 179 X: 11.2148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.08789 +INDEX GOES BRRR: 325 X: 20.3232 +INDEX GOES BRRR: 179 X: 11.2119 +INDEX GOES BRRR: 122 X: 7.64941 +INDEX GOES BRRR: 136 X: 8.52832 +INDEX GOES BRRR: 211 X: 13.2295 +INDEX GOES BRRR: 209 X: 13.0908 +INDEX GOES BRRR: 164 X: 10.2695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 965 X: -3.66309 +INDEX GOES BRRR: 132 X: 8.29785 +INDEX GOES BRRR: 319 X: 19.9854 +INDEX GOES BRRR: 14 X: 0.911133 +INDEX GOES BRRR: 141 X: 8.82422 +INDEX GOES BRRR: 997 X: -1.66309 +INDEX GOES BRRR: 143 X: 8.94824 +INDEX GOES BRRR: 352 X: 22.0342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.40723 +INDEX GOES BRRR: 388 X: 24.3047 +INDEX GOES BRRR: 198 X: 12.376 +INDEX GOES BRRR: 1006 X: -1.08008 +INDEX GOES BRRR: 998 X: -1.58691 +INDEX GOES BRRR: 892 X: -8.2207 +INDEX GOES BRRR: 283 X: 17.707 +INDEX GOES BRRR: 229 X: 14.3262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5645 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 917 X: -6.62598 +INDEX GOES BRRR: 266 X: 16.6338 +INDEX GOES BRRR: 321 X: 20.1113 +INDEX GOES BRRR: 88 X: 5.55566 +INDEX GOES BRRR: 1018 X: -0.332031 +INDEX GOES BRRR: 177 X: 11.0654 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 880 X: -8.96094 +INDEX GOES BRRR: 184 X: 11.5293 +INDEX GOES BRRR: 113 X: 7.09961 +INDEX GOES BRRR: 349 X: 21.8486 +INDEX GOES BRRR: 111 X: 6.97559 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 58 X: 3.64648 +INDEX GOES BRRR: 218 X: 13.6641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.35254 +INDEX GOES BRRR: 151 X: 9.49902 +INDEX GOES BRRR: 0 X: 0.015625 +INDEX GOES BRRR: 917 X: -6.65234 +INDEX GOES BRRR: 171 X: 10.7012 +INDEX GOES BRRR: 31 X: 1.99121 +INDEX GOES BRRR: 363 X: 22.7432 +INDEX GOES BRRR: 369 X: 23.1123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.49316 +INDEX GOES BRRR: 413 X: 25.8496 +INDEX GOES BRRR: 173 X: 10.8477 +INDEX GOES BRRR: 885 X: -8.66309 +INDEX GOES BRRR: 1007 X: -1.05469 +INDEX GOES BRRR: 288 X: 18.0596 +INDEX GOES BRRR: 326 X: 20.3828 +INDEX GOES BRRR: 205 X: 12.8711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.39355 +INDEX GOES BRRR: 394 X: 24.6523 +INDEX GOES BRRR: 191 X: 11.9697 +INDEX GOES BRRR: 157 X: 9.84961 +INDEX GOES BRRR: 226 X: 14.1387 +INDEX GOES BRRR: 234 X: 14.6836 +INDEX GOES BRRR: 25 X: 1.5625 +INDEX GOES BRRR: 27 X: 1.69922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.525391 +INDEX GOES BRRR: 145 X: 9.08594 +INDEX GOES BRRR: 149 X: 9.31934 +INDEX GOES BRRR: 8 X: 0.536133 +INDEX GOES BRRR: 198 X: 12.3945 +INDEX GOES BRRR: 135 X: 8.49023 +INDEX GOES BRRR: 331 X: 20.7432 +INDEX GOES BRRR: 154 X: 9.6748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.8623 +INDEX GOES BRRR: 191 X: 11.9414 +INDEX GOES BRRR: 22 X: 1.39551 +INDEX GOES BRRR: 36 X: 2.2793 +INDEX GOES BRRR: 130 X: 8.15625 +INDEX GOES BRRR: 92 X: 5.7627 +INDEX GOES BRRR: 237 X: 14.8125 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 481 X: 30.0674 +INDEX GOES BRRR: 152 X: 9.50781 +INDEX GOES BRRR: 109 X: 6.84082 +INDEX GOES BRRR: 95 X: 5.98926 +INDEX GOES BRRR: 367 X: 22.9727 +INDEX GOES BRRR: 215 X: 13.4893 +INDEX GOES BRRR: 16 X: 1.06055 +INDEX GOES BRRR: 278 X: 17.3936 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.7168 +INDEX GOES BRRR: 354 X: 22.1309 +INDEX GOES BRRR: 284 X: 17.8057 +INDEX GOES BRRR: 138 X: 8.66992 +INDEX GOES BRRR: 180 X: 11.2861 +INDEX GOES BRRR: 242 X: 15.1709 +INDEX GOES BRRR: 229 X: 14.3516 +INDEX GOES BRRR: 991 X: -2.0498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5791 +INDEX GOES BRRR: 357 X: 22.3535 +INDEX GOES BRRR: 243 X: 15.21 +INDEX GOES BRRR: 285 X: 17.8535 +INDEX GOES BRRR: 465 X: 29.0693 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 84 X: 5.27051 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 265 X: 16.5791 +INDEX GOES BRRR: 215 X: 13.4678 +INDEX GOES BRRR: 84 X: 5.30078 +INDEX GOES BRRR: 308 X: 19.2803 +INDEX GOES BRRR: 175 X: 10.9941 +INDEX GOES BRRR: 786 X: -14.8613 +INDEX GOES BRRR: 1018 X: -0.323242 +INDEX GOES BRRR: 967 X: -3.50684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1013 X: -0.670898 +INDEX GOES BRRR: 1020 X: -0.231445 +INDEX GOES BRRR: 196 X: 12.2725 +INDEX GOES BRRR: 54 X: 3.42578 +INDEX GOES BRRR: 26 X: 1.65039 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 335 X: 20.9854 +INDEX GOES BRRR: 184 X: 11.502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0332 +INDEX GOES BRRR: 410 X: 25.6279 +INDEX GOES BRRR: 265 X: 16.6172 +INDEX GOES BRRR: 982 X: -2.58887 +INDEX GOES BRRR: 309 X: 19.374 +INDEX GOES BRRR: 1014 X: -0.592773 +INDEX GOES BRRR: 1019 X: -0.308594 +INDEX GOES BRRR: 82 X: 5.13574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 334 X: 20.8867 +INDEX GOES BRRR: 329 X: 20.6191 +INDEX GOES BRRR: 294 X: 18.4248 +INDEX GOES BRRR: 964 X: -3.7207 +INDEX GOES BRRR: 160 X: 10.0576 +INDEX GOES BRRR: 198 X: 12.3809 +INDEX GOES BRRR: 197 X: 12.3643 +INDEX GOES BRRR: 903 X: -7.5498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.28125 +INDEX GOES BRRR: 33 X: 2.07227 +INDEX GOES BRRR: 184 X: 11.5156 +INDEX GOES BRRR: 74 X: 4.67188 +INDEX GOES BRRR: 120 X: 7.50684 +INDEX GOES BRRR: 239 X: 14.9912 +INDEX GOES BRRR: 964 X: -3.74316 +INDEX GOES BRRR: 134 X: 8.375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 816 X: -12.9824 +INDEX GOES BRRR: 419 X: 26.2275 +INDEX GOES BRRR: 179 X: 11.2197 +INDEX GOES BRRR: 202 X: 12.6846 +INDEX GOES BRRR: 45 X: 2.86719 +INDEX GOES BRRR: 336 X: 21.0215 +INDEX GOES BRRR: 132 X: 8.2832 +INDEX GOES BRRR: 20 X: 1.2998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5049 +INDEX GOES BRRR: 476 X: 29.8066 +INDEX GOES BRRR: 84 X: 5.28125 +INDEX GOES BRRR: 194 X: 12.1465 +INDEX GOES BRRR: 365 X: 22.8242 +INDEX GOES BRRR: 380 X: 23.7969 +INDEX GOES BRRR: 52 X: 3.27539 +INDEX GOES BRRR: 995 X: -1.78516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 268 X: 16.7598 +INDEX GOES BRRR: 249 X: 15.5879 +INDEX GOES BRRR: 365 X: 22.8438 +INDEX GOES BRRR: 140 X: 8.76953 +INDEX GOES BRRR: 102 X: 6.38965 +INDEX GOES BRRR: 296 X: 18.5576 +INDEX GOES BRRR: 92 X: 5.79883 +INDEX GOES BRRR: 976 X: -2.98828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 421 X: 26.3213 +INDEX GOES BRRR: 61 X: 3.87012 +INDEX GOES BRRR: 409 X: 25.6016 +INDEX GOES BRRR: 316 X: 19.7578 +INDEX GOES BRRR: 123 X: 7.73242 +INDEX GOES BRRR: 45 X: 2.81738 +INDEX GOES BRRR: 193 X: 12.0781 +INDEX GOES BRRR: 436 X: 27.2559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.8086 +INDEX GOES BRRR: 875 X: -9.25781 +INDEX GOES BRRR: 992 X: -1.99902 +INDEX GOES BRRR: 108 X: 6.76074 +INDEX GOES BRRR: 250 X: 15.6436 +INDEX GOES BRRR: 104 X: 6.51172 +INDEX GOES BRRR: 982 X: -2.60547 +INDEX GOES BRRR: 133 X: 8.3457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.9043 +INDEX GOES BRRR: 250 X: 15.6807 +INDEX GOES BRRR: 282 X: 17.6523 +INDEX GOES BRRR: 5 X: 0.344727 +INDEX GOES BRRR: 205 X: 12.832 +INDEX GOES BRRR: 288 X: 18.0049 +INDEX GOES BRRR: 445 X: 27.8457 +INDEX GOES BRRR: 9 X: 0.588867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.0947 +INDEX GOES BRRR: 303 X: 18.9502 +INDEX GOES BRRR: 157 X: 9.84375 +INDEX GOES BRRR: 949 X: -4.64062 +INDEX GOES BRRR: 154 X: 9.67285 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 412 X: 25.7578 +INDEX GOES BRRR: 154 X: 9.62695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 860 X: -10.1904 +INDEX GOES BRRR: 338 X: 21.1758 +INDEX GOES BRRR: 965 X: -3.66602 +INDEX GOES BRRR: 866 X: -9.84082 +INDEX GOES BRRR: 328 X: 20.5117 +INDEX GOES BRRR: 127 X: 7.95898 +INDEX GOES BRRR: 215 X: 13.4805 +INDEX GOES BRRR: 295 X: 18.4668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1005 X: -1.15137 +INDEX GOES BRRR: 124 X: 7.76465 +INDEX GOES BRRR: 113 X: 7.11328 +INDEX GOES BRRR: 311 X: 19.4434 +INDEX GOES BRRR: 297 X: 18.5928 +INDEX GOES BRRR: 234 X: 14.6465 +INDEX GOES BRRR: 404 X: 25.2539 +INDEX GOES BRRR: 353 X: 22.0693 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.6895 +INDEX GOES BRRR: 171 X: 10.6885 +INDEX GOES BRRR: 215 X: 13.4463 +INDEX GOES BRRR: 111 X: 6.97852 +INDEX GOES BRRR: 210 X: 13.127 +INDEX GOES BRRR: 1009 X: -0.916992 +INDEX GOES BRRR: 185 X: 11.5781 +INDEX GOES BRRR: 231 X: 14.4385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2617 +INDEX GOES BRRR: 349 X: 21.873 +INDEX GOES BRRR: 25 X: 1.58105 +INDEX GOES BRRR: 70 X: 4.41309 +INDEX GOES BRRR: 33 X: 2.09473 +INDEX GOES BRRR: 163 X: 10.2363 +INDEX GOES BRRR: 410 X: 25.6387 +INDEX GOES BRRR: 219 X: 13.7295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0859 +INDEX GOES BRRR: 22 X: 1.37988 +INDEX GOES BRRR: 251 X: 15.7383 +INDEX GOES BRRR: 106 X: 6.62695 +INDEX GOES BRRR: 318 X: 19.8779 +INDEX GOES BRRR: 134 X: 8.37793 +INDEX GOES BRRR: 154 X: 9.68359 +INDEX GOES BRRR: 352 X: 22.0312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.66895 +INDEX GOES BRRR: 108 X: 6.75684 +INDEX GOES BRRR: 150 X: 9.40234 +INDEX GOES BRRR: 336 X: 21.001 +INDEX GOES BRRR: 196 X: 12.2539 +INDEX GOES BRRR: 185 X: 11.6035 +INDEX GOES BRRR: 74 X: 4.62988 +INDEX GOES BRRR: 173 X: 10.8545 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 311 X: 19.4482 +INDEX GOES BRRR: 224 X: 14.0186 +INDEX GOES BRRR: 206 X: 12.8945 +INDEX GOES BRRR: 28 X: 1.77441 +INDEX GOES BRRR: 149 X: 9.32031 +INDEX GOES BRRR: 840 X: -11.4678 +INDEX GOES BRRR: 210 X: 13.1387 +INDEX GOES BRRR: 277 X: 17.3633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 452 X: 28.2607 +INDEX GOES BRRR: 155 X: 9.70703 +INDEX GOES BRRR: 993 X: -1.92578 +INDEX GOES BRRR: 50 X: 3.14648 +INDEX GOES BRRR: 974 X: -3.11816 +INDEX GOES BRRR: 181 X: 11.3467 +INDEX GOES BRRR: 235 X: 14.7139 +INDEX GOES BRRR: 324 X: 20.2529 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 311 X: 19.4824 +INDEX GOES BRRR: 141 X: 8.86914 +INDEX GOES BRRR: 1016 X: -0.439453 +INDEX GOES BRRR: 255 X: 15.9746 +INDEX GOES BRRR: 280 X: 17.5498 +INDEX GOES BRRR: 284 X: 17.7617 +INDEX GOES BRRR: 84 X: 5.25488 +INDEX GOES BRRR: 217 X: 13.5703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.6143 +INDEX GOES BRRR: 980 X: -2.71289 +INDEX GOES BRRR: 329 X: 20.583 +INDEX GOES BRRR: 106 X: 6.6748 +INDEX GOES BRRR: 126 X: 7.89941 +INDEX GOES BRRR: 14 X: 0.927734 +INDEX GOES BRRR: 948 X: -4.71387 +INDEX GOES BRRR: 97 X: 6.07812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.1045 +INDEX GOES BRRR: 36 X: 2.30957 +INDEX GOES BRRR: 223 X: 13.9912 +INDEX GOES BRRR: 4 X: 0.301758 +INDEX GOES BRRR: 88 X: 5.52637 +INDEX GOES BRRR: 112 X: 7.04785 +INDEX GOES BRRR: 327 X: 20.4746 +INDEX GOES BRRR: 128 X: 8.06152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 976 X: -2.97461 +INDEX GOES BRRR: 78 X: 4.8877 +INDEX GOES BRRR: 273 X: 17.0918 +INDEX GOES BRRR: 740 X: -17.6914 +INDEX GOES BRRR: 869 X: -9.64062 +INDEX GOES BRRR: 213 X: 13.3545 +INDEX GOES BRRR: 1013 X: -0.62793 +INDEX GOES BRRR: 801 X: -13.9121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 294 X: 18.4131 +INDEX GOES BRRR: 134 X: 8.39258 +INDEX GOES BRRR: 78 X: 4.91211 +INDEX GOES BRRR: 37 X: 2.33008 +INDEX GOES BRRR: 815 X: -13.0234 +INDEX GOES BRRR: 437 X: 27.3135 +INDEX GOES BRRR: 226 X: 14.1768 +INDEX GOES BRRR: 105 X: 6.5625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.67969 +INDEX GOES BRRR: 146 X: 9.13672 +INDEX GOES BRRR: 145 X: 9.10254 +INDEX GOES BRRR: 402 X: 25.167 +INDEX GOES BRRR: 207 X: 12.9502 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 398 X: 24.9062 +INDEX GOES BRRR: 305 X: 19.1094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.79004 +INDEX GOES BRRR: 85 X: 5.37109 +INDEX GOES BRRR: 146 X: 9.1748 +INDEX GOES BRRR: 728 X: -18.4629 +INDEX GOES BRRR: 288 X: 18.042 +INDEX GOES BRRR: 1015 X: -0.55957 +INDEX GOES BRRR: 202 X: 12.6758 +INDEX GOES BRRR: 178 X: 11.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 282 X: 17.6455 +INDEX GOES BRRR: 449 X: 28.0771 +INDEX GOES BRRR: 26 X: 1.63965 +INDEX GOES BRRR: 112 X: 7.00098 +INDEX GOES BRRR: 208 X: 13.0488 +INDEX GOES BRRR: 287 X: 17.9561 +INDEX GOES BRRR: 183 X: 11.4414 +INDEX GOES BRRR: 252 X: 15.7949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.55664 +INDEX GOES BRRR: 167 X: 10.4561 +INDEX GOES BRRR: 302 X: 18.917 +INDEX GOES BRRR: 163 X: 10.2451 +INDEX GOES BRRR: 184 X: 11.5312 +INDEX GOES BRRR: 1006 X: -1.08008 +INDEX GOES BRRR: 25 X: 1.59863 +INDEX GOES BRRR: 77 X: 4.84668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 931 X: -5.75977 +INDEX GOES BRRR: 941 X: -5.15723 +INDEX GOES BRRR: 221 X: 13.8525 +INDEX GOES BRRR: 78 X: 4.89551 +INDEX GOES BRRR: 179 X: 11.2432 +INDEX GOES BRRR: 403 X: 25.2393 +INDEX GOES BRRR: 255 X: 15.9727 +INDEX GOES BRRR: 2 X: 0.154297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.28223 +INDEX GOES BRRR: 275 X: 17.2471 +INDEX GOES BRRR: 191 X: 11.9619 +INDEX GOES BRRR: 137 X: 8.61523 +INDEX GOES BRRR: 313 X: 19.5801 +INDEX GOES BRRR: 281 X: 17.6064 +INDEX GOES BRRR: 955 X: -4.27539 +INDEX GOES BRRR: 657 X: -22.8906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 69 X: 4.37402 +INDEX GOES BRRR: 330 X: 20.6768 +INDEX GOES BRRR: 440 X: 27.5547 +INDEX GOES BRRR: 381 X: 23.8398 +INDEX GOES BRRR: 31 X: 1.96094 +INDEX GOES BRRR: 861 X: -10.168 +INDEX GOES BRRR: 138 X: 8.63184 +INDEX GOES BRRR: 246 X: 15.4307 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 70 X: 4.41797 +INDEX GOES BRRR: 859 X: -10.2725 +INDEX GOES BRRR: 72 X: 4.55469 +INDEX GOES BRRR: 954 X: -4.35547 +INDEX GOES BRRR: 104 X: 6.51074 +INDEX GOES BRRR: 994 X: -1.83008 +INDEX GOES BRRR: 94 X: 5.91699 +INDEX GOES BRRR: 185 X: 11.5645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.512695 +INDEX GOES BRRR: 933 X: -5.66211 +INDEX GOES BRRR: 225 X: 14.0654 +INDEX GOES BRRR: 309 X: 19.3604 +INDEX GOES BRRR: 81 X: 5.10938 +INDEX GOES BRRR: 408 X: 25.5518 +INDEX GOES BRRR: 379 X: 23.6885 +INDEX GOES BRRR: 209 X: 13.1211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.04 +INDEX GOES BRRR: 457 X: 28.6064 +INDEX GOES BRRR: 232 X: 14.5498 +INDEX GOES BRRR: 107 X: 6.7207 +INDEX GOES BRRR: 282 X: 17.6865 +INDEX GOES BRRR: 362 X: 22.6484 +INDEX GOES BRRR: 392 X: 24.54 +INDEX GOES BRRR: 93 X: 5.84668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1582 +INDEX GOES BRRR: 104 X: 6.52734 +INDEX GOES BRRR: 980 X: -2.69336 +INDEX GOES BRRR: 90 X: 5.65527 +INDEX GOES BRRR: 254 X: 15.8848 +INDEX GOES BRRR: 243 X: 15.2031 +INDEX GOES BRRR: 69 X: 4.35254 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.557617 +INDEX GOES BRRR: 228 X: 14.2588 +INDEX GOES BRRR: 104 X: 6.54785 +INDEX GOES BRRR: 110 X: 6.93359 +INDEX GOES BRRR: 179 X: 11.2471 +INDEX GOES BRRR: 242 X: 15.1719 +INDEX GOES BRRR: 840 X: -11.4453 +INDEX GOES BRRR: 19 X: 1.1875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.45898 +INDEX GOES BRRR: 57 X: 3.59277 +INDEX GOES BRRR: 70 X: 4.41992 +INDEX GOES BRRR: 404 X: 25.251 +INDEX GOES BRRR: 129 X: 8.09277 +INDEX GOES BRRR: 200 X: 12.54 +INDEX GOES BRRR: 277 X: 17.3701 +INDEX GOES BRRR: 298 X: 18.6514 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0557 +INDEX GOES BRRR: 2 X: 0.131836 +INDEX GOES BRRR: 267 X: 16.7324 +INDEX GOES BRRR: 132 X: 8.29297 +INDEX GOES BRRR: 159 X: 9.96582 +INDEX GOES BRRR: 52 X: 3.29492 +INDEX GOES BRRR: 225 X: 14.0723 +INDEX GOES BRRR: 175 X: 10.9697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 453 X: 28.3447 +INDEX GOES BRRR: 315 X: 19.7324 +INDEX GOES BRRR: 276 X: 17.2959 +INDEX GOES BRRR: 120 X: 7.52734 +INDEX GOES BRRR: 276 X: 17.25 +INDEX GOES BRRR: 132 X: 8.27734 +INDEX GOES BRRR: 231 X: 14.4746 +INDEX GOES BRRR: 84 X: 5.28809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0781 +INDEX GOES BRRR: 213 X: 13.3252 +INDEX GOES BRRR: 151 X: 9.47852 +INDEX GOES BRRR: 150 X: 9.37793 +INDEX GOES BRRR: 392 X: 24.5381 +INDEX GOES BRRR: 108 X: 6.78516 +INDEX GOES BRRR: 895 X: -8.05078 +INDEX GOES BRRR: 1004 X: -1.21094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9131 +INDEX GOES BRRR: 129 X: 8.08496 +INDEX GOES BRRR: 275 X: 17.1914 +INDEX GOES BRRR: 892 X: -8.23633 +INDEX GOES BRRR: 60 X: 3.77832 +INDEX GOES BRRR: 116 X: 7.28613 +INDEX GOES BRRR: 459 X: 28.7051 +INDEX GOES BRRR: 4 X: 0.308594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.79688 +INDEX GOES BRRR: 313 X: 19.6084 +INDEX GOES BRRR: 180 X: 11.2676 +INDEX GOES BRRR: 136 X: 8.52051 +INDEX GOES BRRR: 250 X: 15.6592 +INDEX GOES BRRR: 198 X: 12.4238 +INDEX GOES BRRR: 163 X: 10.2461 +INDEX GOES BRRR: 949 X: -4.62598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.40723 +INDEX GOES BRRR: 841 X: -11.3994 +INDEX GOES BRRR: 921 X: -6.39062 +INDEX GOES BRRR: 995 X: -1.7832 +INDEX GOES BRRR: 186 X: 11.6494 +INDEX GOES BRRR: 484 X: 30.2627 +INDEX GOES BRRR: 88 X: 5.5127 +INDEX GOES BRRR: 202 X: 12.627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 973 X: -3.14355 +INDEX GOES BRRR: 20 X: 1.29395 +INDEX GOES BRRR: 114 X: 7.17383 +INDEX GOES BRRR: 329 X: 20.6035 +INDEX GOES BRRR: 262 X: 16.3916 +INDEX GOES BRRR: 59 X: 3.70117 +INDEX GOES BRRR: 233 X: 14.6113 +INDEX GOES BRRR: 452 X: 28.2705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 424 X: 26.5352 +INDEX GOES BRRR: 1009 X: -0.922852 +INDEX GOES BRRR: 285 X: 17.833 +INDEX GOES BRRR: 192 X: 12.0254 +INDEX GOES BRRR: 224 X: 14.0195 +INDEX GOES BRRR: 231 X: 14.4443 +INDEX GOES BRRR: 102 X: 6.38281 +INDEX GOES BRRR: 984 X: -2.49609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 460 X: 28.7754 +INDEX GOES BRRR: 143 X: 8.9707 +INDEX GOES BRRR: 159 X: 9.9375 +INDEX GOES BRRR: 208 X: 13.0146 +INDEX GOES BRRR: 87 X: 5.44043 +INDEX GOES BRRR: 52 X: 3.27734 +INDEX GOES BRRR: 38 X: 2.41797 +INDEX GOES BRRR: 215 X: 13.4912 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 339 X: 21.2051 +INDEX GOES BRRR: 358 X: 22.4043 +INDEX GOES BRRR: 218 X: 13.6279 +INDEX GOES BRRR: 151 X: 9.44727 +INDEX GOES BRRR: 903 X: -7.50977 +INDEX GOES BRRR: 375 X: 23.4658 +INDEX GOES BRRR: 80 X: 5.05664 +INDEX GOES BRRR: 277 X: 17.3184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 925 X: -6.15527 +INDEX GOES BRRR: 231 X: 14.4414 +INDEX GOES BRRR: 46 X: 2.91602 +INDEX GOES BRRR: 387 X: 24.2461 +INDEX GOES BRRR: 233 X: 14.5801 +INDEX GOES BRRR: 61 X: 3.81445 +INDEX GOES BRRR: 74 X: 4.66699 +INDEX GOES BRRR: 245 X: 15.3672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 789 X: -14.6611 +INDEX GOES BRRR: 205 X: 12.8604 +INDEX GOES BRRR: 132 X: 8.27246 +INDEX GOES BRRR: 290 X: 18.1572 +INDEX GOES BRRR: 465 X: 29.1074 +INDEX GOES BRRR: 327 X: 20.4766 +INDEX GOES BRRR: 965 X: -3.62695 +INDEX GOES BRRR: 974 X: -3.11719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 300 X: 18.7686 +INDEX GOES BRRR: 366 X: 22.9014 +INDEX GOES BRRR: 63 X: 3.93848 +INDEX GOES BRRR: 276 X: 17.2891 +INDEX GOES BRRR: 113 X: 7.07129 +INDEX GOES BRRR: 413 X: 25.8555 +INDEX GOES BRRR: 10 X: 0.626953 +INDEX GOES BRRR: 13 X: 0.84375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 906 X: -7.32031 +INDEX GOES BRRR: 1001 X: -1.4043 +INDEX GOES BRRR: 36 X: 2.28125 +INDEX GOES BRRR: 241 X: 15.123 +INDEX GOES BRRR: 126 X: 7.89746 +INDEX GOES BRRR: 229 X: 14.334 +INDEX GOES BRRR: 76 X: 4.79199 +INDEX GOES BRRR: 119 X: 7.4375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1011 X: -0.780273 +INDEX GOES BRRR: 317 X: 19.8652 +INDEX GOES BRRR: 996 X: -1.74121 +INDEX GOES BRRR: 37 X: 2.35645 +INDEX GOES BRRR: 344 X: 21.5283 +INDEX GOES BRRR: 448 X: 28.002 +INDEX GOES BRRR: 53 X: 3.33398 +INDEX GOES BRRR: 413 X: 25.8721 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1011 X: -0.793945 +INDEX GOES BRRR: 195 X: 12.2275 +INDEX GOES BRRR: 238 X: 14.9316 +INDEX GOES BRRR: 282 X: 17.6816 +INDEX GOES BRRR: 73 X: 4.56934 +INDEX GOES BRRR: 270 X: 16.877 +INDEX GOES BRRR: 924 X: -6.24707 +INDEX GOES BRRR: 1007 X: -1.05566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 100 X: 6.27051 +INDEX GOES BRRR: 109 X: 6.83691 +INDEX GOES BRRR: 253 X: 15.8428 +INDEX GOES BRRR: 117 X: 7.34766 +INDEX GOES BRRR: 117 X: 7.32812 +INDEX GOES BRRR: 301 X: 18.8623 +INDEX GOES BRRR: 251 X: 15.7363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5508 +INDEX GOES BRRR: 191 X: 11.9502 +INDEX GOES BRRR: 158 X: 9.9082 +INDEX GOES BRRR: 127 X: 7.95996 +INDEX GOES BRRR: 32 X: 2.02832 +INDEX GOES BRRR: 394 X: 24.666 +INDEX GOES BRRR: 86 X: 5.37891 +INDEX GOES BRRR: 194 X: 12.1738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 294 X: 18.4062 +INDEX GOES BRRR: 255 X: 15.9512 +INDEX GOES BRRR: 954 X: -4.34473 +INDEX GOES BRRR: 219 X: 13.6904 +INDEX GOES BRRR: 18 X: 1.12793 +INDEX GOES BRRR: 423 X: 26.4736 +INDEX GOES BRRR: 195 X: 12.2021 +INDEX GOES BRRR: 954 X: -4.3252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.37891 +INDEX GOES BRRR: 243 X: 15.2041 +INDEX GOES BRRR: 238 X: 14.9014 +INDEX GOES BRRR: 1017 X: -0.383789 +INDEX GOES BRRR: 70 X: 4.39062 +INDEX GOES BRRR: 324 X: 20.2744 +INDEX GOES BRRR: 31 X: 1.96387 +INDEX GOES BRRR: 70 X: 4.41016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2021 +INDEX GOES BRRR: 454 X: 28.4268 +INDEX GOES BRRR: 35 X: 2.21484 +INDEX GOES BRRR: 447 X: 27.9844 +INDEX GOES BRRR: 123 X: 7.71777 +INDEX GOES BRRR: 82 X: 5.17871 +INDEX GOES BRRR: 479 X: 29.9736 +INDEX GOES BRRR: 66 X: 4.14355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.8301 +INDEX GOES BRRR: 768 X: -15.9834 +INDEX GOES BRRR: 200 X: 12.5508 +INDEX GOES BRRR: 18 X: 1.13867 +INDEX GOES BRRR: 53 X: 3.3623 +INDEX GOES BRRR: 69 X: 4.34082 +INDEX GOES BRRR: 879 X: -9.01367 +INDEX GOES BRRR: 206 X: 12.8994 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.043 +INDEX GOES BRRR: 234 X: 14.6309 +INDEX GOES BRRR: 254 X: 15.8965 +INDEX GOES BRRR: 164 X: 10.2939 +INDEX GOES BRRR: 255 X: 15.9375 +INDEX GOES BRRR: 51 X: 3.20215 +INDEX GOES BRRR: 218 X: 13.6807 +INDEX GOES BRRR: 340 X: 21.252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 917 X: -6.6543 +INDEX GOES BRRR: 58 X: 3.67188 +INDEX GOES BRRR: 246 X: 15.4131 +INDEX GOES BRRR: 3 X: 0.236328 +INDEX GOES BRRR: 215 X: 13.4512 +INDEX GOES BRRR: 279 X: 17.4609 +INDEX GOES BRRR: 77 X: 4.86133 +INDEX GOES BRRR: 152 X: 9.5 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 889 X: -8.40039 +INDEX GOES BRRR: 964 X: -3.70117 +INDEX GOES BRRR: 208 X: 13.0537 +INDEX GOES BRRR: 995 X: -1.77832 +INDEX GOES BRRR: 41 X: 2.58301 +INDEX GOES BRRR: 330 X: 20.6748 +INDEX GOES BRRR: 248 X: 15.5518 +INDEX GOES BRRR: 964 X: -3.71973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.39453 +INDEX GOES BRRR: 343 X: 21.4678 +INDEX GOES BRRR: 241 X: 15.0986 +INDEX GOES BRRR: 293 X: 18.3359 +INDEX GOES BRRR: 200 X: 12.5029 +INDEX GOES BRRR: 66 X: 4.16602 +INDEX GOES BRRR: 859 X: -10.2588 +INDEX GOES BRRR: 178 X: 11.1562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.75 +INDEX GOES BRRR: 301 X: 18.8701 +INDEX GOES BRRR: 45 X: 2.81348 +INDEX GOES BRRR: 201 X: 12.5928 +INDEX GOES BRRR: 74 X: 4.66211 +INDEX GOES BRRR: 298 X: 18.626 +INDEX GOES BRRR: 340 X: 21.3057 +INDEX GOES BRRR: 203 X: 12.7314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.67773 +INDEX GOES BRRR: 294 X: 18.418 +INDEX GOES BRRR: 337 X: 21.1025 +INDEX GOES BRRR: 66 X: 4.13477 +INDEX GOES BRRR: 435 X: 27.2197 +INDEX GOES BRRR: 222 X: 13.9336 +INDEX GOES BRRR: 420 X: 26.2861 +INDEX GOES BRRR: 326 X: 20.4277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2061 +INDEX GOES BRRR: 92 X: 5.79004 +INDEX GOES BRRR: 122 X: 7.67871 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 924 X: -6.23145 +INDEX GOES BRRR: 417 X: 26.0674 +INDEX GOES BRRR: 306 X: 19.1514 +INDEX GOES BRRR: 250 X: 15.6855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7256 +INDEX GOES BRRR: 88 X: 5.5166 +INDEX GOES BRRR: 282 X: 17.6426 +INDEX GOES BRRR: 231 X: 14.4893 +INDEX GOES BRRR: 32 X: 2.01758 +INDEX GOES BRRR: 14 X: 0.889648 +INDEX GOES BRRR: 92 X: 5.75977 +INDEX GOES BRRR: 129 X: 8.10449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 376 X: 23.5215 +INDEX GOES BRRR: 168 X: 10.5029 +INDEX GOES BRRR: 111 X: 6.98145 +INDEX GOES BRRR: 400 X: 25.041 +INDEX GOES BRRR: 108 X: 6.7666 +INDEX GOES BRRR: 81 X: 5.06445 +INDEX GOES BRRR: 243 X: 15.2188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7734 +INDEX GOES BRRR: 78 X: 4.92676 +INDEX GOES BRRR: 187 X: 11.7441 +INDEX GOES BRRR: 83 X: 5.24609 +INDEX GOES BRRR: 188 X: 11.7744 +INDEX GOES BRRR: 181 X: 11.3652 +INDEX GOES BRRR: 294 X: 18.3984 +INDEX GOES BRRR: 99 X: 6.23828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 319 X: 19.9814 +INDEX GOES BRRR: 239 X: 14.9531 +INDEX GOES BRRR: 273 X: 17.0723 +INDEX GOES BRRR: 81 X: 5.0791 +INDEX GOES BRRR: 190 X: 11.9033 +INDEX GOES BRRR: 811 X: -13.2617 +INDEX GOES BRRR: 22 X: 1.38867 +INDEX GOES BRRR: 113 X: 7.11328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2207 +INDEX GOES BRRR: 48 X: 3.05566 +INDEX GOES BRRR: 474 X: 29.627 +INDEX GOES BRRR: 100 X: 6.25195 +INDEX GOES BRRR: 217 X: 13.5986 +INDEX GOES BRRR: 323 X: 20.2256 +INDEX GOES BRRR: 157 X: 9.84668 +INDEX GOES BRRR: 222 X: 13.9248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.5693 +INDEX GOES BRRR: 378 X: 23.6865 +INDEX GOES BRRR: 109 X: 6.82715 +INDEX GOES BRRR: 800 X: -13.9492 +INDEX GOES BRRR: 295 X: 18.4775 +INDEX GOES BRRR: 1022 X: -0.107422 +INDEX GOES BRRR: 50 X: 3.16016 +INDEX GOES BRRR: 139 X: 8.74609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.57812 +INDEX GOES BRRR: 237 X: 14.8477 +INDEX GOES BRRR: 163 X: 10.2256 +INDEX GOES BRRR: 30 X: 1.93457 +INDEX GOES BRRR: 958 X: -4.12109 +INDEX GOES BRRR: 216 X: 13.5117 +INDEX GOES BRRR: 165 X: 10.373 +INDEX GOES BRRR: 202 X: 12.626 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 945 X: -4.93457 +INDEX GOES BRRR: 172 X: 10.8018 +INDEX GOES BRRR: 213 X: 13.3379 +INDEX GOES BRRR: 280 X: 17.5537 +INDEX GOES BRRR: 205 X: 12.8213 +INDEX GOES BRRR: 282 X: 17.6348 +INDEX GOES BRRR: 87 X: 5.46484 +INDEX GOES BRRR: 80 X: 5.00098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2451 +INDEX GOES BRRR: 988 X: -2.20312 +INDEX GOES BRRR: 100 X: 6.30469 +INDEX GOES BRRR: 305 X: 19.0859 +INDEX GOES BRRR: 290 X: 18.1826 +INDEX GOES BRRR: 126 X: 7.91797 +INDEX GOES BRRR: 282 X: 17.6855 +INDEX GOES BRRR: 117 X: 7.31445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.8691 +INDEX GOES BRRR: 114 X: 7.12598 +INDEX GOES BRRR: 1008 X: -0.985352 +INDEX GOES BRRR: 975 X: -3.04785 +INDEX GOES BRRR: 16 X: 1.02344 +INDEX GOES BRRR: 258 X: 16.167 +INDEX GOES BRRR: 73 X: 4.61523 +INDEX GOES BRRR: 98 X: 6.12988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.00977 +INDEX GOES BRRR: 214 X: 13.3975 +INDEX GOES BRRR: 29 X: 1.81934 +INDEX GOES BRRR: 140 X: 8.75098 +INDEX GOES BRRR: 108 X: 6.78418 +INDEX GOES BRRR: 872 X: -9.43848 +INDEX GOES BRRR: 120 X: 7.53125 +INDEX GOES BRRR: 318 X: 19.8906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.3965 +INDEX GOES BRRR: 1015 X: -0.53418 +INDEX GOES BRRR: 958 X: -4.07324 +INDEX GOES BRRR: 114 X: 7.13184 +INDEX GOES BRRR: 441 X: 27.585 +INDEX GOES BRRR: 980 X: -2.71289 +INDEX GOES BRRR: 243 X: 15.2412 +INDEX GOES BRRR: 135 X: 8.47949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 873 X: -9.39355 +INDEX GOES BRRR: 1003 X: -1.30566 +INDEX GOES BRRR: 297 X: 18.6104 +INDEX GOES BRRR: 87 X: 5.45996 +INDEX GOES BRRR: 22 X: 1.38965 +INDEX GOES BRRR: 925 X: -6.14453 +INDEX GOES BRRR: 162 X: 10.1729 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8232 +INDEX GOES BRRR: 281 X: 17.5654 +INDEX GOES BRRR: 123 X: 7.69336 +INDEX GOES BRRR: 117 X: 7.37305 +INDEX GOES BRRR: 271 X: 16.9619 +INDEX GOES BRRR: 992 X: -1.95215 +INDEX GOES BRRR: 144 X: 9.0127 +INDEX GOES BRRR: 95 X: 5.9834 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1621 +INDEX GOES BRRR: 370 X: 23.1807 +INDEX GOES BRRR: 161 X: 10.0791 +INDEX GOES BRRR: 153 X: 9.58203 +INDEX GOES BRRR: 740 X: -17.7158 +INDEX GOES BRRR: 93 X: 5.84375 +INDEX GOES BRRR: 277 X: 17.3691 +INDEX GOES BRRR: 136 X: 8.5459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.0332 +INDEX GOES BRRR: 276 X: 17.3057 +INDEX GOES BRRR: 189 X: 11.8174 +INDEX GOES BRRR: 981 X: -2.6416 +INDEX GOES BRRR: 150 X: 9.37988 +INDEX GOES BRRR: 26 X: 1.67285 +INDEX GOES BRRR: 204 X: 12.7715 +INDEX GOES BRRR: 205 X: 12.8721 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 421 X: 26.3154 +INDEX GOES BRRR: 74 X: 4.65332 +INDEX GOES BRRR: 222 X: 13.8838 +INDEX GOES BRRR: 860 X: -10.2148 +INDEX GOES BRRR: 947 X: -4.78027 +INDEX GOES BRRR: 888 X: -8.49023 +INDEX GOES BRRR: 786 X: -14.8545 +INDEX GOES BRRR: 737 X: -17.9346 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0127 +INDEX GOES BRRR: 124 X: 7.80762 +INDEX GOES BRRR: 204 X: 12.7998 +INDEX GOES BRRR: 328 X: 20.5557 +INDEX GOES BRRR: 135 X: 8.46191 +INDEX GOES BRRR: 241 X: 15.0967 +INDEX GOES BRRR: 54 X: 3.42188 +INDEX GOES BRRR: 151 X: 9.45508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.707 +INDEX GOES BRRR: 187 X: 11.7354 +INDEX GOES BRRR: 111 X: 6.95605 +INDEX GOES BRRR: 173 X: 10.8516 +INDEX GOES BRRR: 913 X: -6.87695 +INDEX GOES BRRR: 280 X: 17.5352 +INDEX GOES BRRR: 131 X: 8.19434 +INDEX GOES BRRR: 196 X: 12.2891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 390 X: 24.4014 +INDEX GOES BRRR: 200 X: 12.5439 +INDEX GOES BRRR: 223 X: 13.9824 +INDEX GOES BRRR: 76 X: 4.80859 +INDEX GOES BRRR: 242 X: 15.1602 +INDEX GOES BRRR: 215 X: 13.4482 +INDEX GOES BRRR: 266 X: 16.6514 +INDEX GOES BRRR: 429 X: 26.8271 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.126 +INDEX GOES BRRR: 337 X: 21.0762 +INDEX GOES BRRR: 157 X: 9.83984 +INDEX GOES BRRR: 992 X: -1.9668 +INDEX GOES BRRR: 882 X: -8.86914 +INDEX GOES BRRR: 136 X: 8.52148 +INDEX GOES BRRR: 135 X: 8.45898 +INDEX GOES BRRR: 380 X: 23.792 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.7852 +INDEX GOES BRRR: 1001 X: -1.38867 +INDEX GOES BRRR: 93 X: 5.87109 +INDEX GOES BRRR: 306 X: 19.1758 +INDEX GOES BRRR: 991 X: -2.03711 +INDEX GOES BRRR: 1017 X: -0.426758 +INDEX GOES BRRR: 826 X: -12.3398 +INDEX GOES BRRR: 47 X: 2.96973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9668 +INDEX GOES BRRR: 14 X: 0.881836 +INDEX GOES BRRR: 90 X: 5.64258 +INDEX GOES BRRR: 154 X: 9.62793 +INDEX GOES BRRR: 276 X: 17.2764 +INDEX GOES BRRR: 377 X: 23.5742 +INDEX GOES BRRR: 105 X: 6.59277 +INDEX GOES BRRR: 364 X: 22.8105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.74121 +INDEX GOES BRRR: 76 X: 4.7627 +INDEX GOES BRRR: 973 X: -3.14648 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 82 X: 5.18164 +INDEX GOES BRRR: 138 X: 8.62891 +INDEX GOES BRRR: 845 X: -11.1455 +INDEX GOES BRRR: 876 X: -9.19531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.71191 +INDEX GOES BRRR: 33 X: 2.08301 +INDEX GOES BRRR: 105 X: 6.56641 +INDEX GOES BRRR: 178 X: 11.1357 +INDEX GOES BRRR: 384 X: 24.0127 +INDEX GOES BRRR: 257 X: 16.0654 +INDEX GOES BRRR: 181 X: 11.3535 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 821 X: -12.6289 +INDEX GOES BRRR: 46 X: 2.92285 +INDEX GOES BRRR: 289 X: 18.123 +INDEX GOES BRRR: 214 X: 13.4199 +INDEX GOES BRRR: 235 X: 14.6885 +INDEX GOES BRRR: 109 X: 6.8457 +INDEX GOES BRRR: 63 X: 3.97949 +INDEX GOES BRRR: 294 X: 18.3818 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.29 +INDEX GOES BRRR: 78 X: 4.92773 +INDEX GOES BRRR: 66 X: 4.17383 +INDEX GOES BRRR: 142 X: 8.92969 +INDEX GOES BRRR: 54 X: 3.41406 +INDEX GOES BRRR: 90 X: 5.62988 +INDEX GOES BRRR: 370 X: 23.166 +INDEX GOES BRRR: 978 X: -2.81543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.6914 +INDEX GOES BRRR: 65 X: 4.08691 +INDEX GOES BRRR: 119 X: 7.49707 +INDEX GOES BRRR: 46 X: 2.89355 +INDEX GOES BRRR: 14 X: 0.924805 +INDEX GOES BRRR: 215 X: 13.4541 +INDEX GOES BRRR: 233 X: 14.6123 +INDEX GOES BRRR: 931 X: -5.77148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 341 X: 21.3701 +INDEX GOES BRRR: 392 X: 24.5479 +INDEX GOES BRRR: 102 X: 6.43066 +INDEX GOES BRRR: 322 X: 20.1406 +INDEX GOES BRRR: 80 X: 5.05957 +INDEX GOES BRRR: 304 X: 19.0088 +INDEX GOES BRRR: 329 X: 20.6133 +INDEX GOES BRRR: 99 X: 6.23145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.80664 +INDEX GOES BRRR: 119 X: 7.49316 +INDEX GOES BRRR: 948 X: -4.70508 +INDEX GOES BRRR: 89 X: 5.56738 +INDEX GOES BRRR: 148 X: 9.28027 +INDEX GOES BRRR: 169 X: 10.5947 +INDEX GOES BRRR: 405 X: 25.3438 +INDEX GOES BRRR: 1018 X: -0.374023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 711 X: -19.5566 +INDEX GOES BRRR: 325 X: 20.3428 +INDEX GOES BRRR: 306 X: 19.1475 +INDEX GOES BRRR: 1018 X: -0.361328 +INDEX GOES BRRR: 70 X: 4.43457 +INDEX GOES BRRR: 904 X: -7.45215 +INDEX GOES BRRR: 193 X: 12.0742 +INDEX GOES BRRR: 215 X: 13.4834 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.60938 +INDEX GOES BRRR: 384 X: 24.0059 +INDEX GOES BRRR: 140 X: 8.76562 +INDEX GOES BRRR: 122 X: 7.67969 +INDEX GOES BRRR: 312 X: 19.5537 +INDEX GOES BRRR: 1017 X: -0.400391 +INDEX GOES BRRR: 889 X: -8.39941 +INDEX GOES BRRR: 177 X: 11.1035 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 421 X: 26.3711 +INDEX GOES BRRR: 71 X: 4.4873 +INDEX GOES BRRR: 334 X: 20.918 +INDEX GOES BRRR: 418 X: 26.1572 +INDEX GOES BRRR: 63 X: 3.9502 +INDEX GOES BRRR: 239 X: 14.9912 +INDEX GOES BRRR: 392 X: 24.5498 +INDEX GOES BRRR: 228 X: 14.3105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.15625 +INDEX GOES BRRR: 287 X: 17.9902 +INDEX GOES BRRR: 690 X: -20.8252 +INDEX GOES BRRR: 139 X: 8.72168 +INDEX GOES BRRR: 282 X: 17.6445 +INDEX GOES BRRR: 88 X: 5.52539 +INDEX GOES BRRR: 352 X: 22.0518 +INDEX GOES BRRR: 289 X: 18.0889 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.21777 +INDEX GOES BRRR: 395 X: 24.7178 +INDEX GOES BRRR: 450 X: 28.1553 +INDEX GOES BRRR: 298 X: 18.626 +INDEX GOES BRRR: 464 X: 29.0156 +INDEX GOES BRRR: 4 X: 0.28125 +INDEX GOES BRRR: 119 X: 7.46484 +INDEX GOES BRRR: 198 X: 12.4131 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.8037 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 146 X: 9.1709 +INDEX GOES BRRR: 401 X: 25.1162 +INDEX GOES BRRR: 257 X: 16.0859 +INDEX GOES BRRR: 247 X: 15.4404 +INDEX GOES BRRR: 998 X: -1.60645 +INDEX GOES BRRR: 151 X: 9.46484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.88281 +INDEX GOES BRRR: 210 X: 13.125 +INDEX GOES BRRR: 118 X: 7.37988 +INDEX GOES BRRR: 396 X: 24.75 +INDEX GOES BRRR: 300 X: 18.7998 +INDEX GOES BRRR: 213 X: 13.3193 +INDEX GOES BRRR: 95 X: 5.96777 +INDEX GOES BRRR: 233 X: 14.5781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 980 X: -2.72559 +INDEX GOES BRRR: 178 X: 11.1621 +INDEX GOES BRRR: 105 X: 6.58887 +INDEX GOES BRRR: 189 X: 11.8584 +INDEX GOES BRRR: 352 X: 22.0322 +INDEX GOES BRRR: 261 X: 16.3672 +INDEX GOES BRRR: 997 X: -1.63477 +INDEX GOES BRRR: 92 X: 5.79199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 925 X: -6.13574 +INDEX GOES BRRR: 468 X: 29.3057 +INDEX GOES BRRR: 178 X: 11.1689 +INDEX GOES BRRR: 53 X: 3.32812 +INDEX GOES BRRR: 261 X: 16.3525 +INDEX GOES BRRR: 288 X: 18.0156 +INDEX GOES BRRR: 68 X: 4.27832 +INDEX GOES BRRR: 183 X: 11.4785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.638672 +INDEX GOES BRRR: 352 X: 22.0059 +INDEX GOES BRRR: 377 X: 23.6211 +INDEX GOES BRRR: 111 X: 6.94727 +INDEX GOES BRRR: 283 X: 17.6963 +INDEX GOES BRRR: 217 X: 13.6016 +INDEX GOES BRRR: 946 X: -4.83496 +INDEX GOES BRRR: 283 X: 17.7432 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5303 +INDEX GOES BRRR: 252 X: 15.791 +INDEX GOES BRRR: 1001 X: -1.37793 +INDEX GOES BRRR: 314 X: 19.6699 +INDEX GOES BRRR: 1010 X: -0.850586 +INDEX GOES BRRR: 864 X: -9.99023 +INDEX GOES BRRR: 185 X: 11.5869 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1543 +INDEX GOES BRRR: 432 X: 27.0469 +INDEX GOES BRRR: 982 X: -2.61133 +INDEX GOES BRRR: 954 X: -4.33301 +INDEX GOES BRRR: 16 X: 1.02734 +INDEX GOES BRRR: 56 X: 3.51074 +INDEX GOES BRRR: 362 X: 22.6455 +INDEX GOES BRRR: 380 X: 23.7617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.999 +INDEX GOES BRRR: 843 X: -11.2783 +INDEX GOES BRRR: 282 X: 17.6455 +INDEX GOES BRRR: 123 X: 7.74512 +INDEX GOES BRRR: 184 X: 11.5518 +INDEX GOES BRRR: 95 X: 5.99609 +INDEX GOES BRRR: 124 X: 7.75 +INDEX GOES BRRR: 165 X: 10.3193 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4883 +INDEX GOES BRRR: 267 X: 16.7197 +INDEX GOES BRRR: 278 X: 17.3945 +INDEX GOES BRRR: 416 X: 26.0576 +INDEX GOES BRRR: 169 X: 10.5801 +INDEX GOES BRRR: 458 X: 28.6748 +INDEX GOES BRRR: 79 X: 4.95996 +INDEX GOES BRRR: 380 X: 23.7939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 943 X: -5.05273 +INDEX GOES BRRR: 304 X: 19.0293 +INDEX GOES BRRR: 58 X: 3.64746 +INDEX GOES BRRR: 112 X: 7.04883 +INDEX GOES BRRR: 357 X: 22.3242 +INDEX GOES BRRR: 262 X: 16.4365 +INDEX GOES BRRR: 186 X: 11.6475 +INDEX GOES BRRR: 243 X: 15.1982 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.2812 +INDEX GOES BRRR: 4 X: 0.300781 +INDEX GOES BRRR: 112 X: 7.04688 +INDEX GOES BRRR: 36 X: 2.27051 +INDEX GOES BRRR: 1014 X: -0.585938 +INDEX GOES BRRR: 39 X: 2.46582 +INDEX GOES BRRR: 491 X: 30.7051 +INDEX GOES BRRR: 306 X: 19.1475 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 295 X: 18.4629 +INDEX GOES BRRR: 93 X: 5.83496 +INDEX GOES BRRR: 932 X: -5.74023 +INDEX GOES BRRR: 192 X: 12.0518 +INDEX GOES BRRR: 272 X: 17.0215 +INDEX GOES BRRR: 174 X: 10.9043 +INDEX GOES BRRR: 483 X: 30.2412 +INDEX GOES BRRR: 76 X: 4.75098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.39941 +INDEX GOES BRRR: 160 X: 10.0088 +INDEX GOES BRRR: 231 X: 14.4902 +INDEX GOES BRRR: 91 X: 5.73047 +INDEX GOES BRRR: 374 X: 23.4316 +INDEX GOES BRRR: 262 X: 16.417 +INDEX GOES BRRR: 204 X: 12.7852 +INDEX GOES BRRR: 13 X: 0.850586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 978 X: -2.86523 +INDEX GOES BRRR: 418 X: 26.1553 +INDEX GOES BRRR: 181 X: 11.3428 +INDEX GOES BRRR: 233 X: 14.5762 +INDEX GOES BRRR: 1020 X: -0.217773 +INDEX GOES BRRR: 450 X: 28.1611 +INDEX GOES BRRR: 184 X: 11.5439 +INDEX GOES BRRR: 916 X: -6.72363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.81738 +INDEX GOES BRRR: 339 X: 21.209 +INDEX GOES BRRR: 151 X: 9.45215 +INDEX GOES BRRR: 318 X: 19.9141 +INDEX GOES BRRR: 284 X: 17.7988 +INDEX GOES BRRR: 1 X: 0.0644531 +INDEX GOES BRRR: 59 X: 3.71094 +INDEX GOES BRRR: 250 X: 15.6299 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 200 X: 12.5078 +INDEX GOES BRRR: 1013 X: -0.680664 +INDEX GOES BRRR: 197 X: 12.3652 +INDEX GOES BRRR: 219 X: 13.7471 +INDEX GOES BRRR: 198 X: 12.3809 +INDEX GOES BRRR: 854 X: -10.5889 +INDEX GOES BRRR: 319 X: 19.9805 +INDEX GOES BRRR: 37 X: 2.3252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9395 +INDEX GOES BRRR: 904 X: -7.47266 +INDEX GOES BRRR: 205 X: 12.8545 +INDEX GOES BRRR: 0 X: 0.046875 +INDEX GOES BRRR: 244 X: 15.2686 +INDEX GOES BRRR: 268 X: 16.7822 +INDEX GOES BRRR: 167 X: 10.4941 +INDEX GOES BRRR: 83 X: 5.24121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.42773 +INDEX GOES BRRR: 93 X: 5.84863 +INDEX GOES BRRR: 453 X: 28.332 +INDEX GOES BRRR: 117 X: 7.35938 +INDEX GOES BRRR: 18 X: 1.17773 +INDEX GOES BRRR: 230 X: 14.415 +INDEX GOES BRRR: 72 X: 4.55762 +INDEX GOES BRRR: 476 X: 29.7734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.6963 +INDEX GOES BRRR: 320 X: 20.0322 +INDEX GOES BRRR: 98 X: 6.125 +INDEX GOES BRRR: 122 X: 7.65039 +INDEX GOES BRRR: 34 X: 2.17383 +INDEX GOES BRRR: 340 X: 21.29 +INDEX GOES BRRR: 38 X: 2.43262 +INDEX GOES BRRR: 383 X: 23.9736 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.91113 +INDEX GOES BRRR: 214 X: 13.4199 +INDEX GOES BRRR: 59 X: 3.71973 +INDEX GOES BRRR: 228 X: 14.3115 +INDEX GOES BRRR: 358 X: 22.4033 +INDEX GOES BRRR: 959 X: -4.05566 +INDEX GOES BRRR: 249 X: 15.6025 +INDEX GOES BRRR: 201 X: 12.6123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.2373 +INDEX GOES BRRR: 897 X: -7.93164 +INDEX GOES BRRR: 170 X: 10.6787 +INDEX GOES BRRR: 217 X: 13.5645 +INDEX GOES BRRR: 353 X: 22.0654 +INDEX GOES BRRR: 66 X: 4.17188 +INDEX GOES BRRR: 196 X: 12.251 +INDEX GOES BRRR: 229 X: 14.3438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.501953 +INDEX GOES BRRR: 289 X: 18.1055 +INDEX GOES BRRR: 216 X: 13.5215 +INDEX GOES BRRR: 190 X: 11.8857 +INDEX GOES BRRR: 111 X: 6.95801 +INDEX GOES BRRR: 222 X: 13.9043 +INDEX GOES BRRR: 151 X: 9.44629 +INDEX GOES BRRR: 42 X: 2.66992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.7197 +INDEX GOES BRRR: 62 X: 3.92188 +INDEX GOES BRRR: 388 X: 24.2793 +INDEX GOES BRRR: 267 X: 16.7129 +INDEX GOES BRRR: 338 X: 21.1416 +INDEX GOES BRRR: 382 X: 23.8809 +INDEX GOES BRRR: 95 X: 5.97559 +INDEX GOES BRRR: 133 X: 8.35938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 489 X: 30.5977 +INDEX GOES BRRR: 241 X: 15.0732 +INDEX GOES BRRR: 210 X: 13.1562 +INDEX GOES BRRR: 304 X: 19.041 +INDEX GOES BRRR: 70 X: 4.39453 +INDEX GOES BRRR: 120 X: 7.5332 +INDEX GOES BRRR: 177 X: 11.0732 +INDEX GOES BRRR: 26 X: 1.67773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7822 +INDEX GOES BRRR: 164 X: 10.2812 +INDEX GOES BRRR: 25 X: 1.5625 +INDEX GOES BRRR: 125 X: 7.83301 +INDEX GOES BRRR: 885 X: -8.65039 +INDEX GOES BRRR: 382 X: 23.8857 +INDEX GOES BRRR: 370 X: 23.1836 +INDEX GOES BRRR: 109 X: 6.83008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 387 X: 24.2148 +INDEX GOES BRRR: 157 X: 9.86719 +INDEX GOES BRRR: 427 X: 26.6973 +INDEX GOES BRRR: 414 X: 25.9326 +INDEX GOES BRRR: 139 X: 8.72461 +INDEX GOES BRRR: 219 X: 13.6904 +INDEX GOES BRRR: 104 X: 6.51367 +INDEX GOES BRRR: 343 X: 21.4873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2129 +INDEX GOES BRRR: 155 X: 9.73828 +INDEX GOES BRRR: 876 X: -9.23535 +INDEX GOES BRRR: 343 X: 21.4492 +INDEX GOES BRRR: 51 X: 3.2373 +INDEX GOES BRRR: 426 X: 26.6357 +INDEX GOES BRRR: 939 X: -5.28809 +INDEX GOES BRRR: 949 X: -4.68262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1012 X: -0.745117 +INDEX GOES BRRR: 288 X: 18.0371 +INDEX GOES BRRR: 248 X: 15.5566 +INDEX GOES BRRR: 140 X: 8.76465 +INDEX GOES BRRR: 115 X: 7.19043 +INDEX GOES BRRR: 951 X: -4.51953 +INDEX GOES BRRR: 165 X: 10.3438 +INDEX GOES BRRR: 126 X: 7.90625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 326 X: 20.4082 +INDEX GOES BRRR: 735 X: -18.0088 +INDEX GOES BRRR: 103 X: 6.49121 +INDEX GOES BRRR: 45 X: 2.85645 +INDEX GOES BRRR: 35 X: 2.1875 +INDEX GOES BRRR: 840 X: -11.457 +INDEX GOES BRRR: 76 X: 4.79199 +INDEX GOES BRRR: 13 X: 0.856445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.7148 +INDEX GOES BRRR: 359 X: 22.4717 +INDEX GOES BRRR: 1016 X: -0.484375 +INDEX GOES BRRR: 270 X: 16.8945 +INDEX GOES BRRR: 237 X: 14.8428 +INDEX GOES BRRR: 94 X: 5.92773 +INDEX GOES BRRR: 158 X: 9.88281 +INDEX GOES BRRR: 59 X: 3.74902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 946 X: -4.83301 +INDEX GOES BRRR: 115 X: 7.2373 +INDEX GOES BRRR: 264 X: 16.5566 +INDEX GOES BRRR: 274 X: 17.1758 +INDEX GOES BRRR: 866 X: -9.82227 +INDEX GOES BRRR: 956 X: -4.19824 +INDEX GOES BRRR: 170 X: 10.6523 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8311 +INDEX GOES BRRR: 968 X: -3.43945 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 262 X: 16.3867 +INDEX GOES BRRR: 147 X: 9.20703 +INDEX GOES BRRR: 272 X: 17.0186 +INDEX GOES BRRR: 1017 X: -0.418945 +INDEX GOES BRRR: 1013 X: -0.661133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.96387 +INDEX GOES BRRR: 134 X: 8.39941 +INDEX GOES BRRR: 257 X: 16.0986 +INDEX GOES BRRR: 1008 X: -0.991211 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1004 X: -1.20996 +INDEX GOES BRRR: 225 X: 14.0811 +INDEX GOES BRRR: 948 X: -4.74902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.71191 +INDEX GOES BRRR: 354 X: 22.1807 +INDEX GOES BRRR: 291 X: 18.249 +INDEX GOES BRRR: 161 X: 10.1064 +INDEX GOES BRRR: 24 X: 1.50391 +INDEX GOES BRRR: 450 X: 28.1289 +INDEX GOES BRRR: 196 X: 12.2793 +INDEX GOES BRRR: 400 X: 25.0469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 865 X: -9.91504 +INDEX GOES BRRR: 269 X: 16.8506 +INDEX GOES BRRR: 456 X: 28.5215 +INDEX GOES BRRR: 20 X: 1.2666 +INDEX GOES BRRR: 994 X: -1.81641 +INDEX GOES BRRR: 932 X: -5.69922 +INDEX GOES BRRR: 150 X: 9.41211 +INDEX GOES BRRR: 67 X: 4.21387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 470 X: 29.4004 +INDEX GOES BRRR: 209 X: 13.0879 +INDEX GOES BRRR: 168 X: 10.5303 +INDEX GOES BRRR: 402 X: 25.127 +INDEX GOES BRRR: 892 X: -8.22754 +INDEX GOES BRRR: 154 X: 9.66504 +INDEX GOES BRRR: 109 X: 6.81934 +INDEX GOES BRRR: 183 X: 11.4951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1592 +INDEX GOES BRRR: 200 X: 12.5176 +INDEX GOES BRRR: 201 X: 12.5635 +INDEX GOES BRRR: 308 X: 19.2646 +INDEX GOES BRRR: 186 X: 11.6279 +INDEX GOES BRRR: 260 X: 16.2686 +INDEX GOES BRRR: 239 X: 14.9922 +INDEX GOES BRRR: 235 X: 14.708 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 478 X: 29.8818 +INDEX GOES BRRR: 165 X: 10.3301 +INDEX GOES BRRR: 320 X: 20.0176 +INDEX GOES BRRR: 115 X: 7.19922 +INDEX GOES BRRR: 179 X: 11.2109 +INDEX GOES BRRR: 473 X: 29.5869 +INDEX GOES BRRR: 42 X: 2.66797 +INDEX GOES BRRR: 253 X: 15.8506 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 349 X: 21.8662 +INDEX GOES BRRR: 932 X: -5.69141 +INDEX GOES BRRR: 184 X: 11.5176 +INDEX GOES BRRR: 149 X: 9.35352 +INDEX GOES BRRR: 173 X: 10.8301 +INDEX GOES BRRR: 106 X: 6.66992 +INDEX GOES BRRR: 263 X: 16.4531 +INDEX GOES BRRR: 443 X: 27.7412 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 957 X: -4.13184 +INDEX GOES BRRR: 95 X: 5.98535 +INDEX GOES BRRR: 62 X: 3.9248 +INDEX GOES BRRR: 215 X: 13.4922 +INDEX GOES BRRR: 934 X: -5.58984 +INDEX GOES BRRR: 85 X: 5.33301 +INDEX GOES BRRR: 74 X: 4.66895 +INDEX GOES BRRR: 344 X: 21.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0645 +INDEX GOES BRRR: 24 X: 1.55859 +INDEX GOES BRRR: 274 X: 17.1475 +INDEX GOES BRRR: 767 X: -16.0439 +INDEX GOES BRRR: 91 X: 5.70996 +INDEX GOES BRRR: 897 X: -7.91699 +INDEX GOES BRRR: 101 X: 6.3125 +INDEX GOES BRRR: 58 X: 3.64062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4424 +INDEX GOES BRRR: 96 X: 6.05957 +INDEX GOES BRRR: 112 X: 7.0332 +INDEX GOES BRRR: 939 X: -5.3125 +INDEX GOES BRRR: 371 X: 23.2236 +INDEX GOES BRRR: 59 X: 3.74707 +INDEX GOES BRRR: 19 X: 1.21191 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.03223 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 40 X: 2.51074 +INDEX GOES BRRR: 407 X: 25.498 +INDEX GOES BRRR: 124 X: 7.75293 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 28 X: 1.80176 +INDEX GOES BRRR: 917 X: -6.67383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9844 +INDEX GOES BRRR: 279 X: 17.4951 +INDEX GOES BRRR: 53 X: 3.35059 +INDEX GOES BRRR: 37 X: 2.32324 +INDEX GOES BRRR: 68 X: 4.28516 +INDEX GOES BRRR: 39 X: 2.49512 +INDEX GOES BRRR: 178 X: 11.1514 +INDEX GOES BRRR: 219 X: 13.7363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 408 X: 25.5273 +INDEX GOES BRRR: 999 X: -1.50293 +INDEX GOES BRRR: 921 X: -6.42871 +INDEX GOES BRRR: 141 X: 8.85742 +INDEX GOES BRRR: 161 X: 10.1172 +INDEX GOES BRRR: 212 X: 13.3018 +INDEX GOES BRRR: 958 X: -4.1084 +INDEX GOES BRRR: 19 X: 1.20996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 51 X: 3.22168 +INDEX GOES BRRR: 107 X: 6.7002 +INDEX GOES BRRR: 87 X: 5.49316 +INDEX GOES BRRR: 937 X: -5.37891 +INDEX GOES BRRR: 1000 X: -1.45508 +INDEX GOES BRRR: 110 X: 6.90723 +INDEX GOES BRRR: 388 X: 24.2734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.13574 +INDEX GOES BRRR: 258 X: 16.1865 +INDEX GOES BRRR: 336 X: 21.0518 +INDEX GOES BRRR: 242 X: 15.1338 +INDEX GOES BRRR: 162 X: 10.1367 +INDEX GOES BRRR: 377 X: 23.5762 +INDEX GOES BRRR: 103 X: 6.48633 +INDEX GOES BRRR: 38 X: 2.41406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.67773 +INDEX GOES BRRR: 370 X: 23.1777 +INDEX GOES BRRR: 117 X: 7.31934 +INDEX GOES BRRR: 857 X: -10.4365 +INDEX GOES BRRR: 65 X: 4.12305 +INDEX GOES BRRR: 77 X: 4.81641 +INDEX GOES BRRR: 36 X: 2.28613 +INDEX GOES BRRR: 256 X: 16.0332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.3965 +INDEX GOES BRRR: 71 X: 4.48828 +INDEX GOES BRRR: 982 X: -2.58301 +INDEX GOES BRRR: 90 X: 5.625 +INDEX GOES BRRR: 944 X: -4.97656 +INDEX GOES BRRR: 313 X: 19.6084 +INDEX GOES BRRR: 41 X: 2.57617 +INDEX GOES BRRR: 286 X: 17.8936 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5352 +INDEX GOES BRRR: 175 X: 10.9785 +INDEX GOES BRRR: 355 X: 22.1934 +INDEX GOES BRRR: 18 X: 1.18457 +INDEX GOES BRRR: 145 X: 9.08594 +INDEX GOES BRRR: 90 X: 5.68555 +INDEX GOES BRRR: 168 X: 10.5508 +INDEX GOES BRRR: 49 X: 3.08203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5518 +INDEX GOES BRRR: 301 X: 18.8262 +INDEX GOES BRRR: 992 X: -1.96582 +INDEX GOES BRRR: 32 X: 2.02148 +INDEX GOES BRRR: 879 X: -9.03906 +INDEX GOES BRRR: 61 X: 3.86328 +INDEX GOES BRRR: 187 X: 11.7129 +INDEX GOES BRRR: 374 X: 23.4365 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 345 X: 21.5693 +INDEX GOES BRRR: 251 X: 15.7061 +INDEX GOES BRRR: 193 X: 12.1221 +INDEX GOES BRRR: 962 X: -3.84277 +INDEX GOES BRRR: 187 X: 11.7393 +INDEX GOES BRRR: 288 X: 18.0498 +INDEX GOES BRRR: 297 X: 18.6152 +INDEX GOES BRRR: 289 X: 18.0889 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9023 +INDEX GOES BRRR: 455 X: 28.4648 +INDEX GOES BRRR: 66 X: 4.15234 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 216 X: 13.5508 +INDEX GOES BRRR: 156 X: 9.77832 +INDEX GOES BRRR: 202 X: 12.626 +INDEX GOES BRRR: 23 X: 1.44434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.7041 +INDEX GOES BRRR: 136 X: 8.51172 +INDEX GOES BRRR: 103 X: 6.44336 +INDEX GOES BRRR: 187 X: 11.7256 +INDEX GOES BRRR: 125 X: 7.85352 +INDEX GOES BRRR: 33 X: 2.08984 +INDEX GOES BRRR: 42 X: 2.62793 +INDEX GOES BRRR: 88 X: 5.54688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6299 +INDEX GOES BRRR: 116 X: 7.26172 +INDEX GOES BRRR: 916 X: -6.7168 +INDEX GOES BRRR: 285 X: 17.8193 +INDEX GOES BRRR: 323 X: 20.1963 +INDEX GOES BRRR: 414 X: 25.9316 +INDEX GOES BRRR: 63 X: 3.95117 +INDEX GOES BRRR: 306 X: 19.1318 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.10254 +INDEX GOES BRRR: 173 X: 10.8711 +INDEX GOES BRRR: 1007 X: -1.04883 +INDEX GOES BRRR: 227 X: 14.1924 +INDEX GOES BRRR: 208 X: 13.0127 +INDEX GOES BRRR: 140 X: 8.75 +INDEX GOES BRRR: 122 X: 7.63086 +INDEX GOES BRRR: 220 X: 13.7715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.7812 +INDEX GOES BRRR: 218 X: 13.6416 +INDEX GOES BRRR: 936 X: -5.44336 +INDEX GOES BRRR: 1009 X: -0.875977 +INDEX GOES BRRR: 1006 X: -1.06738 +INDEX GOES BRRR: 80 X: 5.05469 +INDEX GOES BRRR: 106 X: 6.67578 +INDEX GOES BRRR: 928 X: -5.99219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.3828 +INDEX GOES BRRR: 83 X: 5.22168 +INDEX GOES BRRR: 150 X: 9.4082 +INDEX GOES BRRR: 133 X: 8.31543 +INDEX GOES BRRR: 129 X: 8.0752 +INDEX GOES BRRR: 47 X: 2.98828 +INDEX GOES BRRR: 316 X: 19.8086 +INDEX GOES BRRR: 269 X: 16.8711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1021 X: -0.162109 +INDEX GOES BRRR: 235 X: 14.6992 +INDEX GOES BRRR: 177 X: 11.0693 +INDEX GOES BRRR: 190 X: 11.8994 +INDEX GOES BRRR: 155 X: 9.72461 +INDEX GOES BRRR: 382 X: 23.8867 +INDEX GOES BRRR: 132 X: 8.2832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.1992 +INDEX GOES BRRR: 971 X: -3.30957 +INDEX GOES BRRR: 284 X: 17.7803 +INDEX GOES BRRR: 419 X: 26.2002 +INDEX GOES BRRR: 1021 X: -0.160156 +INDEX GOES BRRR: 45 X: 2.86621 +INDEX GOES BRRR: 190 X: 11.9014 +INDEX GOES BRRR: 130 X: 8.12793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.8789 +INDEX GOES BRRR: 827 X: -12.2646 +INDEX GOES BRRR: 457 X: 28.5928 +INDEX GOES BRRR: 199 X: 12.4893 +INDEX GOES BRRR: 324 X: 20.3047 +INDEX GOES BRRR: 209 X: 13.0918 +INDEX GOES BRRR: 956 X: -4.19531 +INDEX GOES BRRR: 432 X: 27.0127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.336914 +INDEX GOES BRRR: 161 X: 10.0898 +INDEX GOES BRRR: 79 X: 4.98633 +INDEX GOES BRRR: 872 X: -9.47168 +INDEX GOES BRRR: 452 X: 28.2715 +INDEX GOES BRRR: 111 X: 6.96094 +INDEX GOES BRRR: 120 X: 7.53418 +INDEX GOES BRRR: 314 X: 19.627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5518 +INDEX GOES BRRR: 383 X: 23.9727 +INDEX GOES BRRR: 156 X: 9.80957 +INDEX GOES BRRR: 184 X: 11.5391 +INDEX GOES BRRR: 54 X: 3.39453 +INDEX GOES BRRR: 470 X: 29.3975 +INDEX GOES BRRR: 212 X: 13.2852 +INDEX GOES BRRR: 114 X: 7.14062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6523 +INDEX GOES BRRR: 258 X: 16.1641 +INDEX GOES BRRR: 264 X: 16.5361 +INDEX GOES BRRR: 231 X: 14.4482 +INDEX GOES BRRR: 330 X: 20.6338 +INDEX GOES BRRR: 131 X: 8.20996 +INDEX GOES BRRR: 367 X: 22.9912 +INDEX GOES BRRR: 216 X: 13.5439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.749 +INDEX GOES BRRR: 254 X: 15.9277 +INDEX GOES BRRR: 947 X: -4.78223 +INDEX GOES BRRR: 108 X: 6.75488 +INDEX GOES BRRR: 323 X: 20.2344 +INDEX GOES BRRR: 174 X: 10.8877 +INDEX GOES BRRR: 910 X: -7.09863 +INDEX GOES BRRR: 305 X: 19.0771 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 287 X: 17.9707 +INDEX GOES BRRR: 241 X: 15.0986 +INDEX GOES BRRR: 42 X: 2.66113 +INDEX GOES BRRR: 322 X: 20.1621 +INDEX GOES BRRR: 968 X: -3.4541 +INDEX GOES BRRR: 130 X: 8.13672 +INDEX GOES BRRR: 985 X: -2.39355 +INDEX GOES BRRR: 482 X: 30.1846 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5342 +INDEX GOES BRRR: 166 X: 10.3857 +INDEX GOES BRRR: 893 X: -8.1377 +INDEX GOES BRRR: 208 X: 13.0225 +INDEX GOES BRRR: 344 X: 21.5518 +INDEX GOES BRRR: 225 X: 14.0957 +INDEX GOES BRRR: 264 X: 16.5273 +INDEX GOES BRRR: 105 X: 6.58691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.81543 +INDEX GOES BRRR: 178 X: 11.1309 +INDEX GOES BRRR: 287 X: 17.9561 +INDEX GOES BRRR: 427 X: 26.6992 +INDEX GOES BRRR: 87 X: 5.44336 +INDEX GOES BRRR: 42 X: 2.63965 +INDEX GOES BRRR: 240 X: 15.0342 +INDEX GOES BRRR: 456 X: 28.5234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.5293 +INDEX GOES BRRR: 152 X: 9.55078 +INDEX GOES BRRR: 99 X: 6.19336 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 299 X: 18.7197 +INDEX GOES BRRR: 33 X: 2.09961 +INDEX GOES BRRR: 244 X: 15.2529 +INDEX GOES BRRR: 73 X: 4.61621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.69434 +INDEX GOES BRRR: 75 X: 4.71875 +INDEX GOES BRRR: 270 X: 16.8965 +INDEX GOES BRRR: 187 X: 11.71 +INDEX GOES BRRR: 442 X: 27.6836 +INDEX GOES BRRR: 981 X: -2.66895 +INDEX GOES BRRR: 402 X: 25.1572 +INDEX GOES BRRR: 993 X: -1.90918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.2061 +INDEX GOES BRRR: 56 X: 3.50684 +INDEX GOES BRRR: 170 X: 10.6533 +INDEX GOES BRRR: 189 X: 11.8633 +INDEX GOES BRRR: 181 X: 11.3721 +INDEX GOES BRRR: 976 X: -2.95508 +INDEX GOES BRRR: 170 X: 10.6318 +INDEX GOES BRRR: 810 X: -13.332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.7754 +INDEX GOES BRRR: 123 X: 7.74609 +INDEX GOES BRRR: 389 X: 24.3555 +INDEX GOES BRRR: 332 X: 20.7568 +INDEX GOES BRRR: 108 X: 6.79395 +INDEX GOES BRRR: 268 X: 16.7559 +INDEX GOES BRRR: 27 X: 1.74316 +INDEX GOES BRRR: 481 X: 30.0791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.48535 +INDEX GOES BRRR: 924 X: -6.20801 +INDEX GOES BRRR: 33 X: 2.08105 +INDEX GOES BRRR: 60 X: 3.7627 +INDEX GOES BRRR: 37 X: 2.31934 +INDEX GOES BRRR: 170 X: 10.6826 +INDEX GOES BRRR: 328 X: 20.5508 +INDEX GOES BRRR: 982 X: -2.60254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 790 X: -14.5967 +INDEX GOES BRRR: 353 X: 22.0889 +INDEX GOES BRRR: 226 X: 14.1631 +INDEX GOES BRRR: 206 X: 12.8955 +INDEX GOES BRRR: 31 X: 1.99512 +INDEX GOES BRRR: 199 X: 12.4521 +INDEX GOES BRRR: 134 X: 8.43164 +INDEX GOES BRRR: 368 X: 23.0488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.5957 +INDEX GOES BRRR: 1021 X: -0.163086 +INDEX GOES BRRR: 267 X: 16.7109 +INDEX GOES BRRR: 170 X: 10.6416 +INDEX GOES BRRR: 168 X: 10.543 +INDEX GOES BRRR: 229 X: 14.3184 +INDEX GOES BRRR: 106 X: 6.63379 +INDEX GOES BRRR: 457 X: 28.5645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.22852 +INDEX GOES BRRR: 1020 X: -0.216797 +INDEX GOES BRRR: 1012 X: -0.719727 +INDEX GOES BRRR: 992 X: -1.99414 +INDEX GOES BRRR: 34 X: 2.15918 +INDEX GOES BRRR: 148 X: 9.28418 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 150 X: 9.40918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.77246 +INDEX GOES BRRR: 202 X: 12.6748 +INDEX GOES BRRR: 386 X: 24.1748 +INDEX GOES BRRR: 244 X: 15.2881 +INDEX GOES BRRR: 990 X: -2.125 +INDEX GOES BRRR: 6 X: 0.422852 +INDEX GOES BRRR: 122 X: 7.66797 +INDEX GOES BRRR: 206 X: 12.8799 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.9346 +INDEX GOES BRRR: 144 X: 9.00488 +INDEX GOES BRRR: 143 X: 8.94141 +INDEX GOES BRRR: 60 X: 3.77832 +INDEX GOES BRRR: 116 X: 7.25195 +INDEX GOES BRRR: 136 X: 8.53223 +INDEX GOES BRRR: 36 X: 2.25391 +INDEX GOES BRRR: 36 X: 2.28027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.384766 +INDEX GOES BRRR: 182 X: 11.4043 +INDEX GOES BRRR: 440 X: 27.5322 +INDEX GOES BRRR: 398 X: 24.8848 +INDEX GOES BRRR: 213 X: 13.3184 +INDEX GOES BRRR: 270 X: 16.8867 +INDEX GOES BRRR: 902 X: -7.59473 +INDEX GOES BRRR: 328 X: 20.5391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 70 X: 4.43262 +INDEX GOES BRRR: 1015 X: -0.557617 +INDEX GOES BRRR: 432 X: 27.0322 +INDEX GOES BRRR: 1016 X: -0.459961 +INDEX GOES BRRR: 408 X: 25.5381 +INDEX GOES BRRR: 106 X: 6.64258 +INDEX GOES BRRR: 142 X: 8.88965 +INDEX GOES BRRR: 86 X: 5.42676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.1953 +INDEX GOES BRRR: 128 X: 8.00977 +INDEX GOES BRRR: 198 X: 12.3887 +INDEX GOES BRRR: 234 X: 14.627 +INDEX GOES BRRR: 176 X: 11.0605 +INDEX GOES BRRR: 52 X: 3.27832 +INDEX GOES BRRR: 478 X: 29.9033 +INDEX GOES BRRR: 842 X: -11.3525 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6484 +INDEX GOES BRRR: 360 X: 22.5137 +INDEX GOES BRRR: 64 X: 4.05176 +INDEX GOES BRRR: 136 X: 8.53027 +INDEX GOES BRRR: 181 X: 11.335 +INDEX GOES BRRR: 162 X: 10.1377 +INDEX GOES BRRR: 58 X: 3.67773 +INDEX GOES BRRR: 236 X: 14.7803 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.39746 +INDEX GOES BRRR: 441 X: 27.5811 +INDEX GOES BRRR: 242 X: 15.1338 +INDEX GOES BRRR: 88 X: 5.5166 +INDEX GOES BRRR: 77 X: 4.84473 +INDEX GOES BRRR: 239 X: 14.9521 +INDEX GOES BRRR: 238 X: 14.9082 +INDEX GOES BRRR: 354 X: 22.1504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3643 +INDEX GOES BRRR: 179 X: 11.2422 +INDEX GOES BRRR: 243 X: 15.1904 +INDEX GOES BRRR: 43 X: 2.74805 +INDEX GOES BRRR: 374 X: 23.4062 +INDEX GOES BRRR: 918 X: -6.56445 +INDEX GOES BRRR: 123 X: 7.72754 +INDEX GOES BRRR: 144 X: 9.05078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2529 +INDEX GOES BRRR: 388 X: 24.2598 +INDEX GOES BRRR: 229 X: 14.3154 +INDEX GOES BRRR: 35 X: 2.2373 +INDEX GOES BRRR: 198 X: 12.4355 +INDEX GOES BRRR: 933 X: -5.64355 +INDEX GOES BRRR: 207 X: 12.9775 +INDEX GOES BRRR: 392 X: 24.5273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 860 X: -10.2256 +INDEX GOES BRRR: 389 X: 24.333 +INDEX GOES BRRR: 252 X: 15.8105 +INDEX GOES BRRR: 177 X: 11.0625 +INDEX GOES BRRR: 57 X: 3.58691 +INDEX GOES BRRR: 32 X: 2.00684 +INDEX GOES BRRR: 233 X: 14.6113 +INDEX GOES BRRR: 9 X: 0.564453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 886 X: -8.59766 +INDEX GOES BRRR: 296 X: 18.5303 +INDEX GOES BRRR: 240 X: 15.0293 +INDEX GOES BRRR: 122 X: 7.66699 +INDEX GOES BRRR: 1011 X: -0.762695 +INDEX GOES BRRR: 163 X: 10.2002 +INDEX GOES BRRR: 126 X: 7.93652 +INDEX GOES BRRR: 138 X: 8.62598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.66113 +INDEX GOES BRRR: 6 X: 0.408203 +INDEX GOES BRRR: 219 X: 13.6963 +INDEX GOES BRRR: 167 X: 10.4453 +INDEX GOES BRRR: 62 X: 3.87793 +INDEX GOES BRRR: 177 X: 11.0625 +INDEX GOES BRRR: 196 X: 12.2666 +INDEX GOES BRRR: 107 X: 6.68945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0371 +INDEX GOES BRRR: 241 X: 15.1152 +INDEX GOES BRRR: 280 X: 17.5137 +INDEX GOES BRRR: 123 X: 7.69141 +INDEX GOES BRRR: 965 X: -3.65332 +INDEX GOES BRRR: 306 X: 19.1797 +INDEX GOES BRRR: 404 X: 25.3018 +INDEX GOES BRRR: 198 X: 12.4355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.34668 +INDEX GOES BRRR: 288 X: 18.0566 +INDEX GOES BRRR: 114 X: 7.16602 +INDEX GOES BRRR: 180 X: 11.2598 +INDEX GOES BRRR: 61 X: 3.83008 +INDEX GOES BRRR: 447 X: 27.9736 +INDEX GOES BRRR: 977 X: -2.88086 +INDEX GOES BRRR: 305 X: 19.1162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.4873 +INDEX GOES BRRR: 36 X: 2.30664 +INDEX GOES BRRR: 233 X: 14.5977 +INDEX GOES BRRR: 316 X: 19.7666 +INDEX GOES BRRR: 371 X: 23.1924 +INDEX GOES BRRR: 151 X: 9.4873 +INDEX GOES BRRR: 380 X: 23.7686 +INDEX GOES BRRR: 356 X: 22.3018 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 375 X: 23.4932 +INDEX GOES BRRR: 490 X: 30.6543 +INDEX GOES BRRR: 999 X: -1.52441 +INDEX GOES BRRR: 97 X: 6.12305 +INDEX GOES BRRR: 1017 X: -0.424805 +INDEX GOES BRRR: 86 X: 5.4209 +INDEX GOES BRRR: 279 X: 17.4727 +INDEX GOES BRRR: 990 X: -2.12109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.95996 +INDEX GOES BRRR: 926 X: -6.09766 +INDEX GOES BRRR: 206 X: 12.9277 +INDEX GOES BRRR: 332 X: 20.7871 +INDEX GOES BRRR: 76 X: 4.78027 +INDEX GOES BRRR: 960 X: -3.95508 +INDEX GOES BRRR: 200 X: 12.5352 +INDEX GOES BRRR: 386 X: 24.1484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.7822 +INDEX GOES BRRR: 948 X: -4.74219 +INDEX GOES BRRR: 331 X: 20.7031 +INDEX GOES BRRR: 274 X: 17.1592 +INDEX GOES BRRR: 183 X: 11.4785 +INDEX GOES BRRR: 1008 X: -0.996094 +INDEX GOES BRRR: 92 X: 5.7959 +INDEX GOES BRRR: 433 X: 27.0996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.3174 +INDEX GOES BRRR: 270 X: 16.9248 +INDEX GOES BRRR: 231 X: 14.4473 +INDEX GOES BRRR: 1009 X: -0.899414 +INDEX GOES BRRR: 162 X: 10.1348 +INDEX GOES BRRR: 338 X: 21.1338 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 32 X: 2.01074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.58105 +INDEX GOES BRRR: 127 X: 7.95215 +INDEX GOES BRRR: 303 X: 18.9795 +INDEX GOES BRRR: 121 X: 7.58301 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 197 X: 12.3359 +INDEX GOES BRRR: 719 X: -19.0498 +INDEX GOES BRRR: 1013 X: -0.666992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.28418 +INDEX GOES BRRR: 121 X: 7.56641 +INDEX GOES BRRR: 122 X: 7.65723 +INDEX GOES BRRR: 241 X: 15.0801 +INDEX GOES BRRR: 284 X: 17.7861 +INDEX GOES BRRR: 484 X: 30.2627 +INDEX GOES BRRR: 15 X: 0.9375 +INDEX GOES BRRR: 193 X: 12.1172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.38281 +INDEX GOES BRRR: 645 X: -23.6729 +INDEX GOES BRRR: 206 X: 12.9307 +INDEX GOES BRRR: 985 X: -2.41699 +INDEX GOES BRRR: 21 X: 1.3623 +INDEX GOES BRRR: 284 X: 17.8037 +INDEX GOES BRRR: 44 X: 2.80762 +INDEX GOES BRRR: 154 X: 9.66797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.8867 +INDEX GOES BRRR: 227 X: 14.1934 +INDEX GOES BRRR: 375 X: 23.458 +INDEX GOES BRRR: 1011 X: -0.797852 +INDEX GOES BRRR: 228 X: 14.3105 +INDEX GOES BRRR: 74 X: 4.6416 +INDEX GOES BRRR: 974 X: -3.11426 +INDEX GOES BRRR: 204 X: 12.751 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5342 +INDEX GOES BRRR: 293 X: 18.3672 +INDEX GOES BRRR: 23 X: 1.44629 +INDEX GOES BRRR: 322 X: 20.1797 +INDEX GOES BRRR: 328 X: 20.5479 +INDEX GOES BRRR: 43 X: 2.70605 +INDEX GOES BRRR: 2 X: 0.138672 +INDEX GOES BRRR: 8 X: 0.521484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.52832 +INDEX GOES BRRR: 905 X: -7.42578 +INDEX GOES BRRR: 267 X: 16.7119 +INDEX GOES BRRR: 243 X: 15.2168 +INDEX GOES BRRR: 403 X: 25.2324 +INDEX GOES BRRR: 836 X: -11.7246 +INDEX GOES BRRR: 1004 X: -1.22559 +INDEX GOES BRRR: 115 X: 7.21094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.11426 +INDEX GOES BRRR: 119 X: 7.46387 +INDEX GOES BRRR: 186 X: 11.6455 +INDEX GOES BRRR: 300 X: 18.7568 +INDEX GOES BRRR: 190 X: 11.9062 +INDEX GOES BRRR: 144 X: 9.03711 +INDEX GOES BRRR: 156 X: 9.77344 +INDEX GOES BRRR: 117 X: 7.36523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 967 X: -3.53809 +INDEX GOES BRRR: 178 X: 11.1689 +INDEX GOES BRRR: 400 X: 25.0469 +INDEX GOES BRRR: 829 X: -12.1875 +INDEX GOES BRRR: 463 X: 28.9629 +INDEX GOES BRRR: 279 X: 17.4785 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 124 X: 7.78125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.43359 +INDEX GOES BRRR: 370 X: 23.1436 +INDEX GOES BRRR: 972 X: -3.23828 +INDEX GOES BRRR: 183 X: 11.499 +INDEX GOES BRRR: 989 X: -2.12988 +INDEX GOES BRRR: 919 X: -6.52246 +INDEX GOES BRRR: 475 X: 29.707 +INDEX GOES BRRR: 209 X: 13.0742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5137 +INDEX GOES BRRR: 395 X: 24.6973 +INDEX GOES BRRR: 191 X: 11.9775 +INDEX GOES BRRR: 100 X: 6.2959 +INDEX GOES BRRR: 81 X: 5.06738 +INDEX GOES BRRR: 253 X: 15.8652 +INDEX GOES BRRR: 183 X: 11.4766 +INDEX GOES BRRR: 292 X: 18.2695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.254883 +INDEX GOES BRRR: 167 X: 10.4932 +INDEX GOES BRRR: 89 X: 5.57715 +INDEX GOES BRRR: 86 X: 5.41309 +INDEX GOES BRRR: 280 X: 17.5127 +INDEX GOES BRRR: 464 X: 29.0498 +INDEX GOES BRRR: 170 X: 10.6318 +INDEX GOES BRRR: 310 X: 19.4307 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.7832 +INDEX GOES BRRR: 205 X: 12.874 +INDEX GOES BRRR: 952 X: -4.45898 +INDEX GOES BRRR: 62 X: 3.88184 +INDEX GOES BRRR: 878 X: -9.06348 +INDEX GOES BRRR: 66 X: 4.13574 +INDEX GOES BRRR: 101 X: 6.3252 +INDEX GOES BRRR: 216 X: 13.543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.258789 +INDEX GOES BRRR: 460 X: 28.7715 +INDEX GOES BRRR: 100 X: 6.30273 +INDEX GOES BRRR: 134 X: 8.43066 +INDEX GOES BRRR: 252 X: 15.79 +INDEX GOES BRRR: 10 X: 0.638672 +INDEX GOES BRRR: 51 X: 3.24121 +INDEX GOES BRRR: 141 X: 8.8291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 978 X: -2.83984 +INDEX GOES BRRR: 217 X: 13.5918 +INDEX GOES BRRR: 54 X: 3.43652 +INDEX GOES BRRR: 238 X: 14.9092 +INDEX GOES BRRR: 240 X: 15.0078 +INDEX GOES BRRR: 156 X: 9.78418 +INDEX GOES BRRR: 198 X: 12.4004 +INDEX GOES BRRR: 136 X: 8.56055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 69 X: 4.31934 +INDEX GOES BRRR: 322 X: 20.167 +INDEX GOES BRRR: 190 X: 11.8896 +INDEX GOES BRRR: 313 X: 19.6084 +INDEX GOES BRRR: 236 X: 14.7637 +INDEX GOES BRRR: 132 X: 8.30273 +INDEX GOES BRRR: 365 X: 22.8711 +INDEX GOES BRRR: 234 X: 14.6299 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 358 X: 22.3857 +INDEX GOES BRRR: 191 X: 11.9854 +INDEX GOES BRRR: 160 X: 10.0068 +INDEX GOES BRRR: 959 X: -4.0459 +INDEX GOES BRRR: 387 X: 24.209 +INDEX GOES BRRR: 152 X: 9.55859 +INDEX GOES BRRR: 796 X: -14.1924 +INDEX GOES BRRR: 996 X: -1.7168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.70312 +INDEX GOES BRRR: 16 X: 1.03223 +INDEX GOES BRRR: 13 X: 0.830078 +INDEX GOES BRRR: 453 X: 28.3486 +INDEX GOES BRRR: 139 X: 8.74707 +INDEX GOES BRRR: 140 X: 8.79102 +INDEX GOES BRRR: 115 X: 7.23242 +INDEX GOES BRRR: 979 X: -2.79199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0215 +INDEX GOES BRRR: 370 X: 23.1299 +INDEX GOES BRRR: 870 X: -9.58594 +INDEX GOES BRRR: 239 X: 14.9424 +INDEX GOES BRRR: 481 X: 30.1104 +INDEX GOES BRRR: 21 X: 1.3252 +INDEX GOES BRRR: 67 X: 4.20117 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 128 X: 8.05762 +INDEX GOES BRRR: 266 X: 16.6416 +INDEX GOES BRRR: 887 X: -8.54004 +INDEX GOES BRRR: 368 X: 23.0166 +INDEX GOES BRRR: 367 X: 22.9521 +INDEX GOES BRRR: 257 X: 16.0869 +INDEX GOES BRRR: 216 X: 13.5098 +INDEX GOES BRRR: 46 X: 2.92578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.66504 +INDEX GOES BRRR: 307 X: 19.21 +INDEX GOES BRRR: 989 X: -2.16016 +INDEX GOES BRRR: 867 X: -9.78809 +INDEX GOES BRRR: 234 X: 14.6787 +INDEX GOES BRRR: 215 X: 13.4424 +INDEX GOES BRRR: 131 X: 8.20312 +INDEX GOES BRRR: 318 X: 19.8809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.8906 +INDEX GOES BRRR: 366 X: 22.915 +INDEX GOES BRRR: 218 X: 13.6787 +INDEX GOES BRRR: 485 X: 30.3662 +INDEX GOES BRRR: 862 X: -10.0654 +INDEX GOES BRRR: 131 X: 8.24902 +INDEX GOES BRRR: 442 X: 27.6494 +INDEX GOES BRRR: 991 X: -2.0459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 771 X: -15.7773 +INDEX GOES BRRR: 247 X: 15.4883 +INDEX GOES BRRR: 991 X: -2.0459 +INDEX GOES BRRR: 309 X: 19.3506 +INDEX GOES BRRR: 50 X: 3.16113 +INDEX GOES BRRR: 283 X: 17.6895 +INDEX GOES BRRR: 204 X: 12.7803 +INDEX GOES BRRR: 236 X: 14.8057 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 97 X: 6.12207 +INDEX GOES BRRR: 903 X: -7.52246 +INDEX GOES BRRR: 12 X: 0.805664 +INDEX GOES BRRR: 313 X: 19.6143 +INDEX GOES BRRR: 974 X: -3.10742 +INDEX GOES BRRR: 120 X: 7.53027 +INDEX GOES BRRR: 916 X: -6.7168 +INDEX GOES BRRR: 161 X: 10.0674 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6836 +INDEX GOES BRRR: 333 X: 20.8135 +INDEX GOES BRRR: 55 X: 3.46777 +INDEX GOES BRRR: 918 X: -6.59473 +INDEX GOES BRRR: 363 X: 22.7432 +INDEX GOES BRRR: 300 X: 18.7988 +INDEX GOES BRRR: 162 X: 10.1768 +INDEX GOES BRRR: 319 X: 19.9844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.8789 +INDEX GOES BRRR: 824 X: -12.4385 +INDEX GOES BRRR: 1011 X: -0.789062 +INDEX GOES BRRR: 119 X: 7.49609 +INDEX GOES BRRR: 357 X: 22.3164 +INDEX GOES BRRR: 36 X: 2.30762 +INDEX GOES BRRR: 115 X: 7.18945 +INDEX GOES BRRR: 288 X: 18.0293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.40723 +INDEX GOES BRRR: 991 X: -2.03027 +INDEX GOES BRRR: 154 X: 9.63086 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 140 X: 8.77637 +INDEX GOES BRRR: 995 X: -1.7627 +INDEX GOES BRRR: 70 X: 4.41309 +INDEX GOES BRRR: 1 X: 0.0839844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 34 X: 2.17969 +INDEX GOES BRRR: 271 X: 16.9707 +INDEX GOES BRRR: 175 X: 10.9727 +INDEX GOES BRRR: 65 X: 4.0957 +INDEX GOES BRRR: 171 X: 10.6992 +INDEX GOES BRRR: 198 X: 12.4014 +INDEX GOES BRRR: 179 X: 11.1904 +INDEX GOES BRRR: 220 X: 13.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 25 X: 1.59961 +INDEX GOES BRRR: 1003 X: -1.26172 +INDEX GOES BRRR: 232 X: 14.543 +INDEX GOES BRRR: 269 X: 16.8447 +INDEX GOES BRRR: 359 X: 22.4434 +INDEX GOES BRRR: 392 X: 24.5 +INDEX GOES BRRR: 37 X: 2.33887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.917 +INDEX GOES BRRR: 254 X: 15.9111 +INDEX GOES BRRR: 200 X: 12.5146 +INDEX GOES BRRR: 145 X: 9.11816 +INDEX GOES BRRR: 787 X: -14.8008 +INDEX GOES BRRR: 120 X: 7.54492 +INDEX GOES BRRR: 207 X: 12.9404 +INDEX GOES BRRR: 100 X: 6.27637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0264 +INDEX GOES BRRR: 1004 X: -1.20996 +INDEX GOES BRRR: 233 X: 14.5664 +INDEX GOES BRRR: 163 X: 10.1924 +INDEX GOES BRRR: 228 X: 14.2783 +INDEX GOES BRRR: 194 X: 12.1455 +INDEX GOES BRRR: 244 X: 15.3086 +INDEX GOES BRRR: 98 X: 6.16309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 446 X: 27.8857 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 101 X: 6.34961 +INDEX GOES BRRR: 250 X: 15.6865 +INDEX GOES BRRR: 95 X: 5.98926 +INDEX GOES BRRR: 825 X: -12.4248 +INDEX GOES BRRR: 206 X: 12.9365 +INDEX GOES BRRR: 297 X: 18.6211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 0 X: 0.0605469 +INDEX GOES BRRR: 114 X: 7.125 +INDEX GOES BRRR: 46 X: 2.93262 +INDEX GOES BRRR: 56 X: 3.52051 +INDEX GOES BRRR: 370 X: 23.1533 +INDEX GOES BRRR: 198 X: 12.3887 +INDEX GOES BRRR: 1001 X: -1.42676 +INDEX GOES BRRR: 256 X: 16.0195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3584 +INDEX GOES BRRR: 182 X: 11.417 +INDEX GOES BRRR: 943 X: -5.04688 +INDEX GOES BRRR: 261 X: 16.3154 +INDEX GOES BRRR: 156 X: 9.78906 +INDEX GOES BRRR: 141 X: 8.83984 +INDEX GOES BRRR: 160 X: 10.042 +INDEX GOES BRRR: 362 X: 22.6279 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.46582 +INDEX GOES BRRR: 96 X: 6.00195 +INDEX GOES BRRR: 338 X: 21.1514 +INDEX GOES BRRR: 240 X: 15.0449 +INDEX GOES BRRR: 35 X: 2.21582 +INDEX GOES BRRR: 271 X: 16.9824 +INDEX GOES BRRR: 37 X: 2.32031 +INDEX GOES BRRR: 817 X: -12.9268 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0684 +INDEX GOES BRRR: 189 X: 11.8203 +INDEX GOES BRRR: 86 X: 5.42285 +INDEX GOES BRRR: 68 X: 4.26074 +INDEX GOES BRRR: 45 X: 2.8252 +INDEX GOES BRRR: 253 X: 15.8516 +INDEX GOES BRRR: 309 X: 19.3193 +INDEX GOES BRRR: 261 X: 16.3584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.14062 +INDEX GOES BRRR: 309 X: 19.3682 +INDEX GOES BRRR: 238 X: 14.8838 +INDEX GOES BRRR: 419 X: 26.2363 +INDEX GOES BRRR: 343 X: 21.4922 +INDEX GOES BRRR: 200 X: 12.5059 +INDEX GOES BRRR: 1017 X: -0.40625 +INDEX GOES BRRR: 168 X: 10.5371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9785 +INDEX GOES BRRR: 150 X: 9.43164 +INDEX GOES BRRR: 98 X: 6.12793 +INDEX GOES BRRR: 997 X: -1.62793 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 235 X: 14.7412 +INDEX GOES BRRR: 309 X: 19.3232 +INDEX GOES BRRR: 118 X: 7.39746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 943 X: -5.00293 +INDEX GOES BRRR: 314 X: 19.6797 +INDEX GOES BRRR: 217 X: 13.5947 +INDEX GOES BRRR: 110 X: 6.90527 +INDEX GOES BRRR: 120 X: 7.53125 +INDEX GOES BRRR: 296 X: 18.5107 +INDEX GOES BRRR: 452 X: 28.2959 +INDEX GOES BRRR: 77 X: 4.83887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.44531 +INDEX GOES BRRR: 366 X: 22.8887 +INDEX GOES BRRR: 52 X: 3.28809 +INDEX GOES BRRR: 139 X: 8.72559 +INDEX GOES BRRR: 83 X: 5.23242 +INDEX GOES BRRR: 1008 X: -0.96582 +INDEX GOES BRRR: 118 X: 7.41699 +INDEX GOES BRRR: 422 X: 26.4014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 35 X: 2.24512 +INDEX GOES BRRR: 879 X: -9.05469 +INDEX GOES BRRR: 78 X: 4.89746 +INDEX GOES BRRR: 478 X: 29.9307 +INDEX GOES BRRR: 466 X: 29.1377 +INDEX GOES BRRR: 401 X: 25.1084 +INDEX GOES BRRR: 115 X: 7.24023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.84766 +INDEX GOES BRRR: 58 X: 3.64258 +INDEX GOES BRRR: 448 X: 28.0439 +INDEX GOES BRRR: 355 X: 22.2314 +INDEX GOES BRRR: 278 X: 17.4141 +INDEX GOES BRRR: 489 X: 30.6191 +INDEX GOES BRRR: 363 X: 22.7324 +INDEX GOES BRRR: 139 X: 8.69629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9854 +INDEX GOES BRRR: 308 X: 19.293 +INDEX GOES BRRR: 1006 X: -1.11426 +INDEX GOES BRRR: 126 X: 7.88672 +INDEX GOES BRRR: 121 X: 7.56738 +INDEX GOES BRRR: 121 X: 7.6123 +INDEX GOES BRRR: 735 X: -18.0029 +INDEX GOES BRRR: 271 X: 16.9854 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.34277 +INDEX GOES BRRR: 120 X: 7.53125 +INDEX GOES BRRR: 360 X: 22.5605 +INDEX GOES BRRR: 268 X: 16.7764 +INDEX GOES BRRR: 156 X: 9.79199 +INDEX GOES BRRR: 688 X: -20.999 +INDEX GOES BRRR: 145 X: 9.09863 +INDEX GOES BRRR: 58 X: 3.68555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.5801 +INDEX GOES BRRR: 469 X: 29.3457 +INDEX GOES BRRR: 303 X: 18.9912 +INDEX GOES BRRR: 236 X: 14.751 +INDEX GOES BRRR: 805 X: -13.6758 +INDEX GOES BRRR: 220 X: 13.7539 +INDEX GOES BRRR: 162 X: 10.166 +INDEX GOES BRRR: 116 X: 7.28809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 876 X: -9.2041 +INDEX GOES BRRR: 243 X: 15.209 +INDEX GOES BRRR: 879 X: -9.01367 +INDEX GOES BRRR: 843 X: -11.2832 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 235 X: 14.6934 +INDEX GOES BRRR: 250 X: 15.6572 +INDEX GOES BRRR: 1015 X: -0.501953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.57324 +INDEX GOES BRRR: 1011 X: -0.754883 +INDEX GOES BRRR: 279 X: 17.4756 +INDEX GOES BRRR: 141 X: 8.84766 +INDEX GOES BRRR: 230 X: 14.375 +INDEX GOES BRRR: 205 X: 12.8516 +INDEX GOES BRRR: 205 X: 12.834 +INDEX GOES BRRR: 213 X: 13.3389 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1719 +INDEX GOES BRRR: 34 X: 2.14062 +INDEX GOES BRRR: 492 X: 30.792 +INDEX GOES BRRR: 162 X: 10.1533 +INDEX GOES BRRR: 325 X: 20.3447 +INDEX GOES BRRR: 105 X: 6.60742 +INDEX GOES BRRR: 156 X: 9.79688 +INDEX GOES BRRR: 264 X: 16.5068 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1572 +INDEX GOES BRRR: 53 X: 3.32617 +INDEX GOES BRRR: 50 X: 3.14453 +INDEX GOES BRRR: 365 X: 22.8145 +INDEX GOES BRRR: 185 X: 11.6084 +INDEX GOES BRRR: 148 X: 9.2832 +INDEX GOES BRRR: 1020 X: -0.201172 +INDEX GOES BRRR: 990 X: -2.07129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 383 X: 23.9658 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 957 X: -4.12891 +INDEX GOES BRRR: 269 X: 16.8467 +INDEX GOES BRRR: 76 X: 4.7832 +INDEX GOES BRRR: 306 X: 19.1807 +INDEX GOES BRRR: 193 X: 12.0664 +INDEX GOES BRRR: 54 X: 3.42188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 359 X: 22.4453 +INDEX GOES BRRR: 876 X: -9.2207 +INDEX GOES BRRR: 198 X: 12.3896 +INDEX GOES BRRR: 200 X: 12.5127 +INDEX GOES BRRR: 265 X: 16.5801 +INDEX GOES BRRR: 368 X: 23.0469 +INDEX GOES BRRR: 269 X: 16.8594 +INDEX GOES BRRR: 173 X: 10.8184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.62207 +INDEX GOES BRRR: 1015 X: -0.541016 +INDEX GOES BRRR: 377 X: 23.5752 +INDEX GOES BRRR: 228 X: 14.29 +INDEX GOES BRRR: 15 X: 0.957031 +INDEX GOES BRRR: 343 X: 21.4697 +INDEX GOES BRRR: 218 X: 13.665 +INDEX GOES BRRR: 165 X: 10.3604 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.886719 +INDEX GOES BRRR: 193 X: 12.1152 +INDEX GOES BRRR: 283 X: 17.71 +INDEX GOES BRRR: 137 X: 8.61523 +INDEX GOES BRRR: 933 X: -5.68359 +INDEX GOES BRRR: 345 X: 21.5625 +INDEX GOES BRRR: 80 X: 5.01367 +INDEX GOES BRRR: 883 X: -8.75391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.93066 +INDEX GOES BRRR: 82 X: 5.14355 +INDEX GOES BRRR: 63 X: 3.98535 +INDEX GOES BRRR: 428 X: 26.752 +INDEX GOES BRRR: 146 X: 9.13379 +INDEX GOES BRRR: 999 X: -1.5332 +INDEX GOES BRRR: 38 X: 2.41016 +INDEX GOES BRRR: 120 X: 7.52344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 419 X: 26.2109 +INDEX GOES BRRR: 284 X: 17.8115 +INDEX GOES BRRR: 833 X: -11.9336 +INDEX GOES BRRR: 188 X: 11.7949 +INDEX GOES BRRR: 335 X: 20.9844 +INDEX GOES BRRR: 147 X: 9.20215 +INDEX GOES BRRR: 248 X: 15.5156 +INDEX GOES BRRR: 58 X: 3.66504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.27246 +INDEX GOES BRRR: 405 X: 25.3516 +INDEX GOES BRRR: 76 X: 4.79492 +INDEX GOES BRRR: 299 X: 18.6904 +INDEX GOES BRRR: 78 X: 4.91602 +INDEX GOES BRRR: 45 X: 2.84473 +INDEX GOES BRRR: 33 X: 2.09961 +INDEX GOES BRRR: 298 X: 18.6826 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3203 +INDEX GOES BRRR: 163 X: 10.209 +INDEX GOES BRRR: 233 X: 14.5986 +INDEX GOES BRRR: 127 X: 7.98926 +INDEX GOES BRRR: 86 X: 5.3877 +INDEX GOES BRRR: 159 X: 9.9834 +INDEX GOES BRRR: 186 X: 11.626 +INDEX GOES BRRR: 258 X: 16.1777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 985 X: -2.43555 +INDEX GOES BRRR: 206 X: 12.9209 +INDEX GOES BRRR: 106 X: 6.67969 +INDEX GOES BRRR: 891 X: -8.31055 +INDEX GOES BRRR: 1022 X: -0.0742188 +INDEX GOES BRRR: 236 X: 14.791 +INDEX GOES BRRR: 72 X: 4.50879 +INDEX GOES BRRR: 435 X: 27.2256 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.30273 +INDEX GOES BRRR: 141 X: 8.8623 +INDEX GOES BRRR: 808 X: -13.499 +INDEX GOES BRRR: 356 X: 22.2754 +INDEX GOES BRRR: 111 X: 6.99316 +INDEX GOES BRRR: 89 X: 5.5752 +INDEX GOES BRRR: 458 X: 28.6836 +INDEX GOES BRRR: 342 X: 21.3975 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 343 X: 21.4707 +INDEX GOES BRRR: 195 X: 12.2197 +INDEX GOES BRRR: 343 X: 21.4658 +INDEX GOES BRRR: 212 X: 13.2969 +INDEX GOES BRRR: 147 X: 9.2168 +INDEX GOES BRRR: 297 X: 18.5977 +INDEX GOES BRRR: 257 X: 16.0908 +INDEX GOES BRRR: 336 X: 21.002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 349 X: 21.8135 +INDEX GOES BRRR: 228 X: 14.3008 +INDEX GOES BRRR: 171 X: 10.7207 +INDEX GOES BRRR: 10 X: 0.649414 +INDEX GOES BRRR: 40 X: 2.54102 +INDEX GOES BRRR: 300 X: 18.7881 +INDEX GOES BRRR: 462 X: 28.9111 +INDEX GOES BRRR: 167 X: 10.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.11133 +INDEX GOES BRRR: 103 X: 6.45898 +INDEX GOES BRRR: 256 X: 16.0576 +INDEX GOES BRRR: 351 X: 21.9541 +INDEX GOES BRRR: 251 X: 15.7217 +INDEX GOES BRRR: 131 X: 8.2207 +INDEX GOES BRRR: 16 X: 1.0332 +INDEX GOES BRRR: 209 X: 13.0645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 176 X: 11.0322 +INDEX GOES BRRR: 62 X: 3.88867 +INDEX GOES BRRR: 866 X: -9.83105 +INDEX GOES BRRR: 276 X: 17.2871 +INDEX GOES BRRR: 254 X: 15.9043 +INDEX GOES BRRR: 327 X: 20.459 +INDEX GOES BRRR: 78 X: 4.87988 +INDEX GOES BRRR: 99 X: 6.19336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.0127 +INDEX GOES BRRR: 159 X: 9.99512 +INDEX GOES BRRR: 99 X: 6.19629 +INDEX GOES BRRR: 1012 X: -0.700195 +INDEX GOES BRRR: 59 X: 3.71777 +INDEX GOES BRRR: 148 X: 9.27344 +INDEX GOES BRRR: 205 X: 12.8311 +INDEX GOES BRRR: 223 X: 13.96 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.5742 +INDEX GOES BRRR: 230 X: 14.4316 +INDEX GOES BRRR: 353 X: 22.1211 +INDEX GOES BRRR: 331 X: 20.6895 +INDEX GOES BRRR: 320 X: 20.0479 +INDEX GOES BRRR: 184 X: 11.5605 +INDEX GOES BRRR: 87 X: 5.44629 +INDEX GOES BRRR: 97 X: 6.12207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.20801 +INDEX GOES BRRR: 166 X: 10.3955 +INDEX GOES BRRR: 160 X: 10.0146 +INDEX GOES BRRR: 268 X: 16.7969 +INDEX GOES BRRR: 206 X: 12.9004 +INDEX GOES BRRR: 963 X: -3.78711 +INDEX GOES BRRR: 108 X: 6.80176 +INDEX GOES BRRR: 177 X: 11.0986 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1289 +INDEX GOES BRRR: 186 X: 11.6533 +INDEX GOES BRRR: 163 X: 10.2422 +INDEX GOES BRRR: 84 X: 5.28418 +INDEX GOES BRRR: 932 X: -5.69336 +INDEX GOES BRRR: 286 X: 17.8779 +INDEX GOES BRRR: 169 X: 10.6123 +INDEX GOES BRRR: 357 X: 22.3408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.79688 +INDEX GOES BRRR: 326 X: 20.4043 +INDEX GOES BRRR: 363 X: 22.7412 +INDEX GOES BRRR: 54 X: 3.37598 +INDEX GOES BRRR: 408 X: 25.5244 +INDEX GOES BRRR: 114 X: 7.14648 +INDEX GOES BRRR: 183 X: 11.4785 +INDEX GOES BRRR: 35 X: 2.19824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1017 X: -0.379883 +INDEX GOES BRRR: 256 X: 16.0303 +INDEX GOES BRRR: 242 X: 15.1494 +INDEX GOES BRRR: 92 X: 5.7959 +INDEX GOES BRRR: 336 X: 21.002 +INDEX GOES BRRR: 59 X: 3.72656 +INDEX GOES BRRR: 72 X: 4.55176 +INDEX GOES BRRR: 727 X: -18.5029 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.36426 +INDEX GOES BRRR: 240 X: 15.001 +INDEX GOES BRRR: 178 X: 11.1709 +INDEX GOES BRRR: 938 X: -5.36133 +INDEX GOES BRRR: 1013 X: -0.68457 +INDEX GOES BRRR: 71 X: 4.44043 +INDEX GOES BRRR: 413 X: 25.832 +INDEX GOES BRRR: 101 X: 6.35352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.47949 +INDEX GOES BRRR: 147 X: 9.20703 +INDEX GOES BRRR: 398 X: 24.8789 +INDEX GOES BRRR: 90 X: 5.67188 +INDEX GOES BRRR: 971 X: -3.29102 +INDEX GOES BRRR: 128 X: 8.01172 +INDEX GOES BRRR: 907 X: -7.29492 +INDEX GOES BRRR: 157 X: 9.82812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 792 X: -14.4951 +INDEX GOES BRRR: 46 X: 2.92578 +INDEX GOES BRRR: 421 X: 26.3145 +INDEX GOES BRRR: 243 X: 15.1973 +INDEX GOES BRRR: 419 X: 26.1953 +INDEX GOES BRRR: 192 X: 12.0459 +INDEX GOES BRRR: 102 X: 6.38965 +INDEX GOES BRRR: 402 X: 25.1826 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.14746 +INDEX GOES BRRR: 131 X: 8.21777 +INDEX GOES BRRR: 157 X: 9.86523 +INDEX GOES BRRR: 250 X: 15.6631 +INDEX GOES BRRR: 261 X: 16.3145 +INDEX GOES BRRR: 207 X: 12.9805 +INDEX GOES BRRR: 81 X: 5.08984 +INDEX GOES BRRR: 229 X: 14.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.04688 +INDEX GOES BRRR: 43 X: 2.6875 +INDEX GOES BRRR: 153 X: 9.60645 +INDEX GOES BRRR: 144 X: 9.02051 +INDEX GOES BRRR: 966 X: -3.59375 +INDEX GOES BRRR: 1020 X: -0.192383 +INDEX GOES BRRR: 144 X: 9.02051 +INDEX GOES BRRR: 867 X: -9.75781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.75098 +INDEX GOES BRRR: 473 X: 29.5645 +INDEX GOES BRRR: 327 X: 20.4766 +INDEX GOES BRRR: 141 X: 8.82617 +INDEX GOES BRRR: 1001 X: -1.38477 +INDEX GOES BRRR: 12 X: 0.768555 +INDEX GOES BRRR: 162 X: 10.1865 +INDEX GOES BRRR: 126 X: 7.88379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0615 +INDEX GOES BRRR: 382 X: 23.8789 +INDEX GOES BRRR: 250 X: 15.627 +INDEX GOES BRRR: 258 X: 16.1406 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 47 X: 2.96875 +INDEX GOES BRRR: 908 X: -7.20703 +INDEX GOES BRRR: 319 X: 19.9512 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.71875 +INDEX GOES BRRR: 264 X: 16.5352 +INDEX GOES BRRR: 50 X: 3.14355 +INDEX GOES BRRR: 188 X: 11.7676 +INDEX GOES BRRR: 39 X: 2.44238 +INDEX GOES BRRR: 306 X: 19.1777 +INDEX GOES BRRR: 114 X: 7.17773 +INDEX GOES BRRR: 345 X: 21.6201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 26 X: 1.63574 +INDEX GOES BRRR: 945 X: -4.93359 +INDEX GOES BRRR: 926 X: -6.0957 +INDEX GOES BRRR: 378 X: 23.6816 +INDEX GOES BRRR: 70 X: 4.40039 +INDEX GOES BRRR: 160 X: 10.0518 +INDEX GOES BRRR: 329 X: 20.6191 +INDEX GOES BRRR: 248 X: 15.5225 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.69434 +INDEX GOES BRRR: 2 X: 0.183594 +INDEX GOES BRRR: 212 X: 13.3037 +INDEX GOES BRRR: 289 X: 18.1084 +INDEX GOES BRRR: 75 X: 4.72852 +INDEX GOES BRRR: 341 X: 21.3311 +INDEX GOES BRRR: 284 X: 17.752 +INDEX GOES BRRR: 75 X: 4.72852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.10059 +INDEX GOES BRRR: 151 X: 9.44141 +INDEX GOES BRRR: 259 X: 16.2168 +INDEX GOES BRRR: 419 X: 26.2207 +INDEX GOES BRRR: 243 X: 15.2383 +INDEX GOES BRRR: 1013 X: -0.657227 +INDEX GOES BRRR: 275 X: 17.1934 +INDEX GOES BRRR: 388 X: 24.2637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 978 X: -2.81348 +INDEX GOES BRRR: 404 X: 25.2598 +INDEX GOES BRRR: 150 X: 9.42773 +INDEX GOES BRRR: 164 X: 10.2744 +INDEX GOES BRRR: 263 X: 16.46 +INDEX GOES BRRR: 393 X: 24.6201 +INDEX GOES BRRR: 379 X: 23.7275 +INDEX GOES BRRR: 449 X: 28.1182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.74414 +INDEX GOES BRRR: 128 X: 8.05664 +INDEX GOES BRRR: 151 X: 9.47656 +INDEX GOES BRRR: 205 X: 12.8301 +INDEX GOES BRRR: 169 X: 10.6201 +INDEX GOES BRRR: 14 X: 0.916992 +INDEX GOES BRRR: 177 X: 11.123 +INDEX GOES BRRR: 1014 X: -0.599609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0801 +INDEX GOES BRRR: 23 X: 1.45605 +INDEX GOES BRRR: 13 X: 0.834961 +INDEX GOES BRRR: 933 X: -5.67773 +INDEX GOES BRRR: 142 X: 8.8916 +INDEX GOES BRRR: 78 X: 4.91797 +INDEX GOES BRRR: 178 X: 11.1328 +INDEX GOES BRRR: 194 X: 12.167 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 22 X: 1.3916 +INDEX GOES BRRR: 928 X: -5.95605 +INDEX GOES BRRR: 97 X: 6.11523 +INDEX GOES BRRR: 1011 X: -0.785156 +INDEX GOES BRRR: 224 X: 14.0117 +INDEX GOES BRRR: 117 X: 7.34961 +INDEX GOES BRRR: 166 X: 10.415 +INDEX GOES BRRR: 285 X: 17.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9219 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 15 X: 0.939453 +INDEX GOES BRRR: 187 X: 11.7188 +INDEX GOES BRRR: 231 X: 14.4443 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 124 X: 7.79395 +INDEX GOES BRRR: 241 X: 15.0928 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.74805 +INDEX GOES BRRR: 158 X: 9.88086 +INDEX GOES BRRR: 21 X: 1.37012 +INDEX GOES BRRR: 151 X: 9.46387 +INDEX GOES BRRR: 439 X: 27.4824 +INDEX GOES BRRR: 272 X: 17.0322 +INDEX GOES BRRR: 105 X: 6.57227 +INDEX GOES BRRR: 61 X: 3.85449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5264 +INDEX GOES BRRR: 34 X: 2.17285 +INDEX GOES BRRR: 190 X: 11.8867 +INDEX GOES BRRR: 184 X: 11.5332 +INDEX GOES BRRR: 931 X: -5.7832 +INDEX GOES BRRR: 1016 X: -0.470703 +INDEX GOES BRRR: 35 X: 2.23633 +INDEX GOES BRRR: 916 X: -6.69434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.2295 +INDEX GOES BRRR: 394 X: 24.626 +INDEX GOES BRRR: 120 X: 7.53809 +INDEX GOES BRRR: 982 X: -2.57812 +INDEX GOES BRRR: 990 X: -2.06348 +INDEX GOES BRRR: 982 X: -2.58496 +INDEX GOES BRRR: 42 X: 2.67383 +INDEX GOES BRRR: 170 X: 10.6406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.35059 +INDEX GOES BRRR: 69 X: 4.34473 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 401 X: 25.0693 +INDEX GOES BRRR: 998 X: -1.58105 +INDEX GOES BRRR: 43 X: 2.74316 +INDEX GOES BRRR: 370 X: 23.1426 +INDEX GOES BRRR: 320 X: 20.0518 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.05176 +INDEX GOES BRRR: 984 X: -2.44336 +INDEX GOES BRRR: 165 X: 10.3174 +INDEX GOES BRRR: 351 X: 21.9521 +INDEX GOES BRRR: 219 X: 13.7432 +INDEX GOES BRRR: 1014 X: -0.571289 +INDEX GOES BRRR: 78 X: 4.92578 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.6982 +INDEX GOES BRRR: 119 X: 7.44238 +INDEX GOES BRRR: 105 X: 6.61426 +INDEX GOES BRRR: 149 X: 9.3418 +INDEX GOES BRRR: 811 X: -13.2842 +INDEX GOES BRRR: 63 X: 3.9834 +INDEX GOES BRRR: 875 X: -9.28809 +INDEX GOES BRRR: 89 X: 5.60156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.543 +INDEX GOES BRRR: 335 X: 20.9941 +INDEX GOES BRRR: 328 X: 20.5273 +INDEX GOES BRRR: 117 X: 7.35059 +INDEX GOES BRRR: 917 X: -6.68164 +INDEX GOES BRRR: 303 X: 18.9961 +INDEX GOES BRRR: 58 X: 3.66504 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.61914 +INDEX GOES BRRR: 150 X: 9.4082 +INDEX GOES BRRR: 58 X: 3.6582 +INDEX GOES BRRR: 139 X: 8.74023 +INDEX GOES BRRR: 337 X: 21.1191 +INDEX GOES BRRR: 68 X: 4.28613 +INDEX GOES BRRR: 97 X: 6.11328 +INDEX GOES BRRR: 242 X: 15.1455 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7031 +INDEX GOES BRRR: 69 X: 4.3584 +INDEX GOES BRRR: 45 X: 2.84082 +INDEX GOES BRRR: 95 X: 5.97559 +INDEX GOES BRRR: 37 X: 2.33398 +INDEX GOES BRRR: 67 X: 4.2041 +INDEX GOES BRRR: 985 X: -2.4209 +INDEX GOES BRRR: 140 X: 8.75586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 384 X: 24.0078 +INDEX GOES BRRR: 238 X: 14.9219 +INDEX GOES BRRR: 1002 X: -1.36914 +INDEX GOES BRRR: 108 X: 6.75293 +INDEX GOES BRRR: 103 X: 6.44922 +INDEX GOES BRRR: 926 X: -6.06543 +INDEX GOES BRRR: 126 X: 7.8877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8672 +INDEX GOES BRRR: 116 X: 7.29004 +INDEX GOES BRRR: 970 X: -3.35254 +INDEX GOES BRRR: 180 X: 11.2695 +INDEX GOES BRRR: 86 X: 5.39453 +INDEX GOES BRRR: 33 X: 2.11719 +INDEX GOES BRRR: 83 X: 5.23828 +INDEX GOES BRRR: 470 X: 29.3789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 330 X: 20.627 +INDEX GOES BRRR: 332 X: 20.7539 +INDEX GOES BRRR: 14 X: 0.90918 +INDEX GOES BRRR: 72 X: 4.54492 +INDEX GOES BRRR: 342 X: 21.4141 +INDEX GOES BRRR: 1022 X: -0.119141 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 450 X: 28.1387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9951 +INDEX GOES BRRR: 147 X: 9.23633 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 141 X: 8.86328 +INDEX GOES BRRR: 327 X: 20.4893 +INDEX GOES BRRR: 229 X: 14.3711 +INDEX GOES BRRR: 207 X: 12.9463 +INDEX GOES BRRR: 81 X: 5.07324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.94434 +INDEX GOES BRRR: 28 X: 1.76855 +INDEX GOES BRRR: 248 X: 15.5566 +INDEX GOES BRRR: 910 X: -7.06348 +INDEX GOES BRRR: 28 X: 1.80273 +INDEX GOES BRRR: 388 X: 24.2578 +INDEX GOES BRRR: 174 X: 10.8994 +INDEX GOES BRRR: 236 X: 14.7832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4463 +INDEX GOES BRRR: 327 X: 20.4883 +INDEX GOES BRRR: 268 X: 16.7891 +INDEX GOES BRRR: 1005 X: -1.15527 +INDEX GOES BRRR: 996 X: -1.71191 +INDEX GOES BRRR: 232 X: 14.5205 +INDEX GOES BRRR: 364 X: 22.7949 +INDEX GOES BRRR: 179 X: 11.2285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.8418 +INDEX GOES BRRR: 271 X: 16.9844 +INDEX GOES BRRR: 201 X: 12.5977 +INDEX GOES BRRR: 198 X: 12.4062 +INDEX GOES BRRR: 189 X: 11.8291 +INDEX GOES BRRR: 232 X: 14.502 +INDEX GOES BRRR: 363 X: 22.6953 +INDEX GOES BRRR: 466 X: 29.1602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 337 X: 21.1074 +INDEX GOES BRRR: 208 X: 13.0498 +INDEX GOES BRRR: 986 X: -2.36133 +INDEX GOES BRRR: 118 X: 7.42383 +INDEX GOES BRRR: 76 X: 4.77051 +INDEX GOES BRRR: 336 X: 21.0068 +INDEX GOES BRRR: 168 X: 10.5156 +INDEX GOES BRRR: 307 X: 19.2002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.8955 +INDEX GOES BRRR: 352 X: 22.0342 +INDEX GOES BRRR: 458 X: 28.6846 +INDEX GOES BRRR: 210 X: 13.1592 +INDEX GOES BRRR: 118 X: 7.42871 +INDEX GOES BRRR: 164 X: 10.2734 +INDEX GOES BRRR: 458 X: 28.6582 +INDEX GOES BRRR: 374 X: 23.4248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.84863 +INDEX GOES BRRR: 278 X: 17.3828 +INDEX GOES BRRR: 125 X: 7.84961 +INDEX GOES BRRR: 165 X: 10.3447 +INDEX GOES BRRR: 324 X: 20.2725 +INDEX GOES BRRR: 110 X: 6.92676 +INDEX GOES BRRR: 423 X: 26.4707 +INDEX GOES BRRR: 162 X: 10.1768 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 13 X: 0.87207 +INDEX GOES BRRR: 55 X: 3.47949 +INDEX GOES BRRR: 182 X: 11.4121 +INDEX GOES BRRR: 158 X: 9.92676 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 378 X: 23.6807 +INDEX GOES BRRR: 28 X: 1.79004 +INDEX GOES BRRR: 269 X: 16.8184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8281 +INDEX GOES BRRR: 229 X: 14.3223 +INDEX GOES BRRR: 409 X: 25.6133 +INDEX GOES BRRR: 147 X: 9.19824 +INDEX GOES BRRR: 299 X: 18.7305 +INDEX GOES BRRR: 191 X: 11.9639 +INDEX GOES BRRR: 275 X: 17.1953 +INDEX GOES BRRR: 281 X: 17.585 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.79883 +INDEX GOES BRRR: 175 X: 10.9688 +INDEX GOES BRRR: 47 X: 2.94141 +INDEX GOES BRRR: 49 X: 3.06641 +INDEX GOES BRRR: 157 X: 9.83008 +INDEX GOES BRRR: 176 X: 11.0518 +INDEX GOES BRRR: 134 X: 8.40137 +INDEX GOES BRRR: 93 X: 5.84863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 69 X: 4.37109 +INDEX GOES BRRR: 963 X: -3.79297 +INDEX GOES BRRR: 477 X: 29.8252 +INDEX GOES BRRR: 17 X: 1.09863 +INDEX GOES BRRR: 265 X: 16.5908 +INDEX GOES BRRR: 58 X: 3.6416 +INDEX GOES BRRR: 5 X: 0.323242 +INDEX GOES BRRR: 257 X: 16.0762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 374 X: 23.4268 +INDEX GOES BRRR: 72 X: 4.55664 +INDEX GOES BRRR: 254 X: 15.9004 +INDEX GOES BRRR: 912 X: -6.97656 +INDEX GOES BRRR: 154 X: 9.63184 +INDEX GOES BRRR: 197 X: 12.3682 +INDEX GOES BRRR: 259 X: 16.2168 +INDEX GOES BRRR: 179 X: 11.2109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.5957 +INDEX GOES BRRR: 317 X: 19.8164 +INDEX GOES BRRR: 406 X: 25.3799 +INDEX GOES BRRR: 116 X: 7.30957 +INDEX GOES BRRR: 281 X: 17.5742 +INDEX GOES BRRR: 124 X: 7.80566 +INDEX GOES BRRR: 142 X: 8.88379 +INDEX GOES BRRR: 912 X: -6.97266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 900 X: -7.72266 +INDEX GOES BRRR: 432 X: 27.0186 +INDEX GOES BRRR: 52 X: 3.25 +INDEX GOES BRRR: 247 X: 15.46 +INDEX GOES BRRR: 351 X: 21.9648 +INDEX GOES BRRR: 199 X: 12.4941 +INDEX GOES BRRR: 1016 X: -0.480469 +INDEX GOES BRRR: 171 X: 10.7432 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.56934 +INDEX GOES BRRR: 189 X: 11.8467 +INDEX GOES BRRR: 980 X: -2.72266 +INDEX GOES BRRR: 89 X: 5.56543 +INDEX GOES BRRR: 893 X: -8.13086 +INDEX GOES BRRR: 170 X: 10.6504 +INDEX GOES BRRR: 249 X: 15.6152 +INDEX GOES BRRR: 121 X: 7.5752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1 X: 0.0898438 +INDEX GOES BRRR: 162 X: 10.1582 +INDEX GOES BRRR: 74 X: 4.68652 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 375 X: 23.4395 +INDEX GOES BRRR: 225 X: 14.0742 +INDEX GOES BRRR: 16 X: 1.00488 +INDEX GOES BRRR: 899 X: -7.75586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.2207 +INDEX GOES BRRR: 353 X: 22.1143 +INDEX GOES BRRR: 176 X: 11.0312 +INDEX GOES BRRR: 984 X: -2.47754 +INDEX GOES BRRR: 917 X: -6.62598 +INDEX GOES BRRR: 230 X: 14.4033 +INDEX GOES BRRR: 342 X: 21.4023 +INDEX GOES BRRR: 196 X: 12.292 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.07129 +INDEX GOES BRRR: 85 X: 5.33887 +INDEX GOES BRRR: 306 X: 19.1602 +INDEX GOES BRRR: 70 X: 4.375 +INDEX GOES BRRR: 208 X: 13.0137 +INDEX GOES BRRR: 107 X: 6.72168 +INDEX GOES BRRR: 2 X: 0.183594 +INDEX GOES BRRR: 86 X: 5.39062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 257 X: 16.0791 +INDEX GOES BRRR: 45 X: 2.86719 +INDEX GOES BRRR: 394 X: 24.6846 +INDEX GOES BRRR: 206 X: 12.9287 +INDEX GOES BRRR: 281 X: 17.6104 +INDEX GOES BRRR: 225 X: 14.0645 +INDEX GOES BRRR: 438 X: 27.3936 +INDEX GOES BRRR: 277 X: 17.3457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 418 X: 26.1729 +INDEX GOES BRRR: 273 X: 17.1162 +INDEX GOES BRRR: 814 X: -13.0684 +INDEX GOES BRRR: 163 X: 10.1992 +INDEX GOES BRRR: 454 X: 28.3916 +INDEX GOES BRRR: 128 X: 8.04395 +INDEX GOES BRRR: 237 X: 14.8271 +INDEX GOES BRRR: 449 X: 28.0752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8389 +INDEX GOES BRRR: 995 X: -1.79492 +INDEX GOES BRRR: 186 X: 11.667 +INDEX GOES BRRR: 161 X: 10.1133 +INDEX GOES BRRR: 304 X: 19.0498 +INDEX GOES BRRR: 240 X: 15 +INDEX GOES BRRR: 198 X: 12.3809 +INDEX GOES BRRR: 95 X: 5.99219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0977 +INDEX GOES BRRR: 977 X: -2.8916 +INDEX GOES BRRR: 437 X: 27.3574 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1010 X: -0.855469 +INDEX GOES BRRR: 320 X: 20.0059 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 252 X: 15.8047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.2207 +INDEX GOES BRRR: 235 X: 14.7451 +INDEX GOES BRRR: 982 X: -2.58594 +INDEX GOES BRRR: 271 X: 16.9541 +INDEX GOES BRRR: 139 X: 8.72461 +INDEX GOES BRRR: 342 X: 21.4023 +INDEX GOES BRRR: 184 X: 11.5098 +INDEX GOES BRRR: 192 X: 12.0508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.43555 +INDEX GOES BRRR: 163 X: 10.1992 +INDEX GOES BRRR: 68 X: 4.30859 +INDEX GOES BRRR: 290 X: 18.1572 +INDEX GOES BRRR: 428 X: 26.7822 +INDEX GOES BRRR: 159 X: 9.94922 +INDEX GOES BRRR: 832 X: -11.9463 +INDEX GOES BRRR: 51 X: 3.21875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.3584 +INDEX GOES BRRR: 317 X: 19.8379 +INDEX GOES BRRR: 320 X: 20.0146 +INDEX GOES BRRR: 172 X: 10.7666 +INDEX GOES BRRR: 1022 X: -0.106445 +INDEX GOES BRRR: 1000 X: -1.46484 +INDEX GOES BRRR: 77 X: 4.83691 +INDEX GOES BRRR: 102 X: 6.43555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.377 +INDEX GOES BRRR: 38 X: 2.4209 +INDEX GOES BRRR: 937 X: -5.43164 +INDEX GOES BRRR: 43 X: 2.72949 +INDEX GOES BRRR: 203 X: 12.6934 +INDEX GOES BRRR: 984 X: -2.49609 +INDEX GOES BRRR: 60 X: 3.80762 +INDEX GOES BRRR: 872 X: -9.46875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.05664 +INDEX GOES BRRR: 109 X: 6.82324 +INDEX GOES BRRR: 206 X: 12.8975 +INDEX GOES BRRR: 206 X: 12.9033 +INDEX GOES BRRR: 95 X: 5.96582 +INDEX GOES BRRR: 18 X: 1.15234 +INDEX GOES BRRR: 257 X: 16.0693 +INDEX GOES BRRR: 16 X: 1.01367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 125 X: 7.81641 +INDEX GOES BRRR: 156 X: 9.75391 +INDEX GOES BRRR: 926 X: -6.08203 +INDEX GOES BRRR: 235 X: 14.7285 +INDEX GOES BRRR: 879 X: -9.05762 +INDEX GOES BRRR: 119 X: 7.44336 +INDEX GOES BRRR: 195 X: 12.2119 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.51172 +INDEX GOES BRRR: 264 X: 16.5059 +INDEX GOES BRRR: 465 X: 29.0713 +INDEX GOES BRRR: 308 X: 19.2988 +INDEX GOES BRRR: 158 X: 9.89551 +INDEX GOES BRRR: 106 X: 6.67188 +INDEX GOES BRRR: 168 X: 10.543 +INDEX GOES BRRR: 74 X: 4.64551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.02832 +INDEX GOES BRRR: 87 X: 5.46973 +INDEX GOES BRRR: 41 X: 2.60449 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 947 X: -4.78223 +INDEX GOES BRRR: 81 X: 5.09668 +INDEX GOES BRRR: 277 X: 17.3691 +INDEX GOES BRRR: 331 X: 20.708 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.354492 +INDEX GOES BRRR: 298 X: 18.6641 +INDEX GOES BRRR: 325 X: 20.3555 +INDEX GOES BRRR: 29 X: 1.81543 +INDEX GOES BRRR: 1014 X: -0.56543 +INDEX GOES BRRR: 377 X: 23.5723 +INDEX GOES BRRR: 83 X: 5.2207 +INDEX GOES BRRR: 300 X: 18.7988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.4062 +INDEX GOES BRRR: 247 X: 15.4971 +INDEX GOES BRRR: 123 X: 7.73535 +INDEX GOES BRRR: 313 X: 19.583 +INDEX GOES BRRR: 251 X: 15.6904 +INDEX GOES BRRR: 227 X: 14.2451 +INDEX GOES BRRR: 243 X: 15.2354 +INDEX GOES BRRR: 928 X: -5.96387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4941 +INDEX GOES BRRR: 64 X: 4.06152 +INDEX GOES BRRR: 349 X: 21.8652 +INDEX GOES BRRR: 1006 X: -1.11035 +INDEX GOES BRRR: 133 X: 8.33008 +INDEX GOES BRRR: 53 X: 3.32031 +INDEX GOES BRRR: 100 X: 6.29688 +INDEX GOES BRRR: 118 X: 7.37793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5361 +INDEX GOES BRRR: 155 X: 9.74121 +INDEX GOES BRRR: 151 X: 9.47363 +INDEX GOES BRRR: 103 X: 6.49707 +INDEX GOES BRRR: 283 X: 17.7051 +INDEX GOES BRRR: 52 X: 3.30859 +INDEX GOES BRRR: 860 X: -10.2168 +INDEX GOES BRRR: 57 X: 3.60449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.04395 +INDEX GOES BRRR: 168 X: 10.502 +INDEX GOES BRRR: 243 X: 15.2148 +INDEX GOES BRRR: 827 X: -12.2998 +INDEX GOES BRRR: 450 X: 28.1631 +INDEX GOES BRRR: 265 X: 16.6152 +INDEX GOES BRRR: 680 X: -21.4414 +INDEX GOES BRRR: 204 X: 12.7529 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.3477 +INDEX GOES BRRR: 1016 X: -0.442383 +INDEX GOES BRRR: 8 X: 0.538086 +INDEX GOES BRRR: 174 X: 10.9355 +INDEX GOES BRRR: 970 X: -3.33398 +INDEX GOES BRRR: 191 X: 11.9805 +INDEX GOES BRRR: 162 X: 10.1523 +INDEX GOES BRRR: 372 X: 23.2715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3291 +INDEX GOES BRRR: 136 X: 8.55566 +INDEX GOES BRRR: 190 X: 11.8838 +INDEX GOES BRRR: 225 X: 14.1123 +INDEX GOES BRRR: 282 X: 17.6865 +INDEX GOES BRRR: 923 X: -6.27148 +INDEX GOES BRRR: 468 X: 29.2764 +INDEX GOES BRRR: 487 X: 30.4766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 274 X: 17.1631 +INDEX GOES BRRR: 258 X: 16.1611 +INDEX GOES BRRR: 32 X: 2.02832 +INDEX GOES BRRR: 118 X: 7.39258 +INDEX GOES BRRR: 339 X: 21.2393 +INDEX GOES BRRR: 46 X: 2.875 +INDEX GOES BRRR: 170 X: 10.6865 +INDEX GOES BRRR: 174 X: 10.9238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.123 +INDEX GOES BRRR: 85 X: 5.35547 +INDEX GOES BRRR: 230 X: 14.4131 +INDEX GOES BRRR: 79 X: 4.9541 +INDEX GOES BRRR: 189 X: 11.8643 +INDEX GOES BRRR: 1016 X: -0.487305 +INDEX GOES BRRR: 114 X: 7.17871 +INDEX GOES BRRR: 239 X: 14.9707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.52344 +INDEX GOES BRRR: 255 X: 15.9678 +INDEX GOES BRRR: 175 X: 10.9961 +INDEX GOES BRRR: 912 X: -6.95312 +INDEX GOES BRRR: 176 X: 11.04 +INDEX GOES BRRR: 60 X: 3.80371 +INDEX GOES BRRR: 142 X: 8.88281 +INDEX GOES BRRR: 218 X: 13.6475 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 393 X: 24.5947 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 165 X: 10.3242 +INDEX GOES BRRR: 287 X: 17.9736 +INDEX GOES BRRR: 277 X: 17.3613 +INDEX GOES BRRR: 220 X: 13.8115 +INDEX GOES BRRR: 303 X: 18.9893 +INDEX GOES BRRR: 243 X: 15.2207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.875 +INDEX GOES BRRR: 938 X: -5.31348 +INDEX GOES BRRR: 299 X: 18.7139 +INDEX GOES BRRR: 278 X: 17.3809 +INDEX GOES BRRR: 1006 X: -1.06348 +INDEX GOES BRRR: 798 X: -14.0703 +INDEX GOES BRRR: 98 X: 6.14941 +INDEX GOES BRRR: 139 X: 8.72363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.8818 +INDEX GOES BRRR: 125 X: 7.81543 +INDEX GOES BRRR: 1011 X: -0.806641 +INDEX GOES BRRR: 4 X: 0.289062 +INDEX GOES BRRR: 21 X: 1.36914 +INDEX GOES BRRR: 915 X: -6.75879 +INDEX GOES BRRR: 275 X: 17.2227 +INDEX GOES BRRR: 375 X: 23.4492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.77637 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 273 X: 17.0967 +INDEX GOES BRRR: 257 X: 16.1123 +INDEX GOES BRRR: 209 X: 13.0713 +INDEX GOES BRRR: 399 X: 24.9785 +INDEX GOES BRRR: 292 X: 18.293 +INDEX GOES BRRR: 968 X: -3.5 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.21289 +INDEX GOES BRRR: 341 X: 21.3428 +INDEX GOES BRRR: 1016 X: -0.441406 +INDEX GOES BRRR: 322 X: 20.1797 +INDEX GOES BRRR: 319 X: 19.9834 +INDEX GOES BRRR: 271 X: 16.9707 +INDEX GOES BRRR: 119 X: 7.44824 +INDEX GOES BRRR: 152 X: 9.50391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8574 +INDEX GOES BRRR: 145 X: 9.09668 +INDEX GOES BRRR: 194 X: 12.1826 +INDEX GOES BRRR: 373 X: 23.3193 +INDEX GOES BRRR: 260 X: 16.2744 +INDEX GOES BRRR: 314 X: 19.6299 +INDEX GOES BRRR: 924 X: -6.19043 +INDEX GOES BRRR: 870 X: -9.59375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.43555 +INDEX GOES BRRR: 385 X: 24.085 +INDEX GOES BRRR: 36 X: 2.2998 +INDEX GOES BRRR: 1004 X: -1.24121 +INDEX GOES BRRR: 153 X: 9.60059 +INDEX GOES BRRR: 472 X: 29.5254 +INDEX GOES BRRR: 252 X: 15.75 +INDEX GOES BRRR: 395 X: 24.7275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 376 X: 23.5605 +INDEX GOES BRRR: 422 X: 26.4229 +INDEX GOES BRRR: 117 X: 7.33984 +INDEX GOES BRRR: 432 X: 27.0488 +INDEX GOES BRRR: 111 X: 6.9707 +INDEX GOES BRRR: 100 X: 6.29004 +INDEX GOES BRRR: 305 X: 19.0742 +INDEX GOES BRRR: 328 X: 20.5107 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.8916 +INDEX GOES BRRR: 167 X: 10.4707 +INDEX GOES BRRR: 140 X: 8.76855 +INDEX GOES BRRR: 104 X: 6.52148 +INDEX GOES BRRR: 81 X: 5.08496 +INDEX GOES BRRR: 225 X: 14.0771 +INDEX GOES BRRR: 1022 X: -0.0957031 +INDEX GOES BRRR: 187 X: 11.7373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.624 +INDEX GOES BRRR: 336 X: 21.0391 +INDEX GOES BRRR: 69 X: 4.33594 +INDEX GOES BRRR: 198 X: 12.377 +INDEX GOES BRRR: 56 X: 3.51953 +INDEX GOES BRRR: 174 X: 10.8936 +INDEX GOES BRRR: 271 X: 16.9395 +INDEX GOES BRRR: 98 X: 6.13281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.76855 +INDEX GOES BRRR: 375 X: 23.4609 +INDEX GOES BRRR: 101 X: 6.33789 +INDEX GOES BRRR: 64 X: 4.02734 +INDEX GOES BRRR: 106 X: 6.64746 +INDEX GOES BRRR: 915 X: -6.75195 +INDEX GOES BRRR: 229 X: 14.3721 +INDEX GOES BRRR: 450 X: 28.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.81445 +INDEX GOES BRRR: 39 X: 2.44629 +INDEX GOES BRRR: 183 X: 11.4434 +INDEX GOES BRRR: 78 X: 4.91602 +INDEX GOES BRRR: 75 X: 4.70703 +INDEX GOES BRRR: 277 X: 17.3398 +INDEX GOES BRRR: 212 X: 13.2832 +INDEX GOES BRRR: 981 X: -2.66406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.543 +INDEX GOES BRRR: 924 X: -6.24316 +INDEX GOES BRRR: 409 X: 25.6143 +INDEX GOES BRRR: 29 X: 1.83887 +INDEX GOES BRRR: 99 X: 6.24316 +INDEX GOES BRRR: 10 X: 0.652344 +INDEX GOES BRRR: 260 X: 16.2578 +INDEX GOES BRRR: 47 X: 2.98926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.49316 +INDEX GOES BRRR: 162 X: 10.1338 +INDEX GOES BRRR: 60 X: 3.75 +INDEX GOES BRRR: 357 X: 22.3711 +INDEX GOES BRRR: 242 X: 15.1289 +INDEX GOES BRRR: 1010 X: -0.825195 +INDEX GOES BRRR: 16 X: 1.05566 +INDEX GOES BRRR: 258 X: 16.1338 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.96387 +INDEX GOES BRRR: 130 X: 8.16797 +INDEX GOES BRRR: 239 X: 14.9746 +INDEX GOES BRRR: 900 X: -7.69336 +INDEX GOES BRRR: 94 X: 5.89941 +INDEX GOES BRRR: 83 X: 5.24023 +INDEX GOES BRRR: 128 X: 8.03711 +INDEX GOES BRRR: 943 X: -5.05273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 978 X: -2.82031 +INDEX GOES BRRR: 228 X: 14.2891 +INDEX GOES BRRR: 161 X: 10.0869 +INDEX GOES BRRR: 118 X: 7.37793 +INDEX GOES BRRR: 250 X: 15.6426 +INDEX GOES BRRR: 234 X: 14.6377 +INDEX GOES BRRR: 382 X: 23.8955 +INDEX GOES BRRR: 981 X: -2.67773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1436 +INDEX GOES BRRR: 161 X: 10.0918 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 165 X: 10.373 +INDEX GOES BRRR: 968 X: -3.49902 +INDEX GOES BRRR: 165 X: 10.3457 +INDEX GOES BRRR: 278 X: 17.4072 +INDEX GOES BRRR: 197 X: 12.3594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.6592 +INDEX GOES BRRR: 994 X: -1.86914 +INDEX GOES BRRR: 76 X: 4.77832 +INDEX GOES BRRR: 301 X: 18.8662 +INDEX GOES BRRR: 231 X: 14.4619 +INDEX GOES BRRR: 135 X: 8.47559 +INDEX GOES BRRR: 45 X: 2.82812 +INDEX GOES BRRR: 162 X: 10.165 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 364 X: 22.7598 +INDEX GOES BRRR: 131 X: 8.2373 +INDEX GOES BRRR: 152 X: 9.50586 +INDEX GOES BRRR: 275 X: 17.1982 +INDEX GOES BRRR: 160 X: 10.002 +INDEX GOES BRRR: 93 X: 5.87402 +INDEX GOES BRRR: 44 X: 2.75977 +INDEX GOES BRRR: 335 X: 20.9414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 393 X: 24.5996 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 999 X: -1.53516 +INDEX GOES BRRR: 944 X: -5 +INDEX GOES BRRR: 217 X: 13.6143 +INDEX GOES BRRR: 1022 X: -0.0839844 +INDEX GOES BRRR: 448 X: 28.0117 +INDEX GOES BRRR: 244 X: 15.2549 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.75488 +INDEX GOES BRRR: 180 X: 11.3057 +INDEX GOES BRRR: 224 X: 14.0137 +INDEX GOES BRRR: 332 X: 20.8105 +INDEX GOES BRRR: 134 X: 8.41309 +INDEX GOES BRRR: 217 X: 13.5996 +INDEX GOES BRRR: 94 X: 5.89355 +INDEX GOES BRRR: 66 X: 4.18555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 286 X: 17.8887 +INDEX GOES BRRR: 112 X: 7.00781 +INDEX GOES BRRR: 337 X: 21.085 +INDEX GOES BRRR: 265 X: 16.6172 +INDEX GOES BRRR: 143 X: 8.9375 +INDEX GOES BRRR: 358 X: 22.4326 +INDEX GOES BRRR: 305 X: 19.0996 +INDEX GOES BRRR: 332 X: 20.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 809 X: -13.3818 +INDEX GOES BRRR: 959 X: -4.04004 +INDEX GOES BRRR: 984 X: -2.44336 +INDEX GOES BRRR: 159 X: 9.98438 +INDEX GOES BRRR: 392 X: 24.5361 +INDEX GOES BRRR: 74 X: 4.67285 +INDEX GOES BRRR: 355 X: 22.2363 +INDEX GOES BRRR: 278 X: 17.418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5225 +INDEX GOES BRRR: 445 X: 27.8213 +INDEX GOES BRRR: 327 X: 20.4766 +INDEX GOES BRRR: 107 X: 6.72656 +INDEX GOES BRRR: 65 X: 4.09961 +INDEX GOES BRRR: 85 X: 5.35547 +INDEX GOES BRRR: 110 X: 6.93555 +INDEX GOES BRRR: 247 X: 15.4492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 941 X: -5.17188 +INDEX GOES BRRR: 279 X: 17.4551 +INDEX GOES BRRR: 303 X: 18.9854 +INDEX GOES BRRR: 370 X: 23.1582 +INDEX GOES BRRR: 363 X: 22.6895 +INDEX GOES BRRR: 93 X: 5.86816 +INDEX GOES BRRR: 854 X: -10.5635 +INDEX GOES BRRR: 152 X: 9.50293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.06738 +INDEX GOES BRRR: 238 X: 14.8926 +INDEX GOES BRRR: 157 X: 9.85547 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 129 X: 8.09863 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 254 X: 15.9287 +INDEX GOES BRRR: 215 X: 13.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.17285 +INDEX GOES BRRR: 350 X: 21.8926 +INDEX GOES BRRR: 38 X: 2.3916 +INDEX GOES BRRR: 191 X: 11.9824 +INDEX GOES BRRR: 274 X: 17.1436 +INDEX GOES BRRR: 416 X: 26.0332 +INDEX GOES BRRR: 959 X: -4.0625 +INDEX GOES BRRR: 262 X: 16.4141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4385 +INDEX GOES BRRR: 442 X: 27.6787 +INDEX GOES BRRR: 140 X: 8.77246 +INDEX GOES BRRR: 156 X: 9.75 +INDEX GOES BRRR: 995 X: -1.76172 +INDEX GOES BRRR: 290 X: 18.1289 +INDEX GOES BRRR: 52 X: 3.26562 +INDEX GOES BRRR: 65 X: 4.07422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 84 X: 5.25195 +INDEX GOES BRRR: 233 X: 14.6182 +INDEX GOES BRRR: 930 X: -5.85254 +INDEX GOES BRRR: 380 X: 23.7617 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 18 X: 1.16992 +INDEX GOES BRRR: 308 X: 19.2539 +INDEX GOES BRRR: 260 X: 16.2979 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 90 X: 5.62793 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 900 X: -7.70996 +INDEX GOES BRRR: 278 X: 17.4023 +INDEX GOES BRRR: 268 X: 16.8115 +INDEX GOES BRRR: 192 X: 12.001 +INDEX GOES BRRR: 74 X: 4.66895 +INDEX GOES BRRR: 1009 X: -0.932617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3594 +INDEX GOES BRRR: 61 X: 3.8418 +INDEX GOES BRRR: 161 X: 10.0684 +INDEX GOES BRRR: 198 X: 12.4258 +INDEX GOES BRRR: 95 X: 5.95703 +INDEX GOES BRRR: 105 X: 6.62012 +INDEX GOES BRRR: 139 X: 8.73242 +INDEX GOES BRRR: 922 X: -6.3457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3477 +INDEX GOES BRRR: 47 X: 2.96387 +INDEX GOES BRRR: 55 X: 3.4668 +INDEX GOES BRRR: 210 X: 13.1309 +INDEX GOES BRRR: 299 X: 18.7295 +INDEX GOES BRRR: 454 X: 28.4121 +INDEX GOES BRRR: 160 X: 10.0088 +INDEX GOES BRRR: 260 X: 16.2812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.7285 +INDEX GOES BRRR: 214 X: 13.4258 +INDEX GOES BRRR: 214 X: 13.3809 +INDEX GOES BRRR: 92 X: 5.78125 +INDEX GOES BRRR: 211 X: 13.2217 +INDEX GOES BRRR: 132 X: 8.30566 +INDEX GOES BRRR: 21 X: 1.36914 +INDEX GOES BRRR: 302 X: 18.9277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.35742 +INDEX GOES BRRR: 88 X: 5.54492 +INDEX GOES BRRR: 109 X: 6.86816 +INDEX GOES BRRR: 884 X: -8.70312 +INDEX GOES BRRR: 115 X: 7.22656 +INDEX GOES BRRR: 968 X: -3.49805 +INDEX GOES BRRR: 161 X: 10.0986 +INDEX GOES BRRR: 255 X: 15.96 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.54004 +INDEX GOES BRRR: 157 X: 9.8252 +INDEX GOES BRRR: 71 X: 4.46777 +INDEX GOES BRRR: 38 X: 2.39258 +INDEX GOES BRRR: 1006 X: -1.10352 +INDEX GOES BRRR: 214 X: 13.3916 +INDEX GOES BRRR: 1016 X: -0.451172 +INDEX GOES BRRR: 69 X: 4.37305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4443 +INDEX GOES BRRR: 220 X: 13.7959 +INDEX GOES BRRR: 377 X: 23.5752 +INDEX GOES BRRR: 145 X: 9.0791 +INDEX GOES BRRR: 217 X: 13.624 +INDEX GOES BRRR: 178 X: 11.1836 +INDEX GOES BRRR: 996 X: -1.7041 +INDEX GOES BRRR: 165 X: 10.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.71289 +INDEX GOES BRRR: 22 X: 1.39941 +INDEX GOES BRRR: 220 X: 13.8086 +INDEX GOES BRRR: 158 X: 9.88477 +INDEX GOES BRRR: 394 X: 24.6289 +INDEX GOES BRRR: 773 X: -15.6738 +INDEX GOES BRRR: 65 X: 4.09766 +INDEX GOES BRRR: 109 X: 6.83789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.07129 +INDEX GOES BRRR: 219 X: 13.748 +INDEX GOES BRRR: 139 X: 8.73828 +INDEX GOES BRRR: 67 X: 4.21094 +INDEX GOES BRRR: 112 X: 7.04785 +INDEX GOES BRRR: 465 X: 29.0918 +INDEX GOES BRRR: 176 X: 11.0137 +INDEX GOES BRRR: 28 X: 1.76562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 928 X: -5.97168 +INDEX GOES BRRR: 983 X: -2.55957 +INDEX GOES BRRR: 230 X: 14.375 +INDEX GOES BRRR: 63 X: 3.95117 +INDEX GOES BRRR: 261 X: 16.373 +INDEX GOES BRRR: 113 X: 7.0791 +INDEX GOES BRRR: 264 X: 16.5107 +INDEX GOES BRRR: 152 X: 9.55469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 889 X: -8.38379 +INDEX GOES BRRR: 143 X: 8.96289 +INDEX GOES BRRR: 147 X: 9.2041 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 324 X: 20.2881 +INDEX GOES BRRR: 94 X: 5.90918 +INDEX GOES BRRR: 272 X: 17.0518 +INDEX GOES BRRR: 209 X: 13.1182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.56641 +INDEX GOES BRRR: 295 X: 18.499 +INDEX GOES BRRR: 1003 X: -1.30176 +INDEX GOES BRRR: 62 X: 3.91113 +INDEX GOES BRRR: 74 X: 4.62695 +INDEX GOES BRRR: 100 X: 6.29102 +INDEX GOES BRRR: 1005 X: -1.18652 +INDEX GOES BRRR: 1011 X: -0.791016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.5166 +INDEX GOES BRRR: 173 X: 10.8486 +INDEX GOES BRRR: 1007 X: -1.01074 +INDEX GOES BRRR: 112 X: 7.03711 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 104 X: 6.52637 +INDEX GOES BRRR: 292 X: 18.2764 +INDEX GOES BRRR: 857 X: -10.418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.752 +INDEX GOES BRRR: 477 X: 29.8232 +INDEX GOES BRRR: 1019 X: -0.3125 +INDEX GOES BRRR: 11 X: 0.714844 +INDEX GOES BRRR: 248 X: 15.5293 +INDEX GOES BRRR: 960 X: -3.94141 +INDEX GOES BRRR: 240 X: 15.0088 +INDEX GOES BRRR: 895 X: -8.04883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.34473 +INDEX GOES BRRR: 410 X: 25.6436 +INDEX GOES BRRR: 143 X: 8.9707 +INDEX GOES BRRR: 265 X: 16.5889 +INDEX GOES BRRR: 939 X: -5.26172 +INDEX GOES BRRR: 955 X: -4.28809 +INDEX GOES BRRR: 193 X: 12.0752 +INDEX GOES BRRR: 108 X: 6.75098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.72754 +INDEX GOES BRRR: 50 X: 3.17871 +INDEX GOES BRRR: 276 X: 17.2979 +INDEX GOES BRRR: 61 X: 3.86133 +INDEX GOES BRRR: 275 X: 17.2383 +INDEX GOES BRRR: 97 X: 6.08789 +INDEX GOES BRRR: 155 X: 9.72461 +INDEX GOES BRRR: 840 X: -11.459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.16602 +INDEX GOES BRRR: 1020 X: -0.25 +INDEX GOES BRRR: 968 X: -3.44141 +INDEX GOES BRRR: 296 X: 18.5244 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 203 X: 12.7334 +INDEX GOES BRRR: 133 X: 8.3584 +INDEX GOES BRRR: 165 X: 10.3379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 345 X: 21.5918 +INDEX GOES BRRR: 59 X: 3.7041 +INDEX GOES BRRR: 187 X: 11.6895 +INDEX GOES BRRR: 142 X: 8.90137 +INDEX GOES BRRR: 252 X: 15.7988 +INDEX GOES BRRR: 263 X: 16.4443 +INDEX GOES BRRR: 1001 X: -1.38184 +INDEX GOES BRRR: 181 X: 11.3203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5557 +INDEX GOES BRRR: 203 X: 12.7236 +INDEX GOES BRRR: 142 X: 8.89258 +INDEX GOES BRRR: 43 X: 2.73828 +INDEX GOES BRRR: 130 X: 8.16211 +INDEX GOES BRRR: 1023 X: -0.0439453 +INDEX GOES BRRR: 283 X: 17.7012 +INDEX GOES BRRR: 129 X: 8.10742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.2383 +INDEX GOES BRRR: 106 X: 6.67188 +INDEX GOES BRRR: 58 X: 3.65332 +INDEX GOES BRRR: 68 X: 4.27441 +INDEX GOES BRRR: 864 X: -9.97266 +INDEX GOES BRRR: 975 X: -3.02148 +INDEX GOES BRRR: 167 X: 10.4424 +INDEX GOES BRRR: 179 X: 11.2178 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.2451 +INDEX GOES BRRR: 160 X: 10.0176 +INDEX GOES BRRR: 260 X: 16.3057 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 400 X: 25.0342 +INDEX GOES BRRR: 163 X: 10.2422 +INDEX GOES BRRR: 122 X: 7.67676 +INDEX GOES BRRR: 471 X: 29.4775 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.74512 +INDEX GOES BRRR: 785 X: -14.8779 +INDEX GOES BRRR: 207 X: 12.9668 +INDEX GOES BRRR: 400 X: 25.04 +INDEX GOES BRRR: 828 X: -12.2256 +INDEX GOES BRRR: 167 X: 10.46 +INDEX GOES BRRR: 28 X: 1.79883 +INDEX GOES BRRR: 472 X: 29.5127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 90 X: 5.66016 +INDEX GOES BRRR: 207 X: 12.998 +INDEX GOES BRRR: 233 X: 14.5967 +INDEX GOES BRRR: 990 X: -2.08398 +INDEX GOES BRRR: 318 X: 19.9102 +INDEX GOES BRRR: 194 X: 12.1279 +INDEX GOES BRRR: 259 X: 16.1992 +INDEX GOES BRRR: 944 X: -4.96777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.78906 +INDEX GOES BRRR: 56 X: 3.50488 +INDEX GOES BRRR: 66 X: 4.17578 +INDEX GOES BRRR: 115 X: 7.21387 +INDEX GOES BRRR: 138 X: 8.65039 +INDEX GOES BRRR: 107 X: 6.70508 +INDEX GOES BRRR: 164 X: 10.2627 +INDEX GOES BRRR: 257 X: 16.0723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.11621 +INDEX GOES BRRR: 797 X: -14.1494 +INDEX GOES BRRR: 406 X: 25.4248 +INDEX GOES BRRR: 247 X: 15.4795 +INDEX GOES BRRR: 257 X: 16.1133 +INDEX GOES BRRR: 282 X: 17.6475 +INDEX GOES BRRR: 462 X: 28.9072 +INDEX GOES BRRR: 628 X: -24.7178 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.36523 +INDEX GOES BRRR: 153 X: 9.62305 +INDEX GOES BRRR: 162 X: 10.1543 +INDEX GOES BRRR: 214 X: 13.3984 +INDEX GOES BRRR: 299 X: 18.7207 +INDEX GOES BRRR: 375 X: 23.4648 +INDEX GOES BRRR: 318 X: 19.9316 +INDEX GOES BRRR: 122 X: 7.62793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.40918 +INDEX GOES BRRR: 987 X: -2.26758 +INDEX GOES BRRR: 109 X: 6.86133 +INDEX GOES BRRR: 867 X: -9.78906 +INDEX GOES BRRR: 170 X: 10.6426 +INDEX GOES BRRR: 823 X: -12.5303 +INDEX GOES BRRR: 383 X: 23.9951 +INDEX GOES BRRR: 203 X: 12.7412 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.54883 +INDEX GOES BRRR: 134 X: 8.43066 +INDEX GOES BRRR: 215 X: 13.4609 +INDEX GOES BRRR: 108 X: 6.76367 +INDEX GOES BRRR: 0 X: 0.000976562 +INDEX GOES BRRR: 86 X: 5.41602 +INDEX GOES BRRR: 124 X: 7.7998 +INDEX GOES BRRR: 981 X: -2.64062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.47461 +INDEX GOES BRRR: 131 X: 8.1875 +INDEX GOES BRRR: 895 X: -8.00098 +INDEX GOES BRRR: 409 X: 25.6006 +INDEX GOES BRRR: 117 X: 7.36035 +INDEX GOES BRRR: 343 X: 21.4795 +INDEX GOES BRRR: 181 X: 11.3496 +INDEX GOES BRRR: 131 X: 8.21191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 405 X: 25.3721 +INDEX GOES BRRR: 89 X: 5.58105 +INDEX GOES BRRR: 261 X: 16.3467 +INDEX GOES BRRR: 889 X: -8.39453 +INDEX GOES BRRR: 128 X: 8.06055 +INDEX GOES BRRR: 7 X: 0.478516 +INDEX GOES BRRR: 329 X: 20.5996 +INDEX GOES BRRR: 996 X: -1.72168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.69336 +INDEX GOES BRRR: 149 X: 9.35938 +INDEX GOES BRRR: 125 X: 7.83984 +INDEX GOES BRRR: 258 X: 16.1387 +INDEX GOES BRRR: 276 X: 17.2686 +INDEX GOES BRRR: 965 X: -3.62891 +INDEX GOES BRRR: 56 X: 3.56152 +INDEX GOES BRRR: 105 X: 6.56348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 176 X: 11.0332 +INDEX GOES BRRR: 442 X: 27.6748 +INDEX GOES BRRR: 431 X: 26.9805 +INDEX GOES BRRR: 183 X: 11.4727 +INDEX GOES BRRR: 96 X: 6.02441 +INDEX GOES BRRR: 306 X: 19.1348 +INDEX GOES BRRR: 236 X: 14.7578 +INDEX GOES BRRR: 158 X: 9.89746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 967 X: -3.51172 +INDEX GOES BRRR: 373 X: 23.3359 +INDEX GOES BRRR: 339 X: 21.2314 +INDEX GOES BRRR: 204 X: 12.7842 +INDEX GOES BRRR: 987 X: -2.26172 +INDEX GOES BRRR: 72 X: 4.50098 +INDEX GOES BRRR: 148 X: 9.25195 +INDEX GOES BRRR: 247 X: 15.4541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 282 X: 17.6348 +INDEX GOES BRRR: 78 X: 4.89062 +INDEX GOES BRRR: 142 X: 8.89551 +INDEX GOES BRRR: 111 X: 6.9541 +INDEX GOES BRRR: 231 X: 14.4668 +INDEX GOES BRRR: 904 X: -7.49902 +INDEX GOES BRRR: 133 X: 8.3623 +INDEX GOES BRRR: 12 X: 0.806641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.904297 +INDEX GOES BRRR: 396 X: 24.7695 +INDEX GOES BRRR: 224 X: 14.0166 +INDEX GOES BRRR: 21 X: 1.3457 +INDEX GOES BRRR: 182 X: 11.4023 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 135 X: 8.45117 +INDEX GOES BRRR: 103 X: 6.49219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.82422 +INDEX GOES BRRR: 141 X: 8.81641 +INDEX GOES BRRR: 286 X: 17.9258 +INDEX GOES BRRR: 91 X: 5.71484 +INDEX GOES BRRR: 140 X: 8.75586 +INDEX GOES BRRR: 132 X: 8.25 +INDEX GOES BRRR: 98 X: 6.17285 +INDEX GOES BRRR: 275 X: 17.1904 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.4248 +INDEX GOES BRRR: 279 X: 17.4814 +INDEX GOES BRRR: 134 X: 8.43164 +INDEX GOES BRRR: 65 X: 4.09863 +INDEX GOES BRRR: 250 X: 15.6553 +INDEX GOES BRRR: 417 X: 26.085 +INDEX GOES BRRR: 56 X: 3.54883 +INDEX GOES BRRR: 323 X: 20.2109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 399 X: 24.9668 +INDEX GOES BRRR: 972 X: -3.19531 +INDEX GOES BRRR: 232 X: 14.5449 +INDEX GOES BRRR: 973 X: -3.14746 +INDEX GOES BRRR: 4 X: 0.266602 +INDEX GOES BRRR: 212 X: 13.3008 +INDEX GOES BRRR: 321 X: 20.0967 +INDEX GOES BRRR: 168 X: 10.5225 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.21387 +INDEX GOES BRRR: 343 X: 21.4727 +INDEX GOES BRRR: 266 X: 16.6377 +INDEX GOES BRRR: 24 X: 1.54297 +INDEX GOES BRRR: 346 X: 21.6816 +INDEX GOES BRRR: 114 X: 7.17969 +INDEX GOES BRRR: 309 X: 19.3564 +INDEX GOES BRRR: 1010 X: -0.820312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1719 +INDEX GOES BRRR: 173 X: 10.8506 +INDEX GOES BRRR: 334 X: 20.9111 +INDEX GOES BRRR: 68 X: 4.25195 +INDEX GOES BRRR: 250 X: 15.6279 +INDEX GOES BRRR: 956 X: -4.19531 +INDEX GOES BRRR: 343 X: 21.4814 +INDEX GOES BRRR: 211 X: 13.2158 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 456 X: 28.5 +INDEX GOES BRRR: 300 X: 18.7754 +INDEX GOES BRRR: 47 X: 2.96094 +INDEX GOES BRRR: 255 X: 15.9678 +INDEX GOES BRRR: 134 X: 8.38379 +INDEX GOES BRRR: 325 X: 20.3389 +INDEX GOES BRRR: 937 X: -5.41895 +INDEX GOES BRRR: 399 X: 24.9385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7676 +INDEX GOES BRRR: 1011 X: -0.796875 +INDEX GOES BRRR: 860 X: -10.209 +INDEX GOES BRRR: 206 X: 12.8789 +INDEX GOES BRRR: 133 X: 8.35059 +INDEX GOES BRRR: 3 X: 0.208008 +INDEX GOES BRRR: 286 X: 17.9336 +INDEX GOES BRRR: 35 X: 2.24609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 928 X: -5.98828 +INDEX GOES BRRR: 355 X: 22.2373 +INDEX GOES BRRR: 173 X: 10.8594 +INDEX GOES BRRR: 306 X: 19.1602 +INDEX GOES BRRR: 292 X: 18.2969 +INDEX GOES BRRR: 126 X: 7.8916 +INDEX GOES BRRR: 962 X: -3.84863 +INDEX GOES BRRR: 259 X: 16.2227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.16016 +INDEX GOES BRRR: 289 X: 18.084 +INDEX GOES BRRR: 276 X: 17.2578 +INDEX GOES BRRR: 153 X: 9.59668 +INDEX GOES BRRR: 224 X: 14.0244 +INDEX GOES BRRR: 57 X: 3.57227 +INDEX GOES BRRR: 394 X: 24.6377 +INDEX GOES BRRR: 159 X: 9.94629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.28223 +INDEX GOES BRRR: 920 X: -6.46484 +INDEX GOES BRRR: 51 X: 3.20898 +INDEX GOES BRRR: 102 X: 6.38867 +INDEX GOES BRRR: 83 X: 5.23438 +INDEX GOES BRRR: 320 X: 20.0215 +INDEX GOES BRRR: 485 X: 30.3135 +INDEX GOES BRRR: 961 X: -3.91406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.31934 +INDEX GOES BRRR: 88 X: 5.52246 +INDEX GOES BRRR: 106 X: 6.6748 +INDEX GOES BRRR: 206 X: 12.8926 +INDEX GOES BRRR: 238 X: 14.8867 +INDEX GOES BRRR: 841 X: -11.4004 +INDEX GOES BRRR: 162 X: 10.1377 +INDEX GOES BRRR: 257 X: 16.0938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.1377 +INDEX GOES BRRR: 213 X: 13.3486 +INDEX GOES BRRR: 284 X: 17.793 +INDEX GOES BRRR: 202 X: 12.6338 +INDEX GOES BRRR: 151 X: 9.4502 +INDEX GOES BRRR: 313 X: 19.6191 +INDEX GOES BRRR: 103 X: 6.4541 +INDEX GOES BRRR: 172 X: 10.7842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.89551 +INDEX GOES BRRR: 1 X: 0.078125 +INDEX GOES BRRR: 258 X: 16.1758 +INDEX GOES BRRR: 319 X: 19.9473 +INDEX GOES BRRR: 242 X: 15.125 +INDEX GOES BRRR: 116 X: 7.28516 +INDEX GOES BRRR: 64 X: 4.0498 +INDEX GOES BRRR: 840 X: -11.4697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 932 X: -5.71582 +INDEX GOES BRRR: 235 X: 14.7139 +INDEX GOES BRRR: 118 X: 7.40039 +INDEX GOES BRRR: 27 X: 1.69727 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 291 X: 18.1924 +INDEX GOES BRRR: 326 X: 20.4199 +INDEX GOES BRRR: 137 X: 8.57617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 323 X: 20.2168 +INDEX GOES BRRR: 949 X: -4.65625 +INDEX GOES BRRR: 53 X: 3.33398 +INDEX GOES BRRR: 221 X: 13.8701 +INDEX GOES BRRR: 217 X: 13.6094 +INDEX GOES BRRR: 335 X: 20.9473 +INDEX GOES BRRR: 722 X: -18.875 +INDEX GOES BRRR: 956 X: -4.21484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.666 +INDEX GOES BRRR: 132 X: 8.2959 +INDEX GOES BRRR: 23 X: 1.49805 +INDEX GOES BRRR: 922 X: -6.33398 +INDEX GOES BRRR: 283 X: 17.748 +INDEX GOES BRRR: 145 X: 9.07715 +INDEX GOES BRRR: 92 X: 5.80957 +INDEX GOES BRRR: 311 X: 19.4961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.95996 +INDEX GOES BRRR: 91 X: 5.71582 +INDEX GOES BRRR: 188 X: 11.7627 +INDEX GOES BRRR: 24 X: 1.55273 +INDEX GOES BRRR: 216 X: 13.5186 +INDEX GOES BRRR: 153 X: 9.58203 +INDEX GOES BRRR: 132 X: 8.2666 +INDEX GOES BRRR: 97 X: 6.08203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9355 +INDEX GOES BRRR: 352 X: 22.0176 +INDEX GOES BRRR: 50 X: 3.13281 +INDEX GOES BRRR: 198 X: 12.4316 +INDEX GOES BRRR: 208 X: 13.0029 +INDEX GOES BRRR: 223 X: 13.9941 +INDEX GOES BRRR: 276 X: 17.2578 +INDEX GOES BRRR: 184 X: 11.5088 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.83105 +INDEX GOES BRRR: 1005 X: -1.17773 +INDEX GOES BRRR: 229 X: 14.3232 +INDEX GOES BRRR: 118 X: 7.38086 +INDEX GOES BRRR: 58 X: 3.6377 +INDEX GOES BRRR: 201 X: 12.6055 +INDEX GOES BRRR: 18 X: 1.17285 +INDEX GOES BRRR: 100 X: 6.2959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7607 +INDEX GOES BRRR: 197 X: 12.3174 +INDEX GOES BRRR: 419 X: 26.1953 +INDEX GOES BRRR: 76 X: 4.75293 +INDEX GOES BRRR: 321 X: 20.0762 +INDEX GOES BRRR: 105 X: 6.58691 +INDEX GOES BRRR: 888 X: -8.4873 +INDEX GOES BRRR: 391 X: 24.4785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.15332 +INDEX GOES BRRR: 49 X: 3.07715 +INDEX GOES BRRR: 266 X: 16.667 +INDEX GOES BRRR: 941 X: -5.16895 +INDEX GOES BRRR: 963 X: -3.79883 +INDEX GOES BRRR: 269 X: 16.8262 +INDEX GOES BRRR: 296 X: 18.5283 +INDEX GOES BRRR: 1007 X: -1.01172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.57715 +INDEX GOES BRRR: 402 X: 25.1816 +INDEX GOES BRRR: 906 X: -7.33691 +INDEX GOES BRRR: 135 X: 8.44141 +INDEX GOES BRRR: 207 X: 12.9863 +INDEX GOES BRRR: 248 X: 15.5254 +INDEX GOES BRRR: 366 X: 22.9297 +INDEX GOES BRRR: 182 X: 11.3984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.8789 +INDEX GOES BRRR: 110 X: 6.88184 +INDEX GOES BRRR: 117 X: 7.3457 +INDEX GOES BRRR: 20 X: 1.29883 +INDEX GOES BRRR: 138 X: 8.65723 +INDEX GOES BRRR: 390 X: 24.418 +INDEX GOES BRRR: 165 X: 10.3662 +INDEX GOES BRRR: 220 X: 13.7822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.11523 +INDEX GOES BRRR: 227 X: 14.2012 +INDEX GOES BRRR: 265 X: 16.6084 +INDEX GOES BRRR: 913 X: -6.87891 +INDEX GOES BRRR: 268 X: 16.7559 +INDEX GOES BRRR: 418 X: 26.1279 +INDEX GOES BRRR: 187 X: 11.6875 +INDEX GOES BRRR: 147 X: 9.18848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3623 +INDEX GOES BRRR: 8 X: 0.536133 +INDEX GOES BRRR: 11 X: 0.692383 +INDEX GOES BRRR: 198 X: 12.375 +INDEX GOES BRRR: 1011 X: -0.767578 +INDEX GOES BRRR: 130 X: 8.16016 +INDEX GOES BRRR: 930 X: -5.81543 +INDEX GOES BRRR: 84 X: 5.27441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.0625 +INDEX GOES BRRR: 36 X: 2.30078 +INDEX GOES BRRR: 978 X: -2.82715 +INDEX GOES BRRR: 129 X: 8.10156 +INDEX GOES BRRR: 28 X: 1.81152 +INDEX GOES BRRR: 987 X: -2.25977 +INDEX GOES BRRR: 208 X: 13.0244 +INDEX GOES BRRR: 237 X: 14.8555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.81738 +INDEX GOES BRRR: 189 X: 11.8125 +INDEX GOES BRRR: 189 X: 11.8125 +INDEX GOES BRRR: 292 X: 18.2773 +INDEX GOES BRRR: 226 X: 14.1709 +INDEX GOES BRRR: 4 X: 0.276367 +INDEX GOES BRRR: 985 X: -2.38672 +INDEX GOES BRRR: 903 X: -7.55078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 949 X: -4.64355 +INDEX GOES BRRR: 253 X: 15.8672 +INDEX GOES BRRR: 400 X: 25.0176 +INDEX GOES BRRR: 186 X: 11.6836 +INDEX GOES BRRR: 261 X: 16.3213 +INDEX GOES BRRR: 946 X: -4.81348 +INDEX GOES BRRR: 105 X: 6.60254 +INDEX GOES BRRR: 985 X: -2.40137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.83984 +INDEX GOES BRRR: 1010 X: -0.870117 +INDEX GOES BRRR: 14 X: 0.916016 +INDEX GOES BRRR: 391 X: 24.4922 +INDEX GOES BRRR: 164 X: 10.2793 +INDEX GOES BRRR: 103 X: 6.46777 +INDEX GOES BRRR: 266 X: 16.6357 +INDEX GOES BRRR: 1019 X: -0.262695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3164 +INDEX GOES BRRR: 257 X: 16.0986 +INDEX GOES BRRR: 60 X: 3.78418 +INDEX GOES BRRR: 978 X: -2.85938 +INDEX GOES BRRR: 821 X: -12.6416 +INDEX GOES BRRR: 118 X: 7.37988 +INDEX GOES BRRR: 175 X: 10.9375 +INDEX GOES BRRR: 150 X: 9.4209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 751 X: -17.0166 +INDEX GOES BRRR: 1 X: 0.0683594 +INDEX GOES BRRR: 216 X: 13.5 +INDEX GOES BRRR: 157 X: 9.85156 +INDEX GOES BRRR: 157 X: 9.84473 +INDEX GOES BRRR: 174 X: 10.9238 +INDEX GOES BRRR: 14 X: 0.885742 +INDEX GOES BRRR: 422 X: 26.3906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6631 +INDEX GOES BRRR: 199 X: 12.4707 +INDEX GOES BRRR: 945 X: -4.91309 +INDEX GOES BRRR: 305 X: 19.0918 +INDEX GOES BRRR: 65 X: 4.0918 +INDEX GOES BRRR: 371 X: 23.2314 +INDEX GOES BRRR: 146 X: 9.15527 +INDEX GOES BRRR: 991 X: -2.04199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.999 +INDEX GOES BRRR: 384 X: 24.0273 +INDEX GOES BRRR: 337 X: 21.1201 +INDEX GOES BRRR: 392 X: 24.5449 +INDEX GOES BRRR: 398 X: 24.9258 +INDEX GOES BRRR: 994 X: -1.87109 +INDEX GOES BRRR: 1017 X: -0.423828 +INDEX GOES BRRR: 61 X: 3.83691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5312 +INDEX GOES BRRR: 296 X: 18.5049 +INDEX GOES BRRR: 257 X: 16.0811 +INDEX GOES BRRR: 43 X: 2.71191 +INDEX GOES BRRR: 285 X: 17.8389 +INDEX GOES BRRR: 176 X: 11.0244 +INDEX GOES BRRR: 87 X: 5.48145 +INDEX GOES BRRR: 129 X: 8.0752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.46387 +INDEX GOES BRRR: 268 X: 16.7715 +INDEX GOES BRRR: 376 X: 23.5166 +INDEX GOES BRRR: 241 X: 15.0771 +INDEX GOES BRRR: 374 X: 23.3906 +INDEX GOES BRRR: 209 X: 13.0674 +INDEX GOES BRRR: 990 X: -2.08105 +INDEX GOES BRRR: 36 X: 2.28125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.458 +INDEX GOES BRRR: 163 X: 10.2422 +INDEX GOES BRRR: 132 X: 8.26953 +INDEX GOES BRRR: 8 X: 0.521484 +INDEX GOES BRRR: 971 X: -3.29785 +INDEX GOES BRRR: 127 X: 7.99512 +INDEX GOES BRRR: 12 X: 0.759766 +INDEX GOES BRRR: 239 X: 14.9756 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.77051 +INDEX GOES BRRR: 126 X: 7.90137 +INDEX GOES BRRR: 407 X: 25.4717 +INDEX GOES BRRR: 45 X: 2.86719 +INDEX GOES BRRR: 178 X: 11.1689 +INDEX GOES BRRR: 280 X: 17.5498 +INDEX GOES BRRR: 886 X: -8.58398 +INDEX GOES BRRR: 70 X: 4.39355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.27734 +INDEX GOES BRRR: 244 X: 15.2773 +INDEX GOES BRRR: 267 X: 16.7002 +INDEX GOES BRRR: 53 X: 3.33301 +INDEX GOES BRRR: 364 X: 22.79 +INDEX GOES BRRR: 106 X: 6.64648 +INDEX GOES BRRR: 185 X: 11.6035 +INDEX GOES BRRR: 311 X: 19.4961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.131836 +INDEX GOES BRRR: 36 X: 2.30859 +INDEX GOES BRRR: 264 X: 16.5312 +INDEX GOES BRRR: 316 X: 19.7949 +INDEX GOES BRRR: 138 X: 8.67188 +INDEX GOES BRRR: 384 X: 24.0312 +INDEX GOES BRRR: 314 X: 19.6484 +INDEX GOES BRRR: 192 X: 12.0576 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 282 X: 17.668 +INDEX GOES BRRR: 208 X: 13.0039 +INDEX GOES BRRR: 862 X: -10.0947 +INDEX GOES BRRR: 263 X: 16.4463 +INDEX GOES BRRR: 66 X: 4.13281 +INDEX GOES BRRR: 9 X: 0.606445 +INDEX GOES BRRR: 212 X: 13.251 +INDEX GOES BRRR: 227 X: 14.2422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 966 X: -3.58301 +INDEX GOES BRRR: 343 X: 21.4629 +INDEX GOES BRRR: 481 X: 30.082 +INDEX GOES BRRR: 188 X: 11.7646 +INDEX GOES BRRR: 298 X: 18.6475 +INDEX GOES BRRR: 448 X: 28.0264 +INDEX GOES BRRR: 364 X: 22.75 +INDEX GOES BRRR: 140 X: 8.79785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 334 X: 20.8896 +INDEX GOES BRRR: 8 X: 0.524414 +INDEX GOES BRRR: 336 X: 21.001 +INDEX GOES BRRR: 174 X: 10.916 +INDEX GOES BRRR: 3 X: 0.199219 +INDEX GOES BRRR: 978 X: -2.82129 +INDEX GOES BRRR: 203 X: 12.7002 +INDEX GOES BRRR: 1018 X: -0.336914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 323 X: 20.2393 +INDEX GOES BRRR: 215 X: 13.459 +INDEX GOES BRRR: 978 X: -2.85742 +INDEX GOES BRRR: 469 X: 29.3545 +INDEX GOES BRRR: 236 X: 14.7539 +INDEX GOES BRRR: 126 X: 7.91895 +INDEX GOES BRRR: 318 X: 19.8926 +INDEX GOES BRRR: 256 X: 16.0469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 81 X: 5.08203 +INDEX GOES BRRR: 913 X: -6.93457 +INDEX GOES BRRR: 181 X: 11.3408 +INDEX GOES BRRR: 322 X: 20.1309 +INDEX GOES BRRR: 276 X: 17.2588 +INDEX GOES BRRR: 955 X: -4.30957 +INDEX GOES BRRR: 3 X: 0.244141 +INDEX GOES BRRR: 197 X: 12.334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 85 X: 5.31836 +INDEX GOES BRRR: 241 X: 15.1006 +INDEX GOES BRRR: 203 X: 12.7363 +INDEX GOES BRRR: 24 X: 1.55762 +INDEX GOES BRRR: 107 X: 6.71777 +INDEX GOES BRRR: 122 X: 7.6377 +INDEX GOES BRRR: 198 X: 12.4062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 995 X: -1.76172 +INDEX GOES BRRR: 267 X: 16.7002 +INDEX GOES BRRR: 97 X: 6.06836 +INDEX GOES BRRR: 965 X: -3.62598 +INDEX GOES BRRR: 46 X: 2.92578 +INDEX GOES BRRR: 200 X: 12.5195 +INDEX GOES BRRR: 363 X: 22.6963 +INDEX GOES BRRR: 356 X: 22.2744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2881 +INDEX GOES BRRR: 141 X: 8.8457 +INDEX GOES BRRR: 1014 X: -0.605469 +INDEX GOES BRRR: 15 X: 0.961914 +INDEX GOES BRRR: 292 X: 18.2617 +INDEX GOES BRRR: 62 X: 3.91992 +INDEX GOES BRRR: 94 X: 5.90918 +INDEX GOES BRRR: 25 X: 1.60254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0811 +INDEX GOES BRRR: 427 X: 26.7109 +INDEX GOES BRRR: 369 X: 23.1123 +INDEX GOES BRRR: 189 X: 11.8643 +INDEX GOES BRRR: 39 X: 2.49316 +INDEX GOES BRRR: 52 X: 3.27148 +INDEX GOES BRRR: 142 X: 8.88379 +INDEX GOES BRRR: 190 X: 11.9082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7744 +INDEX GOES BRRR: 802 X: -13.8184 +INDEX GOES BRRR: 940 X: -5.22949 +INDEX GOES BRRR: 153 X: 9.56738 +INDEX GOES BRRR: 21 X: 1.33105 +INDEX GOES BRRR: 961 X: -3.93262 +INDEX GOES BRRR: 1022 X: -0.0712891 +INDEX GOES BRRR: 58 X: 3.68457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3193 +INDEX GOES BRRR: 20 X: 1.25488 +INDEX GOES BRRR: 26 X: 1.6582 +INDEX GOES BRRR: 485 X: 30.3359 +INDEX GOES BRRR: 471 X: 29.4609 +INDEX GOES BRRR: 110 X: 6.87988 +INDEX GOES BRRR: 446 X: 27.9014 +INDEX GOES BRRR: 249 X: 15.6172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.50586 +INDEX GOES BRRR: 256 X: 16.0518 +INDEX GOES BRRR: 127 X: 7.95312 +INDEX GOES BRRR: 51 X: 3.18848 +INDEX GOES BRRR: 903 X: -7.53906 +INDEX GOES BRRR: 338 X: 21.1582 +INDEX GOES BRRR: 976 X: -2.94043 +INDEX GOES BRRR: 332 X: 20.792 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.61035 +INDEX GOES BRRR: 925 X: -6.16699 +INDEX GOES BRRR: 1007 X: -1.05078 +INDEX GOES BRRR: 207 X: 12.9756 +INDEX GOES BRRR: 483 X: 30.1934 +INDEX GOES BRRR: 937 X: -5.38672 +INDEX GOES BRRR: 288 X: 18.0283 +INDEX GOES BRRR: 113 X: 7.10059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.811523 +INDEX GOES BRRR: 253 X: 15.8311 +INDEX GOES BRRR: 131 X: 8.24414 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 236 X: 14.7871 +INDEX GOES BRRR: 224 X: 14.001 +INDEX GOES BRRR: 99 X: 6.20996 +INDEX GOES BRRR: 274 X: 17.1514 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.68848 +INDEX GOES BRRR: 981 X: -2.66797 +INDEX GOES BRRR: 205 X: 12.8125 +INDEX GOES BRRR: 130 X: 8.16895 +INDEX GOES BRRR: 916 X: -6.7002 +INDEX GOES BRRR: 983 X: -2.55859 +INDEX GOES BRRR: 317 X: 19.8691 +INDEX GOES BRRR: 70 X: 4.41016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.5498 +INDEX GOES BRRR: 69 X: 4.33594 +INDEX GOES BRRR: 1013 X: -0.625977 +INDEX GOES BRRR: 209 X: 13.0664 +INDEX GOES BRRR: 189 X: 11.8213 +INDEX GOES BRRR: 161 X: 10.0889 +INDEX GOES BRRR: 207 X: 12.9873 +INDEX GOES BRRR: 259 X: 16.2354 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 48 X: 3.02441 +INDEX GOES BRRR: 981 X: -2.68066 +INDEX GOES BRRR: 112 X: 7.01367 +INDEX GOES BRRR: 154 X: 9.66699 +INDEX GOES BRRR: 247 X: 15.4883 +INDEX GOES BRRR: 99 X: 6.2207 +INDEX GOES BRRR: 75 X: 4.72852 +INDEX GOES BRRR: 890 X: -8.375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 911 X: -7.00781 +INDEX GOES BRRR: 425 X: 26.5771 +INDEX GOES BRRR: 182 X: 11.4121 +INDEX GOES BRRR: 109 X: 6.84375 +INDEX GOES BRRR: 2 X: 0.155273 +INDEX GOES BRRR: 70 X: 4.43457 +INDEX GOES BRRR: 19 X: 1.24805 +INDEX GOES BRRR: 38 X: 2.41016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.29 +INDEX GOES BRRR: 86 X: 5.38379 +INDEX GOES BRRR: 192 X: 12.0586 +INDEX GOES BRRR: 237 X: 14.8369 +INDEX GOES BRRR: 181 X: 11.3223 +INDEX GOES BRRR: 815 X: -13.0361 +INDEX GOES BRRR: 368 X: 23.0518 +INDEX GOES BRRR: 859 X: -10.2529 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6699 +INDEX GOES BRRR: 282 X: 17.667 +INDEX GOES BRRR: 970 X: -3.33105 +INDEX GOES BRRR: 160 X: 10.0449 +INDEX GOES BRRR: 136 X: 8.5459 +INDEX GOES BRRR: 63 X: 3.98633 +INDEX GOES BRRR: 213 X: 13.3369 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.28516 +INDEX GOES BRRR: 1008 X: -0.978516 +INDEX GOES BRRR: 164 X: 10.2793 +INDEX GOES BRRR: 315 X: 19.7402 +INDEX GOES BRRR: 1003 X: -1.26172 +INDEX GOES BRRR: 250 X: 15.6738 +INDEX GOES BRRR: 799 X: -14.0186 +INDEX GOES BRRR: 122 X: 7.68652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.22266 +INDEX GOES BRRR: 253 X: 15.8174 +INDEX GOES BRRR: 277 X: 17.3535 +INDEX GOES BRRR: 184 X: 11.5039 +INDEX GOES BRRR: 61 X: 3.82715 +INDEX GOES BRRR: 193 X: 12.0752 +INDEX GOES BRRR: 12 X: 0.799805 +INDEX GOES BRRR: 61 X: 3.84082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.749 +INDEX GOES BRRR: 218 X: 13.6572 +INDEX GOES BRRR: 167 X: 10.4844 +INDEX GOES BRRR: 972 X: -3.22461 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 11 X: 0.713867 +INDEX GOES BRRR: 260 X: 16.2637 +INDEX GOES BRRR: 328 X: 20.5244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.81738 +INDEX GOES BRRR: 302 X: 18.8857 +INDEX GOES BRRR: 113 X: 7.11816 +INDEX GOES BRRR: 945 X: -4.87695 +INDEX GOES BRRR: 181 X: 11.3721 +INDEX GOES BRRR: 34 X: 2.14746 +INDEX GOES BRRR: 140 X: 8.75098 +INDEX GOES BRRR: 287 X: 17.9893 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.58887 +INDEX GOES BRRR: 974 X: -3.10156 +INDEX GOES BRRR: 65 X: 4.08105 +INDEX GOES BRRR: 209 X: 13.1094 +INDEX GOES BRRR: 22 X: 1.4248 +INDEX GOES BRRR: 224 X: 14.0293 +INDEX GOES BRRR: 51 X: 3.19727 +INDEX GOES BRRR: 308 X: 19.2686 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2979 +INDEX GOES BRRR: 112 X: 7.02734 +INDEX GOES BRRR: 47 X: 2.96387 +INDEX GOES BRRR: 270 X: 16.8887 +INDEX GOES BRRR: 94 X: 5.88867 +INDEX GOES BRRR: 269 X: 16.8311 +INDEX GOES BRRR: 235 X: 14.6875 +INDEX GOES BRRR: 152 X: 9.55762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 410 X: 25.6797 +INDEX GOES BRRR: 14 X: 0.875 +INDEX GOES BRRR: 125 X: 7.82812 +INDEX GOES BRRR: 215 X: 13.458 +INDEX GOES BRRR: 994 X: -1.8623 +INDEX GOES BRRR: 1015 X: -0.549805 +INDEX GOES BRRR: 95 X: 5.96484 +INDEX GOES BRRR: 169 X: 10.5674 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.54785 +INDEX GOES BRRR: 88 X: 5.51367 +INDEX GOES BRRR: 233 X: 14.6064 +INDEX GOES BRRR: 238 X: 14.8955 +INDEX GOES BRRR: 384 X: 24.0127 +INDEX GOES BRRR: 189 X: 11.8652 +INDEX GOES BRRR: 78 X: 4.88281 +INDEX GOES BRRR: 183 X: 11.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.7832 +INDEX GOES BRRR: 983 X: -2.50781 +INDEX GOES BRRR: 241 X: 15.1152 +INDEX GOES BRRR: 968 X: -3.49707 +INDEX GOES BRRR: 140 X: 8.80859 +INDEX GOES BRRR: 669 X: -22.1367 +INDEX GOES BRRR: 868 X: -9.74512 +INDEX GOES BRRR: 303 X: 18.9941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 294 X: 18.375 +INDEX GOES BRRR: 19 X: 1.19238 +INDEX GOES BRRR: 901 X: -7.6377 +INDEX GOES BRRR: 845 X: -11.1357 +INDEX GOES BRRR: 351 X: 21.9766 +INDEX GOES BRRR: 69 X: 4.34961 +INDEX GOES BRRR: 123 X: 7.74512 +INDEX GOES BRRR: 132 X: 8.30176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.21094 +INDEX GOES BRRR: 282 X: 17.6367 +INDEX GOES BRRR: 20 X: 1.27344 +INDEX GOES BRRR: 972 X: -3.21387 +INDEX GOES BRRR: 958 X: -4.08594 +INDEX GOES BRRR: 290 X: 18.1533 +INDEX GOES BRRR: 240 X: 15.0459 +INDEX GOES BRRR: 70 X: 4.41992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.2051 +INDEX GOES BRRR: 178 X: 11.1475 +INDEX GOES BRRR: 996 X: -1.71777 +INDEX GOES BRRR: 283 X: 17.7139 +INDEX GOES BRRR: 239 X: 14.9453 +INDEX GOES BRRR: 923 X: -6.2627 +INDEX GOES BRRR: 26 X: 1.65332 +INDEX GOES BRRR: 319 X: 19.9629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 159 X: 9.99023 +INDEX GOES BRRR: 97 X: 6.09082 +INDEX GOES BRRR: 261 X: 16.3525 +INDEX GOES BRRR: 299 X: 18.749 +INDEX GOES BRRR: 225 X: 14.0723 +INDEX GOES BRRR: 175 X: 10.9414 +INDEX GOES BRRR: 1001 X: -1.43457 +INDEX GOES BRRR: 428 X: 26.8096 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0625 +INDEX GOES BRRR: 367 X: 22.9629 +INDEX GOES BRRR: 253 X: 15.8652 +INDEX GOES BRRR: 182 X: 11.4014 +INDEX GOES BRRR: 1003 X: -1.28906 +INDEX GOES BRRR: 150 X: 9.38672 +INDEX GOES BRRR: 118 X: 7.37988 +INDEX GOES BRRR: 999 X: -1.50977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 84 X: 5.29199 +INDEX GOES BRRR: 357 X: 22.3535 +INDEX GOES BRRR: 965 X: -3.67285 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 330 X: 20.6279 +INDEX GOES BRRR: 806 X: -13.6211 +INDEX GOES BRRR: 952 X: -4.47852 +INDEX GOES BRRR: 81 X: 5.08301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 78 X: 4.91895 +INDEX GOES BRRR: 33 X: 2.11621 +INDEX GOES BRRR: 928 X: -5.9834 +INDEX GOES BRRR: 130 X: 8.12793 +INDEX GOES BRRR: 275 X: 17.2432 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 58 X: 3.63672 +INDEX GOES BRRR: 41 X: 2.58887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 36 X: 2.2832 +INDEX GOES BRRR: 270 X: 16.9102 +INDEX GOES BRRR: 235 X: 14.7432 +INDEX GOES BRRR: 750 X: -17.0762 +INDEX GOES BRRR: 92 X: 5.76758 +INDEX GOES BRRR: 62 X: 3.93164 +INDEX GOES BRRR: 163 X: 10.2275 +INDEX GOES BRRR: 472 X: 29.5566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.39648 +INDEX GOES BRRR: 45 X: 2.82617 +INDEX GOES BRRR: 275 X: 17.2158 +INDEX GOES BRRR: 189 X: 11.8154 +INDEX GOES BRRR: 282 X: 17.6689 +INDEX GOES BRRR: 149 X: 9.37402 +INDEX GOES BRRR: 96 X: 6.05859 +INDEX GOES BRRR: 224 X: 14.0283 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.71875 +INDEX GOES BRRR: 188 X: 11.7607 +INDEX GOES BRRR: 266 X: 16.6777 +INDEX GOES BRRR: 484 X: 30.2979 +INDEX GOES BRRR: 238 X: 14.916 +INDEX GOES BRRR: 57 X: 3.61719 +INDEX GOES BRRR: 226 X: 14.1416 +INDEX GOES BRRR: 30 X: 1.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 926 X: -6.06543 +INDEX GOES BRRR: 242 X: 15.1846 +INDEX GOES BRRR: 93 X: 5.87402 +INDEX GOES BRRR: 59 X: 3.70703 +INDEX GOES BRRR: 28 X: 1.80566 +INDEX GOES BRRR: 992 X: -1.97852 +INDEX GOES BRRR: 470 X: 29.3916 +INDEX GOES BRRR: 152 X: 9.54102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 270 X: 16.8984 +INDEX GOES BRRR: 62 X: 3.92578 +INDEX GOES BRRR: 838 X: -11.5928 +INDEX GOES BRRR: 291 X: 18.2266 +INDEX GOES BRRR: 316 X: 19.7871 +INDEX GOES BRRR: 43 X: 2.70215 +INDEX GOES BRRR: 36 X: 2.30957 +INDEX GOES BRRR: 170 X: 10.668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.49121 +INDEX GOES BRRR: 325 X: 20.3145 +INDEX GOES BRRR: 691 X: -20.8086 +INDEX GOES BRRR: 359 X: 22.4844 +INDEX GOES BRRR: 93 X: 5.83398 +INDEX GOES BRRR: 212 X: 13.2578 +INDEX GOES BRRR: 17 X: 1.06738 +INDEX GOES BRRR: 96 X: 6.00488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 991 X: -2.04688 +INDEX GOES BRRR: 172 X: 10.7559 +INDEX GOES BRRR: 408 X: 25.5264 +INDEX GOES BRRR: 161 X: 10.1045 +INDEX GOES BRRR: 349 X: 21.8193 +INDEX GOES BRRR: 938 X: -5.375 +INDEX GOES BRRR: 932 X: -5.71387 +INDEX GOES BRRR: 159 X: 9.93848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 969 X: -3.39746 +INDEX GOES BRRR: 998 X: -1.61133 +INDEX GOES BRRR: 925 X: -6.13574 +INDEX GOES BRRR: 667 X: -22.3057 +INDEX GOES BRRR: 389 X: 24.3311 +INDEX GOES BRRR: 155 X: 9.74414 +INDEX GOES BRRR: 203 X: 12.7324 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 923 X: -6.30664 +INDEX GOES BRRR: 867 X: -9.7793 +INDEX GOES BRRR: 364 X: 22.7666 +INDEX GOES BRRR: 1014 X: -0.607422 +INDEX GOES BRRR: 191 X: 11.9453 +INDEX GOES BRRR: 212 X: 13.2715 +INDEX GOES BRRR: 285 X: 17.8369 +INDEX GOES BRRR: 154 X: 9.67773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 253 X: 15.8213 +INDEX GOES BRRR: 138 X: 8.67285 +INDEX GOES BRRR: 215 X: 13.4482 +INDEX GOES BRRR: 101 X: 6.36035 +INDEX GOES BRRR: 458 X: 28.6777 +INDEX GOES BRRR: 83 X: 5.21387 +INDEX GOES BRRR: 85 X: 5.34766 +INDEX GOES BRRR: 166 X: 10.4219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 885 X: -8.67969 +INDEX GOES BRRR: 295 X: 18.4873 +INDEX GOES BRRR: 1010 X: -0.854492 +INDEX GOES BRRR: 89 X: 5.58203 +INDEX GOES BRRR: 410 X: 25.6846 +INDEX GOES BRRR: 874 X: -9.35352 +INDEX GOES BRRR: 148 X: 9.30957 +INDEX GOES BRRR: 398 X: 24.9346 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.24512 +INDEX GOES BRRR: 140 X: 8.76855 +INDEX GOES BRRR: 183 X: 11.4658 +INDEX GOES BRRR: 330 X: 20.6855 +INDEX GOES BRRR: 90 X: 5.625 +INDEX GOES BRRR: 43 X: 2.70605 +INDEX GOES BRRR: 191 X: 11.9922 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.2627 +INDEX GOES BRRR: 153 X: 9.57422 +INDEX GOES BRRR: 250 X: 15.6465 +INDEX GOES BRRR: 48 X: 3.05469 +INDEX GOES BRRR: 182 X: 11.4365 +INDEX GOES BRRR: 152 X: 9.51855 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 151 X: 9.46387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 374 X: 23.418 +INDEX GOES BRRR: 103 X: 6.4541 +INDEX GOES BRRR: 205 X: 12.8184 +INDEX GOES BRRR: 26 X: 1.6543 +INDEX GOES BRRR: 24 X: 1.50098 +INDEX GOES BRRR: 22 X: 1.38281 +INDEX GOES BRRR: 1010 X: -0.857422 +INDEX GOES BRRR: 353 X: 22.0889 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3184 +INDEX GOES BRRR: 22 X: 1.39453 +INDEX GOES BRRR: 130 X: 8.14941 +INDEX GOES BRRR: 436 X: 27.2822 +INDEX GOES BRRR: 220 X: 13.8066 +INDEX GOES BRRR: 141 X: 8.84375 +INDEX GOES BRRR: 166 X: 10.3838 +INDEX GOES BRRR: 155 X: 9.74023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 385 X: 24.083 +INDEX GOES BRRR: 352 X: 22.0537 +INDEX GOES BRRR: 238 X: 14.9062 +INDEX GOES BRRR: 124 X: 7.75977 +INDEX GOES BRRR: 12 X: 0.794922 +INDEX GOES BRRR: 267 X: 16.707 +INDEX GOES BRRR: 389 X: 24.373 +INDEX GOES BRRR: 221 X: 13.8262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 974 X: -3.08398 +INDEX GOES BRRR: 388 X: 24.3027 +INDEX GOES BRRR: 962 X: -3.83789 +INDEX GOES BRRR: 361 X: 22.582 +INDEX GOES BRRR: 342 X: 21.4258 +INDEX GOES BRRR: 115 X: 7.2168 +INDEX GOES BRRR: 319 X: 19.9746 +INDEX GOES BRRR: 292 X: 18.2734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.576172 +INDEX GOES BRRR: 247 X: 15.4502 +INDEX GOES BRRR: 54 X: 3.37891 +INDEX GOES BRRR: 246 X: 15.4268 +INDEX GOES BRRR: 978 X: -2.83691 +INDEX GOES BRRR: 244 X: 15.2617 +INDEX GOES BRRR: 1008 X: -0.979492 +INDEX GOES BRRR: 207 X: 12.9648 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.91797 +INDEX GOES BRRR: 274 X: 17.1816 +INDEX GOES BRRR: 134 X: 8.39648 +INDEX GOES BRRR: 988 X: -2.21387 +INDEX GOES BRRR: 399 X: 24.9521 +INDEX GOES BRRR: 186 X: 11.6768 +INDEX GOES BRRR: 293 X: 18.3594 +INDEX GOES BRRR: 153 X: 9.59375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5518 +INDEX GOES BRRR: 321 X: 20.0801 +INDEX GOES BRRR: 972 X: -3.21875 +INDEX GOES BRRR: 277 X: 17.3613 +INDEX GOES BRRR: 390 X: 24.3789 +INDEX GOES BRRR: 96 X: 6.02734 +INDEX GOES BRRR: 228 X: 14.2949 +INDEX GOES BRRR: 223 X: 13.959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.19043 +INDEX GOES BRRR: 50 X: 3.16602 +INDEX GOES BRRR: 143 X: 8.99219 +INDEX GOES BRRR: 82 X: 5.12793 +INDEX GOES BRRR: 409 X: 25.5908 +INDEX GOES BRRR: 101 X: 6.33691 +INDEX GOES BRRR: 851 X: -10.7793 +INDEX GOES BRRR: 353 X: 22.1201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.4014 +INDEX GOES BRRR: 114 X: 7.1582 +INDEX GOES BRRR: 358 X: 22.4131 +INDEX GOES BRRR: 315 X: 19.7051 +INDEX GOES BRRR: 247 X: 15.4482 +INDEX GOES BRRR: 5 X: 0.320312 +INDEX GOES BRRR: 334 X: 20.8789 +INDEX GOES BRRR: 179 X: 11.2402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 5 X: 0.34375 +INDEX GOES BRRR: 91 X: 5.73242 +INDEX GOES BRRR: 271 X: 16.9678 +INDEX GOES BRRR: 435 X: 27.1895 +INDEX GOES BRRR: 186 X: 11.6855 +INDEX GOES BRRR: 144 X: 9 +INDEX GOES BRRR: 99 X: 6.2168 +INDEX GOES BRRR: 280 X: 17.5068 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.16309 +INDEX GOES BRRR: 242 X: 15.1748 +INDEX GOES BRRR: 163 X: 10.1904 +INDEX GOES BRRR: 143 X: 8.94336 +INDEX GOES BRRR: 295 X: 18.4492 +INDEX GOES BRRR: 203 X: 12.6973 +INDEX GOES BRRR: 873 X: -9.40234 +INDEX GOES BRRR: 236 X: 14.7969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 392 X: 24.5127 +INDEX GOES BRRR: 959 X: -4.0293 +INDEX GOES BRRR: 313 X: 19.5908 +INDEX GOES BRRR: 59 X: 3.70605 +INDEX GOES BRRR: 346 X: 21.6523 +INDEX GOES BRRR: 173 X: 10.8359 +INDEX GOES BRRR: 131 X: 8.20215 +INDEX GOES BRRR: 188 X: 11.7979 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.28223 +INDEX GOES BRRR: 244 X: 15.3057 +INDEX GOES BRRR: 369 X: 23.0723 +INDEX GOES BRRR: 177 X: 11.1182 +INDEX GOES BRRR: 153 X: 9.61035 +INDEX GOES BRRR: 268 X: 16.752 +INDEX GOES BRRR: 209 X: 13.1162 +INDEX GOES BRRR: 64 X: 4.00391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 778 X: -15.3652 +INDEX GOES BRRR: 83 X: 5.21484 +INDEX GOES BRRR: 40 X: 2.51367 +INDEX GOES BRRR: 77 X: 4.86328 +INDEX GOES BRRR: 959 X: -4.01367 +INDEX GOES BRRR: 984 X: -2.4668 +INDEX GOES BRRR: 301 X: 18.8564 +INDEX GOES BRRR: 185 X: 11.6113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 378 X: 23.6484 +INDEX GOES BRRR: 335 X: 20.9658 +INDEX GOES BRRR: 280 X: 17.5312 +INDEX GOES BRRR: 223 X: 13.9453 +INDEX GOES BRRR: 254 X: 15.9238 +INDEX GOES BRRR: 310 X: 19.4268 +INDEX GOES BRRR: 952 X: -4.44238 +INDEX GOES BRRR: 143 X: 8.9834 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5596 +INDEX GOES BRRR: 5 X: 0.354492 +INDEX GOES BRRR: 65 X: 4.10156 +INDEX GOES BRRR: 136 X: 8.51855 +INDEX GOES BRRR: 269 X: 16.8691 +INDEX GOES BRRR: 998 X: -1.62305 +INDEX GOES BRRR: 996 X: -1.7168 +INDEX GOES BRRR: 133 X: 8.36816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.84668 +INDEX GOES BRRR: 76 X: 4.7627 +INDEX GOES BRRR: 977 X: -2.9375 +INDEX GOES BRRR: 942 X: -5.11328 +INDEX GOES BRRR: 98 X: 6.17676 +INDEX GOES BRRR: 20 X: 1.30176 +INDEX GOES BRRR: 123 X: 7.74316 +INDEX GOES BRRR: 174 X: 10.8965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9775 +INDEX GOES BRRR: 45 X: 2.81934 +INDEX GOES BRRR: 296 X: 18.5615 +INDEX GOES BRRR: 905 X: -7.3877 +INDEX GOES BRRR: 6 X: 0.411133 +INDEX GOES BRRR: 414 X: 25.9004 +INDEX GOES BRRR: 258 X: 16.1709 +INDEX GOES BRRR: 152 X: 9.50293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.32227 +INDEX GOES BRRR: 137 X: 8.56641 +INDEX GOES BRRR: 355 X: 22.2344 +INDEX GOES BRRR: 34 X: 2.16504 +INDEX GOES BRRR: 269 X: 16.8301 +INDEX GOES BRRR: 997 X: -1.66016 +INDEX GOES BRRR: 75 X: 4.73633 +INDEX GOES BRRR: 27 X: 1.72168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 387 X: 24.2256 +INDEX GOES BRRR: 155 X: 9.7334 +INDEX GOES BRRR: 339 X: 21.2178 +INDEX GOES BRRR: 110 X: 6.87598 +INDEX GOES BRRR: 1018 X: -0.357422 +INDEX GOES BRRR: 248 X: 15.5469 +INDEX GOES BRRR: 874 X: -9.33301 +INDEX GOES BRRR: 80 X: 5.05664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.667 +INDEX GOES BRRR: 146 X: 9.16992 +INDEX GOES BRRR: 49 X: 3.09082 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 196 X: 12.2979 +INDEX GOES BRRR: 122 X: 7.66309 +INDEX GOES BRRR: 30 X: 1.93066 +INDEX GOES BRRR: 104 X: 6.55859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 418 X: 26.1787 +INDEX GOES BRRR: 252 X: 15.7803 +INDEX GOES BRRR: 10 X: 0.629883 +INDEX GOES BRRR: 294 X: 18.4014 +INDEX GOES BRRR: 263 X: 16.4883 +INDEX GOES BRRR: 57 X: 3.57129 +INDEX GOES BRRR: 112 X: 7.0459 +INDEX GOES BRRR: 149 X: 9.36523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.1416 +INDEX GOES BRRR: 408 X: 25.542 +INDEX GOES BRRR: 178 X: 11.125 +INDEX GOES BRRR: 216 X: 13.5137 +INDEX GOES BRRR: 44 X: 2.78027 +INDEX GOES BRRR: 743 X: -17.502 +INDEX GOES BRRR: 153 X: 9.57617 +INDEX GOES BRRR: 165 X: 10.3574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 878 X: -9.09766 +INDEX GOES BRRR: 980 X: -2.70215 +INDEX GOES BRRR: 982 X: -2.5752 +INDEX GOES BRRR: 260 X: 16.2578 +INDEX GOES BRRR: 331 X: 20.7246 +INDEX GOES BRRR: 142 X: 8.91895 +INDEX GOES BRRR: 183 X: 11.4814 +INDEX GOES BRRR: 109 X: 6.83496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 338 X: 21.1338 +INDEX GOES BRRR: 138 X: 8.6416 +INDEX GOES BRRR: 62 X: 3.87695 +INDEX GOES BRRR: 748 X: -17.1982 +INDEX GOES BRRR: 911 X: -7.05762 +INDEX GOES BRRR: 219 X: 13.7373 +INDEX GOES BRRR: 283 X: 17.7129 +INDEX GOES BRRR: 287 X: 17.96 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.11523 +INDEX GOES BRRR: 208 X: 13.0342 +INDEX GOES BRRR: 342 X: 21.4082 +INDEX GOES BRRR: 144 X: 9.01562 +INDEX GOES BRRR: 54 X: 3.39844 +INDEX GOES BRRR: 78 X: 4.88867 +INDEX GOES BRRR: 97 X: 6.08301 +INDEX GOES BRRR: 152 X: 9.50098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1406 +INDEX GOES BRRR: 781 X: -15.1611 +INDEX GOES BRRR: 117 X: 7.33984 +INDEX GOES BRRR: 263 X: 16.4902 +INDEX GOES BRRR: 161 X: 10.0801 +INDEX GOES BRRR: 12 X: 0.791016 +INDEX GOES BRRR: 353 X: 22.1094 +INDEX GOES BRRR: 184 X: 11.5322 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.8477 +INDEX GOES BRRR: 13 X: 0.863281 +INDEX GOES BRRR: 373 X: 23.3682 +INDEX GOES BRRR: 999 X: -1.51074 +INDEX GOES BRRR: 116 X: 7.2832 +INDEX GOES BRRR: 950 X: -4.59375 +INDEX GOES BRRR: 1001 X: -1.42285 +INDEX GOES BRRR: 209 X: 13.1152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 310 X: 19.3867 +INDEX GOES BRRR: 129 X: 8.11621 +INDEX GOES BRRR: 63 X: 3.95996 +INDEX GOES BRRR: 1021 X: -0.151367 +INDEX GOES BRRR: 11 X: 0.6875 +INDEX GOES BRRR: 36 X: 2.30664 +INDEX GOES BRRR: 322 X: 20.1787 +INDEX GOES BRRR: 310 X: 19.4277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5449 +INDEX GOES BRRR: 938 X: -5.34082 +INDEX GOES BRRR: 976 X: -2.9541 +INDEX GOES BRRR: 260 X: 16.2988 +INDEX GOES BRRR: 146 X: 9.15137 +INDEX GOES BRRR: 208 X: 13.002 +INDEX GOES BRRR: 280 X: 17.5439 +INDEX GOES BRRR: 42 X: 2.68359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 65 X: 4.09668 +INDEX GOES BRRR: 379 X: 23.7344 +INDEX GOES BRRR: 161 X: 10.1221 +INDEX GOES BRRR: 1010 X: -0.828125 +INDEX GOES BRRR: 334 X: 20.9336 +INDEX GOES BRRR: 330 X: 20.6533 +INDEX GOES BRRR: 211 X: 13.2178 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.16699 +INDEX GOES BRRR: 201 X: 12.5801 +INDEX GOES BRRR: 235 X: 14.7168 +INDEX GOES BRRR: 186 X: 11.6855 +INDEX GOES BRRR: 29 X: 1.81348 +INDEX GOES BRRR: 827 X: -12.2715 +INDEX GOES BRRR: 242 X: 15.1748 +INDEX GOES BRRR: 15 X: 0.99707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.7451 +INDEX GOES BRRR: 382 X: 23.8828 +INDEX GOES BRRR: 244 X: 15.3008 +INDEX GOES BRRR: 358 X: 22.4111 +INDEX GOES BRRR: 50 X: 3.13965 +INDEX GOES BRRR: 19 X: 1.20996 +INDEX GOES BRRR: 163 X: 10.1982 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 376 X: 23.5264 +INDEX GOES BRRR: 73 X: 4.60938 +INDEX GOES BRRR: 281 X: 17.5859 +INDEX GOES BRRR: 459 X: 28.7285 +INDEX GOES BRRR: 14 X: 0.927734 +INDEX GOES BRRR: 152 X: 9.52539 +INDEX GOES BRRR: 294 X: 18.3896 +INDEX GOES BRRR: 344 X: 21.5381 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3379 +INDEX GOES BRRR: 232 X: 14.54 +INDEX GOES BRRR: 185 X: 11.5713 +INDEX GOES BRRR: 214 X: 13.4053 +INDEX GOES BRRR: 979 X: -2.76367 +INDEX GOES BRRR: 235 X: 14.7305 +INDEX GOES BRRR: 173 X: 10.8516 +INDEX GOES BRRR: 123 X: 7.74219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 359 X: 22.4814 +INDEX GOES BRRR: 118 X: 7.42871 +INDEX GOES BRRR: 1006 X: -1.08008 +INDEX GOES BRRR: 139 X: 8.72266 +INDEX GOES BRRR: 263 X: 16.4629 +INDEX GOES BRRR: 108 X: 6.78613 +INDEX GOES BRRR: 346 X: 21.6396 +INDEX GOES BRRR: 135 X: 8.48047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.1572 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 944 X: -4.93945 +INDEX GOES BRRR: 214 X: 13.3799 +INDEX GOES BRRR: 185 X: 11.5723 +INDEX GOES BRRR: 151 X: 9.48535 +INDEX GOES BRRR: 84 X: 5.31055 +INDEX GOES BRRR: 286 X: 17.9209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.55176 +INDEX GOES BRRR: 1013 X: -0.634766 +INDEX GOES BRRR: 326 X: 20.4141 +INDEX GOES BRRR: 78 X: 4.92188 +INDEX GOES BRRR: 295 X: 18.4639 +INDEX GOES BRRR: 94 X: 5.91211 +INDEX GOES BRRR: 232 X: 14.5518 +INDEX GOES BRRR: 66 X: 4.15723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.7227 +INDEX GOES BRRR: 161 X: 10.123 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 134 X: 8.41016 +INDEX GOES BRRR: 982 X: -2.58789 +INDEX GOES BRRR: 23 X: 1.49805 +INDEX GOES BRRR: 108 X: 6.77832 +INDEX GOES BRRR: 914 X: -6.81348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2432 +INDEX GOES BRRR: 28 X: 1.78027 +INDEX GOES BRRR: 221 X: 13.834 +INDEX GOES BRRR: 430 X: 26.9072 +INDEX GOES BRRR: 172 X: 10.7686 +INDEX GOES BRRR: 56 X: 3.5459 +INDEX GOES BRRR: 85 X: 5.32324 +INDEX GOES BRRR: 96 X: 6.03418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.40723 +INDEX GOES BRRR: 133 X: 8.35547 +INDEX GOES BRRR: 156 X: 9.7627 +INDEX GOES BRRR: 335 X: 20.9697 +INDEX GOES BRRR: 413 X: 25.8184 +INDEX GOES BRRR: 228 X: 14.2617 +INDEX GOES BRRR: 751 X: -17.0176 +INDEX GOES BRRR: 103 X: 6.46191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.44922 +INDEX GOES BRRR: 70 X: 4.43066 +INDEX GOES BRRR: 327 X: 20.4707 +INDEX GOES BRRR: 142 X: 8.91406 +INDEX GOES BRRR: 234 X: 14.6533 +INDEX GOES BRRR: 27 X: 1.74121 +INDEX GOES BRRR: 129 X: 8.11523 +INDEX GOES BRRR: 307 X: 19.2412 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 329 X: 20.584 +INDEX GOES BRRR: 231 X: 14.4834 +INDEX GOES BRRR: 15 X: 0.999023 +INDEX GOES BRRR: 969 X: -3.41406 +INDEX GOES BRRR: 907 X: -7.30371 +INDEX GOES BRRR: 105 X: 6.60742 +INDEX GOES BRRR: 179 X: 11.1934 +INDEX GOES BRRR: 927 X: -6.01074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.08398 +INDEX GOES BRRR: 287 X: 17.9492 +INDEX GOES BRRR: 95 X: 5.97559 +INDEX GOES BRRR: 311 X: 19.498 +INDEX GOES BRRR: 213 X: 13.3574 +INDEX GOES BRRR: 84 X: 5.26172 +INDEX GOES BRRR: 107 X: 6.69434 +INDEX GOES BRRR: 879 X: -9.05859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.86328 +INDEX GOES BRRR: 270 X: 16.9131 +INDEX GOES BRRR: 68 X: 4.25293 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 215 X: 13.4775 +INDEX GOES BRRR: 1018 X: -0.34375 +INDEX GOES BRRR: 102 X: 6.37988 +INDEX GOES BRRR: 122 X: 7.64648 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 961 X: -3.9209 +INDEX GOES BRRR: 260 X: 16.2793 +INDEX GOES BRRR: 53 X: 3.34961 +INDEX GOES BRRR: 201 X: 12.6201 +INDEX GOES BRRR: 994 X: -1.81934 +INDEX GOES BRRR: 881 X: -8.91016 +INDEX GOES BRRR: 62 X: 3.93457 +INDEX GOES BRRR: 50 X: 3.14258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 343 X: 21.4531 +INDEX GOES BRRR: 145 X: 9.07422 +INDEX GOES BRRR: 962 X: -3.8584 +INDEX GOES BRRR: 58 X: 3.66895 +INDEX GOES BRRR: 955 X: -4.30371 +INDEX GOES BRRR: 228 X: 14.2578 +INDEX GOES BRRR: 64 X: 4.00195 +INDEX GOES BRRR: 221 X: 13.8125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 853 X: -10.6309 +INDEX GOES BRRR: 271 X: 16.9648 +INDEX GOES BRRR: 301 X: 18.8633 +INDEX GOES BRRR: 382 X: 23.9238 +INDEX GOES BRRR: 393 X: 24.5771 +INDEX GOES BRRR: 995 X: -1.79297 +INDEX GOES BRRR: 67 X: 4.24219 +INDEX GOES BRRR: 969 X: -3.40137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1013 X: -0.637695 +INDEX GOES BRRR: 107 X: 6.69141 +INDEX GOES BRRR: 983 X: -2.55957 +INDEX GOES BRRR: 212 X: 13.2676 +INDEX GOES BRRR: 373 X: 23.3242 +INDEX GOES BRRR: 276 X: 17.2607 +INDEX GOES BRRR: 67 X: 4.24219 +INDEX GOES BRRR: 199 X: 12.4814 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6533 +INDEX GOES BRRR: 69 X: 4.32227 +INDEX GOES BRRR: 273 X: 17.0947 +INDEX GOES BRRR: 196 X: 12.3115 +INDEX GOES BRRR: 70 X: 4.40723 +INDEX GOES BRRR: 240 X: 15.0566 +INDEX GOES BRRR: 52 X: 3.27637 +INDEX GOES BRRR: 127 X: 7.94238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.7324 +INDEX GOES BRRR: 153 X: 9.57324 +INDEX GOES BRRR: 1012 X: -0.720703 +INDEX GOES BRRR: 1006 X: -1.1123 +INDEX GOES BRRR: 72 X: 4.51074 +INDEX GOES BRRR: 913 X: -6.93164 +INDEX GOES BRRR: 337 X: 21.0723 +INDEX GOES BRRR: 393 X: 24.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2754 +INDEX GOES BRRR: 128 X: 8.0459 +INDEX GOES BRRR: 180 X: 11.2979 +INDEX GOES BRRR: 149 X: 9.3125 +INDEX GOES BRRR: 304 X: 19.0166 +INDEX GOES BRRR: 957 X: -4.1709 +INDEX GOES BRRR: 86 X: 5.39551 +INDEX GOES BRRR: 250 X: 15.6377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9248 +INDEX GOES BRRR: 185 X: 11.6094 +INDEX GOES BRRR: 988 X: -2.21191 +INDEX GOES BRRR: 307 X: 19.2422 +INDEX GOES BRRR: 1013 X: -0.6875 +INDEX GOES BRRR: 36 X: 2.28125 +INDEX GOES BRRR: 39 X: 2.48438 +INDEX GOES BRRR: 150 X: 9.39355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.47656 +INDEX GOES BRRR: 246 X: 15.3799 +INDEX GOES BRRR: 312 X: 19.5488 +INDEX GOES BRRR: 267 X: 16.7227 +INDEX GOES BRRR: 6 X: 0.390625 +INDEX GOES BRRR: 179 X: 11.209 +INDEX GOES BRRR: 90 X: 5.62695 +INDEX GOES BRRR: 79 X: 4.98242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.64453 +INDEX GOES BRRR: 85 X: 5.34863 +INDEX GOES BRRR: 165 X: 10.3623 +INDEX GOES BRRR: 227 X: 14.2383 +INDEX GOES BRRR: 228 X: 14.3066 +INDEX GOES BRRR: 202 X: 12.6426 +INDEX GOES BRRR: 185 X: 11.5703 +INDEX GOES BRRR: 108 X: 6.80469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.72266 +INDEX GOES BRRR: 96 X: 6.03516 +INDEX GOES BRRR: 158 X: 9.88477 +INDEX GOES BRRR: 421 X: 26.3506 +INDEX GOES BRRR: 247 X: 15.4619 +INDEX GOES BRRR: 273 X: 17.1191 +INDEX GOES BRRR: 115 X: 7.20898 +INDEX GOES BRRR: 207 X: 12.9609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 937 X: -5.37891 +INDEX GOES BRRR: 122 X: 7.66016 +INDEX GOES BRRR: 96 X: 6.00586 +INDEX GOES BRRR: 251 X: 15.7432 +INDEX GOES BRRR: 271 X: 16.9668 +INDEX GOES BRRR: 263 X: 16.4902 +INDEX GOES BRRR: 326 X: 20.3945 +INDEX GOES BRRR: 49 X: 3.07031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.14062 +INDEX GOES BRRR: 205 X: 12.8525 +INDEX GOES BRRR: 998 X: -1.59375 +INDEX GOES BRRR: 955 X: -4.25781 +INDEX GOES BRRR: 349 X: 21.8135 +INDEX GOES BRRR: 204 X: 12.7666 +INDEX GOES BRRR: 6 X: 0.383789 +INDEX GOES BRRR: 402 X: 25.1445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 952 X: -4.48633 +INDEX GOES BRRR: 145 X: 9.08789 +INDEX GOES BRRR: 162 X: 10.1406 +INDEX GOES BRRR: 179 X: 11.1904 +INDEX GOES BRRR: 28 X: 1.7666 +INDEX GOES BRRR: 49 X: 3.10254 +INDEX GOES BRRR: 155 X: 9.72754 +INDEX GOES BRRR: 899 X: -7.80664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0146 +INDEX GOES BRRR: 981 X: -2.62598 +INDEX GOES BRRR: 174 X: 10.8799 +INDEX GOES BRRR: 239 X: 14.9902 +INDEX GOES BRRR: 58 X: 3.68555 +INDEX GOES BRRR: 487 X: 30.4727 +INDEX GOES BRRR: 280 X: 17.5078 +INDEX GOES BRRR: 186 X: 11.6523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.883789 +INDEX GOES BRRR: 231 X: 14.4404 +INDEX GOES BRRR: 696 X: -20.4629 +INDEX GOES BRRR: 197 X: 12.3672 +INDEX GOES BRRR: 239 X: 14.9434 +INDEX GOES BRRR: 38 X: 2.3916 +INDEX GOES BRRR: 279 X: 17.4766 +INDEX GOES BRRR: 278 X: 17.4072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 285 X: 17.8477 +INDEX GOES BRRR: 109 X: 6.84082 +INDEX GOES BRRR: 1000 X: -1.46973 +INDEX GOES BRRR: 98 X: 6.15332 +INDEX GOES BRRR: 46 X: 2.89844 +INDEX GOES BRRR: 101 X: 6.36914 +INDEX GOES BRRR: 136 X: 8.55566 +INDEX GOES BRRR: 245 X: 15.3721 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.81543 +INDEX GOES BRRR: 270 X: 16.9238 +INDEX GOES BRRR: 249 X: 15.5762 +INDEX GOES BRRR: 120 X: 7.50098 +INDEX GOES BRRR: 148 X: 9.30078 +INDEX GOES BRRR: 46 X: 2.91992 +INDEX GOES BRRR: 176 X: 11.0537 +INDEX GOES BRRR: 81 X: 5.09863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 970 X: -3.32812 +INDEX GOES BRRR: 1022 X: -0.115234 +INDEX GOES BRRR: 346 X: 21.6543 +INDEX GOES BRRR: 4 X: 0.25 +INDEX GOES BRRR: 310 X: 19.416 +INDEX GOES BRRR: 170 X: 10.6621 +INDEX GOES BRRR: 183 X: 11.4834 +INDEX GOES BRRR: 257 X: 16.0947 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4307 +INDEX GOES BRRR: 1001 X: -1.43457 +INDEX GOES BRRR: 42 X: 2.67285 +INDEX GOES BRRR: 295 X: 18.4785 +INDEX GOES BRRR: 47 X: 2.94922 +INDEX GOES BRRR: 318 X: 19.9082 +INDEX GOES BRRR: 160 X: 10.0156 +INDEX GOES BRRR: 1 X: 0.0703125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.86621 +INDEX GOES BRRR: 132 X: 8.31152 +INDEX GOES BRRR: 73 X: 4.58887 +INDEX GOES BRRR: 126 X: 7.89453 +INDEX GOES BRRR: 183 X: 11.4795 +INDEX GOES BRRR: 414 X: 25.9131 +INDEX GOES BRRR: 161 X: 10.0723 +INDEX GOES BRRR: 249 X: 15.6182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.651367 +INDEX GOES BRRR: 74 X: 4.65039 +INDEX GOES BRRR: 87 X: 5.43945 +INDEX GOES BRRR: 928 X: -5.94531 +INDEX GOES BRRR: 41 X: 2.59668 +INDEX GOES BRRR: 12 X: 0.797852 +INDEX GOES BRRR: 85 X: 5.36816 +INDEX GOES BRRR: 292 X: 18.293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.65625 +INDEX GOES BRRR: 61 X: 3.82617 +INDEX GOES BRRR: 331 X: 20.7422 +INDEX GOES BRRR: 250 X: 15.6572 +INDEX GOES BRRR: 310 X: 19.3818 +INDEX GOES BRRR: 180 X: 11.252 +INDEX GOES BRRR: 188 X: 11.7549 +INDEX GOES BRRR: 905 X: -7.4209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1807 +INDEX GOES BRRR: 832 X: -11.9873 +INDEX GOES BRRR: 143 X: 8.94922 +INDEX GOES BRRR: 84 X: 5.26172 +INDEX GOES BRRR: 272 X: 17.002 +INDEX GOES BRRR: 203 X: 12.7383 +INDEX GOES BRRR: 53 X: 3.3291 +INDEX GOES BRRR: 255 X: 15.958 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.87012 +INDEX GOES BRRR: 237 X: 14.8574 +INDEX GOES BRRR: 296 X: 18.5146 +INDEX GOES BRRR: 71 X: 4.48828 +INDEX GOES BRRR: 152 X: 9.5 +INDEX GOES BRRR: 217 X: 13.5967 +INDEX GOES BRRR: 57 X: 3.57129 +INDEX GOES BRRR: 974 X: -3.12207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 937 X: -5.39941 +INDEX GOES BRRR: 152 X: 9.50781 +INDEX GOES BRRR: 223 X: 13.9746 +INDEX GOES BRRR: 22 X: 1.40625 +INDEX GOES BRRR: 139 X: 8.69043 +INDEX GOES BRRR: 191 X: 11.9678 +INDEX GOES BRRR: 99 X: 6.20996 +INDEX GOES BRRR: 400 X: 25.0283 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.500977 +INDEX GOES BRRR: 329 X: 20.5625 +INDEX GOES BRRR: 59 X: 3.6875 +INDEX GOES BRRR: 62 X: 3.92969 +INDEX GOES BRRR: 202 X: 12.6523 +INDEX GOES BRRR: 26 X: 1.65527 +INDEX GOES BRRR: 84 X: 5.25781 +INDEX GOES BRRR: 60 X: 3.7832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 453 X: 28.3682 +INDEX GOES BRRR: 1005 X: -1.16602 +INDEX GOES BRRR: 271 X: 16.959 +INDEX GOES BRRR: 252 X: 15.75 +INDEX GOES BRRR: 81 X: 5.11816 +INDEX GOES BRRR: 253 X: 15.8496 +INDEX GOES BRRR: 177 X: 11.084 +INDEX GOES BRRR: 66 X: 4.14453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.66797 +INDEX GOES BRRR: 278 X: 17.4082 +INDEX GOES BRRR: 210 X: 13.1455 +INDEX GOES BRRR: 163 X: 10.2256 +INDEX GOES BRRR: 1001 X: -1.4209 +INDEX GOES BRRR: 49 X: 3.11426 +INDEX GOES BRRR: 64 X: 4.00879 +INDEX GOES BRRR: 340 X: 21.3066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.5625 +INDEX GOES BRRR: 236 X: 14.7959 +INDEX GOES BRRR: 363 X: 22.708 +INDEX GOES BRRR: 184 X: 11.5352 +INDEX GOES BRRR: 80 X: 5.03223 +INDEX GOES BRRR: 157 X: 9.83301 +INDEX GOES BRRR: 384 X: 24.0576 +INDEX GOES BRRR: 98 X: 6.15039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.47754 +INDEX GOES BRRR: 84 X: 5.31152 +INDEX GOES BRRR: 774 X: -15.6094 +INDEX GOES BRRR: 1001 X: -1.43262 +INDEX GOES BRRR: 1008 X: -0.974609 +INDEX GOES BRRR: 252 X: 15.7764 +INDEX GOES BRRR: 134 X: 8.38477 +INDEX GOES BRRR: 45 X: 2.87012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.64844 +INDEX GOES BRRR: 214 X: 13.4121 +INDEX GOES BRRR: 935 X: -5.5459 +INDEX GOES BRRR: 255 X: 15.999 +INDEX GOES BRRR: 1009 X: -0.886719 +INDEX GOES BRRR: 342 X: 21.4092 +INDEX GOES BRRR: 216 X: 13.543 +INDEX GOES BRRR: 337 X: 21.0791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2812 +INDEX GOES BRRR: 12 X: 0.804688 +INDEX GOES BRRR: 191 X: 11.9395 +INDEX GOES BRRR: 162 X: 10.1689 +INDEX GOES BRRR: 935 X: -5.51172 +INDEX GOES BRRR: 940 X: -5.19238 +INDEX GOES BRRR: 932 X: -5.73926 +INDEX GOES BRRR: 213 X: 13.3477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 310 X: 19.4365 +INDEX GOES BRRR: 210 X: 13.1709 +INDEX GOES BRRR: 90 X: 5.62988 +INDEX GOES BRRR: 27 X: 1.70605 +INDEX GOES BRRR: 328 X: 20.5596 +INDEX GOES BRRR: 487 X: 30.4912 +INDEX GOES BRRR: 210 X: 13.1299 +INDEX GOES BRRR: 464 X: 29.0371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0205 +INDEX GOES BRRR: 57 X: 3.56348 +INDEX GOES BRRR: 142 X: 8.875 +INDEX GOES BRRR: 75 X: 4.74609 +INDEX GOES BRRR: 250 X: 15.6777 +INDEX GOES BRRR: 100 X: 6.26758 +INDEX GOES BRRR: 78 X: 4.92578 +INDEX GOES BRRR: 52 X: 3.26074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7539 +INDEX GOES BRRR: 209 X: 13.0869 +INDEX GOES BRRR: 147 X: 9.21387 +INDEX GOES BRRR: 73 X: 4.58496 +INDEX GOES BRRR: 281 X: 17.5908 +INDEX GOES BRRR: 9 X: 0.568359 +INDEX GOES BRRR: 334 X: 20.9043 +INDEX GOES BRRR: 46 X: 2.87793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4365 +INDEX GOES BRRR: 281 X: 17.5645 +INDEX GOES BRRR: 296 X: 18.5557 +INDEX GOES BRRR: 300 X: 18.7812 +INDEX GOES BRRR: 987 X: -2.25684 +INDEX GOES BRRR: 388 X: 24.2998 +INDEX GOES BRRR: 200 X: 12.5049 +INDEX GOES BRRR: 892 X: -8.24609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 408 X: 25.502 +INDEX GOES BRRR: 300 X: 18.7646 +INDEX GOES BRRR: 337 X: 21.1162 +INDEX GOES BRRR: 348 X: 21.7949 +INDEX GOES BRRR: 225 X: 14.1162 +INDEX GOES BRRR: 148 X: 9.30078 +INDEX GOES BRRR: 153 X: 9.6123 +INDEX GOES BRRR: 261 X: 16.373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 367 X: 22.9844 +INDEX GOES BRRR: 483 X: 30.2031 +INDEX GOES BRRR: 385 X: 24.0889 +INDEX GOES BRRR: 291 X: 18.2021 +INDEX GOES BRRR: 339 X: 21.2158 +INDEX GOES BRRR: 48 X: 3.0293 +INDEX GOES BRRR: 421 X: 26.3145 +INDEX GOES BRRR: 413 X: 25.8164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6367 +INDEX GOES BRRR: 286 X: 17.9297 +INDEX GOES BRRR: 225 X: 14.0752 +INDEX GOES BRRR: 269 X: 16.8164 +INDEX GOES BRRR: 140 X: 8.76367 +INDEX GOES BRRR: 368 X: 23.0566 +INDEX GOES BRRR: 242 X: 15.1543 +INDEX GOES BRRR: 448 X: 28.04 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9932 +INDEX GOES BRRR: 190 X: 11.8877 +INDEX GOES BRRR: 262 X: 16.4365 +INDEX GOES BRRR: 385 X: 24.0908 +INDEX GOES BRRR: 891 X: -8.26367 +INDEX GOES BRRR: 30 X: 1.88086 +INDEX GOES BRRR: 973 X: -3.16699 +INDEX GOES BRRR: 313 X: 19.5889 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8398 +INDEX GOES BRRR: 254 X: 15.9199 +INDEX GOES BRRR: 933 X: -5.63574 +INDEX GOES BRRR: 106 X: 6.64453 +INDEX GOES BRRR: 253 X: 15.835 +INDEX GOES BRRR: 1007 X: -1.00781 +INDEX GOES BRRR: 66 X: 4.1377 +INDEX GOES BRRR: 934 X: -5.61816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.87402 +INDEX GOES BRRR: 319 X: 19.9697 +INDEX GOES BRRR: 908 X: -7.2168 +INDEX GOES BRRR: 432 X: 27.0205 +INDEX GOES BRRR: 963 X: -3.77832 +INDEX GOES BRRR: 10 X: 0.637695 +INDEX GOES BRRR: 124 X: 7.75879 +INDEX GOES BRRR: 203 X: 12.7061 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 374 X: 23.3799 +INDEX GOES BRRR: 327 X: 20.4639 +INDEX GOES BRRR: 321 X: 20.1123 +INDEX GOES BRRR: 286 X: 17.9062 +INDEX GOES BRRR: 270 X: 16.877 +INDEX GOES BRRR: 309 X: 19.3232 +INDEX GOES BRRR: 203 X: 12.6885 +INDEX GOES BRRR: 221 X: 13.833 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.82812 +INDEX GOES BRRR: 334 X: 20.9297 +INDEX GOES BRRR: 422 X: 26.3926 +INDEX GOES BRRR: 113 X: 7.08789 +INDEX GOES BRRR: 186 X: 11.6816 +INDEX GOES BRRR: 323 X: 20.2109 +INDEX GOES BRRR: 256 X: 16.0459 +INDEX GOES BRRR: 272 X: 17.0059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9775 +INDEX GOES BRRR: 210 X: 13.1514 +INDEX GOES BRRR: 164 X: 10.2578 +INDEX GOES BRRR: 391 X: 24.4668 +INDEX GOES BRRR: 210 X: 13.1562 +INDEX GOES BRRR: 126 X: 7.92871 +INDEX GOES BRRR: 954 X: -4.35645 +INDEX GOES BRRR: 904 X: -7.45801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.87402 +INDEX GOES BRRR: 288 X: 18.0195 +INDEX GOES BRRR: 983 X: -2.55371 +INDEX GOES BRRR: 1 X: 0.0830078 +INDEX GOES BRRR: 243 X: 15.2178 +INDEX GOES BRRR: 286 X: 17.8799 +INDEX GOES BRRR: 272 X: 17.0107 +INDEX GOES BRRR: 95 X: 5.96484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 90 X: 5.6709 +INDEX GOES BRRR: 113 X: 7.09961 +INDEX GOES BRRR: 64 X: 4.0332 +INDEX GOES BRRR: 998 X: -1.59277 +INDEX GOES BRRR: 262 X: 16.3955 +INDEX GOES BRRR: 153 X: 9.59961 +INDEX GOES BRRR: 171 X: 10.6963 +INDEX GOES BRRR: 403 X: 25.2295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 940 X: -5.22168 +INDEX GOES BRRR: 788 X: -14.7402 +INDEX GOES BRRR: 992 X: -1.97168 +INDEX GOES BRRR: 56 X: 3.5459 +INDEX GOES BRRR: 382 X: 23.9238 +INDEX GOES BRRR: 91 X: 5.7041 +INDEX GOES BRRR: 95 X: 5.99707 +INDEX GOES BRRR: 356 X: 22.2725 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0674 +INDEX GOES BRRR: 351 X: 21.96 +INDEX GOES BRRR: 970 X: -3.33301 +INDEX GOES BRRR: 895 X: -8.04395 +INDEX GOES BRRR: 4 X: 0.250977 +INDEX GOES BRRR: 940 X: -5.24121 +INDEX GOES BRRR: 396 X: 24.7969 +INDEX GOES BRRR: 18 X: 1.13086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.38379 +INDEX GOES BRRR: 147 X: 9.23047 +INDEX GOES BRRR: 897 X: -7.8877 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 305 X: 19.1123 +INDEX GOES BRRR: 246 X: 15.4219 +INDEX GOES BRRR: 73 X: 4.56543 +INDEX GOES BRRR: 972 X: -3.21387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.02539 +INDEX GOES BRRR: 157 X: 9.82422 +INDEX GOES BRRR: 154 X: 9.66309 +INDEX GOES BRRR: 413 X: 25.8701 +INDEX GOES BRRR: 139 X: 8.73145 +INDEX GOES BRRR: 175 X: 10.9512 +INDEX GOES BRRR: 150 X: 9.43164 +INDEX GOES BRRR: 118 X: 7.38281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2383 +INDEX GOES BRRR: 857 X: -10.376 +INDEX GOES BRRR: 332 X: 20.752 +INDEX GOES BRRR: 1019 X: -0.282227 +INDEX GOES BRRR: 290 X: 18.1348 +INDEX GOES BRRR: 98 X: 6.13672 +INDEX GOES BRRR: 104 X: 6.54102 +INDEX GOES BRRR: 367 X: 22.9844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0469 +INDEX GOES BRRR: 979 X: -2.80371 +INDEX GOES BRRR: 319 X: 19.9932 +INDEX GOES BRRR: 316 X: 19.8037 +INDEX GOES BRRR: 821 X: -12.6367 +INDEX GOES BRRR: 386 X: 24.1328 +INDEX GOES BRRR: 1009 X: -0.912109 +INDEX GOES BRRR: 92 X: 5.80176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.1074 +INDEX GOES BRRR: 65 X: 4.07715 +INDEX GOES BRRR: 155 X: 9.72656 +INDEX GOES BRRR: 976 X: -2.99805 +INDEX GOES BRRR: 314 X: 19.6777 +INDEX GOES BRRR: 175 X: 10.9395 +INDEX GOES BRRR: 289 X: 18.1094 +INDEX GOES BRRR: 238 X: 14.917 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 308 X: 19.3027 +INDEX GOES BRRR: 434 X: 27.1299 +INDEX GOES BRRR: 190 X: 11.8877 +INDEX GOES BRRR: 925 X: -6.12891 +INDEX GOES BRRR: 962 X: -3.86133 +INDEX GOES BRRR: 270 X: 16.8818 +INDEX GOES BRRR: 358 X: 22.3906 +INDEX GOES BRRR: 245 X: 15.3535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.4033 +INDEX GOES BRRR: 133 X: 8.33301 +INDEX GOES BRRR: 348 X: 21.7744 +INDEX GOES BRRR: 152 X: 9.55664 +INDEX GOES BRRR: 221 X: 13.8604 +INDEX GOES BRRR: 162 X: 10.1738 +INDEX GOES BRRR: 249 X: 15.6045 +INDEX GOES BRRR: 152 X: 9.55469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.269531 +INDEX GOES BRRR: 149 X: 9.32031 +INDEX GOES BRRR: 327 X: 20.4697 +INDEX GOES BRRR: 81 X: 5.11621 +INDEX GOES BRRR: 346 X: 21.6299 +INDEX GOES BRRR: 266 X: 16.625 +INDEX GOES BRRR: 967 X: -3.56152 +INDEX GOES BRRR: 43 X: 2.71484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9199 +INDEX GOES BRRR: 199 X: 12.4805 +INDEX GOES BRRR: 159 X: 9.98438 +INDEX GOES BRRR: 960 X: -3.96094 +INDEX GOES BRRR: 214 X: 13.3916 +INDEX GOES BRRR: 77 X: 4.82227 +INDEX GOES BRRR: 956 X: -4.2041 +INDEX GOES BRRR: 138 X: 8.63477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.15137 +INDEX GOES BRRR: 82 X: 5.16602 +INDEX GOES BRRR: 190 X: 11.9102 +INDEX GOES BRRR: 72 X: 4.51562 +INDEX GOES BRRR: 228 X: 14.2744 +INDEX GOES BRRR: 98 X: 6.13086 +INDEX GOES BRRR: 322 X: 20.1553 +INDEX GOES BRRR: 964 X: -3.72363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0078 +INDEX GOES BRRR: 338 X: 21.1826 +INDEX GOES BRRR: 88 X: 5.5459 +INDEX GOES BRRR: 265 X: 16.5869 +INDEX GOES BRRR: 75 X: 4.69629 +INDEX GOES BRRR: 237 X: 14.8457 +INDEX GOES BRRR: 329 X: 20.5791 +INDEX GOES BRRR: 306 X: 19.1816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.2471 +INDEX GOES BRRR: 141 X: 8.83984 +INDEX GOES BRRR: 484 X: 30.2529 +INDEX GOES BRRR: 48 X: 3.02637 +INDEX GOES BRRR: 71 X: 4.47266 +INDEX GOES BRRR: 152 X: 9.52246 +INDEX GOES BRRR: 134 X: 8.4082 +INDEX GOES BRRR: 410 X: 25.6318 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 438 X: 27.3984 +INDEX GOES BRRR: 182 X: 11.3887 +INDEX GOES BRRR: 110 X: 6.89355 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 44 X: 2.79199 +INDEX GOES BRRR: 168 X: 10.5264 +INDEX GOES BRRR: 136 X: 8.54492 +INDEX GOES BRRR: 240 X: 15 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 476 X: 29.7754 +INDEX GOES BRRR: 304 X: 19.0029 +INDEX GOES BRRR: 422 X: 26.415 +INDEX GOES BRRR: 828 X: -12.248 +INDEX GOES BRRR: 1021 X: -0.146484 +INDEX GOES BRRR: 940 X: -5.23828 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 13 X: 0.833984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.56738 +INDEX GOES BRRR: 204 X: 12.7656 +INDEX GOES BRRR: 279 X: 17.4756 +INDEX GOES BRRR: 133 X: 8.35938 +INDEX GOES BRRR: 125 X: 7.83105 +INDEX GOES BRRR: 90 X: 5.64551 +INDEX GOES BRRR: 66 X: 4.14551 +INDEX GOES BRRR: 134 X: 8.42969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.59082 +INDEX GOES BRRR: 326 X: 20.3818 +INDEX GOES BRRR: 147 X: 9.24805 +INDEX GOES BRRR: 274 X: 17.1797 +INDEX GOES BRRR: 366 X: 22.8857 +INDEX GOES BRRR: 306 X: 19.1826 +INDEX GOES BRRR: 268 X: 16.7998 +INDEX GOES BRRR: 475 X: 29.7041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 34 X: 2.14551 +INDEX GOES BRRR: 7 X: 0.490234 +INDEX GOES BRRR: 176 X: 11.0439 +INDEX GOES BRRR: 191 X: 11.9482 +INDEX GOES BRRR: 203 X: 12.7031 +INDEX GOES BRRR: 265 X: 16.5635 +INDEX GOES BRRR: 353 X: 22.1172 +INDEX GOES BRRR: 427 X: 26.7266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 970 X: -3.36719 +INDEX GOES BRRR: 271 X: 16.9629 +INDEX GOES BRRR: 190 X: 11.9268 +INDEX GOES BRRR: 25 X: 1.58008 +INDEX GOES BRRR: 166 X: 10.3809 +INDEX GOES BRRR: 291 X: 18.2285 +INDEX GOES BRRR: 1002 X: -1.34863 +INDEX GOES BRRR: 83 X: 5.2041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.5332 +INDEX GOES BRRR: 209 X: 13.1084 +INDEX GOES BRRR: 326 X: 20.376 +INDEX GOES BRRR: 324 X: 20.2578 +INDEX GOES BRRR: 115 X: 7.19238 +INDEX GOES BRRR: 93 X: 5.82227 +INDEX GOES BRRR: 69 X: 4.31445 +INDEX GOES BRRR: 245 X: 15.3145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.71387 +INDEX GOES BRRR: 179 X: 11.1904 +INDEX GOES BRRR: 181 X: 11.3691 +INDEX GOES BRRR: 39 X: 2.44824 +INDEX GOES BRRR: 292 X: 18.252 +INDEX GOES BRRR: 41 X: 2.60645 +INDEX GOES BRRR: 254 X: 15.8926 +INDEX GOES BRRR: 83 X: 5.22754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4326 +INDEX GOES BRRR: 148 X: 9.29199 +INDEX GOES BRRR: 286 X: 17.9141 +INDEX GOES BRRR: 280 X: 17.5303 +INDEX GOES BRRR: 487 X: 30.4375 +INDEX GOES BRRR: 310 X: 19.3994 +INDEX GOES BRRR: 129 X: 8.08008 +INDEX GOES BRRR: 141 X: 8.85352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0293 +INDEX GOES BRRR: 960 X: -3.95312 +INDEX GOES BRRR: 205 X: 12.8232 +INDEX GOES BRRR: 172 X: 10.8008 +INDEX GOES BRRR: 182 X: 11.4326 +INDEX GOES BRRR: 44 X: 2.80469 +INDEX GOES BRRR: 102 X: 6.38281 +INDEX GOES BRRR: 157 X: 9.85547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 447 X: 27.9453 +INDEX GOES BRRR: 401 X: 25.0703 +INDEX GOES BRRR: 210 X: 13.1289 +INDEX GOES BRRR: 26 X: 1.66602 +INDEX GOES BRRR: 37 X: 2.36719 +INDEX GOES BRRR: 81 X: 5.09961 +INDEX GOES BRRR: 146 X: 9.1416 +INDEX GOES BRRR: 213 X: 13.3691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.87598 +INDEX GOES BRRR: 116 X: 7.27246 +INDEX GOES BRRR: 972 X: -3.24219 +INDEX GOES BRRR: 970 X: -3.32031 +INDEX GOES BRRR: 377 X: 23.5957 +INDEX GOES BRRR: 149 X: 9.33691 +INDEX GOES BRRR: 204 X: 12.8047 +INDEX GOES BRRR: 103 X: 6.44629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 257 X: 16.1201 +INDEX GOES BRRR: 206 X: 12.8818 +INDEX GOES BRRR: 430 X: 26.8779 +INDEX GOES BRRR: 418 X: 26.1533 +INDEX GOES BRRR: 1016 X: -0.498047 +INDEX GOES BRRR: 1016 X: -0.477539 +INDEX GOES BRRR: 282 X: 17.6787 +INDEX GOES BRRR: 1023 X: -0.0615234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.6709 +INDEX GOES BRRR: 259 X: 16.2197 +INDEX GOES BRRR: 191 X: 11.9736 +INDEX GOES BRRR: 302 X: 18.9346 +INDEX GOES BRRR: 447 X: 27.9785 +INDEX GOES BRRR: 177 X: 11.1201 +INDEX GOES BRRR: 78 X: 4.90625 +INDEX GOES BRRR: 152 X: 9.54785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 339 X: 21.1924 +INDEX GOES BRRR: 271 X: 16.9629 +INDEX GOES BRRR: 114 X: 7.17871 +INDEX GOES BRRR: 259 X: 16.2227 +INDEX GOES BRRR: 96 X: 6.00293 +INDEX GOES BRRR: 966 X: -3.60254 +INDEX GOES BRRR: 201 X: 12.5957 +INDEX GOES BRRR: 25 X: 1.61816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 998 X: -1.59277 +INDEX GOES BRRR: 259 X: 16.2197 +INDEX GOES BRRR: 976 X: -2.96191 +INDEX GOES BRRR: 402 X: 25.1387 +INDEX GOES BRRR: 218 X: 13.6719 +INDEX GOES BRRR: 439 X: 27.4844 +INDEX GOES BRRR: 895 X: -8.0332 +INDEX GOES BRRR: 223 X: 13.9863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6328 +INDEX GOES BRRR: 89 X: 5.57227 +INDEX GOES BRRR: 101 X: 6.31934 +INDEX GOES BRRR: 187 X: 11.7441 +INDEX GOES BRRR: 988 X: -2.22363 +INDEX GOES BRRR: 123 X: 7.71777 +INDEX GOES BRRR: 110 X: 6.88574 +INDEX GOES BRRR: 195 X: 12.2275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 999 X: -1.54102 +INDEX GOES BRRR: 129 X: 8.0791 +INDEX GOES BRRR: 251 X: 15.7393 +INDEX GOES BRRR: 249 X: 15.5898 +INDEX GOES BRRR: 373 X: 23.3125 +INDEX GOES BRRR: 933 X: -5.62891 +INDEX GOES BRRR: 21 X: 1.35352 +INDEX GOES BRRR: 86 X: 5.42773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.22949 +INDEX GOES BRRR: 955 X: -4.2793 +INDEX GOES BRRR: 152 X: 9.55371 +INDEX GOES BRRR: 65 X: 4.1123 +INDEX GOES BRRR: 269 X: 16.8223 +INDEX GOES BRRR: 127 X: 7.99902 +INDEX GOES BRRR: 300 X: 18.7588 +INDEX GOES BRRR: 1004 X: -1.24121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3174 +INDEX GOES BRRR: 245 X: 15.3564 +INDEX GOES BRRR: 119 X: 7.48047 +INDEX GOES BRRR: 387 X: 24.2432 +INDEX GOES BRRR: 51 X: 3.19629 +INDEX GOES BRRR: 165 X: 10.3291 +INDEX GOES BRRR: 955 X: -4.28809 +INDEX GOES BRRR: 74 X: 4.67285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1768 +INDEX GOES BRRR: 77 X: 4.8252 +INDEX GOES BRRR: 117 X: 7.3291 +INDEX GOES BRRR: 324 X: 20.2881 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 98 X: 6.16504 +INDEX GOES BRRR: 261 X: 16.3408 +INDEX GOES BRRR: 224 X: 14.0273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.75781 +INDEX GOES BRRR: 218 X: 13.6445 +INDEX GOES BRRR: 380 X: 23.7734 +INDEX GOES BRRR: 281 X: 17.5859 +INDEX GOES BRRR: 271 X: 16.9727 +INDEX GOES BRRR: 18 X: 1.14258 +INDEX GOES BRRR: 43 X: 2.71973 +INDEX GOES BRRR: 398 X: 24.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.15723 +INDEX GOES BRRR: 58 X: 3.62793 +INDEX GOES BRRR: 340 X: 21.2842 +INDEX GOES BRRR: 141 X: 8.84375 +INDEX GOES BRRR: 209 X: 13.1016 +INDEX GOES BRRR: 117 X: 7.35156 +INDEX GOES BRRR: 154 X: 9.65234 +INDEX GOES BRRR: 195 X: 12.2393 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.52148 +INDEX GOES BRRR: 316 X: 19.7705 +INDEX GOES BRRR: 363 X: 22.7158 +INDEX GOES BRRR: 365 X: 22.8691 +INDEX GOES BRRR: 338 X: 21.1768 +INDEX GOES BRRR: 302 X: 18.9004 +INDEX GOES BRRR: 913 X: -6.92383 +INDEX GOES BRRR: 991 X: -2.05078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.33203 +INDEX GOES BRRR: 261 X: 16.3613 +INDEX GOES BRRR: 234 X: 14.6299 +INDEX GOES BRRR: 62 X: 3.90332 +INDEX GOES BRRR: 888 X: -8.46484 +INDEX GOES BRRR: 303 X: 18.9443 +INDEX GOES BRRR: 1019 X: -0.300781 +INDEX GOES BRRR: 153 X: 9.61426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2305 +INDEX GOES BRRR: 112 X: 7.00293 +INDEX GOES BRRR: 220 X: 13.7812 +INDEX GOES BRRR: 62 X: 3.91113 +INDEX GOES BRRR: 66 X: 4.13672 +INDEX GOES BRRR: 416 X: 26.001 +INDEX GOES BRRR: 61 X: 3.84863 +INDEX GOES BRRR: 88 X: 5.5166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.3115 +INDEX GOES BRRR: 965 X: -3.65039 +INDEX GOES BRRR: 17 X: 1.10742 +INDEX GOES BRRR: 116 X: 7.28516 +INDEX GOES BRRR: 64 X: 4.02539 +INDEX GOES BRRR: 236 X: 14.7539 +INDEX GOES BRRR: 183 X: 11.4629 +INDEX GOES BRRR: 398 X: 24.8838 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.1104 +INDEX GOES BRRR: 242 X: 15.1816 +INDEX GOES BRRR: 89 X: 5.59277 +INDEX GOES BRRR: 923 X: -6.2959 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 487 X: 30.4951 +INDEX GOES BRRR: 973 X: -3.15918 +INDEX GOES BRRR: 259 X: 16.2471 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2041 +INDEX GOES BRRR: 210 X: 13.1367 +INDEX GOES BRRR: 131 X: 8.22949 +INDEX GOES BRRR: 209 X: 13.1133 +INDEX GOES BRRR: 152 X: 9.55664 +INDEX GOES BRRR: 338 X: 21.127 +INDEX GOES BRRR: 252 X: 15.7734 +INDEX GOES BRRR: 111 X: 6.94531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.52832 +INDEX GOES BRRR: 375 X: 23.4541 +INDEX GOES BRRR: 287 X: 17.9375 +INDEX GOES BRRR: 124 X: 7.76172 +INDEX GOES BRRR: 52 X: 3.25684 +INDEX GOES BRRR: 116 X: 7.27148 +INDEX GOES BRRR: 28 X: 1.76855 +INDEX GOES BRRR: 180 X: 11.2549 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 459 X: 28.7246 +INDEX GOES BRRR: 16 X: 1.00488 +INDEX GOES BRRR: 82 X: 5.15527 +INDEX GOES BRRR: 104 X: 6.50684 +INDEX GOES BRRR: 233 X: 14.5928 +INDEX GOES BRRR: 233 X: 14.6094 +INDEX GOES BRRR: 155 X: 9.74512 +INDEX GOES BRRR: 202 X: 12.6309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.65527 +INDEX GOES BRRR: 933 X: -5.64844 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 40 X: 2.50879 +INDEX GOES BRRR: 282 X: 17.6367 +INDEX GOES BRRR: 135 X: 8.47656 +INDEX GOES BRRR: 231 X: 14.4736 +INDEX GOES BRRR: 61 X: 3.82422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 883 X: -8.80762 +INDEX GOES BRRR: 328 X: 20.5293 +INDEX GOES BRRR: 62 X: 3.90625 +INDEX GOES BRRR: 760 X: -16.4561 +INDEX GOES BRRR: 906 X: -7.375 +INDEX GOES BRRR: 275 X: 17.249 +INDEX GOES BRRR: 223 X: 13.9551 +INDEX GOES BRRR: 71 X: 4.45215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7314 +INDEX GOES BRRR: 154 X: 9.63379 +INDEX GOES BRRR: 29 X: 1.86523 +INDEX GOES BRRR: 277 X: 17.3721 +INDEX GOES BRRR: 297 X: 18.5625 +INDEX GOES BRRR: 295 X: 18.4424 +INDEX GOES BRRR: 9 X: 0.599609 +INDEX GOES BRRR: 272 X: 17.0117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.7998 +INDEX GOES BRRR: 201 X: 12.5996 +INDEX GOES BRRR: 313 X: 19.582 +INDEX GOES BRRR: 313 X: 19.5801 +INDEX GOES BRRR: 908 X: -7.2168 +INDEX GOES BRRR: 230 X: 14.4268 +INDEX GOES BRRR: 466 X: 29.1777 +INDEX GOES BRRR: 395 X: 24.7178 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.2549 +INDEX GOES BRRR: 91 X: 5.69727 +INDEX GOES BRRR: 202 X: 12.6523 +INDEX GOES BRRR: 64 X: 4.0459 +INDEX GOES BRRR: 243 X: 15.1904 +INDEX GOES BRRR: 82 X: 5.12988 +INDEX GOES BRRR: 809 X: -13.3936 +INDEX GOES BRRR: 238 X: 14.8926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 997 X: -1.64941 +INDEX GOES BRRR: 186 X: 11.6807 +INDEX GOES BRRR: 127 X: 7.94629 +INDEX GOES BRRR: 234 X: 14.6611 +INDEX GOES BRRR: 842 X: -11.3545 +INDEX GOES BRRR: 936 X: -5.4668 +INDEX GOES BRRR: 75 X: 4.71289 +INDEX GOES BRRR: 25 X: 1.58203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.742188 +INDEX GOES BRRR: 189 X: 11.833 +INDEX GOES BRRR: 71 X: 4.48926 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 392 X: 24.5283 +INDEX GOES BRRR: 272 X: 17.0244 +INDEX GOES BRRR: 223 X: 13.9531 +INDEX GOES BRRR: 237 X: 14.8555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8379 +INDEX GOES BRRR: 56 X: 3.51367 +INDEX GOES BRRR: 29 X: 1.85742 +INDEX GOES BRRR: 258 X: 16.1621 +INDEX GOES BRRR: 269 X: 16.8623 +INDEX GOES BRRR: 46 X: 2.91016 +INDEX GOES BRRR: 176 X: 11.0273 +INDEX GOES BRRR: 299 X: 18.6943 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 455 X: 28.4912 +INDEX GOES BRRR: 987 X: -2.25391 +INDEX GOES BRRR: 120 X: 7.5459 +INDEX GOES BRRR: 186 X: 11.6289 +INDEX GOES BRRR: 143 X: 8.95703 +INDEX GOES BRRR: 164 X: 10.2754 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 983 X: -2.53906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.46484 +INDEX GOES BRRR: 258 X: 16.1582 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 720 X: -18.9707 +INDEX GOES BRRR: 274 X: 17.1797 +INDEX GOES BRRR: 267 X: 16.7432 +INDEX GOES BRRR: 172 X: 10.7725 +INDEX GOES BRRR: 138 X: 8.625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.68652 +INDEX GOES BRRR: 304 X: 19.0225 +INDEX GOES BRRR: 119 X: 7.45215 +INDEX GOES BRRR: 254 X: 15.8955 +INDEX GOES BRRR: 330 X: 20.6562 +INDEX GOES BRRR: 49 X: 3.0625 +INDEX GOES BRRR: 92 X: 5.78027 +INDEX GOES BRRR: 15 X: 0.951172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.65918 +INDEX GOES BRRR: 230 X: 14.4219 +INDEX GOES BRRR: 59 X: 3.71484 +INDEX GOES BRRR: 1008 X: -0.951172 +INDEX GOES BRRR: 45 X: 2.85938 +INDEX GOES BRRR: 326 X: 20.4111 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 178 X: 11.1504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 958 X: -4.11621 +INDEX GOES BRRR: 156 X: 9.75 +INDEX GOES BRRR: 316 X: 19.7793 +INDEX GOES BRRR: 281 X: 17.5771 +INDEX GOES BRRR: 906 X: -7.37305 +INDEX GOES BRRR: 456 X: 28.5459 +INDEX GOES BRRR: 239 X: 14.9961 +INDEX GOES BRRR: 179 X: 11.2373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8711 +INDEX GOES BRRR: 327 X: 20.498 +INDEX GOES BRRR: 836 X: -11.7275 +INDEX GOES BRRR: 311 X: 19.4463 +INDEX GOES BRRR: 757 X: -16.6543 +INDEX GOES BRRR: 8 X: 0.561523 +INDEX GOES BRRR: 148 X: 9.27148 +INDEX GOES BRRR: 949 X: -4.6709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 15 X: 0.978516 +INDEX GOES BRRR: 180 X: 11.2783 +INDEX GOES BRRR: 378 X: 23.625 +INDEX GOES BRRR: 919 X: -6.51367 +INDEX GOES BRRR: 762 X: -16.3516 +INDEX GOES BRRR: 973 X: -3.13184 +INDEX GOES BRRR: 403 X: 25.2422 +INDEX GOES BRRR: 32 X: 2.02344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.20215 +INDEX GOES BRRR: 149 X: 9.35449 +INDEX GOES BRRR: 124 X: 7.75977 +INDEX GOES BRRR: 279 X: 17.4531 +INDEX GOES BRRR: 238 X: 14.916 +INDEX GOES BRRR: 120 X: 7.50098 +INDEX GOES BRRR: 74 X: 4.66113 +INDEX GOES BRRR: 104 X: 6.54883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.79102 +INDEX GOES BRRR: 97 X: 6.07422 +INDEX GOES BRRR: 282 X: 17.6865 +INDEX GOES BRRR: 289 X: 18.0781 +INDEX GOES BRRR: 446 X: 27.8838 +INDEX GOES BRRR: 319 X: 19.9893 +INDEX GOES BRRR: 119 X: 7.47363 +INDEX GOES BRRR: 230 X: 14.4111 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0459 +INDEX GOES BRRR: 169 X: 10.5859 +INDEX GOES BRRR: 105 X: 6.57031 +INDEX GOES BRRR: 223 X: 13.9434 +INDEX GOES BRRR: 888 X: -8.45605 +INDEX GOES BRRR: 261 X: 16.3643 +INDEX GOES BRRR: 907 X: -7.29395 +INDEX GOES BRRR: 398 X: 24.9199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1514 +INDEX GOES BRRR: 303 X: 18.9736 +INDEX GOES BRRR: 141 X: 8.86816 +INDEX GOES BRRR: 170 X: 10.6318 +INDEX GOES BRRR: 947 X: -4.77148 +INDEX GOES BRRR: 162 X: 10.1396 +INDEX GOES BRRR: 494 X: 30.877 +INDEX GOES BRRR: 82 X: 5.13379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5195 +INDEX GOES BRRR: 148 X: 9.31055 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 347 X: 21.7178 +INDEX GOES BRRR: 13 X: 0.854492 +INDEX GOES BRRR: 97 X: 6.09375 +INDEX GOES BRRR: 360 X: 22.543 +INDEX GOES BRRR: 37 X: 2.33789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.543 +INDEX GOES BRRR: 1023 X: -0.0117188 +INDEX GOES BRRR: 141 X: 8.8418 +INDEX GOES BRRR: 311 X: 19.4814 +INDEX GOES BRRR: 38 X: 2.37598 +INDEX GOES BRRR: 13 X: 0.865234 +INDEX GOES BRRR: 0 X: 0.0126953 +INDEX GOES BRRR: 225 X: 14.0986 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 378 X: 23.6709 +INDEX GOES BRRR: 185 X: 11.6074 +INDEX GOES BRRR: 967 X: -3.53809 +INDEX GOES BRRR: 406 X: 25.3984 +INDEX GOES BRRR: 488 X: 30.542 +INDEX GOES BRRR: 205 X: 12.8525 +INDEX GOES BRRR: 340 X: 21.3008 +INDEX GOES BRRR: 185 X: 11.6123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.62891 +INDEX GOES BRRR: 25 X: 1.56543 +INDEX GOES BRRR: 195 X: 12.2314 +INDEX GOES BRRR: 76 X: 4.76953 +INDEX GOES BRRR: 131 X: 8.22168 +INDEX GOES BRRR: 353 X: 22.0693 +INDEX GOES BRRR: 991 X: -2.01855 +INDEX GOES BRRR: 162 X: 10.1523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2275 +INDEX GOES BRRR: 270 X: 16.8857 +INDEX GOES BRRR: 212 X: 13.3047 +INDEX GOES BRRR: 108 X: 6.80273 +INDEX GOES BRRR: 249 X: 15.6074 +INDEX GOES BRRR: 22 X: 1.43066 +INDEX GOES BRRR: 282 X: 17.6592 +INDEX GOES BRRR: 878 X: -9.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 487 X: 30.4521 +INDEX GOES BRRR: 860 X: -10.2021 +INDEX GOES BRRR: 200 X: 12.5117 +INDEX GOES BRRR: 350 X: 21.9062 +INDEX GOES BRRR: 114 X: 7.18457 +INDEX GOES BRRR: 978 X: -2.85254 +INDEX GOES BRRR: 483 X: 30.2334 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6807 +INDEX GOES BRRR: 152 X: 9.55469 +INDEX GOES BRRR: 219 X: 13.7314 +INDEX GOES BRRR: 168 X: 10.501 +INDEX GOES BRRR: 242 X: 15.1377 +INDEX GOES BRRR: 352 X: 22.0059 +INDEX GOES BRRR: 173 X: 10.8447 +INDEX GOES BRRR: 8 X: 0.511719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 159 X: 9.9541 +INDEX GOES BRRR: 216 X: 13.5605 +INDEX GOES BRRR: 383 X: 23.9639 +INDEX GOES BRRR: 989 X: -2.15332 +INDEX GOES BRRR: 299 X: 18.6914 +INDEX GOES BRRR: 121 X: 7.57422 +INDEX GOES BRRR: 188 X: 11.8086 +INDEX GOES BRRR: 272 X: 17.0537 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 932 X: -5.7168 +INDEX GOES BRRR: 893 X: -8.16797 +INDEX GOES BRRR: 246 X: 15.4346 +INDEX GOES BRRR: 90 X: 5.67871 +INDEX GOES BRRR: 76 X: 4.75 +INDEX GOES BRRR: 347 X: 21.7334 +INDEX GOES BRRR: 213 X: 13.3418 +INDEX GOES BRRR: 115 X: 7.22559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.22363 +INDEX GOES BRRR: 233 X: 14.5674 +INDEX GOES BRRR: 356 X: 22.2627 +INDEX GOES BRRR: 416 X: 26.0479 +INDEX GOES BRRR: 202 X: 12.6533 +INDEX GOES BRRR: 191 X: 11.9492 +INDEX GOES BRRR: 235 X: 14.7266 +INDEX GOES BRRR: 24 X: 1.54297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.95898 +INDEX GOES BRRR: 382 X: 23.9355 +INDEX GOES BRRR: 256 X: 16.0332 +INDEX GOES BRRR: 160 X: 10.0234 +INDEX GOES BRRR: 134 X: 8.3916 +INDEX GOES BRRR: 328 X: 20.5127 +INDEX GOES BRRR: 15 X: 0.975586 +INDEX GOES BRRR: 12 X: 0.771484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 850 X: -10.8682 +INDEX GOES BRRR: 377 X: 23.5967 +INDEX GOES BRRR: 18 X: 1.18457 +INDEX GOES BRRR: 171 X: 10.7422 +INDEX GOES BRRR: 337 X: 21.085 +INDEX GOES BRRR: 178 X: 11.1514 +INDEX GOES BRRR: 134 X: 8.42773 +INDEX GOES BRRR: 140 X: 8.77344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.84668 +INDEX GOES BRRR: 76 X: 4.79004 +INDEX GOES BRRR: 23 X: 1.4707 +INDEX GOES BRRR: 155 X: 9.69629 +INDEX GOES BRRR: 487 X: 30.4834 +INDEX GOES BRRR: 212 X: 13.2549 +INDEX GOES BRRR: 1019 X: -0.25293 +INDEX GOES BRRR: 254 X: 15.8887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1406 +INDEX GOES BRRR: 42 X: 2.68555 +INDEX GOES BRRR: 260 X: 16.2578 +INDEX GOES BRRR: 288 X: 18.0254 +INDEX GOES BRRR: 838 X: -11.6143 +INDEX GOES BRRR: 863 X: -10.0234 +INDEX GOES BRRR: 908 X: -7.19531 +INDEX GOES BRRR: 148 X: 9.28516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 274 X: 17.1777 +INDEX GOES BRRR: 1005 X: -1.16602 +INDEX GOES BRRR: 161 X: 10.0703 +INDEX GOES BRRR: 193 X: 12.0967 +INDEX GOES BRRR: 977 X: -2.89746 +INDEX GOES BRRR: 71 X: 4.48145 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 219 X: 13.7031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 919 X: -6.54785 +INDEX GOES BRRR: 153 X: 9.60645 +INDEX GOES BRRR: 238 X: 14.9092 +INDEX GOES BRRR: 139 X: 8.69531 +INDEX GOES BRRR: 288 X: 18.0449 +INDEX GOES BRRR: 128 X: 8.02441 +INDEX GOES BRRR: 250 X: 15.6758 +INDEX GOES BRRR: 121 X: 7.59863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.54004 +INDEX GOES BRRR: 173 X: 10.8408 +INDEX GOES BRRR: 142 X: 8.92188 +INDEX GOES BRRR: 1018 X: -0.354492 +INDEX GOES BRRR: 40 X: 2.50781 +INDEX GOES BRRR: 191 X: 11.9941 +INDEX GOES BRRR: 93 X: 5.81836 +INDEX GOES BRRR: 57 X: 3.59082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.64941 +INDEX GOES BRRR: 199 X: 12.457 +INDEX GOES BRRR: 962 X: -3.86035 +INDEX GOES BRRR: 311 X: 19.459 +INDEX GOES BRRR: 163 X: 10.1904 +INDEX GOES BRRR: 1001 X: -1.39062 +INDEX GOES BRRR: 52 X: 3.31055 +INDEX GOES BRRR: 368 X: 23.0508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 880 X: -8.94434 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 55 X: 3.45898 +INDEX GOES BRRR: 306 X: 19.1348 +INDEX GOES BRRR: 440 X: 27.5459 +INDEX GOES BRRR: 206 X: 12.8926 +INDEX GOES BRRR: 287 X: 17.9688 +INDEX GOES BRRR: 195 X: 12.2305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6348 +INDEX GOES BRRR: 312 X: 19.502 +INDEX GOES BRRR: 141 X: 8.86816 +INDEX GOES BRRR: 984 X: -2.49121 +INDEX GOES BRRR: 1023 X: -0.00488281 +INDEX GOES BRRR: 158 X: 9.87988 +INDEX GOES BRRR: 419 X: 26.209 +INDEX GOES BRRR: 213 X: 13.3242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 472 X: 29.5605 +INDEX GOES BRRR: 854 X: -10.6045 +INDEX GOES BRRR: 181 X: 11.3682 +INDEX GOES BRRR: 258 X: 16.165 +INDEX GOES BRRR: 347 X: 21.7363 +INDEX GOES BRRR: 877 X: -9.16602 +INDEX GOES BRRR: 453 X: 28.3369 +INDEX GOES BRRR: 154 X: 9.66016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9766 +INDEX GOES BRRR: 224 X: 14.0254 +INDEX GOES BRRR: 990 X: -2.08301 +INDEX GOES BRRR: 346 X: 21.6328 +INDEX GOES BRRR: 123 X: 7.70508 +INDEX GOES BRRR: 103 X: 6.45703 +INDEX GOES BRRR: 420 X: 26.2529 +INDEX GOES BRRR: 167 X: 10.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.550781 +INDEX GOES BRRR: 473 X: 29.5752 +INDEX GOES BRRR: 108 X: 6.75391 +INDEX GOES BRRR: 294 X: 18.3916 +INDEX GOES BRRR: 132 X: 8.2832 +INDEX GOES BRRR: 237 X: 14.8418 +INDEX GOES BRRR: 262 X: 16.3818 +INDEX GOES BRRR: 14 X: 0.894531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 23 X: 1.45117 +INDEX GOES BRRR: 250 X: 15.6611 +INDEX GOES BRRR: 134 X: 8.38086 +INDEX GOES BRRR: 88 X: 5.52832 +INDEX GOES BRRR: 452 X: 28.2686 +INDEX GOES BRRR: 867 X: -9.76172 +INDEX GOES BRRR: 109 X: 6.82031 +INDEX GOES BRRR: 157 X: 9.86426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5723 +INDEX GOES BRRR: 225 X: 14.0723 +INDEX GOES BRRR: 231 X: 14.4883 +INDEX GOES BRRR: 272 X: 17.0439 +INDEX GOES BRRR: 123 X: 7.70117 +INDEX GOES BRRR: 922 X: -6.37207 +INDEX GOES BRRR: 81 X: 5.08301 +INDEX GOES BRRR: 457 X: 28.6182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6455 +INDEX GOES BRRR: 45 X: 2.86719 +INDEX GOES BRRR: 159 X: 9.96387 +INDEX GOES BRRR: 243 X: 15.1943 +INDEX GOES BRRR: 71 X: 4.4707 +INDEX GOES BRRR: 190 X: 11.8857 +INDEX GOES BRRR: 264 X: 16.5225 +INDEX GOES BRRR: 93 X: 5.83398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9297 +INDEX GOES BRRR: 965 X: -3.67285 +INDEX GOES BRRR: 149 X: 9.35059 +INDEX GOES BRRR: 127 X: 7.98926 +INDEX GOES BRRR: 249 X: 15.6201 +INDEX GOES BRRR: 141 X: 8.8252 +INDEX GOES BRRR: 353 X: 22.0654 +INDEX GOES BRRR: 221 X: 13.8467 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 388 X: 24.2656 +INDEX GOES BRRR: 213 X: 13.3682 +INDEX GOES BRRR: 187 X: 11.7188 +INDEX GOES BRRR: 229 X: 14.3281 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 237 X: 14.8594 +INDEX GOES BRRR: 27 X: 1.71875 +INDEX GOES BRRR: 972 X: -3.23535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.76074 +INDEX GOES BRRR: 0 X: 0.0488281 +INDEX GOES BRRR: 191 X: 11.9805 +INDEX GOES BRRR: 755 X: -16.7725 +INDEX GOES BRRR: 127 X: 7.94141 +INDEX GOES BRRR: 1016 X: -0.482422 +INDEX GOES BRRR: 46 X: 2.93066 +INDEX GOES BRRR: 150 X: 9.43164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 48 X: 3.00391 +INDEX GOES BRRR: 142 X: 8.92383 +INDEX GOES BRRR: 7 X: 0.442383 +INDEX GOES BRRR: 39 X: 2.44922 +INDEX GOES BRRR: 130 X: 8.13477 +INDEX GOES BRRR: 979 X: -2.80957 +INDEX GOES BRRR: 29 X: 1.8623 +INDEX GOES BRRR: 108 X: 6.75195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 923 X: -6.2959 +INDEX GOES BRRR: 242 X: 15.1387 +INDEX GOES BRRR: 274 X: 17.1436 +INDEX GOES BRRR: 290 X: 18.1406 +INDEX GOES BRRR: 254 X: 15.8896 +INDEX GOES BRRR: 8 X: 0.53125 +INDEX GOES BRRR: 18 X: 1.14648 +INDEX GOES BRRR: 245 X: 15.3721 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.69434 +INDEX GOES BRRR: 442 X: 27.6572 +INDEX GOES BRRR: 80 X: 5.00977 +INDEX GOES BRRR: 242 X: 15.1855 +INDEX GOES BRRR: 44 X: 2.75684 +INDEX GOES BRRR: 88 X: 5.50879 +INDEX GOES BRRR: 435 X: 27.2158 +INDEX GOES BRRR: 353 X: 22.0898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3457 +INDEX GOES BRRR: 901 X: -7.68359 +INDEX GOES BRRR: 287 X: 17.9629 +INDEX GOES BRRR: 227 X: 14.2275 +INDEX GOES BRRR: 89 X: 5.57227 +INDEX GOES BRRR: 946 X: -4.83105 +INDEX GOES BRRR: 300 X: 18.7734 +INDEX GOES BRRR: 935 X: -5.53027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 932 X: -5.73145 +INDEX GOES BRRR: 250 X: 15.6572 +INDEX GOES BRRR: 204 X: 12.7588 +INDEX GOES BRRR: 254 X: 15.9014 +INDEX GOES BRRR: 106 X: 6.68262 +INDEX GOES BRRR: 198 X: 12.4316 +INDEX GOES BRRR: 980 X: -2.71191 +INDEX GOES BRRR: 168 X: 10.5322 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.2832 +INDEX GOES BRRR: 317 X: 19.8613 +INDEX GOES BRRR: 185 X: 11.5938 +INDEX GOES BRRR: 1023 X: -0.0234375 +INDEX GOES BRRR: 956 X: -4.25 +INDEX GOES BRRR: 928 X: -5.94434 +INDEX GOES BRRR: 194 X: 12.1592 +INDEX GOES BRRR: 359 X: 22.4922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0518 +INDEX GOES BRRR: 756 X: -16.75 +INDEX GOES BRRR: 211 X: 13.248 +INDEX GOES BRRR: 973 X: -3.16309 +INDEX GOES BRRR: 375 X: 23.459 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 77 X: 4.8584 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1001 X: -1.41797 +INDEX GOES BRRR: 201 X: 12.5967 +INDEX GOES BRRR: 171 X: 10.7412 +INDEX GOES BRRR: 276 X: 17.3027 +INDEX GOES BRRR: 4 X: 0.302734 +INDEX GOES BRRR: 340 X: 21.2578 +INDEX GOES BRRR: 106 X: 6.66992 +INDEX GOES BRRR: 237 X: 14.8232 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 800 X: -13.9492 +INDEX GOES BRRR: 52 X: 3.25 +INDEX GOES BRRR: 100 X: 6.29395 +INDEX GOES BRRR: 244 X: 15.2852 +INDEX GOES BRRR: 241 X: 15.0879 +INDEX GOES BRRR: 206 X: 12.9365 +INDEX GOES BRRR: 117 X: 7.31836 +INDEX GOES BRRR: 40 X: 2.56152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.14355 +INDEX GOES BRRR: 72 X: 4.53027 +INDEX GOES BRRR: 921 X: -6.42578 +INDEX GOES BRRR: 198 X: 12.3984 +INDEX GOES BRRR: 123 X: 7.69141 +INDEX GOES BRRR: 252 X: 15.7988 +INDEX GOES BRRR: 955 X: -4.25488 +INDEX GOES BRRR: 232 X: 14.5156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 288 X: 18.0234 +INDEX GOES BRRR: 981 X: -2.64551 +INDEX GOES BRRR: 884 X: -8.74414 +INDEX GOES BRRR: 43 X: 2.71875 +INDEX GOES BRRR: 108 X: 6.77148 +INDEX GOES BRRR: 840 X: -11.4629 +INDEX GOES BRRR: 170 X: 10.6836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 945 X: -4.88281 +INDEX GOES BRRR: 837 X: -11.6279 +INDEX GOES BRRR: 131 X: 8.21191 +INDEX GOES BRRR: 218 X: 13.6357 +INDEX GOES BRRR: 147 X: 9.20801 +INDEX GOES BRRR: 56 X: 3.50684 +INDEX GOES BRRR: 19 X: 1.2373 +INDEX GOES BRRR: 324 X: 20.2725 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0762 +INDEX GOES BRRR: 44 X: 2.80078 +INDEX GOES BRRR: 919 X: -6.51953 +INDEX GOES BRRR: 49 X: 3.10742 +INDEX GOES BRRR: 386 X: 24.166 +INDEX GOES BRRR: 236 X: 14.7861 +INDEX GOES BRRR: 176 X: 11.042 +INDEX GOES BRRR: 228 X: 14.3076 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1807 +INDEX GOES BRRR: 37 X: 2.33789 +INDEX GOES BRRR: 470 X: 29.3916 +INDEX GOES BRRR: 145 X: 9.08984 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 279 X: 17.4717 +INDEX GOES BRRR: 374 X: 23.4307 +INDEX GOES BRRR: 268 X: 16.7979 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2227 +INDEX GOES BRRR: 301 X: 18.8496 +INDEX GOES BRRR: 235 X: 14.7109 +INDEX GOES BRRR: 34 X: 2.16016 +INDEX GOES BRRR: 362 X: 22.6846 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 340 X: 21.2842 +INDEX GOES BRRR: 980 X: -2.7373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4238 +INDEX GOES BRRR: 494 X: 30.8838 +INDEX GOES BRRR: 955 X: -4.29883 +INDEX GOES BRRR: 141 X: 8.85742 +INDEX GOES BRRR: 379 X: 23.707 +INDEX GOES BRRR: 222 X: 13.8877 +INDEX GOES BRRR: 388 X: 24.2832 +INDEX GOES BRRR: 476 X: 29.7539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 329 X: 20.5879 +INDEX GOES BRRR: 356 X: 22.3037 +INDEX GOES BRRR: 216 X: 13.5586 +INDEX GOES BRRR: 51 X: 3.2334 +INDEX GOES BRRR: 71 X: 4.48828 +INDEX GOES BRRR: 162 X: 10.1758 +INDEX GOES BRRR: 150 X: 9.41113 +INDEX GOES BRRR: 84 X: 5.26367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.99609 +INDEX GOES BRRR: 91 X: 5.72656 +INDEX GOES BRRR: 259 X: 16.209 +INDEX GOES BRRR: 86 X: 5.43262 +INDEX GOES BRRR: 370 X: 23.1582 +INDEX GOES BRRR: 57 X: 3.60254 +INDEX GOES BRRR: 89 X: 5.5625 +INDEX GOES BRRR: 70 X: 4.42578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7334 +INDEX GOES BRRR: 57 X: 3.58203 +INDEX GOES BRRR: 352 X: 22.0205 +INDEX GOES BRRR: 87 X: 5.48047 +INDEX GOES BRRR: 203 X: 12.7021 +INDEX GOES BRRR: 207 X: 12.9414 +INDEX GOES BRRR: 364 X: 22.7559 +INDEX GOES BRRR: 294 X: 18.4219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.11426 +INDEX GOES BRRR: 258 X: 16.1729 +INDEX GOES BRRR: 186 X: 11.6309 +INDEX GOES BRRR: 1016 X: -0.442383 +INDEX GOES BRRR: 262 X: 16.3818 +INDEX GOES BRRR: 234 X: 14.625 +INDEX GOES BRRR: 182 X: 11.4131 +INDEX GOES BRRR: 913 X: -6.92188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.01562 +INDEX GOES BRRR: 219 X: 13.7246 +INDEX GOES BRRR: 436 X: 27.3076 +INDEX GOES BRRR: 155 X: 9.72754 +INDEX GOES BRRR: 252 X: 15.8076 +INDEX GOES BRRR: 365 X: 22.833 +INDEX GOES BRRR: 968 X: -3.48633 +INDEX GOES BRRR: 258 X: 16.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.7139 +INDEX GOES BRRR: 315 X: 19.7393 +INDEX GOES BRRR: 217 X: 13.6104 +INDEX GOES BRRR: 242 X: 15.1846 +INDEX GOES BRRR: 49 X: 3.10547 +INDEX GOES BRRR: 88 X: 5.52539 +INDEX GOES BRRR: 237 X: 14.8242 +INDEX GOES BRRR: 289 X: 18.1123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 974 X: -3.08008 +INDEX GOES BRRR: 133 X: 8.3291 +INDEX GOES BRRR: 315 X: 19.7451 +INDEX GOES BRRR: 177 X: 11.0742 +INDEX GOES BRRR: 268 X: 16.7676 +INDEX GOES BRRR: 988 X: -2.22168 +INDEX GOES BRRR: 241 X: 15.0801 +INDEX GOES BRRR: 95 X: 5.97266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.80469 +INDEX GOES BRRR: 82 X: 5.16895 +INDEX GOES BRRR: 210 X: 13.1787 +INDEX GOES BRRR: 91 X: 5.69336 +INDEX GOES BRRR: 80 X: 5.06055 +INDEX GOES BRRR: 25 X: 1.61035 +INDEX GOES BRRR: 55 X: 3.43945 +INDEX GOES BRRR: 472 X: 29.5566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.875 +INDEX GOES BRRR: 827 X: -12.2842 +INDEX GOES BRRR: 938 X: -5.33496 +INDEX GOES BRRR: 168 X: 10.5098 +INDEX GOES BRRR: 877 X: -9.17383 +INDEX GOES BRRR: 843 X: -11.2695 +INDEX GOES BRRR: 848 X: -10.9531 +INDEX GOES BRRR: 358 X: 22.4277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 391 X: 24.458 +INDEX GOES BRRR: 79 X: 4.99023 +INDEX GOES BRRR: 125 X: 7.83789 +INDEX GOES BRRR: 130 X: 8.17188 +INDEX GOES BRRR: 287 X: 17.9463 +INDEX GOES BRRR: 116 X: 7.25098 +INDEX GOES BRRR: 59 X: 3.70703 +INDEX GOES BRRR: 277 X: 17.374 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8359 +INDEX GOES BRRR: 122 X: 7.65723 +INDEX GOES BRRR: 294 X: 18.4326 +INDEX GOES BRRR: 259 X: 16.2451 +INDEX GOES BRRR: 437 X: 27.3584 +INDEX GOES BRRR: 7 X: 0.448242 +INDEX GOES BRRR: 181 X: 11.3125 +INDEX GOES BRRR: 313 X: 19.6191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.60156 +INDEX GOES BRRR: 216 X: 13.5586 +INDEX GOES BRRR: 257 X: 16.0762 +INDEX GOES BRRR: 345 X: 21.5898 +INDEX GOES BRRR: 161 X: 10.0928 +INDEX GOES BRRR: 964 X: -3.70312 +INDEX GOES BRRR: 233 X: 14.5996 +INDEX GOES BRRR: 321 X: 20.0918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9834 +INDEX GOES BRRR: 217 X: 13.5996 +INDEX GOES BRRR: 316 X: 19.7959 +INDEX GOES BRRR: 259 X: 16.2412 +INDEX GOES BRRR: 227 X: 14.2373 +INDEX GOES BRRR: 104 X: 6.50781 +INDEX GOES BRRR: 292 X: 18.3047 +INDEX GOES BRRR: 189 X: 11.8672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1426 +INDEX GOES BRRR: 836 X: -11.7432 +INDEX GOES BRRR: 20 X: 1.29297 +INDEX GOES BRRR: 234 X: 14.6689 +INDEX GOES BRRR: 238 X: 14.8955 +INDEX GOES BRRR: 190 X: 11.875 +INDEX GOES BRRR: 382 X: 23.8877 +INDEX GOES BRRR: 139 X: 8.72266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.8223 +INDEX GOES BRRR: 209 X: 13.0908 +INDEX GOES BRRR: 144 X: 9.03516 +INDEX GOES BRRR: 977 X: -2.93164 +INDEX GOES BRRR: 444 X: 27.7725 +INDEX GOES BRRR: 9 X: 0.594727 +INDEX GOES BRRR: 188 X: 11.7881 +INDEX GOES BRRR: 1006 X: -1.11133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.748 +INDEX GOES BRRR: 863 X: -10.0449 +INDEX GOES BRRR: 884 X: -8.69727 +INDEX GOES BRRR: 224 X: 14.0146 +INDEX GOES BRRR: 71 X: 4.49805 +INDEX GOES BRRR: 388 X: 24.2793 +INDEX GOES BRRR: 452 X: 28.3066 +INDEX GOES BRRR: 231 X: 14.4531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 7 X: 0.490234 +INDEX GOES BRRR: 137 X: 8.61914 +INDEX GOES BRRR: 306 X: 19.1758 +INDEX GOES BRRR: 65 X: 4.11035 +INDEX GOES BRRR: 345 X: 21.6162 +INDEX GOES BRRR: 202 X: 12.6592 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 46 X: 2.9248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.188477 +INDEX GOES BRRR: 180 X: 11.291 +INDEX GOES BRRR: 165 X: 10.3467 +INDEX GOES BRRR: 176 X: 11.04 +INDEX GOES BRRR: 102 X: 6.4082 +INDEX GOES BRRR: 87 X: 5.49707 +INDEX GOES BRRR: 324 X: 20.2637 +INDEX GOES BRRR: 103 X: 6.4541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.52051 +INDEX GOES BRRR: 89 X: 5.59668 +INDEX GOES BRRR: 494 X: 30.9082 +INDEX GOES BRRR: 375 X: 23.4453 +INDEX GOES BRRR: 421 X: 26.3506 +INDEX GOES BRRR: 144 X: 9.02539 +INDEX GOES BRRR: 225 X: 14.0996 +INDEX GOES BRRR: 49 X: 3.07031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.6709 +INDEX GOES BRRR: 125 X: 7.83984 +INDEX GOES BRRR: 372 X: 23.2695 +INDEX GOES BRRR: 76 X: 4.76953 +INDEX GOES BRRR: 947 X: -4.76855 +INDEX GOES BRRR: 265 X: 16.5947 +INDEX GOES BRRR: 173 X: 10.8633 +INDEX GOES BRRR: 305 X: 19.0723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.2129 +INDEX GOES BRRR: 1014 X: -0.59082 +INDEX GOES BRRR: 957 X: -4.14355 +INDEX GOES BRRR: 63 X: 3.9873 +INDEX GOES BRRR: 183 X: 11.4375 +INDEX GOES BRRR: 23 X: 1.44531 +INDEX GOES BRRR: 998 X: -1.61133 +INDEX GOES BRRR: 72 X: 4.55469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.18262 +INDEX GOES BRRR: 44 X: 2.76172 +INDEX GOES BRRR: 1 X: 0.113281 +INDEX GOES BRRR: 260 X: 16.2832 +INDEX GOES BRRR: 221 X: 13.8711 +INDEX GOES BRRR: 968 X: -3.49707 +INDEX GOES BRRR: 431 X: 26.9619 +INDEX GOES BRRR: 178 X: 11.1406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5908 +INDEX GOES BRRR: 200 X: 12.501 +INDEX GOES BRRR: 157 X: 9.82227 +INDEX GOES BRRR: 287 X: 17.9883 +INDEX GOES BRRR: 207 X: 12.9941 +INDEX GOES BRRR: 93 X: 5.84082 +INDEX GOES BRRR: 404 X: 25.2617 +INDEX GOES BRRR: 764 X: -16.2314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 408 X: 25.5352 +INDEX GOES BRRR: 895 X: -8.01074 +INDEX GOES BRRR: 184 X: 11.5293 +INDEX GOES BRRR: 368 X: 23.0557 +INDEX GOES BRRR: 265 X: 16.5957 +INDEX GOES BRRR: 937 X: -5.42969 +INDEX GOES BRRR: 198 X: 12.4365 +INDEX GOES BRRR: 349 X: 21.8438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1436 +INDEX GOES BRRR: 319 X: 19.9424 +INDEX GOES BRRR: 108 X: 6.76465 +INDEX GOES BRRR: 56 X: 3.54395 +INDEX GOES BRRR: 203 X: 12.7256 +INDEX GOES BRRR: 40 X: 2.54102 +INDEX GOES BRRR: 109 X: 6.81836 +INDEX GOES BRRR: 217 X: 13.5771 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.1357 +INDEX GOES BRRR: 252 X: 15.8047 +INDEX GOES BRRR: 114 X: 7.13184 +INDEX GOES BRRR: 244 X: 15.2529 +INDEX GOES BRRR: 1008 X: -0.94043 +INDEX GOES BRRR: 141 X: 8.84277 +INDEX GOES BRRR: 269 X: 16.8477 +INDEX GOES BRRR: 965 X: -3.62988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.3857 +INDEX GOES BRRR: 215 X: 13.4932 +INDEX GOES BRRR: 185 X: 11.6152 +INDEX GOES BRRR: 437 X: 27.3682 +INDEX GOES BRRR: 121 X: 7.61133 +INDEX GOES BRRR: 289 X: 18.1055 +INDEX GOES BRRR: 327 X: 20.4658 +INDEX GOES BRRR: 117 X: 7.32324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5781 +INDEX GOES BRRR: 232 X: 14.5391 +INDEX GOES BRRR: 267 X: 16.7334 +INDEX GOES BRRR: 71 X: 4.4375 +INDEX GOES BRRR: 177 X: 11.0898 +INDEX GOES BRRR: 435 X: 27.1963 +INDEX GOES BRRR: 425 X: 26.582 +INDEX GOES BRRR: 208 X: 13.0615 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3135 +INDEX GOES BRRR: 167 X: 10.4561 +INDEX GOES BRRR: 236 X: 14.7539 +INDEX GOES BRRR: 214 X: 13.4102 +INDEX GOES BRRR: 168 X: 10.5332 +INDEX GOES BRRR: 18 X: 1.18164 +INDEX GOES BRRR: 111 X: 6.95508 +INDEX GOES BRRR: 204 X: 12.8047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 378 X: 23.6748 +INDEX GOES BRRR: 41 X: 2.60742 +INDEX GOES BRRR: 195 X: 12.2373 +INDEX GOES BRRR: 410 X: 25.6699 +INDEX GOES BRRR: 264 X: 16.5537 +INDEX GOES BRRR: 299 X: 18.7158 +INDEX GOES BRRR: 268 X: 16.7588 +INDEX GOES BRRR: 194 X: 12.1289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.85156 +INDEX GOES BRRR: 973 X: -3.18359 +INDEX GOES BRRR: 189 X: 11.8604 +INDEX GOES BRRR: 99 X: 6.23926 +INDEX GOES BRRR: 251 X: 15.7305 +INDEX GOES BRRR: 378 X: 23.6836 +INDEX GOES BRRR: 267 X: 16.7383 +INDEX GOES BRRR: 976 X: -2.94531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 23 X: 1.48145 +INDEX GOES BRRR: 415 X: 25.9424 +INDEX GOES BRRR: 247 X: 15.4561 +INDEX GOES BRRR: 79 X: 4.96973 +INDEX GOES BRRR: 169 X: 10.583 +INDEX GOES BRRR: 284 X: 17.7666 +INDEX GOES BRRR: 215 X: 13.4443 +INDEX GOES BRRR: 254 X: 15.876 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.6895 +INDEX GOES BRRR: 974 X: -3.06641 +INDEX GOES BRRR: 182 X: 11.3906 +INDEX GOES BRRR: 141 X: 8.8291 +INDEX GOES BRRR: 3 X: 0.192383 +INDEX GOES BRRR: 224 X: 14.0195 +INDEX GOES BRRR: 9 X: 0.59375 +INDEX GOES BRRR: 165 X: 10.3691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.4541 +INDEX GOES BRRR: 46 X: 2.88477 +INDEX GOES BRRR: 982 X: -2.56738 +INDEX GOES BRRR: 232 X: 14.5566 +INDEX GOES BRRR: 66 X: 4.15234 +INDEX GOES BRRR: 105 X: 6.58203 +INDEX GOES BRRR: 100 X: 6.28711 +INDEX GOES BRRR: 287 X: 17.9492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 399 X: 24.9648 +INDEX GOES BRRR: 390 X: 24.4258 +INDEX GOES BRRR: 55 X: 3.4668 +INDEX GOES BRRR: 371 X: 23.2109 +INDEX GOES BRRR: 253 X: 15.8213 +INDEX GOES BRRR: 267 X: 16.7373 +INDEX GOES BRRR: 437 X: 27.3281 +INDEX GOES BRRR: 227 X: 14.2402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5107 +INDEX GOES BRRR: 244 X: 15.2871 +INDEX GOES BRRR: 156 X: 9.79688 +INDEX GOES BRRR: 217 X: 13.5654 +INDEX GOES BRRR: 336 X: 21.0381 +INDEX GOES BRRR: 191 X: 11.9883 +INDEX GOES BRRR: 1014 X: -0.618164 +INDEX GOES BRRR: 341 X: 21.334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.300781 +INDEX GOES BRRR: 249 X: 15.5693 +INDEX GOES BRRR: 179 X: 11.2373 +INDEX GOES BRRR: 222 X: 13.9014 +INDEX GOES BRRR: 891 X: -8.25586 +INDEX GOES BRRR: 410 X: 25.6699 +INDEX GOES BRRR: 954 X: -4.37207 +INDEX GOES BRRR: 290 X: 18.1846 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.38574 +INDEX GOES BRRR: 64 X: 4.00781 +INDEX GOES BRRR: 432 X: 27.002 +INDEX GOES BRRR: 406 X: 25.3799 +INDEX GOES BRRR: 957 X: -4.16406 +INDEX GOES BRRR: 55 X: 3.47461 +INDEX GOES BRRR: 446 X: 27.8896 +INDEX GOES BRRR: 170 X: 10.626 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.94531 +INDEX GOES BRRR: 159 X: 9.99609 +INDEX GOES BRRR: 967 X: -3.51074 +INDEX GOES BRRR: 208 X: 13.0273 +INDEX GOES BRRR: 106 X: 6.64941 +INDEX GOES BRRR: 218 X: 13.6816 +INDEX GOES BRRR: 936 X: -5.46973 +INDEX GOES BRRR: 67 X: 4.20117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 461 X: 28.8447 +INDEX GOES BRRR: 897 X: -7.89551 +INDEX GOES BRRR: 197 X: 12.3613 +INDEX GOES BRRR: 141 X: 8.83496 +INDEX GOES BRRR: 68 X: 4.28809 +INDEX GOES BRRR: 162 X: 10.1641 +INDEX GOES BRRR: 881 X: -8.89355 +INDEX GOES BRRR: 104 X: 6.52441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.69629 +INDEX GOES BRRR: 18 X: 1.1416 +INDEX GOES BRRR: 462 X: 28.9121 +INDEX GOES BRRR: 115 X: 7.21582 +INDEX GOES BRRR: 158 X: 9.90625 +INDEX GOES BRRR: 134 X: 8.42969 +INDEX GOES BRRR: 268 X: 16.7656 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9971 +INDEX GOES BRRR: 459 X: 28.7119 +INDEX GOES BRRR: 38 X: 2.42285 +INDEX GOES BRRR: 229 X: 14.335 +INDEX GOES BRRR: 357 X: 22.3613 +INDEX GOES BRRR: 921 X: -6.4209 +INDEX GOES BRRR: 266 X: 16.6387 +INDEX GOES BRRR: 118 X: 7.41406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6289 +INDEX GOES BRRR: 385 X: 24.1104 +INDEX GOES BRRR: 30 X: 1.93359 +INDEX GOES BRRR: 231 X: 14.4805 +INDEX GOES BRRR: 207 X: 12.9385 +INDEX GOES BRRR: 143 X: 8.94824 +INDEX GOES BRRR: 946 X: -4.81836 +INDEX GOES BRRR: 72 X: 4.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4922 +INDEX GOES BRRR: 188 X: 11.7607 +INDEX GOES BRRR: 53 X: 3.36133 +INDEX GOES BRRR: 36 X: 2.28711 +INDEX GOES BRRR: 66 X: 4.14551 +INDEX GOES BRRR: 46 X: 2.89844 +INDEX GOES BRRR: 79 X: 4.95703 +INDEX GOES BRRR: 272 X: 17.0127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 478 X: 29.8916 +INDEX GOES BRRR: 880 X: -8.97363 +INDEX GOES BRRR: 1013 X: -0.626953 +INDEX GOES BRRR: 253 X: 15.8525 +INDEX GOES BRRR: 930 X: -5.8584 +INDEX GOES BRRR: 817 X: -12.8965 +INDEX GOES BRRR: 261 X: 16.3164 +INDEX GOES BRRR: 169 X: 10.5684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9033 +INDEX GOES BRRR: 261 X: 16.3311 +INDEX GOES BRRR: 64 X: 4.03516 +INDEX GOES BRRR: 358 X: 22.4316 +INDEX GOES BRRR: 260 X: 16.2656 +INDEX GOES BRRR: 1020 X: -0.219727 +INDEX GOES BRRR: 675 X: -21.7754 +INDEX GOES BRRR: 922 X: -6.31738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.23145 +INDEX GOES BRRR: 952 X: -4.47852 +INDEX GOES BRRR: 316 X: 19.8066 +INDEX GOES BRRR: 259 X: 16.2021 +INDEX GOES BRRR: 963 X: -3.77734 +INDEX GOES BRRR: 72 X: 4.51172 +INDEX GOES BRRR: 157 X: 9.83301 +INDEX GOES BRRR: 124 X: 7.75391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.24316 +INDEX GOES BRRR: 803 X: -13.7734 +INDEX GOES BRRR: 413 X: 25.8477 +INDEX GOES BRRR: 109 X: 6.85938 +INDEX GOES BRRR: 179 X: 11.2119 +INDEX GOES BRRR: 62 X: 3.88867 +INDEX GOES BRRR: 178 X: 11.166 +INDEX GOES BRRR: 255 X: 15.999 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.517578 +INDEX GOES BRRR: 190 X: 11.8809 +INDEX GOES BRRR: 182 X: 11.4033 +INDEX GOES BRRR: 257 X: 16.0645 +INDEX GOES BRRR: 122 X: 7.65234 +INDEX GOES BRRR: 816 X: -12.9395 +INDEX GOES BRRR: 842 X: -11.3457 +INDEX GOES BRRR: 193 X: 12.0664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9961 +INDEX GOES BRRR: 173 X: 10.8281 +INDEX GOES BRRR: 354 X: 22.1484 +INDEX GOES BRRR: 174 X: 10.8906 +INDEX GOES BRRR: 35 X: 2.19922 +INDEX GOES BRRR: 42 X: 2.6709 +INDEX GOES BRRR: 219 X: 13.6924 +INDEX GOES BRRR: 277 X: 17.3477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 425 X: 26.5938 +INDEX GOES BRRR: 135 X: 8.49805 +INDEX GOES BRRR: 145 X: 9.0791 +INDEX GOES BRRR: 437 X: 27.3193 +INDEX GOES BRRR: 924 X: -6.21094 +INDEX GOES BRRR: 56 X: 3.51172 +INDEX GOES BRRR: 963 X: -3.76367 +INDEX GOES BRRR: 981 X: -2.66211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 404 X: 25.2812 +INDEX GOES BRRR: 337 X: 21.0889 +INDEX GOES BRRR: 469 X: 29.334 +INDEX GOES BRRR: 232 X: 14.5518 +INDEX GOES BRRR: 977 X: -2.92773 +INDEX GOES BRRR: 184 X: 11.5244 +INDEX GOES BRRR: 855 X: -10.5283 +INDEX GOES BRRR: 257 X: 16.1182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.54395 +INDEX GOES BRRR: 200 X: 12.5244 +INDEX GOES BRRR: 192 X: 12.0254 +INDEX GOES BRRR: 97 X: 6.11719 +INDEX GOES BRRR: 930 X: -5.8418 +INDEX GOES BRRR: 153 X: 9.57422 +INDEX GOES BRRR: 153 X: 9.5918 +INDEX GOES BRRR: 896 X: -7.94629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.43848 +INDEX GOES BRRR: 371 X: 23.2412 +INDEX GOES BRRR: 38 X: 2.38965 +INDEX GOES BRRR: 74 X: 4.63086 +INDEX GOES BRRR: 195 X: 12.2051 +INDEX GOES BRRR: 392 X: 24.5439 +INDEX GOES BRRR: 316 X: 19.7793 +INDEX GOES BRRR: 1006 X: -1.09082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6689 +INDEX GOES BRRR: 48 X: 3.00293 +INDEX GOES BRRR: 48 X: 3.01172 +INDEX GOES BRRR: 59 X: 3.68848 +INDEX GOES BRRR: 108 X: 6.79883 +INDEX GOES BRRR: 398 X: 24.9043 +INDEX GOES BRRR: 293 X: 18.3711 +INDEX GOES BRRR: 427 X: 26.7383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 936 X: -5.45898 +INDEX GOES BRRR: 389 X: 24.3506 +INDEX GOES BRRR: 286 X: 17.9033 +INDEX GOES BRRR: 109 X: 6.83594 +INDEX GOES BRRR: 154 X: 9.6582 +INDEX GOES BRRR: 94 X: 5.91699 +INDEX GOES BRRR: 193 X: 12.083 +INDEX GOES BRRR: 171 X: 10.7354 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1012 X: -0.723633 +INDEX GOES BRRR: 217 X: 13.5713 +INDEX GOES BRRR: 271 X: 16.958 +INDEX GOES BRRR: 261 X: 16.3135 +INDEX GOES BRRR: 158 X: 9.92969 +INDEX GOES BRRR: 360 X: 22.5107 +INDEX GOES BRRR: 37 X: 2.33105 +INDEX GOES BRRR: 1005 X: -1.13086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 952 X: -4.48145 +INDEX GOES BRRR: 318 X: 19.9355 +INDEX GOES BRRR: 35 X: 2.19434 +INDEX GOES BRRR: 964 X: -3.69336 +INDEX GOES BRRR: 380 X: 23.7949 +INDEX GOES BRRR: 885 X: -8.63867 +INDEX GOES BRRR: 109 X: 6.8291 +INDEX GOES BRRR: 263 X: 16.4736 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.46973 +INDEX GOES BRRR: 103 X: 6.49023 +INDEX GOES BRRR: 881 X: -8.91699 +INDEX GOES BRRR: 241 X: 15.0811 +INDEX GOES BRRR: 917 X: -6.6416 +INDEX GOES BRRR: 169 X: 10.5986 +INDEX GOES BRRR: 449 X: 28.1152 +INDEX GOES BRRR: 34 X: 2.1709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.21875 +INDEX GOES BRRR: 31 X: 1.94141 +INDEX GOES BRRR: 850 X: -10.8643 +INDEX GOES BRRR: 172 X: 10.7969 +INDEX GOES BRRR: 839 X: -11.5361 +INDEX GOES BRRR: 1018 X: -0.318359 +INDEX GOES BRRR: 33 X: 2.11035 +INDEX GOES BRRR: 96 X: 6.00781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 767 X: -16.0059 +INDEX GOES BRRR: 345 X: 21.5986 +INDEX GOES BRRR: 10 X: 0.683594 +INDEX GOES BRRR: 129 X: 8.07812 +INDEX GOES BRRR: 115 X: 7.20703 +INDEX GOES BRRR: 55 X: 3.49121 +INDEX GOES BRRR: 122 X: 7.65625 +INDEX GOES BRRR: 910 X: -7.06445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.1357 +INDEX GOES BRRR: 11 X: 0.69043 +INDEX GOES BRRR: 137 X: 8.57422 +INDEX GOES BRRR: 305 X: 19.0986 +INDEX GOES BRRR: 227 X: 14.2061 +INDEX GOES BRRR: 116 X: 7.27441 +INDEX GOES BRRR: 125 X: 7.87305 +INDEX GOES BRRR: 198 X: 12.4199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.70312 +INDEX GOES BRRR: 848 X: -10.9766 +INDEX GOES BRRR: 430 X: 26.916 +INDEX GOES BRRR: 84 X: 5.2793 +INDEX GOES BRRR: 10 X: 0.668945 +INDEX GOES BRRR: 436 X: 27.2529 +INDEX GOES BRRR: 354 X: 22.165 +INDEX GOES BRRR: 120 X: 7.54492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.26074 +INDEX GOES BRRR: 266 X: 16.666 +INDEX GOES BRRR: 132 X: 8.26172 +INDEX GOES BRRR: 82 X: 5.14844 +INDEX GOES BRRR: 306 X: 19.1797 +INDEX GOES BRRR: 207 X: 12.9443 +INDEX GOES BRRR: 983 X: -2.50586 +INDEX GOES BRRR: 201 X: 12.6201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 319 X: 19.9922 +INDEX GOES BRRR: 973 X: -3.16602 +INDEX GOES BRRR: 89 X: 5.6084 +INDEX GOES BRRR: 136 X: 8.53125 +INDEX GOES BRRR: 178 X: 11.1855 +INDEX GOES BRRR: 262 X: 16.4268 +INDEX GOES BRRR: 171 X: 10.7041 +INDEX GOES BRRR: 214 X: 13.4268 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.04004 +INDEX GOES BRRR: 129 X: 8.07715 +INDEX GOES BRRR: 84 X: 5.2627 +INDEX GOES BRRR: 279 X: 17.4619 +INDEX GOES BRRR: 131 X: 8.23438 +INDEX GOES BRRR: 139 X: 8.74609 +INDEX GOES BRRR: 348 X: 21.7656 +INDEX GOES BRRR: 208 X: 13.0381 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7451 +INDEX GOES BRRR: 982 X: -2.56641 +INDEX GOES BRRR: 878 X: -9.09668 +INDEX GOES BRRR: 869 X: -9.68164 +INDEX GOES BRRR: 214 X: 13.3936 +INDEX GOES BRRR: 384 X: 24.0576 +INDEX GOES BRRR: 120 X: 7.50391 +INDEX GOES BRRR: 348 X: 21.7715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.09277 +INDEX GOES BRRR: 208 X: 13.0391 +INDEX GOES BRRR: 197 X: 12.3467 +INDEX GOES BRRR: 113 X: 7.06641 +INDEX GOES BRRR: 189 X: 11.8564 +INDEX GOES BRRR: 330 X: 20.6602 +INDEX GOES BRRR: 900 X: -7.7168 +INDEX GOES BRRR: 116 X: 7.2832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 342 X: 21.4277 +INDEX GOES BRRR: 835 X: -11.7959 +INDEX GOES BRRR: 197 X: 12.3301 +INDEX GOES BRRR: 1020 X: -0.192383 +INDEX GOES BRRR: 330 X: 20.6338 +INDEX GOES BRRR: 234 X: 14.6826 +INDEX GOES BRRR: 255 X: 15.9443 +INDEX GOES BRRR: 218 X: 13.6426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1816 +INDEX GOES BRRR: 393 X: 24.6094 +INDEX GOES BRRR: 193 X: 12.0986 +INDEX GOES BRRR: 2 X: 0.166016 +INDEX GOES BRRR: 348 X: 21.7744 +INDEX GOES BRRR: 62 X: 3.8916 +INDEX GOES BRRR: 248 X: 15.5264 +INDEX GOES BRRR: 167 X: 10.4824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.76172 +INDEX GOES BRRR: 119 X: 7.47559 +INDEX GOES BRRR: 757 X: -16.6494 +INDEX GOES BRRR: 210 X: 13.1279 +INDEX GOES BRRR: 977 X: -2.88477 +INDEX GOES BRRR: 101 X: 6.35938 +INDEX GOES BRRR: 257 X: 16.0986 +INDEX GOES BRRR: 23 X: 1.47754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.27051 +INDEX GOES BRRR: 255 X: 15.9834 +INDEX GOES BRRR: 199 X: 12.4639 +INDEX GOES BRRR: 937 X: -5.39551 +INDEX GOES BRRR: 315 X: 19.7432 +INDEX GOES BRRR: 108 X: 6.7793 +INDEX GOES BRRR: 74 X: 4.64648 +INDEX GOES BRRR: 436 X: 27.2646 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.94434 +INDEX GOES BRRR: 257 X: 16.0879 +INDEX GOES BRRR: 147 X: 9.21973 +INDEX GOES BRRR: 283 X: 17.7383 +INDEX GOES BRRR: 10 X: 0.643555 +INDEX GOES BRRR: 395 X: 24.6973 +INDEX GOES BRRR: 429 X: 26.8311 +INDEX GOES BRRR: 241 X: 15.0732 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9082 +INDEX GOES BRRR: 196 X: 12.292 +INDEX GOES BRRR: 413 X: 25.8535 +INDEX GOES BRRR: 314 X: 19.6768 +INDEX GOES BRRR: 235 X: 14.7158 +INDEX GOES BRRR: 205 X: 12.8379 +INDEX GOES BRRR: 65 X: 4.09961 +INDEX GOES BRRR: 416 X: 26.0488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.74121 +INDEX GOES BRRR: 123 X: 7.70215 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 93 X: 5.81836 +INDEX GOES BRRR: 336 X: 21.002 +INDEX GOES BRRR: 199 X: 12.4707 +INDEX GOES BRRR: 354 X: 22.1602 +INDEX GOES BRRR: 150 X: 9.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.08887 +INDEX GOES BRRR: 242 X: 15.1807 +INDEX GOES BRRR: 237 X: 14.832 +INDEX GOES BRRR: 996 X: -1.70801 +INDEX GOES BRRR: 896 X: -7.98242 +INDEX GOES BRRR: 42 X: 2.62598 +INDEX GOES BRRR: 235 X: 14.7012 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 964 X: -3.73242 +INDEX GOES BRRR: 258 X: 16.1826 +INDEX GOES BRRR: 283 X: 17.7305 +INDEX GOES BRRR: 12 X: 0.796875 +INDEX GOES BRRR: 249 X: 15.5957 +INDEX GOES BRRR: 44 X: 2.7998 +INDEX GOES BRRR: 113 X: 7.09375 +INDEX GOES BRRR: 262 X: 16.3926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 440 X: 27.5117 +INDEX GOES BRRR: 200 X: 12.5527 +INDEX GOES BRRR: 328 X: 20.5352 +INDEX GOES BRRR: 191 X: 11.9561 +INDEX GOES BRRR: 329 X: 20.583 +INDEX GOES BRRR: 940 X: -5.23828 +INDEX GOES BRRR: 1003 X: -1.30762 +INDEX GOES BRRR: 996 X: -1.69141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 981 X: -2.68457 +INDEX GOES BRRR: 154 X: 9.63867 +INDEX GOES BRRR: 84 X: 5.28223 +INDEX GOES BRRR: 73 X: 4.57422 +INDEX GOES BRRR: 118 X: 7.43164 +INDEX GOES BRRR: 116 X: 7.29102 +INDEX GOES BRRR: 265 X: 16.5781 +INDEX GOES BRRR: 75 X: 4.70312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.36133 +INDEX GOES BRRR: 341 X: 21.3467 +INDEX GOES BRRR: 266 X: 16.668 +INDEX GOES BRRR: 463 X: 28.9873 +INDEX GOES BRRR: 85 X: 5.34473 +INDEX GOES BRRR: 245 X: 15.3672 +INDEX GOES BRRR: 67 X: 4.2002 +INDEX GOES BRRR: 301 X: 18.8145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 330 X: 20.6553 +INDEX GOES BRRR: 970 X: -3.33105 +INDEX GOES BRRR: 232 X: 14.5576 +INDEX GOES BRRR: 42 X: 2.68262 +INDEX GOES BRRR: 1014 X: -0.574219 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 79 X: 4.99219 +INDEX GOES BRRR: 129 X: 8.11816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 903 X: -7.54395 +INDEX GOES BRRR: 61 X: 3.82715 +INDEX GOES BRRR: 968 X: -3.48828 +INDEX GOES BRRR: 275 X: 17.1875 +INDEX GOES BRRR: 413 X: 25.8643 +INDEX GOES BRRR: 241 X: 15.0898 +INDEX GOES BRRR: 60 X: 3.75 +INDEX GOES BRRR: 435 X: 27.2188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.13086 +INDEX GOES BRRR: 975 X: -3.04297 +INDEX GOES BRRR: 15 X: 0.942383 +INDEX GOES BRRR: 277 X: 17.3516 +INDEX GOES BRRR: 44 X: 2.76758 +INDEX GOES BRRR: 368 X: 23.0029 +INDEX GOES BRRR: 30 X: 1.88965 +INDEX GOES BRRR: 65 X: 4.08691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 276 X: 17.3027 +INDEX GOES BRRR: 149 X: 9.31641 +INDEX GOES BRRR: 990 X: -2.11133 +INDEX GOES BRRR: 344 X: 21.5293 +INDEX GOES BRRR: 151 X: 9.49414 +INDEX GOES BRRR: 268 X: 16.7646 +INDEX GOES BRRR: 62 X: 3.88379 +INDEX GOES BRRR: 93 X: 5.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6543 +INDEX GOES BRRR: 105 X: 6.59961 +INDEX GOES BRRR: 113 X: 7.09277 +INDEX GOES BRRR: 951 X: -4.53027 +INDEX GOES BRRR: 132 X: 8.26367 +INDEX GOES BRRR: 250 X: 15.6455 +INDEX GOES BRRR: 37 X: 2.36035 +INDEX GOES BRRR: 991 X: -2.02637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.10156 +INDEX GOES BRRR: 227 X: 14.2021 +INDEX GOES BRRR: 171 X: 10.6875 +INDEX GOES BRRR: 312 X: 19.501 +INDEX GOES BRRR: 95 X: 5.98633 +INDEX GOES BRRR: 43 X: 2.69531 +INDEX GOES BRRR: 421 X: 26.3613 +INDEX GOES BRRR: 159 X: 9.95605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.541 +INDEX GOES BRRR: 214 X: 13.4111 +INDEX GOES BRRR: 1019 X: -0.293945 +INDEX GOES BRRR: 187 X: 11.6953 +INDEX GOES BRRR: 73 X: 4.5957 +INDEX GOES BRRR: 18 X: 1.12695 +INDEX GOES BRRR: 401 X: 25.1201 +INDEX GOES BRRR: 32 X: 2.00098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.49902 +INDEX GOES BRRR: 162 X: 10.165 +INDEX GOES BRRR: 289 X: 18.1104 +INDEX GOES BRRR: 99 X: 6.19922 +INDEX GOES BRRR: 115 X: 7.23926 +INDEX GOES BRRR: 152 X: 9.51367 +INDEX GOES BRRR: 158 X: 9.90137 +INDEX GOES BRRR: 265 X: 16.5889 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 446 X: 27.9092 +INDEX GOES BRRR: 956 X: -4.25 +INDEX GOES BRRR: 972 X: -3.20703 +INDEX GOES BRRR: 114 X: 7.17383 +INDEX GOES BRRR: 445 X: 27.8564 +INDEX GOES BRRR: 148 X: 9.30566 +INDEX GOES BRRR: 393 X: 24.5771 +INDEX GOES BRRR: 283 X: 17.7207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2588 +INDEX GOES BRRR: 273 X: 17.0947 +INDEX GOES BRRR: 407 X: 25.4756 +INDEX GOES BRRR: 60 X: 3.77539 +INDEX GOES BRRR: 158 X: 9.875 +INDEX GOES BRRR: 165 X: 10.3203 +INDEX GOES BRRR: 414 X: 25.9229 +INDEX GOES BRRR: 909 X: -7.15527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0977 +INDEX GOES BRRR: 970 X: -3.33398 +INDEX GOES BRRR: 258 X: 16.1475 +INDEX GOES BRRR: 225 X: 14.0713 +INDEX GOES BRRR: 215 X: 13.4434 +INDEX GOES BRRR: 996 X: -1.73828 +INDEX GOES BRRR: 47 X: 2.95312 +INDEX GOES BRRR: 135 X: 8.49902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6855 +INDEX GOES BRRR: 30 X: 1.87695 +INDEX GOES BRRR: 191 X: 11.9424 +INDEX GOES BRRR: 389 X: 24.334 +INDEX GOES BRRR: 294 X: 18.4346 +INDEX GOES BRRR: 347 X: 21.7432 +INDEX GOES BRRR: 164 X: 10.292 +INDEX GOES BRRR: 384 X: 24.0029 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.249 +INDEX GOES BRRR: 13 X: 0.84375 +INDEX GOES BRRR: 282 X: 17.6689 +INDEX GOES BRRR: 197 X: 12.3223 +INDEX GOES BRRR: 157 X: 9.81934 +INDEX GOES BRRR: 819 X: -12.7861 +INDEX GOES BRRR: 262 X: 16.4277 +INDEX GOES BRRR: 211 X: 13.1914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.31836 +INDEX GOES BRRR: 46 X: 2.9209 +INDEX GOES BRRR: 368 X: 23.0029 +INDEX GOES BRRR: 965 X: -3.64062 +INDEX GOES BRRR: 873 X: -9.39551 +INDEX GOES BRRR: 967 X: -3.5166 +INDEX GOES BRRR: 336 X: 21.0459 +INDEX GOES BRRR: 158 X: 9.88574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9883 +INDEX GOES BRRR: 187 X: 11.7109 +INDEX GOES BRRR: 242 X: 15.1523 +INDEX GOES BRRR: 996 X: -1.71191 +INDEX GOES BRRR: 315 X: 19.6924 +INDEX GOES BRRR: 176 X: 11.0596 +INDEX GOES BRRR: 271 X: 16.9414 +INDEX GOES BRRR: 966 X: -3.56836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1 X: 0.078125 +INDEX GOES BRRR: 19 X: 1.19922 +INDEX GOES BRRR: 127 X: 7.97168 +INDEX GOES BRRR: 313 X: 19.5654 +INDEX GOES BRRR: 321 X: 20.1064 +INDEX GOES BRRR: 93 X: 5.83496 +INDEX GOES BRRR: 157 X: 9.8623 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1008 X: -0.951172 +INDEX GOES BRRR: 67 X: 4.19531 +INDEX GOES BRRR: 843 X: -11.2627 +INDEX GOES BRRR: 977 X: -2.90723 +INDEX GOES BRRR: 57 X: 3.62402 +INDEX GOES BRRR: 256 X: 16.04 +INDEX GOES BRRR: 361 X: 22.6074 +INDEX GOES BRRR: 101 X: 6.36816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 285 X: 17.8564 +INDEX GOES BRRR: 398 X: 24.9072 +INDEX GOES BRRR: 90 X: 5.67773 +INDEX GOES BRRR: 97 X: 6.11523 +INDEX GOES BRRR: 147 X: 9.18848 +INDEX GOES BRRR: 1003 X: -1.30371 +INDEX GOES BRRR: 121 X: 7.56348 +INDEX GOES BRRR: 188 X: 11.7773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.52051 +INDEX GOES BRRR: 62 X: 3.90625 +INDEX GOES BRRR: 146 X: 9.17676 +INDEX GOES BRRR: 365 X: 22.834 +INDEX GOES BRRR: 235 X: 14.7275 +INDEX GOES BRRR: 245 X: 15.3545 +INDEX GOES BRRR: 296 X: 18.5205 +INDEX GOES BRRR: 291 X: 18.2246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 797 X: -14.1592 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 972 X: -3.21582 +INDEX GOES BRRR: 117 X: 7.31543 +INDEX GOES BRRR: 309 X: 19.3496 +INDEX GOES BRRR: 336 X: 21.04 +INDEX GOES BRRR: 78 X: 4.89746 +INDEX GOES BRRR: 187 X: 11.7275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.584 +INDEX GOES BRRR: 364 X: 22.8008 +INDEX GOES BRRR: 347 X: 21.7393 +INDEX GOES BRRR: 190 X: 11.916 +INDEX GOES BRRR: 954 X: -4.32324 +INDEX GOES BRRR: 727 X: -18.5527 +INDEX GOES BRRR: 109 X: 6.85254 +INDEX GOES BRRR: 222 X: 13.8818 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 953 X: -4.38281 +INDEX GOES BRRR: 363 X: 22.7197 +INDEX GOES BRRR: 62 X: 3.88867 +INDEX GOES BRRR: 62 X: 3.91602 +INDEX GOES BRRR: 142 X: 8.9248 +INDEX GOES BRRR: 113 X: 7.08398 +INDEX GOES BRRR: 62 X: 3.88281 +INDEX GOES BRRR: 22 X: 1.42578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.63672 +INDEX GOES BRRR: 293 X: 18.3477 +INDEX GOES BRRR: 158 X: 9.88086 +INDEX GOES BRRR: 253 X: 15.8516 +INDEX GOES BRRR: 354 X: 22.1689 +INDEX GOES BRRR: 48 X: 3.05078 +INDEX GOES BRRR: 270 X: 16.8838 +INDEX GOES BRRR: 8 X: 0.510742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.34277 +INDEX GOES BRRR: 38 X: 2.41602 +INDEX GOES BRRR: 991 X: -2.05273 +INDEX GOES BRRR: 224 X: 14.0361 +INDEX GOES BRRR: 327 X: 20.4824 +INDEX GOES BRRR: 180 X: 11.2803 +INDEX GOES BRRR: 207 X: 12.9863 +INDEX GOES BRRR: 180 X: 11.2783 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.8857 +INDEX GOES BRRR: 826 X: -12.3682 +INDEX GOES BRRR: 903 X: -7.50391 +INDEX GOES BRRR: 359 X: 22.4512 +INDEX GOES BRRR: 97 X: 6.08008 +INDEX GOES BRRR: 985 X: -2.4043 +INDEX GOES BRRR: 170 X: 10.6475 +INDEX GOES BRRR: 945 X: -4.92969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9824 +INDEX GOES BRRR: 205 X: 12.8232 +INDEX GOES BRRR: 442 X: 27.6865 +INDEX GOES BRRR: 971 X: -3.25391 +INDEX GOES BRRR: 246 X: 15.4004 +INDEX GOES BRRR: 159 X: 9.99414 +INDEX GOES BRRR: 323 X: 20.2236 +INDEX GOES BRRR: 238 X: 14.9092 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.41211 +INDEX GOES BRRR: 281 X: 17.5674 +INDEX GOES BRRR: 85 X: 5.33789 +INDEX GOES BRRR: 454 X: 28.3945 +INDEX GOES BRRR: 278 X: 17.3818 +INDEX GOES BRRR: 193 X: 12.0703 +INDEX GOES BRRR: 88 X: 5.52734 +INDEX GOES BRRR: 387 X: 24.2012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.07617 +INDEX GOES BRRR: 240 X: 15.0078 +INDEX GOES BRRR: 84 X: 5.25391 +INDEX GOES BRRR: 280 X: 17.5566 +INDEX GOES BRRR: 180 X: 11.3086 +INDEX GOES BRRR: 494 X: 30.8818 +INDEX GOES BRRR: 854 X: -10.5986 +INDEX GOES BRRR: 1020 X: -0.203125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 78 X: 4.92188 +INDEX GOES BRRR: 307 X: 19.2402 +INDEX GOES BRRR: 192 X: 12.0166 +INDEX GOES BRRR: 988 X: -2.20605 +INDEX GOES BRRR: 373 X: 23.3535 +INDEX GOES BRRR: 92 X: 5.79004 +INDEX GOES BRRR: 69 X: 4.33594 +INDEX GOES BRRR: 113 X: 7.12305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3408 +INDEX GOES BRRR: 953 X: -4.4209 +INDEX GOES BRRR: 184 X: 11.5264 +INDEX GOES BRRR: 404 X: 25.2549 +INDEX GOES BRRR: 120 X: 7.51953 +INDEX GOES BRRR: 300 X: 18.7656 +INDEX GOES BRRR: 49 X: 3.11914 +INDEX GOES BRRR: 207 X: 12.9385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 383 X: 23.9922 +INDEX GOES BRRR: 297 X: 18.6006 +INDEX GOES BRRR: 286 X: 17.9072 +INDEX GOES BRRR: 180 X: 11.3037 +INDEX GOES BRRR: 231 X: 14.4824 +INDEX GOES BRRR: 276 X: 17.3027 +INDEX GOES BRRR: 843 X: -11.2637 +INDEX GOES BRRR: 1017 X: -0.4375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.47266 +INDEX GOES BRRR: 100 X: 6.28711 +INDEX GOES BRRR: 197 X: 12.3701 +INDEX GOES BRRR: 234 X: 14.6777 +INDEX GOES BRRR: 125 X: 7.83691 +INDEX GOES BRRR: 106 X: 6.63672 +INDEX GOES BRRR: 895 X: -8.05957 +INDEX GOES BRRR: 351 X: 21.9873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.3662 +INDEX GOES BRRR: 369 X: 23.083 +INDEX GOES BRRR: 358 X: 22.4043 +INDEX GOES BRRR: 387 X: 24.2051 +INDEX GOES BRRR: 293 X: 18.3525 +INDEX GOES BRRR: 365 X: 22.8418 +INDEX GOES BRRR: 982 X: -2.58105 +INDEX GOES BRRR: 16 X: 1.0166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.06738 +INDEX GOES BRRR: 319 X: 19.9414 +INDEX GOES BRRR: 287 X: 17.9795 +INDEX GOES BRRR: 976 X: -2.95703 +INDEX GOES BRRR: 343 X: 21.4443 +INDEX GOES BRRR: 941 X: -5.14453 +INDEX GOES BRRR: 167 X: 10.4424 +INDEX GOES BRRR: 91 X: 5.7334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 22 X: 1.43359 +INDEX GOES BRRR: 44 X: 2.80371 +INDEX GOES BRRR: 162 X: 10.1865 +INDEX GOES BRRR: 348 X: 21.79 +INDEX GOES BRRR: 143 X: 8.93945 +INDEX GOES BRRR: 121 X: 7.5957 +INDEX GOES BRRR: 979 X: -2.76074 +INDEX GOES BRRR: 49 X: 3.11816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.68262 +INDEX GOES BRRR: 183 X: 11.4854 +INDEX GOES BRRR: 132 X: 8.28516 +INDEX GOES BRRR: 34 X: 2.15332 +INDEX GOES BRRR: 398 X: 24.8896 +INDEX GOES BRRR: 140 X: 8.79199 +INDEX GOES BRRR: 304 X: 19.0439 +INDEX GOES BRRR: 221 X: 13.8408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 943 X: -5.02441 +INDEX GOES BRRR: 924 X: -6.22559 +INDEX GOES BRRR: 218 X: 13.6309 +INDEX GOES BRRR: 170 X: 10.6387 +INDEX GOES BRRR: 392 X: 24.5391 +INDEX GOES BRRR: 283 X: 17.7002 +INDEX GOES BRRR: 232 X: 14.5254 +INDEX GOES BRRR: 111 X: 6.98926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2607 +INDEX GOES BRRR: 91 X: 5.74707 +INDEX GOES BRRR: 317 X: 19.8574 +INDEX GOES BRRR: 271 X: 16.9443 +INDEX GOES BRRR: 185 X: 11.6084 +INDEX GOES BRRR: 991 X: -2.0625 +INDEX GOES BRRR: 430 X: 26.9199 +INDEX GOES BRRR: 68 X: 4.31055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.68262 +INDEX GOES BRRR: 176 X: 11.0342 +INDEX GOES BRRR: 907 X: -7.29004 +INDEX GOES BRRR: 163 X: 10.1992 +INDEX GOES BRRR: 270 X: 16.8955 +INDEX GOES BRRR: 138 X: 8.66992 +INDEX GOES BRRR: 221 X: 13.8369 +INDEX GOES BRRR: 151 X: 9.49805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.351562 +INDEX GOES BRRR: 67 X: 4.23047 +INDEX GOES BRRR: 101 X: 6.31836 +INDEX GOES BRRR: 476 X: 29.7871 +INDEX GOES BRRR: 148 X: 9.30762 +INDEX GOES BRRR: 296 X: 18.5381 +INDEX GOES BRRR: 377 X: 23.5889 +INDEX GOES BRRR: 915 X: -6.76074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 414 X: 25.9072 +INDEX GOES BRRR: 108 X: 6.75586 +INDEX GOES BRRR: 304 X: 19.0254 +INDEX GOES BRRR: 154 X: 9.67188 +INDEX GOES BRRR: 169 X: 10.5908 +INDEX GOES BRRR: 121 X: 7.60156 +INDEX GOES BRRR: 398 X: 24.9365 +INDEX GOES BRRR: 281 X: 17.5645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 923 X: -6.28613 +INDEX GOES BRRR: 372 X: 23.293 +INDEX GOES BRRR: 98 X: 6.13867 +INDEX GOES BRRR: 124 X: 7.75977 +INDEX GOES BRRR: 41 X: 2.57422 +INDEX GOES BRRR: 421 X: 26.3418 +INDEX GOES BRRR: 945 X: -4.9248 +INDEX GOES BRRR: 160 X: 10.0117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.35645 +INDEX GOES BRRR: 321 X: 20.0664 +INDEX GOES BRRR: 315 X: 19.7021 +INDEX GOES BRRR: 51 X: 3.23926 +INDEX GOES BRRR: 35 X: 2.24121 +INDEX GOES BRRR: 329 X: 20.6035 +INDEX GOES BRRR: 106 X: 6.63965 +INDEX GOES BRRR: 184 X: 11.5215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.51465 +INDEX GOES BRRR: 78 X: 4.89648 +INDEX GOES BRRR: 101 X: 6.33691 +INDEX GOES BRRR: 979 X: -2.76367 +INDEX GOES BRRR: 126 X: 7.91797 +INDEX GOES BRRR: 409 X: 25.5859 +INDEX GOES BRRR: 33 X: 2.11719 +INDEX GOES BRRR: 229 X: 14.3516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9795 +INDEX GOES BRRR: 51 X: 3.20996 +INDEX GOES BRRR: 0 X: 0.0449219 +INDEX GOES BRRR: 276 X: 17.2881 +INDEX GOES BRRR: 214 X: 13.4121 +INDEX GOES BRRR: 174 X: 10.9072 +INDEX GOES BRRR: 167 X: 10.4561 +INDEX GOES BRRR: 228 X: 14.2988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 934 X: -5.57715 +INDEX GOES BRRR: 221 X: 13.8447 +INDEX GOES BRRR: 987 X: -2.26562 +INDEX GOES BRRR: 180 X: 11.251 +INDEX GOES BRRR: 985 X: -2.40723 +INDEX GOES BRRR: 208 X: 13.0342 +INDEX GOES BRRR: 243 X: 15.2129 +INDEX GOES BRRR: 859 X: -10.3086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 383 X: 23.9629 +INDEX GOES BRRR: 491 X: 30.7021 +INDEX GOES BRRR: 977 X: -2.87695 +INDEX GOES BRRR: 980 X: -2.70898 +INDEX GOES BRRR: 885 X: -8.66797 +INDEX GOES BRRR: 351 X: 21.9727 +INDEX GOES BRRR: 148 X: 9.26367 +INDEX GOES BRRR: 385 X: 24.085 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3506 +INDEX GOES BRRR: 249 X: 15.5654 +INDEX GOES BRRR: 179 X: 11.2256 +INDEX GOES BRRR: 315 X: 19.6924 +INDEX GOES BRRR: 14 X: 0.905273 +INDEX GOES BRRR: 288 X: 18.0508 +INDEX GOES BRRR: 80 X: 5.01367 +INDEX GOES BRRR: 172 X: 10.7656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3555 +INDEX GOES BRRR: 112 X: 7.05957 +INDEX GOES BRRR: 159 X: 9.95508 +INDEX GOES BRRR: 58 X: 3.68066 +INDEX GOES BRRR: 822 X: -12.6162 +INDEX GOES BRRR: 880 X: -8.95605 +INDEX GOES BRRR: 276 X: 17.2588 +INDEX GOES BRRR: 456 X: 28.5312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0654 +INDEX GOES BRRR: 770 X: -15.8428 +INDEX GOES BRRR: 156 X: 9.77051 +INDEX GOES BRRR: 122 X: 7.65234 +INDEX GOES BRRR: 61 X: 3.82324 +INDEX GOES BRRR: 31 X: 1.98535 +INDEX GOES BRRR: 55 X: 3.49121 +INDEX GOES BRRR: 231 X: 14.4541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.19531 +INDEX GOES BRRR: 331 X: 20.6904 +INDEX GOES BRRR: 266 X: 16.6738 +INDEX GOES BRRR: 26 X: 1.66895 +INDEX GOES BRRR: 202 X: 12.6455 +INDEX GOES BRRR: 963 X: -3.79688 +INDEX GOES BRRR: 277 X: 17.3691 +INDEX GOES BRRR: 143 X: 8.95605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 968 X: -3.45117 +INDEX GOES BRRR: 160 X: 10.0537 +INDEX GOES BRRR: 186 X: 11.6328 +INDEX GOES BRRR: 290 X: 18.1475 +INDEX GOES BRRR: 82 X: 5.15918 +INDEX GOES BRRR: 46 X: 2.92969 +INDEX GOES BRRR: 40 X: 2.5 +INDEX GOES BRRR: 53 X: 3.34863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 947 X: -4.78418 +INDEX GOES BRRR: 294 X: 18.4365 +INDEX GOES BRRR: 156 X: 9.80664 +INDEX GOES BRRR: 163 X: 10.249 +INDEX GOES BRRR: 287 X: 17.9678 +INDEX GOES BRRR: 244 X: 15.2881 +INDEX GOES BRRR: 452 X: 28.2539 +INDEX GOES BRRR: 351 X: 21.9678 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.79004 +INDEX GOES BRRR: 179 X: 11.248 +INDEX GOES BRRR: 148 X: 9.25293 +INDEX GOES BRRR: 487 X: 30.4434 +INDEX GOES BRRR: 234 X: 14.627 +INDEX GOES BRRR: 62 X: 3.87891 +INDEX GOES BRRR: 341 X: 21.3398 +INDEX GOES BRRR: 354 X: 22.1621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9619 +INDEX GOES BRRR: 60 X: 3.79102 +INDEX GOES BRRR: 121 X: 7.60938 +INDEX GOES BRRR: 210 X: 13.1826 +INDEX GOES BRRR: 150 X: 9.39941 +INDEX GOES BRRR: 965 X: -3.63574 +INDEX GOES BRRR: 86 X: 5.43262 +INDEX GOES BRRR: 448 X: 28.0342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.0459 +INDEX GOES BRRR: 21 X: 1.34766 +INDEX GOES BRRR: 998 X: -1.59082 +INDEX GOES BRRR: 143 X: 8.96777 +INDEX GOES BRRR: 149 X: 9.36914 +INDEX GOES BRRR: 43 X: 2.7041 +INDEX GOES BRRR: 146 X: 9.12891 +INDEX GOES BRRR: 34 X: 2.1582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.52832 +INDEX GOES BRRR: 244 X: 15.2539 +INDEX GOES BRRR: 77 X: 4.84961 +INDEX GOES BRRR: 213 X: 13.3584 +INDEX GOES BRRR: 162 X: 10.1484 +INDEX GOES BRRR: 95 X: 5.98047 +INDEX GOES BRRR: 151 X: 9.47852 +INDEX GOES BRRR: 353 X: 22.0908 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.05664 +INDEX GOES BRRR: 279 X: 17.4863 +INDEX GOES BRRR: 475 X: 29.748 +INDEX GOES BRRR: 3 X: 0.200195 +INDEX GOES BRRR: 132 X: 8.28125 +INDEX GOES BRRR: 44 X: 2.77148 +INDEX GOES BRRR: 39 X: 2.47363 +INDEX GOES BRRR: 142 X: 8.91016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.435547 +INDEX GOES BRRR: 936 X: -5.49023 +INDEX GOES BRRR: 146 X: 9.16211 +INDEX GOES BRRR: 234 X: 14.6826 +INDEX GOES BRRR: 11 X: 0.693359 +INDEX GOES BRRR: 271 X: 16.9854 +INDEX GOES BRRR: 249 X: 15.6201 +INDEX GOES BRRR: 20 X: 1.2998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8145 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 93 X: 5.82031 +INDEX GOES BRRR: 21 X: 1.37402 +INDEX GOES BRRR: 339 X: 21.2158 +INDEX GOES BRRR: 170 X: 10.6455 +INDEX GOES BRRR: 247 X: 15.4863 +INDEX GOES BRRR: 262 X: 16.3809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.23633 +INDEX GOES BRRR: 143 X: 8.97852 +INDEX GOES BRRR: 47 X: 2.94434 +INDEX GOES BRRR: 103 X: 6.44238 +INDEX GOES BRRR: 351 X: 21.9873 +INDEX GOES BRRR: 262 X: 16.3789 +INDEX GOES BRRR: 167 X: 10.4922 +INDEX GOES BRRR: 983 X: -2.51562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 200 X: 12.5596 +INDEX GOES BRRR: 181 X: 11.3682 +INDEX GOES BRRR: 436 X: 27.2705 +INDEX GOES BRRR: 236 X: 14.7715 +INDEX GOES BRRR: 949 X: -4.66406 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 923 X: -6.30371 +INDEX GOES BRRR: 106 X: 6.65039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4932 +INDEX GOES BRRR: 273 X: 17.1152 +INDEX GOES BRRR: 46 X: 2.91699 +INDEX GOES BRRR: 203 X: 12.7109 +INDEX GOES BRRR: 43 X: 2.73145 +INDEX GOES BRRR: 307 X: 19.2412 +INDEX GOES BRRR: 662 X: -22.625 +INDEX GOES BRRR: 150 X: 9.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 176 X: 11.0029 +INDEX GOES BRRR: 456 X: 28.543 +INDEX GOES BRRR: 250 X: 15.6543 +INDEX GOES BRRR: 322 X: 20.1299 +INDEX GOES BRRR: 234 X: 14.6367 +INDEX GOES BRRR: 152 X: 9.51367 +INDEX GOES BRRR: 233 X: 14.6123 +INDEX GOES BRRR: 368 X: 23.0469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.7607 +INDEX GOES BRRR: 953 X: -4.41895 +INDEX GOES BRRR: 12 X: 0.77832 +INDEX GOES BRRR: 122 X: 7.67676 +INDEX GOES BRRR: 845 X: -11.1572 +INDEX GOES BRRR: 159 X: 9.98145 +INDEX GOES BRRR: 154 X: 9.63477 +INDEX GOES BRRR: 56 X: 3.52637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.91699 +INDEX GOES BRRR: 976 X: -2.93945 +INDEX GOES BRRR: 188 X: 11.7852 +INDEX GOES BRRR: 466 X: 29.1768 +INDEX GOES BRRR: 45 X: 2.85059 +INDEX GOES BRRR: 130 X: 8.1709 +INDEX GOES BRRR: 302 X: 18.8906 +INDEX GOES BRRR: 409 X: 25.583 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2764 +INDEX GOES BRRR: 199 X: 12.4658 +INDEX GOES BRRR: 214 X: 13.4307 +INDEX GOES BRRR: 108 X: 6.78418 +INDEX GOES BRRR: 924 X: -6.19531 +INDEX GOES BRRR: 147 X: 9.21387 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 107 X: 6.71875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7119 +INDEX GOES BRRR: 157 X: 9.85742 +INDEX GOES BRRR: 47 X: 2.95215 +INDEX GOES BRRR: 85 X: 5.37305 +INDEX GOES BRRR: 134 X: 8.43555 +INDEX GOES BRRR: 323 X: 20.2275 +INDEX GOES BRRR: 442 X: 27.6699 +INDEX GOES BRRR: 70 X: 4.38184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2158 +INDEX GOES BRRR: 135 X: 8.46387 +INDEX GOES BRRR: 938 X: -5.32422 +INDEX GOES BRRR: 925 X: -6.16699 +INDEX GOES BRRR: 86 X: 5.41016 +INDEX GOES BRRR: 470 X: 29.4092 +INDEX GOES BRRR: 61 X: 3.84375 +INDEX GOES BRRR: 7 X: 0.461914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 979 X: -2.76172 +INDEX GOES BRRR: 7 X: 0.484375 +INDEX GOES BRRR: 266 X: 16.665 +INDEX GOES BRRR: 171 X: 10.6934 +INDEX GOES BRRR: 1014 X: -0.587891 +INDEX GOES BRRR: 330 X: 20.6865 +INDEX GOES BRRR: 884 X: -8.69141 +INDEX GOES BRRR: 213 X: 13.3232 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 916 X: -6.70996 +INDEX GOES BRRR: 915 X: -6.76367 +INDEX GOES BRRR: 204 X: 12.792 +INDEX GOES BRRR: 182 X: 11.3926 +INDEX GOES BRRR: 110 X: 6.91699 +INDEX GOES BRRR: 275 X: 17.2217 +INDEX GOES BRRR: 89 X: 5.56348 +INDEX GOES BRRR: 262 X: 16.4277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 965 X: -3.66406 +INDEX GOES BRRR: 411 X: 25.7129 +INDEX GOES BRRR: 222 X: 13.8867 +INDEX GOES BRRR: 318 X: 19.875 +INDEX GOES BRRR: 986 X: -2.37012 +INDEX GOES BRRR: 101 X: 6.35156 +INDEX GOES BRRR: 28 X: 1.76465 +INDEX GOES BRRR: 333 X: 20.8398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.61133 +INDEX GOES BRRR: 228 X: 14.3047 +INDEX GOES BRRR: 70 X: 4.3916 +INDEX GOES BRRR: 125 X: 7.86133 +INDEX GOES BRRR: 193 X: 12.1221 +INDEX GOES BRRR: 120 X: 7.54395 +INDEX GOES BRRR: 24 X: 1.54297 +INDEX GOES BRRR: 220 X: 13.7842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7051 +INDEX GOES BRRR: 307 X: 19.2363 +INDEX GOES BRRR: 875 X: -9.25488 +INDEX GOES BRRR: 261 X: 16.333 +INDEX GOES BRRR: 229 X: 14.3359 +INDEX GOES BRRR: 955 X: -4.29883 +INDEX GOES BRRR: 266 X: 16.6416 +INDEX GOES BRRR: 394 X: 24.6582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.359375 +INDEX GOES BRRR: 774 X: -15.5771 +INDEX GOES BRRR: 236 X: 14.7969 +INDEX GOES BRRR: 419 X: 26.2188 +INDEX GOES BRRR: 211 X: 13.2041 +INDEX GOES BRRR: 104 X: 6.52539 +INDEX GOES BRRR: 257 X: 16.1221 +INDEX GOES BRRR: 6 X: 0.410156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.7832 +INDEX GOES BRRR: 153 X: 9.58691 +INDEX GOES BRRR: 907 X: -7.25098 +INDEX GOES BRRR: 701 X: -20.1426 +INDEX GOES BRRR: 278 X: 17.4287 +INDEX GOES BRRR: 116 X: 7.25781 +INDEX GOES BRRR: 7 X: 0.494141 +INDEX GOES BRRR: 169 X: 10.6006 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4551 +INDEX GOES BRRR: 20 X: 1.26758 +INDEX GOES BRRR: 217 X: 13.5996 +INDEX GOES BRRR: 88 X: 5.53711 +INDEX GOES BRRR: 972 X: -3.19727 +INDEX GOES BRRR: 47 X: 2.93945 +INDEX GOES BRRR: 237 X: 14.8359 +INDEX GOES BRRR: 112 X: 7.05762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 413 X: 25.8271 +INDEX GOES BRRR: 282 X: 17.6455 +INDEX GOES BRRR: 354 X: 22.1406 +INDEX GOES BRRR: 177 X: 11.1064 +INDEX GOES BRRR: 91 X: 5.72461 +INDEX GOES BRRR: 212 X: 13.2998 +INDEX GOES BRRR: 464 X: 29.0312 +INDEX GOES BRRR: 360 X: 22.5352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.167 +INDEX GOES BRRR: 118 X: 7.40039 +INDEX GOES BRRR: 186 X: 11.6826 +INDEX GOES BRRR: 970 X: -3.35156 +INDEX GOES BRRR: 88 X: 5.51758 +INDEX GOES BRRR: 140 X: 8.77148 +INDEX GOES BRRR: 404 X: 25.2607 +INDEX GOES BRRR: 383 X: 23.9902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.7861 +INDEX GOES BRRR: 159 X: 9.9873 +INDEX GOES BRRR: 372 X: 23.2637 +INDEX GOES BRRR: 205 X: 12.8721 +INDEX GOES BRRR: 96 X: 6.01172 +INDEX GOES BRRR: 256 X: 16.0391 +INDEX GOES BRRR: 1005 X: -1.1416 +INDEX GOES BRRR: 171 X: 10.7158 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2168 +INDEX GOES BRRR: 5 X: 0.355469 +INDEX GOES BRRR: 34 X: 2.1748 +INDEX GOES BRRR: 356 X: 22.2881 +INDEX GOES BRRR: 276 X: 17.2705 +INDEX GOES BRRR: 65 X: 4.06836 +INDEX GOES BRRR: 3 X: 0.24707 +INDEX GOES BRRR: 460 X: 28.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.13379 +INDEX GOES BRRR: 461 X: 28.8477 +INDEX GOES BRRR: 325 X: 20.3682 +INDEX GOES BRRR: 301 X: 18.8643 +INDEX GOES BRRR: 51 X: 3.2334 +INDEX GOES BRRR: 157 X: 9.85547 +INDEX GOES BRRR: 988 X: -2.23145 +INDEX GOES BRRR: 244 X: 15.2744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.51172 +INDEX GOES BRRR: 313 X: 19.6162 +INDEX GOES BRRR: 230 X: 14.4082 +INDEX GOES BRRR: 224 X: 14.0146 +INDEX GOES BRRR: 110 X: 6.92188 +INDEX GOES BRRR: 59 X: 3.73926 +INDEX GOES BRRR: 63 X: 3.98145 +INDEX GOES BRRR: 265 X: 16.583 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.88574 +INDEX GOES BRRR: 140 X: 8.79004 +INDEX GOES BRRR: 37 X: 2.34473 +INDEX GOES BRRR: 42 X: 2.66992 +INDEX GOES BRRR: 30 X: 1.89355 +INDEX GOES BRRR: 28 X: 1.78906 +INDEX GOES BRRR: 173 X: 10.8496 +INDEX GOES BRRR: 988 X: -2.18945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7617 +INDEX GOES BRRR: 219 X: 13.6914 +INDEX GOES BRRR: 230 X: 14.4043 +INDEX GOES BRRR: 921 X: -6.40723 +INDEX GOES BRRR: 157 X: 9.87207 +INDEX GOES BRRR: 187 X: 11.7178 +INDEX GOES BRRR: 223 X: 13.9932 +INDEX GOES BRRR: 271 X: 16.959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.59961 +INDEX GOES BRRR: 371 X: 23.2061 +INDEX GOES BRRR: 158 X: 9.87695 +INDEX GOES BRRR: 313 X: 19.5762 +INDEX GOES BRRR: 143 X: 8.97266 +INDEX GOES BRRR: 345 X: 21.6221 +INDEX GOES BRRR: 76 X: 4.78613 +INDEX GOES BRRR: 263 X: 16.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6416 +INDEX GOES BRRR: 93 X: 5.87207 +INDEX GOES BRRR: 18 X: 1.14355 +INDEX GOES BRRR: 177 X: 11.0898 +INDEX GOES BRRR: 964 X: -3.74707 +INDEX GOES BRRR: 17 X: 1.09863 +INDEX GOES BRRR: 134 X: 8.40039 +INDEX GOES BRRR: 27 X: 1.72656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9668 +INDEX GOES BRRR: 211 X: 13.2393 +INDEX GOES BRRR: 330 X: 20.6855 +INDEX GOES BRRR: 209 X: 13.0771 +INDEX GOES BRRR: 198 X: 12.3848 +INDEX GOES BRRR: 14 X: 0.920898 +INDEX GOES BRRR: 976 X: -2.93848 +INDEX GOES BRRR: 89 X: 5.61621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 78 X: 4.91406 +INDEX GOES BRRR: 318 X: 19.8818 +INDEX GOES BRRR: 205 X: 12.8291 +INDEX GOES BRRR: 445 X: 27.8643 +INDEX GOES BRRR: 9 X: 0.609375 +INDEX GOES BRRR: 112 X: 7.03906 +INDEX GOES BRRR: 245 X: 15.3711 +INDEX GOES BRRR: 49 X: 3.0752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3516 +INDEX GOES BRRR: 18 X: 1.14258 +INDEX GOES BRRR: 916 X: -6.69043 +INDEX GOES BRRR: 288 X: 18.0254 +INDEX GOES BRRR: 175 X: 10.9502 +INDEX GOES BRRR: 137 X: 8.61523 +INDEX GOES BRRR: 82 X: 5.16895 +INDEX GOES BRRR: 265 X: 16.6035 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.94824 +INDEX GOES BRRR: 1018 X: -0.357422 +INDEX GOES BRRR: 242 X: 15.1689 +INDEX GOES BRRR: 332 X: 20.8047 +INDEX GOES BRRR: 860 X: -10.2021 +INDEX GOES BRRR: 96 X: 6.00391 +INDEX GOES BRRR: 352 X: 22.0293 +INDEX GOES BRRR: 41 X: 2.59082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.11035 +INDEX GOES BRRR: 413 X: 25.8418 +INDEX GOES BRRR: 154 X: 9.68066 +INDEX GOES BRRR: 102 X: 6.38867 +INDEX GOES BRRR: 925 X: -6.18164 +INDEX GOES BRRR: 109 X: 6.86035 +INDEX GOES BRRR: 117 X: 7.35547 +INDEX GOES BRRR: 387 X: 24.2275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.25391 +INDEX GOES BRRR: 243 X: 15.2412 +INDEX GOES BRRR: 307 X: 19.1904 +INDEX GOES BRRR: 994 X: -1.83789 +INDEX GOES BRRR: 413 X: 25.8525 +INDEX GOES BRRR: 1002 X: -1.36328 +INDEX GOES BRRR: 905 X: -7.42188 +INDEX GOES BRRR: 244 X: 15.251 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 29 X: 1.85254 +INDEX GOES BRRR: 309 X: 19.374 +INDEX GOES BRRR: 140 X: 8.77441 +INDEX GOES BRRR: 900 X: -7.69434 +INDEX GOES BRRR: 188 X: 11.8076 +INDEX GOES BRRR: 293 X: 18.3506 +INDEX GOES BRRR: 1017 X: -0.416016 +INDEX GOES BRRR: 322 X: 20.1816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1709 +INDEX GOES BRRR: 28 X: 1.80664 +INDEX GOES BRRR: 897 X: -7.89648 +INDEX GOES BRRR: 39 X: 2.45215 +INDEX GOES BRRR: 951 X: -4.5498 +INDEX GOES BRRR: 96 X: 6 +INDEX GOES BRRR: 86 X: 5.40918 +INDEX GOES BRRR: 216 X: 13.5137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.47363 +INDEX GOES BRRR: 175 X: 10.9893 +INDEX GOES BRRR: 151 X: 9.45508 +INDEX GOES BRRR: 949 X: -4.67773 +INDEX GOES BRRR: 1001 X: -1.41406 +INDEX GOES BRRR: 282 X: 17.6475 +INDEX GOES BRRR: 181 X: 11.3359 +INDEX GOES BRRR: 119 X: 7.49219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0654 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 345 X: 21.6123 +INDEX GOES BRRR: 0 X: 0.0107422 +INDEX GOES BRRR: 903 X: -7.55566 +INDEX GOES BRRR: 156 X: 9.79688 +INDEX GOES BRRR: 49 X: 3.0752 +INDEX GOES BRRR: 205 X: 12.8428 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.68652 +INDEX GOES BRRR: 150 X: 9.38867 +INDEX GOES BRRR: 118 X: 7.41602 +INDEX GOES BRRR: 168 X: 10.5391 +INDEX GOES BRRR: 56 X: 3.52246 +INDEX GOES BRRR: 304 X: 19.0244 +INDEX GOES BRRR: 216 X: 13.5312 +INDEX GOES BRRR: 1 X: 0.117188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.86133 +INDEX GOES BRRR: 936 X: -5.45117 +INDEX GOES BRRR: 63 X: 3.96777 +INDEX GOES BRRR: 107 X: 6.74414 +INDEX GOES BRRR: 17 X: 1.06934 +INDEX GOES BRRR: 426 X: 26.6494 +INDEX GOES BRRR: 130 X: 8.14844 +INDEX GOES BRRR: 127 X: 7.93848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5479 +INDEX GOES BRRR: 494 X: 30.9346 +INDEX GOES BRRR: 55 X: 3.48535 +INDEX GOES BRRR: 948 X: -4.69336 +INDEX GOES BRRR: 28 X: 1.80664 +INDEX GOES BRRR: 264 X: 16.5156 +INDEX GOES BRRR: 357 X: 22.3262 +INDEX GOES BRRR: 830 X: -12.0674 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 835 X: -11.7998 +INDEX GOES BRRR: 66 X: 4.13965 +INDEX GOES BRRR: 380 X: 23.7793 +INDEX GOES BRRR: 315 X: 19.748 +INDEX GOES BRRR: 173 X: 10.8535 +INDEX GOES BRRR: 450 X: 28.1494 +INDEX GOES BRRR: 781 X: -15.1348 +INDEX GOES BRRR: 92 X: 5.79395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.5674 +INDEX GOES BRRR: 320 X: 20.0146 +INDEX GOES BRRR: 193 X: 12.1094 +INDEX GOES BRRR: 276 X: 17.2842 +INDEX GOES BRRR: 175 X: 10.9834 +INDEX GOES BRRR: 35 X: 2.23926 +INDEX GOES BRRR: 948 X: -4.69336 +INDEX GOES BRRR: 252 X: 15.8115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 386 X: 24.1582 +INDEX GOES BRRR: 276 X: 17.2559 +INDEX GOES BRRR: 130 X: 8.12695 +INDEX GOES BRRR: 313 X: 19.6104 +INDEX GOES BRRR: 313 X: 19.5938 +INDEX GOES BRRR: 109 X: 6.8457 +INDEX GOES BRRR: 911 X: -7.0459 +INDEX GOES BRRR: 290 X: 18.1406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.95801 +INDEX GOES BRRR: 150 X: 9.38672 +INDEX GOES BRRR: 396 X: 24.7822 +INDEX GOES BRRR: 1005 X: -1.17188 +INDEX GOES BRRR: 30 X: 1.91406 +INDEX GOES BRRR: 280 X: 17.5459 +INDEX GOES BRRR: 391 X: 24.4717 +INDEX GOES BRRR: 259 X: 16.2236 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.502 +INDEX GOES BRRR: 495 X: 30.9883 +INDEX GOES BRRR: 276 X: 17.3066 +INDEX GOES BRRR: 260 X: 16.2715 +INDEX GOES BRRR: 903 X: -7.55762 +INDEX GOES BRRR: 894 X: -8.06836 +INDEX GOES BRRR: 169 X: 10.5986 +INDEX GOES BRRR: 995 X: -1.80859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.49121 +INDEX GOES BRRR: 301 X: 18.8232 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 148 X: 9.2666 +INDEX GOES BRRR: 922 X: -6.34863 +INDEX GOES BRRR: 165 X: 10.3711 +INDEX GOES BRRR: 252 X: 15.7705 +INDEX GOES BRRR: 302 X: 18.9121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 821 X: -12.6807 +INDEX GOES BRRR: 144 X: 9.02832 +INDEX GOES BRRR: 261 X: 16.373 +INDEX GOES BRRR: 290 X: 18.1396 +INDEX GOES BRRR: 990 X: -2.09863 +INDEX GOES BRRR: 142 X: 8.88379 +INDEX GOES BRRR: 303 X: 18.957 +INDEX GOES BRRR: 46 X: 2.89648 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 960 X: -3.95703 +INDEX GOES BRRR: 216 X: 13.5557 +INDEX GOES BRRR: 1010 X: -0.864258 +INDEX GOES BRRR: 128 X: 8.03809 +INDEX GOES BRRR: 875 X: -9.30566 +INDEX GOES BRRR: 218 X: 13.6582 +INDEX GOES BRRR: 929 X: -5.89453 +INDEX GOES BRRR: 365 X: 22.8252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.58887 +INDEX GOES BRRR: 491 X: 30.7305 +INDEX GOES BRRR: 119 X: 7.48926 +INDEX GOES BRRR: 963 X: -3.77832 +INDEX GOES BRRR: 105 X: 6.57422 +INDEX GOES BRRR: 151 X: 9.46582 +INDEX GOES BRRR: 17 X: 1.12207 +INDEX GOES BRRR: 347 X: 21.7031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.7803 +INDEX GOES BRRR: 1011 X: -0.810547 +INDEX GOES BRRR: 288 X: 18.0156 +INDEX GOES BRRR: 50 X: 3.16406 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 102 X: 6.37988 +INDEX GOES BRRR: 956 X: -4.19043 +INDEX GOES BRRR: 207 X: 12.9424 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.58887 +INDEX GOES BRRR: 32 X: 2.0293 +INDEX GOES BRRR: 12 X: 0.767578 +INDEX GOES BRRR: 186 X: 11.6592 +INDEX GOES BRRR: 343 X: 21.4766 +INDEX GOES BRRR: 10 X: 0.641602 +INDEX GOES BRRR: 176 X: 11.0547 +INDEX GOES BRRR: 92 X: 5.76074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 475 X: 29.7373 +INDEX GOES BRRR: 362 X: 22.6484 +INDEX GOES BRRR: 143 X: 8.99219 +INDEX GOES BRRR: 121 X: 7.61035 +INDEX GOES BRRR: 183 X: 11.4385 +INDEX GOES BRRR: 357 X: 22.3174 +INDEX GOES BRRR: 101 X: 6.35742 +INDEX GOES BRRR: 224 X: 14.0244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6436 +INDEX GOES BRRR: 466 X: 29.1504 +INDEX GOES BRRR: 425 X: 26.5918 +INDEX GOES BRRR: 370 X: 23.1621 +INDEX GOES BRRR: 260 X: 16.3115 +INDEX GOES BRRR: 138 X: 8.625 +INDEX GOES BRRR: 201 X: 12.582 +INDEX GOES BRRR: 216 X: 13.5264 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.7568 +INDEX GOES BRRR: 152 X: 9.52539 +INDEX GOES BRRR: 281 X: 17.5908 +INDEX GOES BRRR: 140 X: 8.78223 +INDEX GOES BRRR: 1004 X: -1.21973 +INDEX GOES BRRR: 21 X: 1.35938 +INDEX GOES BRRR: 243 X: 15.2305 +INDEX GOES BRRR: 467 X: 29.1924 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 300 X: 18.7803 +INDEX GOES BRRR: 104 X: 6.53027 +INDEX GOES BRRR: 209 X: 13.0928 +INDEX GOES BRRR: 252 X: 15.7881 +INDEX GOES BRRR: 98 X: 6.12891 +INDEX GOES BRRR: 255 X: 15.9805 +INDEX GOES BRRR: 52 X: 3.25293 +INDEX GOES BRRR: 331 X: 20.7051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 424 X: 26.5352 +INDEX GOES BRRR: 888 X: -8.47266 +INDEX GOES BRRR: 493 X: 30.8398 +INDEX GOES BRRR: 136 X: 8.54102 +INDEX GOES BRRR: 141 X: 8.8125 +INDEX GOES BRRR: 997 X: -1.67285 +INDEX GOES BRRR: 256 X: 16.001 +INDEX GOES BRRR: 74 X: 4.63867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3721 +INDEX GOES BRRR: 916 X: -6.73047 +INDEX GOES BRRR: 182 X: 11.4043 +INDEX GOES BRRR: 243 X: 15.2178 +INDEX GOES BRRR: 929 X: -5.91797 +INDEX GOES BRRR: 106 X: 6.66113 +INDEX GOES BRRR: 166 X: 10.3809 +INDEX GOES BRRR: 24 X: 1.53027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4805 +INDEX GOES BRRR: 383 X: 23.9609 +INDEX GOES BRRR: 287 X: 17.9609 +INDEX GOES BRRR: 323 X: 20.2021 +INDEX GOES BRRR: 11 X: 0.695312 +INDEX GOES BRRR: 48 X: 3.00391 +INDEX GOES BRRR: 317 X: 19.8125 +INDEX GOES BRRR: 153 X: 9.5791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 236 X: 14.7539 +INDEX GOES BRRR: 56 X: 3.52246 +INDEX GOES BRRR: 211 X: 13.2139 +INDEX GOES BRRR: 198 X: 12.3916 +INDEX GOES BRRR: 20 X: 1.27637 +INDEX GOES BRRR: 195 X: 12.2305 +INDEX GOES BRRR: 907 X: -7.26367 +INDEX GOES BRRR: 218 X: 13.6582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 239 X: 14.9756 +INDEX GOES BRRR: 319 X: 19.9814 +INDEX GOES BRRR: 209 X: 13.0986 +INDEX GOES BRRR: 133 X: 8.32129 +INDEX GOES BRRR: 332 X: 20.792 +INDEX GOES BRRR: 395 X: 24.71 +INDEX GOES BRRR: 865 X: -9.90625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.04102 +INDEX GOES BRRR: 254 X: 15.9297 +INDEX GOES BRRR: 151 X: 9.46582 +INDEX GOES BRRR: 402 X: 25.1787 +INDEX GOES BRRR: 94 X: 5.875 +INDEX GOES BRRR: 179 X: 11.2441 +INDEX GOES BRRR: 11 X: 0.697266 +INDEX GOES BRRR: 451 X: 28.2139 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 414 X: 25.9238 +INDEX GOES BRRR: 86 X: 5.42969 +INDEX GOES BRRR: 185 X: 11.583 +INDEX GOES BRRR: 95 X: 5.98438 +INDEX GOES BRRR: 949 X: -4.66406 +INDEX GOES BRRR: 177 X: 11.1074 +INDEX GOES BRRR: 407 X: 25.4844 +INDEX GOES BRRR: 325 X: 20.3672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.15625 +INDEX GOES BRRR: 17 X: 1.09863 +INDEX GOES BRRR: 202 X: 12.6377 +INDEX GOES BRRR: 133 X: 8.36914 +INDEX GOES BRRR: 61 X: 3.86426 +INDEX GOES BRRR: 83 X: 5.19043 +INDEX GOES BRRR: 0 X: 0.0205078 +INDEX GOES BRRR: 204 X: 12.7773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.2334 +INDEX GOES BRRR: 62 X: 3.9043 +INDEX GOES BRRR: 939 X: -5.30859 +INDEX GOES BRRR: 242 X: 15.1318 +INDEX GOES BRRR: 84 X: 5.30273 +INDEX GOES BRRR: 145 X: 9.0918 +INDEX GOES BRRR: 171 X: 10.7383 +INDEX GOES BRRR: 306 X: 19.1514 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6592 +INDEX GOES BRRR: 88 X: 5.52148 +INDEX GOES BRRR: 73 X: 4.56445 +INDEX GOES BRRR: 40 X: 2.50586 +INDEX GOES BRRR: 98 X: 6.16602 +INDEX GOES BRRR: 39 X: 2.43945 +INDEX GOES BRRR: 1019 X: -0.302734 +INDEX GOES BRRR: 111 X: 6.96289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 945 X: -4.93652 +INDEX GOES BRRR: 345 X: 21.5977 +INDEX GOES BRRR: 177 X: 11.1113 +INDEX GOES BRRR: 1015 X: -0.518555 +INDEX GOES BRRR: 65 X: 4.07422 +INDEX GOES BRRR: 163 X: 10.1895 +INDEX GOES BRRR: 136 X: 8.52832 +INDEX GOES BRRR: 275 X: 17.2422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 402 X: 25.1455 +INDEX GOES BRRR: 257 X: 16.1152 +INDEX GOES BRRR: 108 X: 6.79004 +INDEX GOES BRRR: 124 X: 7.81055 +INDEX GOES BRRR: 385 X: 24.0879 +INDEX GOES BRRR: 990 X: -2.10449 +INDEX GOES BRRR: 103 X: 6.47559 +INDEX GOES BRRR: 115 X: 7.19824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 292 X: 18.2949 +INDEX GOES BRRR: 954 X: -4.37109 +INDEX GOES BRRR: 922 X: -6.36719 +INDEX GOES BRRR: 192 X: 12.0264 +INDEX GOES BRRR: 353 X: 22.0732 +INDEX GOES BRRR: 429 X: 26.8691 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 996 X: -1.72656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.166 +INDEX GOES BRRR: 39 X: 2.49805 +INDEX GOES BRRR: 248 X: 15.5049 +INDEX GOES BRRR: 988 X: -2.23438 +INDEX GOES BRRR: 158 X: 9.91895 +INDEX GOES BRRR: 222 X: 13.8867 +INDEX GOES BRRR: 29 X: 1.84766 +INDEX GOES BRRR: 127 X: 7.97168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 391 X: 24.4639 +INDEX GOES BRRR: 111 X: 6.97754 +INDEX GOES BRRR: 144 X: 9.03711 +INDEX GOES BRRR: 947 X: -4.75977 +INDEX GOES BRRR: 939 X: -5.25879 +INDEX GOES BRRR: 11 X: 0.700195 +INDEX GOES BRRR: 953 X: -4.39844 +INDEX GOES BRRR: 183 X: 11.4805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 90 X: 5.6543 +INDEX GOES BRRR: 315 X: 19.7314 +INDEX GOES BRRR: 405 X: 25.3428 +INDEX GOES BRRR: 273 X: 17.0908 +INDEX GOES BRRR: 410 X: 25.6787 +INDEX GOES BRRR: 149 X: 9.36719 +INDEX GOES BRRR: 873 X: -9.39746 +INDEX GOES BRRR: 301 X: 18.835 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.125 +INDEX GOES BRRR: 961 X: -3.88184 +INDEX GOES BRRR: 416 X: 26.0264 +INDEX GOES BRRR: 83 X: 5.22559 +INDEX GOES BRRR: 466 X: 29.1826 +INDEX GOES BRRR: 91 X: 5.74805 +INDEX GOES BRRR: 70 X: 4.40137 +INDEX GOES BRRR: 243 X: 15.2383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.69727 +INDEX GOES BRRR: 166 X: 10.4346 +INDEX GOES BRRR: 965 X: -3.6748 +INDEX GOES BRRR: 969 X: -3.38281 +INDEX GOES BRRR: 932 X: -5.72266 +INDEX GOES BRRR: 764 X: -16.2461 +INDEX GOES BRRR: 199 X: 12.4502 +INDEX GOES BRRR: 267 X: 16.6875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.47559 +INDEX GOES BRRR: 112 X: 7.02539 +INDEX GOES BRRR: 81 X: 5.06348 +INDEX GOES BRRR: 213 X: 13.3613 +INDEX GOES BRRR: 979 X: -2.77539 +INDEX GOES BRRR: 175 X: 10.9541 +INDEX GOES BRRR: 8 X: 0.555664 +INDEX GOES BRRR: 184 X: 11.501 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4434 +INDEX GOES BRRR: 357 X: 22.3135 +INDEX GOES BRRR: 305 X: 19.0869 +INDEX GOES BRRR: 181 X: 11.3525 +INDEX GOES BRRR: 155 X: 9.71094 +INDEX GOES BRRR: 998 X: -1.61035 +INDEX GOES BRRR: 325 X: 20.3379 +INDEX GOES BRRR: 278 X: 17.4316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 961 X: -3.9209 +INDEX GOES BRRR: 793 X: -14.4102 +INDEX GOES BRRR: 252 X: 15.7549 +INDEX GOES BRRR: 289 X: 18.0732 +INDEX GOES BRRR: 278 X: 17.4121 +INDEX GOES BRRR: 923 X: -6.30078 +INDEX GOES BRRR: 288 X: 18.0215 +INDEX GOES BRRR: 215 X: 13.4619 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 802 X: -13.8174 +INDEX GOES BRRR: 140 X: 8.80273 +INDEX GOES BRRR: 21 X: 1.35449 +INDEX GOES BRRR: 1010 X: -0.828125 +INDEX GOES BRRR: 267 X: 16.7188 +INDEX GOES BRRR: 997 X: -1.63184 +INDEX GOES BRRR: 130 X: 8.18164 +INDEX GOES BRRR: 210 X: 13.1641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.90332 +INDEX GOES BRRR: 228 X: 14.2832 +INDEX GOES BRRR: 918 X: -6.56641 +INDEX GOES BRRR: 1002 X: -1.35938 +INDEX GOES BRRR: 347 X: 21.7031 +INDEX GOES BRRR: 1016 X: -0.454102 +INDEX GOES BRRR: 204 X: 12.7539 +INDEX GOES BRRR: 105 X: 6.59082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 401 X: 25.0781 +INDEX GOES BRRR: 994 X: -1.82324 +INDEX GOES BRRR: 839 X: -11.5557 +INDEX GOES BRRR: 328 X: 20.5234 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 151 X: 9.4375 +INDEX GOES BRRR: 949 X: -4.66406 +INDEX GOES BRRR: 400 X: 25.0127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.5498 +INDEX GOES BRRR: 112 X: 7.00098 +INDEX GOES BRRR: 947 X: -4.80176 +INDEX GOES BRRR: 1003 X: -1.27344 +INDEX GOES BRRR: 165 X: 10.3457 +INDEX GOES BRRR: 290 X: 18.166 +INDEX GOES BRRR: 130 X: 8.18066 +INDEX GOES BRRR: 151 X: 9.47363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.1123 +INDEX GOES BRRR: 279 X: 17.4697 +INDEX GOES BRRR: 132 X: 8.25195 +INDEX GOES BRRR: 85 X: 5.36914 +INDEX GOES BRRR: 227 X: 14.1992 +INDEX GOES BRRR: 124 X: 7.76074 +INDEX GOES BRRR: 444 X: 27.7891 +INDEX GOES BRRR: 120 X: 7.55957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0693 +INDEX GOES BRRR: 399 X: 24.9971 +INDEX GOES BRRR: 70 X: 4.43652 +INDEX GOES BRRR: 63 X: 3.98926 +INDEX GOES BRRR: 986 X: -2.31641 +INDEX GOES BRRR: 82 X: 5.1709 +INDEX GOES BRRR: 42 X: 2.67578 +INDEX GOES BRRR: 5 X: 0.361328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.53418 +INDEX GOES BRRR: 285 X: 17.834 +INDEX GOES BRRR: 912 X: -6.93945 +INDEX GOES BRRR: 997 X: -1.63672 +INDEX GOES BRRR: 146 X: 9.16406 +INDEX GOES BRRR: 332 X: 20.791 +INDEX GOES BRRR: 155 X: 9.73926 +INDEX GOES BRRR: 997 X: -1.67969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1855 +INDEX GOES BRRR: 169 X: 10.5938 +INDEX GOES BRRR: 445 X: 27.8203 +INDEX GOES BRRR: 204 X: 12.7637 +INDEX GOES BRRR: 183 X: 11.4971 +INDEX GOES BRRR: 55 X: 3.47266 +INDEX GOES BRRR: 58 X: 3.68164 +INDEX GOES BRRR: 276 X: 17.2891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.27637 +INDEX GOES BRRR: 112 X: 7.04785 +INDEX GOES BRRR: 189 X: 11.8281 +INDEX GOES BRRR: 1011 X: -0.780273 +INDEX GOES BRRR: 113 X: 7.09766 +INDEX GOES BRRR: 132 X: 8.29395 +INDEX GOES BRRR: 370 X: 23.1855 +INDEX GOES BRRR: 52 X: 3.25391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.418 +INDEX GOES BRRR: 433 X: 27.1201 +INDEX GOES BRRR: 180 X: 11.3086 +INDEX GOES BRRR: 414 X: 25.8887 +INDEX GOES BRRR: 137 X: 8.59473 +INDEX GOES BRRR: 52 X: 3.28516 +INDEX GOES BRRR: 303 X: 18.9541 +INDEX GOES BRRR: 157 X: 9.86621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.13477 +INDEX GOES BRRR: 1010 X: -0.864258 +INDEX GOES BRRR: 194 X: 12.1777 +INDEX GOES BRRR: 11 X: 0.691406 +INDEX GOES BRRR: 425 X: 26.5684 +INDEX GOES BRRR: 83 X: 5.24316 +INDEX GOES BRRR: 1016 X: -0.496094 +INDEX GOES BRRR: 97 X: 6.07031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 83 X: 5.20703 +INDEX GOES BRRR: 295 X: 18.4512 +INDEX GOES BRRR: 163 X: 10.2314 +INDEX GOES BRRR: 880 X: -9 +INDEX GOES BRRR: 284 X: 17.7559 +INDEX GOES BRRR: 144 X: 9.05273 +INDEX GOES BRRR: 134 X: 8.43457 +INDEX GOES BRRR: 289 X: 18.0703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.19043 +INDEX GOES BRRR: 998 X: -1.61914 +INDEX GOES BRRR: 187 X: 11.7168 +INDEX GOES BRRR: 211 X: 13.2305 +INDEX GOES BRRR: 346 X: 21.6797 +INDEX GOES BRRR: 196 X: 12.2939 +INDEX GOES BRRR: 191 X: 11.9404 +INDEX GOES BRRR: 920 X: -6.48926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.375 +INDEX GOES BRRR: 282 X: 17.6318 +INDEX GOES BRRR: 64 X: 4.02637 +INDEX GOES BRRR: 17 X: 1.11719 +INDEX GOES BRRR: 350 X: 21.9258 +INDEX GOES BRRR: 413 X: 25.8438 +INDEX GOES BRRR: 37 X: 2.34961 +INDEX GOES BRRR: 71 X: 4.47852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 925 X: -6.13477 +INDEX GOES BRRR: 261 X: 16.3691 +INDEX GOES BRRR: 68 X: 4.28516 +INDEX GOES BRRR: 204 X: 12.7803 +INDEX GOES BRRR: 202 X: 12.6523 +INDEX GOES BRRR: 177 X: 11.1152 +INDEX GOES BRRR: 373 X: 23.3486 +INDEX GOES BRRR: 341 X: 21.3701 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 415 X: 25.999 +INDEX GOES BRRR: 137 X: 8.60254 +INDEX GOES BRRR: 374 X: 23.4365 +INDEX GOES BRRR: 150 X: 9.38672 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 126 X: 7.91113 +INDEX GOES BRRR: 180 X: 11.2559 +INDEX GOES BRRR: 261 X: 16.3682 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.03027 +INDEX GOES BRRR: 994 X: -1.87305 +INDEX GOES BRRR: 309 X: 19.3564 +INDEX GOES BRRR: 144 X: 9.05859 +INDEX GOES BRRR: 259 X: 16.1885 +INDEX GOES BRRR: 992 X: -1.9834 +INDEX GOES BRRR: 176 X: 11.0088 +INDEX GOES BRRR: 206 X: 12.9326 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.0625 +INDEX GOES BRRR: 0 X: 0.0205078 +INDEX GOES BRRR: 52 X: 3.30469 +INDEX GOES BRRR: 244 X: 15.2656 +INDEX GOES BRRR: 13 X: 0.8125 +INDEX GOES BRRR: 236 X: 14.7832 +INDEX GOES BRRR: 232 X: 14.5479 +INDEX GOES BRRR: 226 X: 14.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 379 X: 23.6934 +INDEX GOES BRRR: 315 X: 19.7314 +INDEX GOES BRRR: 421 X: 26.3262 +INDEX GOES BRRR: 402 X: 25.1689 +INDEX GOES BRRR: 305 X: 19.0723 +INDEX GOES BRRR: 37 X: 2.36523 +INDEX GOES BRRR: 154 X: 9.62598 +INDEX GOES BRRR: 129 X: 8.10352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 468 X: 29.2842 +INDEX GOES BRRR: 102 X: 6.38086 +INDEX GOES BRRR: 13 X: 0.834961 +INDEX GOES BRRR: 824 X: -12.4961 +INDEX GOES BRRR: 302 X: 18.8799 +INDEX GOES BRRR: 12 X: 0.801758 +INDEX GOES BRRR: 919 X: -6.51855 +INDEX GOES BRRR: 164 X: 10.2695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 955 X: -4.30176 +INDEX GOES BRRR: 210 X: 13.1328 +INDEX GOES BRRR: 201 X: 12.6055 +INDEX GOES BRRR: 198 X: 12.3896 +INDEX GOES BRRR: 442 X: 27.6279 +INDEX GOES BRRR: 138 X: 8.63281 +INDEX GOES BRRR: 388 X: 24.3047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 449 X: 28.1074 +INDEX GOES BRRR: 39 X: 2.4375 +INDEX GOES BRRR: 146 X: 9.13574 +INDEX GOES BRRR: 110 X: 6.9043 +INDEX GOES BRRR: 38 X: 2.43652 +INDEX GOES BRRR: 100 X: 6.25586 +INDEX GOES BRRR: 63 X: 3.99512 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.1582 +INDEX GOES BRRR: 132 X: 8.29883 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 233 X: 14.5752 +INDEX GOES BRRR: 303 X: 18.9629 +INDEX GOES BRRR: 69 X: 4.37305 +INDEX GOES BRRR: 212 X: 13.2529 +INDEX GOES BRRR: 323 X: 20.209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 308 X: 19.3105 +INDEX GOES BRRR: 149 X: 9.31445 +INDEX GOES BRRR: 176 X: 11.0596 +INDEX GOES BRRR: 795 X: -14.2832 +INDEX GOES BRRR: 428 X: 26.7705 +INDEX GOES BRRR: 324 X: 20.293 +INDEX GOES BRRR: 281 X: 17.6006 +INDEX GOES BRRR: 192 X: 12.0479 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 320 X: 20.0381 +INDEX GOES BRRR: 1005 X: -1.16504 +INDEX GOES BRRR: 48 X: 3.0332 +INDEX GOES BRRR: 279 X: 17.4639 +INDEX GOES BRRR: 330 X: 20.6426 +INDEX GOES BRRR: 129 X: 8.09766 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 314 X: 19.6455 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.832 +INDEX GOES BRRR: 83 X: 5.19629 +INDEX GOES BRRR: 183 X: 11.4902 +INDEX GOES BRRR: 347 X: 21.7197 +INDEX GOES BRRR: 396 X: 24.7539 +INDEX GOES BRRR: 117 X: 7.36621 +INDEX GOES BRRR: 240 X: 15.0361 +INDEX GOES BRRR: 1013 X: -0.642578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.3721 +INDEX GOES BRRR: 234 X: 14.6738 +INDEX GOES BRRR: 1022 X: -0.0732422 +INDEX GOES BRRR: 352 X: 22.0508 +INDEX GOES BRRR: 941 X: -5.12988 +INDEX GOES BRRR: 55 X: 3.44727 +INDEX GOES BRRR: 220 X: 13.8037 +INDEX GOES BRRR: 1009 X: -0.902344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.74023 +INDEX GOES BRRR: 138 X: 8.6377 +INDEX GOES BRRR: 80 X: 5.02637 +INDEX GOES BRRR: 299 X: 18.7441 +INDEX GOES BRRR: 7 X: 0.443359 +INDEX GOES BRRR: 43 X: 2.69824 +INDEX GOES BRRR: 405 X: 25.3154 +INDEX GOES BRRR: 78 X: 4.88086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.7969 +INDEX GOES BRRR: 249 X: 15.6084 +INDEX GOES BRRR: 116 X: 7.25098 +INDEX GOES BRRR: 352 X: 22.0039 +INDEX GOES BRRR: 174 X: 10.8984 +INDEX GOES BRRR: 252 X: 15.7529 +INDEX GOES BRRR: 25 X: 1.58789 +INDEX GOES BRRR: 921 X: -6.41016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8604 +INDEX GOES BRRR: 192 X: 12.0566 +INDEX GOES BRRR: 62 X: 3.93066 +INDEX GOES BRRR: 31 X: 1.98047 +INDEX GOES BRRR: 467 X: 29.2373 +INDEX GOES BRRR: 177 X: 11.0957 +INDEX GOES BRRR: 279 X: 17.4697 +INDEX GOES BRRR: 491 X: 30.7471 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 319 X: 19.9717 +INDEX GOES BRRR: 264 X: 16.541 +INDEX GOES BRRR: 74 X: 4.62598 +INDEX GOES BRRR: 280 X: 17.5078 +INDEX GOES BRRR: 39 X: 2.49121 +INDEX GOES BRRR: 475 X: 29.7197 +INDEX GOES BRRR: 296 X: 18.5078 +INDEX GOES BRRR: 971 X: -3.29297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.7041 +INDEX GOES BRRR: 242 X: 15.1348 +INDEX GOES BRRR: 309 X: 19.3691 +INDEX GOES BRRR: 298 X: 18.6836 +INDEX GOES BRRR: 241 X: 15.1104 +INDEX GOES BRRR: 7 X: 0.44043 +INDEX GOES BRRR: 91 X: 5.71875 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.64844 +INDEX GOES BRRR: 267 X: 16.7217 +INDEX GOES BRRR: 217 X: 13.5771 +INDEX GOES BRRR: 1 X: 0.0947266 +INDEX GOES BRRR: 856 X: -10.4922 +INDEX GOES BRRR: 981 X: -2.66406 +INDEX GOES BRRR: 245 X: 15.3467 +INDEX GOES BRRR: 926 X: -6.07031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 910 X: -7.09668 +INDEX GOES BRRR: 218 X: 13.6426 +INDEX GOES BRRR: 200 X: 12.5283 +INDEX GOES BRRR: 29 X: 1.83301 +INDEX GOES BRRR: 205 X: 12.8418 +INDEX GOES BRRR: 290 X: 18.1758 +INDEX GOES BRRR: 299 X: 18.6914 +INDEX GOES BRRR: 60 X: 3.80371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.62305 +INDEX GOES BRRR: 144 X: 9.05957 +INDEX GOES BRRR: 140 X: 8.77441 +INDEX GOES BRRR: 921 X: -6.41309 +INDEX GOES BRRR: 130 X: 8.12988 +INDEX GOES BRRR: 483 X: 30.2441 +INDEX GOES BRRR: 143 X: 8.96289 +INDEX GOES BRRR: 127 X: 7.95605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5498 +INDEX GOES BRRR: 468 X: 29.2812 +INDEX GOES BRRR: 284 X: 17.79 +INDEX GOES BRRR: 184 X: 11.5225 +INDEX GOES BRRR: 243 X: 15.2119 +INDEX GOES BRRR: 166 X: 10.3857 +INDEX GOES BRRR: 229 X: 14.3223 +INDEX GOES BRRR: 261 X: 16.3213 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 14 X: 0.901367 +INDEX GOES BRRR: 111 X: 6.9375 +INDEX GOES BRRR: 77 X: 4.83301 +INDEX GOES BRRR: 379 X: 23.7041 +INDEX GOES BRRR: 208 X: 13.0107 +INDEX GOES BRRR: 752 X: -16.9395 +INDEX GOES BRRR: 395 X: 24.708 +INDEX GOES BRRR: 249 X: 15.584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9326 +INDEX GOES BRRR: 317 X: 19.8369 +INDEX GOES BRRR: 33 X: 2.10645 +INDEX GOES BRRR: 80 X: 5.05371 +INDEX GOES BRRR: 294 X: 18.4004 +INDEX GOES BRRR: 42 X: 2.68457 +INDEX GOES BRRR: 255 X: 15.9453 +INDEX GOES BRRR: 349 X: 21.8291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.31445 +INDEX GOES BRRR: 172 X: 10.7744 +INDEX GOES BRRR: 230 X: 14.415 +INDEX GOES BRRR: 960 X: -3.98047 +INDEX GOES BRRR: 1019 X: -0.267578 +INDEX GOES BRRR: 162 X: 10.1846 +INDEX GOES BRRR: 225 X: 14.0967 +INDEX GOES BRRR: 78 X: 4.92773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.36523 +INDEX GOES BRRR: 236 X: 14.8115 +INDEX GOES BRRR: 246 X: 15.3848 +INDEX GOES BRRR: 251 X: 15.6934 +INDEX GOES BRRR: 850 X: -10.8613 +INDEX GOES BRRR: 307 X: 19.1973 +INDEX GOES BRRR: 304 X: 19.0508 +INDEX GOES BRRR: 103 X: 6.44922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.8291 +INDEX GOES BRRR: 207 X: 12.9941 +INDEX GOES BRRR: 365 X: 22.8662 +INDEX GOES BRRR: 1000 X: -1.49609 +INDEX GOES BRRR: 311 X: 19.4443 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 255 X: 15.9375 +INDEX GOES BRRR: 936 X: -5.5 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 790 X: -14.5957 +INDEX GOES BRRR: 237 X: 14.8301 +INDEX GOES BRRR: 233 X: 14.5674 +INDEX GOES BRRR: 314 X: 19.6445 +INDEX GOES BRRR: 209 X: 13.1221 +INDEX GOES BRRR: 192 X: 12.0215 +INDEX GOES BRRR: 71 X: 4.44531 +INDEX GOES BRRR: 373 X: 23.333 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.02734 +INDEX GOES BRRR: 169 X: 10.5684 +INDEX GOES BRRR: 246 X: 15.4053 +INDEX GOES BRRR: 217 X: 13.6133 +INDEX GOES BRRR: 441 X: 27.6045 +INDEX GOES BRRR: 152 X: 9.54688 +INDEX GOES BRRR: 1022 X: -0.102539 +INDEX GOES BRRR: 225 X: 14.0771 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 972 X: -3.20508 +INDEX GOES BRRR: 102 X: 6.39355 +INDEX GOES BRRR: 142 X: 8.87598 +INDEX GOES BRRR: 289 X: 18.0752 +INDEX GOES BRRR: 111 X: 6.98828 +INDEX GOES BRRR: 908 X: -7.2207 +INDEX GOES BRRR: 809 X: -13.4355 +INDEX GOES BRRR: 344 X: 21.5303 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 980 X: -2.73828 +INDEX GOES BRRR: 195 X: 12.2256 +INDEX GOES BRRR: 971 X: -3.26367 +INDEX GOES BRRR: 901 X: -7.65625 +INDEX GOES BRRR: 929 X: -5.91992 +INDEX GOES BRRR: 891 X: -8.2666 +INDEX GOES BRRR: 293 X: 18.3564 +INDEX GOES BRRR: 39 X: 2.48438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.46387 +INDEX GOES BRRR: 921 X: -6.43457 +INDEX GOES BRRR: 136 X: 8.55176 +INDEX GOES BRRR: 176 X: 11.0225 +INDEX GOES BRRR: 285 X: 17.8145 +INDEX GOES BRRR: 21 X: 1.36523 +INDEX GOES BRRR: 176 X: 11.0166 +INDEX GOES BRRR: 263 X: 16.4619 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.4668 +INDEX GOES BRRR: 438 X: 27.3906 +INDEX GOES BRRR: 69 X: 4.34277 +INDEX GOES BRRR: 79 X: 4.9834 +INDEX GOES BRRR: 847 X: -11.0342 +INDEX GOES BRRR: 124 X: 7.76465 +INDEX GOES BRRR: 224 X: 14.0059 +INDEX GOES BRRR: 271 X: 16.9395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.4141 +INDEX GOES BRRR: 26 X: 1.62793 +INDEX GOES BRRR: 58 X: 3.63867 +INDEX GOES BRRR: 952 X: -4.45801 +INDEX GOES BRRR: 299 X: 18.7051 +INDEX GOES BRRR: 764 X: -16.2314 +INDEX GOES BRRR: 184 X: 11.5488 +INDEX GOES BRRR: 269 X: 16.873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0947 +INDEX GOES BRRR: 351 X: 21.959 +INDEX GOES BRRR: 15 X: 0.99707 +INDEX GOES BRRR: 986 X: -2.35156 +INDEX GOES BRRR: 93 X: 5.82617 +INDEX GOES BRRR: 130 X: 8.12891 +INDEX GOES BRRR: 158 X: 9.88672 +INDEX GOES BRRR: 179 X: 11.1885 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4414 +INDEX GOES BRRR: 187 X: 11.7236 +INDEX GOES BRRR: 207 X: 12.9873 +INDEX GOES BRRR: 88 X: 5.5 +INDEX GOES BRRR: 183 X: 11.4941 +INDEX GOES BRRR: 30 X: 1.91992 +INDEX GOES BRRR: 1019 X: -0.272461 +INDEX GOES BRRR: 144 X: 9.01367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 874 X: -9.35938 +INDEX GOES BRRR: 199 X: 12.4873 +INDEX GOES BRRR: 49 X: 3.09473 +INDEX GOES BRRR: 801 X: -13.916 +INDEX GOES BRRR: 37 X: 2.33008 +INDEX GOES BRRR: 161 X: 10.0791 +INDEX GOES BRRR: 244 X: 15.2861 +INDEX GOES BRRR: 314 X: 19.6738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 36 X: 2.26172 +INDEX GOES BRRR: 43 X: 2.69824 +INDEX GOES BRRR: 395 X: 24.7383 +INDEX GOES BRRR: 297 X: 18.5801 +INDEX GOES BRRR: 272 X: 17.041 +INDEX GOES BRRR: 188 X: 11.7695 +INDEX GOES BRRR: 316 X: 19.8037 +INDEX GOES BRRR: 327 X: 20.458 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1494 +INDEX GOES BRRR: 285 X: 17.8203 +INDEX GOES BRRR: 249 X: 15.5947 +INDEX GOES BRRR: 924 X: -6.24902 +INDEX GOES BRRR: 416 X: 26.0234 +INDEX GOES BRRR: 191 X: 11.9893 +INDEX GOES BRRR: 159 X: 9.97168 +INDEX GOES BRRR: 235 X: 14.7275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.0332 +INDEX GOES BRRR: 288 X: 18.0283 +INDEX GOES BRRR: 52 X: 3.26172 +INDEX GOES BRRR: 274 X: 17.1455 +INDEX GOES BRRR: 92 X: 5.79492 +INDEX GOES BRRR: 33 X: 2.0918 +INDEX GOES BRRR: 224 X: 14.002 +INDEX GOES BRRR: 8 X: 0.529297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 988 X: -2.24316 +INDEX GOES BRRR: 353 X: 22.0928 +INDEX GOES BRRR: 1018 X: -0.331055 +INDEX GOES BRRR: 307 X: 19.21 +INDEX GOES BRRR: 284 X: 17.7773 +INDEX GOES BRRR: 892 X: -8.23535 +INDEX GOES BRRR: 952 X: -4.44434 +INDEX GOES BRRR: 238 X: 14.9102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.4756 +INDEX GOES BRRR: 1005 X: -1.13281 +INDEX GOES BRRR: 69 X: 4.35156 +INDEX GOES BRRR: 293 X: 18.3662 +INDEX GOES BRRR: 363 X: 22.7334 +INDEX GOES BRRR: 342 X: 21.375 +INDEX GOES BRRR: 293 X: 18.3125 +INDEX GOES BRRR: 206 X: 12.876 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 9 X: 0.59082 +INDEX GOES BRRR: 204 X: 12.7568 +INDEX GOES BRRR: 278 X: 17.4268 +INDEX GOES BRRR: 204 X: 12.7871 +INDEX GOES BRRR: 792 X: -14.498 +INDEX GOES BRRR: 274 X: 17.1787 +INDEX GOES BRRR: 313 X: 19.6084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 933 X: -5.66211 +INDEX GOES BRRR: 248 X: 15.5508 +INDEX GOES BRRR: 954 X: -4.34277 +INDEX GOES BRRR: 983 X: -2.50195 +INDEX GOES BRRR: 156 X: 9.75781 +INDEX GOES BRRR: 180 X: 11.2949 +INDEX GOES BRRR: 982 X: -2.61914 +INDEX GOES BRRR: 311 X: 19.4512 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8301 +INDEX GOES BRRR: 399 X: 24.958 +INDEX GOES BRRR: 363 X: 22.6895 +INDEX GOES BRRR: 189 X: 11.8467 +INDEX GOES BRRR: 203 X: 12.7168 +INDEX GOES BRRR: 271 X: 16.9629 +INDEX GOES BRRR: 3 X: 0.249023 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.1875 +INDEX GOES BRRR: 151 X: 9.45996 +INDEX GOES BRRR: 149 X: 9.3418 +INDEX GOES BRRR: 36 X: 2.31055 +INDEX GOES BRRR: 251 X: 15.707 +INDEX GOES BRRR: 419 X: 26.2227 +INDEX GOES BRRR: 229 X: 14.3389 +INDEX GOES BRRR: 171 X: 10.7275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2529 +INDEX GOES BRRR: 184 X: 11.5068 +INDEX GOES BRRR: 20 X: 1.28125 +INDEX GOES BRRR: 266 X: 16.667 +INDEX GOES BRRR: 989 X: -2.1543 +INDEX GOES BRRR: 148 X: 9.27441 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 247 X: 15.4424 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0566 +INDEX GOES BRRR: 112 X: 7.03516 +INDEX GOES BRRR: 160 X: 10.0332 +INDEX GOES BRRR: 410 X: 25.6426 +INDEX GOES BRRR: 126 X: 7.90527 +INDEX GOES BRRR: 429 X: 26.8691 +INDEX GOES BRRR: 66 X: 4.14941 +INDEX GOES BRRR: 189 X: 11.8291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.82715 +INDEX GOES BRRR: 312 X: 19.5244 +INDEX GOES BRRR: 130 X: 8.18262 +INDEX GOES BRRR: 104 X: 6.55078 +INDEX GOES BRRR: 301 X: 18.8174 +INDEX GOES BRRR: 202 X: 12.6758 +INDEX GOES BRRR: 106 X: 6.68359 +INDEX GOES BRRR: 443 X: 27.7148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.7793 +INDEX GOES BRRR: 45 X: 2.83887 +INDEX GOES BRRR: 249 X: 15.5664 +INDEX GOES BRRR: 55 X: 3.43848 +INDEX GOES BRRR: 145 X: 9.08691 +INDEX GOES BRRR: 979 X: -2.79004 +INDEX GOES BRRR: 242 X: 15.165 +INDEX GOES BRRR: 338 X: 21.1514 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 913 X: -6.91211 +INDEX GOES BRRR: 884 X: -8.70312 +INDEX GOES BRRR: 238 X: 14.9004 +INDEX GOES BRRR: 136 X: 8.50586 +INDEX GOES BRRR: 341 X: 21.332 +INDEX GOES BRRR: 319 X: 19.9629 +INDEX GOES BRRR: 397 X: 24.8174 +INDEX GOES BRRR: 145 X: 9.10059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.4082 +INDEX GOES BRRR: 974 X: -3.11914 +INDEX GOES BRRR: 88 X: 5.55957 +INDEX GOES BRRR: 944 X: -4.95117 +INDEX GOES BRRR: 160 X: 10.0557 +INDEX GOES BRRR: 85 X: 5.34863 +INDEX GOES BRRR: 944 X: -4.94922 +INDEX GOES BRRR: 221 X: 13.833 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 958 X: -4.09668 +INDEX GOES BRRR: 225 X: 14.124 +INDEX GOES BRRR: 23 X: 1.4502 +INDEX GOES BRRR: 145 X: 9.06934 +INDEX GOES BRRR: 266 X: 16.6533 +INDEX GOES BRRR: 266 X: 16.6807 +INDEX GOES BRRR: 306 X: 19.1416 +INDEX GOES BRRR: 883 X: -8.79883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.6973 +INDEX GOES BRRR: 369 X: 23.083 +INDEX GOES BRRR: 82 X: 5.13379 +INDEX GOES BRRR: 1002 X: -1.32324 +INDEX GOES BRRR: 320 X: 20.0107 +INDEX GOES BRRR: 26 X: 1.65918 +INDEX GOES BRRR: 444 X: 27.7695 +INDEX GOES BRRR: 277 X: 17.3174 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 331 X: 20.7441 +INDEX GOES BRRR: 161 X: 10.1064 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 36 X: 2.25098 +INDEX GOES BRRR: 192 X: 12.0439 +INDEX GOES BRRR: 295 X: 18.4844 +INDEX GOES BRRR: 127 X: 7.94727 +INDEX GOES BRRR: 180 X: 11.2588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.3525 +INDEX GOES BRRR: 13 X: 0.867188 +INDEX GOES BRRR: 412 X: 25.7793 +INDEX GOES BRRR: 920 X: -6.48047 +INDEX GOES BRRR: 133 X: 8.35156 +INDEX GOES BRRR: 946 X: -4.83594 +INDEX GOES BRRR: 979 X: -2.79199 +INDEX GOES BRRR: 369 X: 23.1104 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1016 X: -0.479492 +INDEX GOES BRRR: 11 X: 0.705078 +INDEX GOES BRRR: 481 X: 30.082 +INDEX GOES BRRR: 35 X: 2.20312 +INDEX GOES BRRR: 289 X: 18.0752 +INDEX GOES BRRR: 318 X: 19.9219 +INDEX GOES BRRR: 317 X: 19.8379 +INDEX GOES BRRR: 991 X: -2.05762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2256 +INDEX GOES BRRR: 192 X: 12.0322 +INDEX GOES BRRR: 221 X: 13.873 +INDEX GOES BRRR: 156 X: 9.80371 +INDEX GOES BRRR: 864 X: -9.98047 +INDEX GOES BRRR: 942 X: -5.0918 +INDEX GOES BRRR: 38 X: 2.40723 +INDEX GOES BRRR: 105 X: 6.5791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 70 X: 4.40234 +INDEX GOES BRRR: 905 X: -7.40723 +INDEX GOES BRRR: 146 X: 9.17188 +INDEX GOES BRRR: 98 X: 6.1748 +INDEX GOES BRRR: 347 X: 21.7295 +INDEX GOES BRRR: 156 X: 9.80371 +INDEX GOES BRRR: 16 X: 1.01074 +INDEX GOES BRRR: 225 X: 14.1162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.1914 +INDEX GOES BRRR: 979 X: -2.77637 +INDEX GOES BRRR: 142 X: 8.91406 +INDEX GOES BRRR: 104 X: 6.50977 +INDEX GOES BRRR: 230 X: 14.3994 +INDEX GOES BRRR: 805 X: -13.6865 +INDEX GOES BRRR: 306 X: 19.1406 +INDEX GOES BRRR: 326 X: 20.3877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 102 X: 6.37695 +INDEX GOES BRRR: 1021 X: -0.168945 +INDEX GOES BRRR: 941 X: -5.1377 +INDEX GOES BRRR: 240 X: 15.0498 +INDEX GOES BRRR: 105 X: 6.58398 +INDEX GOES BRRR: 12 X: 0.801758 +INDEX GOES BRRR: 990 X: -2.12402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.7559 +INDEX GOES BRRR: 494 X: 30.877 +INDEX GOES BRRR: 111 X: 6.95215 +INDEX GOES BRRR: 275 X: 17.1992 +INDEX GOES BRRR: 287 X: 17.9961 +INDEX GOES BRRR: 156 X: 9.79297 +INDEX GOES BRRR: 1018 X: -0.333984 +INDEX GOES BRRR: 190 X: 11.9238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 297 X: 18.5781 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1016 X: -0.5 +INDEX GOES BRRR: 350 X: 21.875 +INDEX GOES BRRR: 246 X: 15.4121 +INDEX GOES BRRR: 161 X: 10.0859 +INDEX GOES BRRR: 234 X: 14.6709 +INDEX GOES BRRR: 207 X: 12.96 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 233 X: 14.5859 +INDEX GOES BRRR: 174 X: 10.9307 +INDEX GOES BRRR: 50 X: 3.14746 +INDEX GOES BRRR: 329 X: 20.6143 +INDEX GOES BRRR: 246 X: 15.3828 +INDEX GOES BRRR: 96 X: 6.05859 +INDEX GOES BRRR: 25 X: 1.58594 +INDEX GOES BRRR: 4 X: 0.266602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 477 X: 29.8477 +INDEX GOES BRRR: 51 X: 3.19531 +INDEX GOES BRRR: 127 X: 7.97363 +INDEX GOES BRRR: 263 X: 16.4873 +INDEX GOES BRRR: 287 X: 17.9707 +INDEX GOES BRRR: 46 X: 2.88086 +INDEX GOES BRRR: 55 X: 3.44727 +INDEX GOES BRRR: 99 X: 6.23926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 960 X: -3.99512 +INDEX GOES BRRR: 404 X: 25.3008 +INDEX GOES BRRR: 259 X: 16.249 +INDEX GOES BRRR: 78 X: 4.91992 +INDEX GOES BRRR: 80 X: 5.04297 +INDEX GOES BRRR: 386 X: 24.1396 +INDEX GOES BRRR: 328 X: 20.5381 +INDEX GOES BRRR: 1000 X: -1.48633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.2793 +INDEX GOES BRRR: 774 X: -15.6143 +INDEX GOES BRRR: 341 X: 21.3301 +INDEX GOES BRRR: 35 X: 2.19238 +INDEX GOES BRRR: 35 X: 2.21484 +INDEX GOES BRRR: 60 X: 3.7998 +INDEX GOES BRRR: 183 X: 11.4961 +INDEX GOES BRRR: 158 X: 9.91504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1012 X: -0.742188 +INDEX GOES BRRR: 33 X: 2.0752 +INDEX GOES BRRR: 330 X: 20.6748 +INDEX GOES BRRR: 26 X: 1.67188 +INDEX GOES BRRR: 362 X: 22.6855 +INDEX GOES BRRR: 1005 X: -1.18262 +INDEX GOES BRRR: 280 X: 17.542 +INDEX GOES BRRR: 264 X: 16.5439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 176 X: 11.0088 +INDEX GOES BRRR: 257 X: 16.1201 +INDEX GOES BRRR: 1012 X: -0.746094 +INDEX GOES BRRR: 7 X: 0.485352 +INDEX GOES BRRR: 309 X: 19.3545 +INDEX GOES BRRR: 431 X: 26.9658 +INDEX GOES BRRR: 77 X: 4.86426 +INDEX GOES BRRR: 124 X: 7.77148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0449 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 88 X: 5.56152 +INDEX GOES BRRR: 997 X: -1.68555 +INDEX GOES BRRR: 253 X: 15.8584 +INDEX GOES BRRR: 132 X: 8.25781 +INDEX GOES BRRR: 41 X: 2.57227 +INDEX GOES BRRR: 965 X: -3.68359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.62207 +INDEX GOES BRRR: 172 X: 10.8037 +INDEX GOES BRRR: 891 X: -8.29395 +INDEX GOES BRRR: 868 X: -9.69141 +INDEX GOES BRRR: 21 X: 1.3252 +INDEX GOES BRRR: 154 X: 9.65625 +INDEX GOES BRRR: 396 X: 24.7705 +INDEX GOES BRRR: 289 X: 18.0791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.8945 +INDEX GOES BRRR: 285 X: 17.8262 +INDEX GOES BRRR: 55 X: 3.47168 +INDEX GOES BRRR: 189 X: 11.8408 +INDEX GOES BRRR: 342 X: 21.4326 +INDEX GOES BRRR: 57 X: 3.58789 +INDEX GOES BRRR: 182 X: 11.4043 +INDEX GOES BRRR: 381 X: 23.874 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9053 +INDEX GOES BRRR: 105 X: 6.5752 +INDEX GOES BRRR: 451 X: 28.2178 +INDEX GOES BRRR: 34 X: 2.16504 +INDEX GOES BRRR: 123 X: 7.74121 +INDEX GOES BRRR: 295 X: 18.4941 +INDEX GOES BRRR: 296 X: 18.502 +INDEX GOES BRRR: 108 X: 6.81055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 857 X: -10.3896 +INDEX GOES BRRR: 237 X: 14.8682 +INDEX GOES BRRR: 410 X: 25.6475 +INDEX GOES BRRR: 226 X: 14.1475 +INDEX GOES BRRR: 951 X: -4.50781 +INDEX GOES BRRR: 982 X: -2.59863 +INDEX GOES BRRR: 183 X: 11.4795 +INDEX GOES BRRR: 221 X: 13.8223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.769531 +INDEX GOES BRRR: 248 X: 15.5146 +INDEX GOES BRRR: 375 X: 23.4395 +INDEX GOES BRRR: 44 X: 2.75098 +INDEX GOES BRRR: 415 X: 25.9414 +INDEX GOES BRRR: 14 X: 0.928711 +INDEX GOES BRRR: 116 X: 7.30859 +INDEX GOES BRRR: 323 X: 20.2217 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1748 +INDEX GOES BRRR: 279 X: 17.4746 +INDEX GOES BRRR: 995 X: -1.77637 +INDEX GOES BRRR: 301 X: 18.832 +INDEX GOES BRRR: 114 X: 7.16406 +INDEX GOES BRRR: 282 X: 17.6387 +INDEX GOES BRRR: 357 X: 22.333 +INDEX GOES BRRR: 22 X: 1.39941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 822 X: -12.6113 +INDEX GOES BRRR: 170 X: 10.6611 +INDEX GOES BRRR: 175 X: 10.9463 +INDEX GOES BRRR: 292 X: 18.2715 +INDEX GOES BRRR: 72 X: 4.55957 +INDEX GOES BRRR: 311 X: 19.4932 +INDEX GOES BRRR: 267 X: 16.7158 +INDEX GOES BRRR: 214 X: 13.4277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6523 +INDEX GOES BRRR: 320 X: 20.042 +INDEX GOES BRRR: 108 X: 6.75 +INDEX GOES BRRR: 205 X: 12.8447 +INDEX GOES BRRR: 142 X: 8.88867 +INDEX GOES BRRR: 260 X: 16.2646 +INDEX GOES BRRR: 213 X: 13.3242 +INDEX GOES BRRR: 86 X: 5.42285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6348 +INDEX GOES BRRR: 113 X: 7.10742 +INDEX GOES BRRR: 280 X: 17.5557 +INDEX GOES BRRR: 767 X: -16.0078 +INDEX GOES BRRR: 213 X: 13.374 +INDEX GOES BRRR: 142 X: 8.89062 +INDEX GOES BRRR: 314 X: 19.6768 +INDEX GOES BRRR: 142 X: 8.9248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9111 +INDEX GOES BRRR: 1016 X: -0.470703 +INDEX GOES BRRR: 925 X: -6.15723 +INDEX GOES BRRR: 17 X: 1.07324 +INDEX GOES BRRR: 401 X: 25.123 +INDEX GOES BRRR: 70 X: 4.43262 +INDEX GOES BRRR: 963 X: -3.77441 +INDEX GOES BRRR: 248 X: 15.5244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.99414 +INDEX GOES BRRR: 974 X: -3.11133 +INDEX GOES BRRR: 361 X: 22.6035 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 302 X: 18.8818 +INDEX GOES BRRR: 88 X: 5.50586 +INDEX GOES BRRR: 227 X: 14.2148 +INDEX GOES BRRR: 192 X: 12.0098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 857 X: -10.4375 +INDEX GOES BRRR: 339 X: 21.2197 +INDEX GOES BRRR: 238 X: 14.9229 +INDEX GOES BRRR: 215 X: 13.4961 +INDEX GOES BRRR: 351 X: 21.9482 +INDEX GOES BRRR: 295 X: 18.4609 +INDEX GOES BRRR: 86 X: 5.38867 +INDEX GOES BRRR: 211 X: 13.1963 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.74121 +INDEX GOES BRRR: 241 X: 15.0908 +INDEX GOES BRRR: 0 X: 0.0439453 +INDEX GOES BRRR: 51 X: 3.20312 +INDEX GOES BRRR: 107 X: 6.71191 +INDEX GOES BRRR: 320 X: 20.0469 +INDEX GOES BRRR: 162 X: 10.168 +INDEX GOES BRRR: 223 X: 13.9482 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3359 +INDEX GOES BRRR: 985 X: -2.40918 +INDEX GOES BRRR: 482 X: 30.1465 +INDEX GOES BRRR: 879 X: -9.03027 +INDEX GOES BRRR: 170 X: 10.625 +INDEX GOES BRRR: 125 X: 7.82324 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 327 X: 20.4375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4258 +INDEX GOES BRRR: 885 X: -8.63379 +INDEX GOES BRRR: 3 X: 0.214844 +INDEX GOES BRRR: 70 X: 4.42285 +INDEX GOES BRRR: 883 X: -8.80859 +INDEX GOES BRRR: 248 X: 15.5566 +INDEX GOES BRRR: 989 X: -2.16895 +INDEX GOES BRRR: 388 X: 24.2637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 877 X: -9.1582 +INDEX GOES BRRR: 249 X: 15.5703 +INDEX GOES BRRR: 112 X: 7.06152 +INDEX GOES BRRR: 25 X: 1.57617 +INDEX GOES BRRR: 309 X: 19.3604 +INDEX GOES BRRR: 220 X: 13.7764 +INDEX GOES BRRR: 317 X: 19.8174 +INDEX GOES BRRR: 296 X: 18.5361 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9961 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 139 X: 8.68848 +INDEX GOES BRRR: 263 X: 16.4541 +INDEX GOES BRRR: 234 X: 14.6611 +INDEX GOES BRRR: 224 X: 14.0078 +INDEX GOES BRRR: 246 X: 15.4053 +INDEX GOES BRRR: 122 X: 7.63672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0175781 +INDEX GOES BRRR: 291 X: 18.2402 +INDEX GOES BRRR: 51 X: 3.19043 +INDEX GOES BRRR: 382 X: 23.9316 +INDEX GOES BRRR: 370 X: 23.1602 +INDEX GOES BRRR: 171 X: 10.7119 +INDEX GOES BRRR: 224 X: 14.0371 +INDEX GOES BRRR: 125 X: 7.81641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.1895 +INDEX GOES BRRR: 211 X: 13.1943 +INDEX GOES BRRR: 164 X: 10.2529 +INDEX GOES BRRR: 152 X: 9.52051 +INDEX GOES BRRR: 17 X: 1.07812 +INDEX GOES BRRR: 72 X: 4.50781 +INDEX GOES BRRR: 77 X: 4.83203 +INDEX GOES BRRR: 21 X: 1.36914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.2754 +INDEX GOES BRRR: 1016 X: -0.447266 +INDEX GOES BRRR: 105 X: 6.61523 +INDEX GOES BRRR: 165 X: 10.374 +INDEX GOES BRRR: 218 X: 13.6543 +INDEX GOES BRRR: 83 X: 5.19336 +INDEX GOES BRRR: 435 X: 27.2471 +INDEX GOES BRRR: 432 X: 27.0244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.7402 +INDEX GOES BRRR: 224 X: 14.043 +INDEX GOES BRRR: 294 X: 18.4268 +INDEX GOES BRRR: 953 X: -4.38867 +INDEX GOES BRRR: 188 X: 11.7744 +INDEX GOES BRRR: 163 X: 10.1885 +INDEX GOES BRRR: 95 X: 5.97266 +INDEX GOES BRRR: 56 X: 3.53711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.688477 +INDEX GOES BRRR: 188 X: 11.7969 +INDEX GOES BRRR: 83 X: 5.19824 +INDEX GOES BRRR: 898 X: -7.82227 +INDEX GOES BRRR: 244 X: 15.2627 +INDEX GOES BRRR: 136 X: 8.54785 +INDEX GOES BRRR: 1011 X: -0.754883 +INDEX GOES BRRR: 24 X: 1.56152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.543945 +INDEX GOES BRRR: 284 X: 17.7598 +INDEX GOES BRRR: 115 X: 7.24316 +INDEX GOES BRRR: 901 X: -7.67969 +INDEX GOES BRRR: 258 X: 16.1846 +INDEX GOES BRRR: 143 X: 8.9707 +INDEX GOES BRRR: 426 X: 26.6279 +INDEX GOES BRRR: 80 X: 5.01562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 973 X: -3.18555 +INDEX GOES BRRR: 206 X: 12.9004 +INDEX GOES BRRR: 167 X: 10.4932 +INDEX GOES BRRR: 321 X: 20.1211 +INDEX GOES BRRR: 198 X: 12.375 +INDEX GOES BRRR: 71 X: 4.49902 +INDEX GOES BRRR: 140 X: 8.77344 +INDEX GOES BRRR: 145 X: 9.0918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 374 X: 23.4365 +INDEX GOES BRRR: 110 X: 6.91602 +INDEX GOES BRRR: 962 X: -3.85156 +INDEX GOES BRRR: 135 X: 8.44141 +INDEX GOES BRRR: 942 X: -5.06445 +INDEX GOES BRRR: 389 X: 24.3711 +INDEX GOES BRRR: 338 X: 21.1299 +INDEX GOES BRRR: 288 X: 18.0537 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 409 X: 25.623 +INDEX GOES BRRR: 24 X: 1.5498 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 467 X: 29.2207 +INDEX GOES BRRR: 203 X: 12.7441 +INDEX GOES BRRR: 68 X: 4.2627 +INDEX GOES BRRR: 74 X: 4.63379 +INDEX GOES BRRR: 441 X: 27.6123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 981 X: -2.65332 +INDEX GOES BRRR: 245 X: 15.3535 +INDEX GOES BRRR: 915 X: -6.78516 +INDEX GOES BRRR: 63 X: 3.97168 +INDEX GOES BRRR: 142 X: 8.90723 +INDEX GOES BRRR: 917 X: -6.6709 +INDEX GOES BRRR: 887 X: -8.5127 +INDEX GOES BRRR: 101 X: 6.36816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 270 X: 16.8867 +INDEX GOES BRRR: 245 X: 15.3672 +INDEX GOES BRRR: 171 X: 10.7021 +INDEX GOES BRRR: 989 X: -2.17773 +INDEX GOES BRRR: 203 X: 12.7354 +INDEX GOES BRRR: 986 X: -2.35254 +INDEX GOES BRRR: 263 X: 16.4697 +INDEX GOES BRRR: 223 X: 13.9766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.49902 +INDEX GOES BRRR: 864 X: -9.99023 +INDEX GOES BRRR: 98 X: 6.1709 +INDEX GOES BRRR: 116 X: 7.30469 +INDEX GOES BRRR: 344 X: 21.5127 +INDEX GOES BRRR: 999 X: -1.54199 +INDEX GOES BRRR: 153 X: 9.61328 +INDEX GOES BRRR: 13 X: 0.864258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3359 +INDEX GOES BRRR: 313 X: 19.582 +INDEX GOES BRRR: 107 X: 6.69238 +INDEX GOES BRRR: 137 X: 8.59082 +INDEX GOES BRRR: 330 X: 20.6543 +INDEX GOES BRRR: 1016 X: -0.480469 +INDEX GOES BRRR: 1014 X: -0.624023 +INDEX GOES BRRR: 95 X: 5.94922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 360 X: 22.5547 +INDEX GOES BRRR: 166 X: 10.4043 +INDEX GOES BRRR: 76 X: 4.75195 +INDEX GOES BRRR: 983 X: -2.50586 +INDEX GOES BRRR: 100 X: 6.30859 +INDEX GOES BRRR: 250 X: 15.6406 +INDEX GOES BRRR: 186 X: 11.6357 +INDEX GOES BRRR: 210 X: 13.1855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 331 X: 20.7109 +INDEX GOES BRRR: 874 X: -9.33984 +INDEX GOES BRRR: 125 X: 7.85156 +INDEX GOES BRRR: 964 X: -3.69043 +INDEX GOES BRRR: 396 X: 24.7998 +INDEX GOES BRRR: 252 X: 15.8105 +INDEX GOES BRRR: 309 X: 19.3438 +INDEX GOES BRRR: 24 X: 1.55078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.61719 +INDEX GOES BRRR: 926 X: -6.10547 +INDEX GOES BRRR: 314 X: 19.6465 +INDEX GOES BRRR: 250 X: 15.6289 +INDEX GOES BRRR: 349 X: 21.8428 +INDEX GOES BRRR: 890 X: -8.37109 +INDEX GOES BRRR: 929 X: -5.92383 +INDEX GOES BRRR: 984 X: -2.49414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4463 +INDEX GOES BRRR: 68 X: 4.30566 +INDEX GOES BRRR: 240 X: 15.0205 +INDEX GOES BRRR: 79 X: 4.96387 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 77 X: 4.86523 +INDEX GOES BRRR: 271 X: 16.9375 +INDEX GOES BRRR: 347 X: 21.7207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.18262 +INDEX GOES BRRR: 8 X: 0.506836 +INDEX GOES BRRR: 187 X: 11.7295 +INDEX GOES BRRR: 929 X: -5.88867 +INDEX GOES BRRR: 10 X: 0.628906 +INDEX GOES BRRR: 138 X: 8.65625 +INDEX GOES BRRR: 252 X: 15.7764 +INDEX GOES BRRR: 57 X: 3.60156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 364 X: 22.8105 +INDEX GOES BRRR: 45 X: 2.81738 +INDEX GOES BRRR: 108 X: 6.76562 +INDEX GOES BRRR: 975 X: -3.00879 +INDEX GOES BRRR: 204 X: 12.7646 +INDEX GOES BRRR: 296 X: 18.5146 +INDEX GOES BRRR: 48 X: 3.04785 +INDEX GOES BRRR: 943 X: -5.01758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.88477 +INDEX GOES BRRR: 201 X: 12.6133 +INDEX GOES BRRR: 298 X: 18.6611 +INDEX GOES BRRR: 149 X: 9.37305 +INDEX GOES BRRR: 143 X: 8.98242 +INDEX GOES BRRR: 38 X: 2.38574 +INDEX GOES BRRR: 204 X: 12.8027 +INDEX GOES BRRR: 323 X: 20.2285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 257 X: 16.0762 +INDEX GOES BRRR: 9 X: 0.589844 +INDEX GOES BRRR: 239 X: 14.9551 +INDEX GOES BRRR: 967 X: -3.54297 +INDEX GOES BRRR: 982 X: -2.56738 +INDEX GOES BRRR: 176 X: 11.0049 +INDEX GOES BRRR: 144 X: 9.02734 +INDEX GOES BRRR: 145 X: 9.0752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1012 X: -0.719727 +INDEX GOES BRRR: 237 X: 14.8223 +INDEX GOES BRRR: 245 X: 15.3447 +INDEX GOES BRRR: 335 X: 20.9395 +INDEX GOES BRRR: 981 X: -2.62598 +INDEX GOES BRRR: 1003 X: -1.25098 +INDEX GOES BRRR: 243 X: 15.2119 +INDEX GOES BRRR: 990 X: -2.07422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0928 +INDEX GOES BRRR: 147 X: 9.2168 +INDEX GOES BRRR: 117 X: 7.34668 +INDEX GOES BRRR: 352 X: 22.0537 +INDEX GOES BRRR: 1018 X: -0.34375 +INDEX GOES BRRR: 903 X: -7.53516 +INDEX GOES BRRR: 134 X: 8.42773 +INDEX GOES BRRR: 1018 X: -0.332031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 380 X: 23.7979 +INDEX GOES BRRR: 302 X: 18.8975 +INDEX GOES BRRR: 118 X: 7.41895 +INDEX GOES BRRR: 203 X: 12.6982 +INDEX GOES BRRR: 92 X: 5.78906 +INDEX GOES BRRR: 987 X: -2.29492 +INDEX GOES BRRR: 73 X: 4.61426 +INDEX GOES BRRR: 196 X: 12.2637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.916 +INDEX GOES BRRR: 356 X: 22.2979 +INDEX GOES BRRR: 211 X: 13.2383 +INDEX GOES BRRR: 99 X: 6.22363 +INDEX GOES BRRR: 179 X: 11.209 +INDEX GOES BRRR: 349 X: 21.8291 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 370 X: 23.1631 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.86426 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 81 X: 5.1123 +INDEX GOES BRRR: 74 X: 4.6582 +INDEX GOES BRRR: 198 X: 12.3994 +INDEX GOES BRRR: 82 X: 5.14746 +INDEX GOES BRRR: 257 X: 16.0908 +INDEX GOES BRRR: 21 X: 1.3291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 612 X: -25.6895 +INDEX GOES BRRR: 2 X: 0.152344 +INDEX GOES BRRR: 349 X: 21.8252 +INDEX GOES BRRR: 76 X: 4.77051 +INDEX GOES BRRR: 392 X: 24.5303 +INDEX GOES BRRR: 404 X: 25.3057 +INDEX GOES BRRR: 348 X: 21.7598 +INDEX GOES BRRR: 60 X: 3.76953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.55957 +INDEX GOES BRRR: 94 X: 5.91309 +INDEX GOES BRRR: 194 X: 12.1318 +INDEX GOES BRRR: 370 X: 23.1484 +INDEX GOES BRRR: 475 X: 29.7148 +INDEX GOES BRRR: 298 X: 18.6738 +INDEX GOES BRRR: 976 X: -2.96973 +INDEX GOES BRRR: 443 X: 27.6895 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.63574 +INDEX GOES BRRR: 170 X: 10.6475 +INDEX GOES BRRR: 74 X: 4.67871 +INDEX GOES BRRR: 64 X: 4.02246 +INDEX GOES BRRR: 171 X: 10.6973 +INDEX GOES BRRR: 317 X: 19.8496 +INDEX GOES BRRR: 243 X: 15.2158 +INDEX GOES BRRR: 887 X: -8.55078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.91602 +INDEX GOES BRRR: 159 X: 9.94434 +INDEX GOES BRRR: 161 X: 10.0713 +INDEX GOES BRRR: 937 X: -5.43359 +INDEX GOES BRRR: 293 X: 18.3379 +INDEX GOES BRRR: 202 X: 12.6738 +INDEX GOES BRRR: 111 X: 6.96875 +INDEX GOES BRRR: 211 X: 13.2236 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1357 +INDEX GOES BRRR: 286 X: 17.9043 +INDEX GOES BRRR: 148 X: 9.31055 +INDEX GOES BRRR: 153 X: 9.60254 +INDEX GOES BRRR: 490 X: 30.6494 +INDEX GOES BRRR: 189 X: 11.8301 +INDEX GOES BRRR: 60 X: 3.78613 +INDEX GOES BRRR: 344 X: 21.5156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 953 X: -4.40625 +INDEX GOES BRRR: 19 X: 1.21777 +INDEX GOES BRRR: 155 X: 9.74414 +INDEX GOES BRRR: 152 X: 9.54785 +INDEX GOES BRRR: 934 X: -5.61133 +INDEX GOES BRRR: 53 X: 3.36719 +INDEX GOES BRRR: 46 X: 2.91504 +INDEX GOES BRRR: 453 X: 28.3574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 370 X: 23.126 +INDEX GOES BRRR: 346 X: 21.6719 +INDEX GOES BRRR: 161 X: 10.0674 +INDEX GOES BRRR: 150 X: 9.41113 +INDEX GOES BRRR: 1016 X: -0.463867 +INDEX GOES BRRR: 1019 X: -0.303711 +INDEX GOES BRRR: 136 X: 8.55859 +INDEX GOES BRRR: 1019 X: -0.273438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 477 X: 29.873 +INDEX GOES BRRR: 923 X: -6.27344 +INDEX GOES BRRR: 339 X: 21.1992 +INDEX GOES BRRR: 392 X: 24.5381 +INDEX GOES BRRR: 179 X: 11.2451 +INDEX GOES BRRR: 385 X: 24.0947 +INDEX GOES BRRR: 80 X: 5.02148 +INDEX GOES BRRR: 18 X: 1.12988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 418 X: 26.1309 +INDEX GOES BRRR: 239 X: 14.9648 +INDEX GOES BRRR: 858 X: -10.334 +INDEX GOES BRRR: 188 X: 11.8018 +INDEX GOES BRRR: 997 X: -1.65527 +INDEX GOES BRRR: 720 X: -19 +INDEX GOES BRRR: 168 X: 10.502 +INDEX GOES BRRR: 290 X: 18.166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.76465 +INDEX GOES BRRR: 124 X: 7.75781 +INDEX GOES BRRR: 176 X: 11.0488 +INDEX GOES BRRR: 317 X: 19.8574 +INDEX GOES BRRR: 360 X: 22.5273 +INDEX GOES BRRR: 100 X: 6.29102 +INDEX GOES BRRR: 1000 X: -1.45508 +INDEX GOES BRRR: 392 X: 24.5439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1318 +INDEX GOES BRRR: 295 X: 18.4688 +INDEX GOES BRRR: 249 X: 15.5732 +INDEX GOES BRRR: 374 X: 23.4102 +INDEX GOES BRRR: 61 X: 3.82422 +INDEX GOES BRRR: 995 X: -1.75684 +INDEX GOES BRRR: 48 X: 3 +INDEX GOES BRRR: 274 X: 17.1621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.0742 +INDEX GOES BRRR: 933 X: -5.63965 +INDEX GOES BRRR: 900 X: -7.69922 +INDEX GOES BRRR: 466 X: 29.1787 +INDEX GOES BRRR: 857 X: -10.4023 +INDEX GOES BRRR: 399 X: 24.9844 +INDEX GOES BRRR: 1018 X: -0.362305 +INDEX GOES BRRR: 238 X: 14.8936 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.0859 +INDEX GOES BRRR: 100 X: 6.27734 +INDEX GOES BRRR: 420 X: 26.2793 +INDEX GOES BRRR: 20 X: 1.30176 +INDEX GOES BRRR: 3 X: 0.240234 +INDEX GOES BRRR: 204 X: 12.7734 +INDEX GOES BRRR: 387 X: 24.2441 +INDEX GOES BRRR: 42 X: 2.66016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.4795 +INDEX GOES BRRR: 198 X: 12.4287 +INDEX GOES BRRR: 350 X: 21.8945 +INDEX GOES BRRR: 57 X: 3.62012 +INDEX GOES BRRR: 474 X: 29.6602 +INDEX GOES BRRR: 800 X: -13.9404 +INDEX GOES BRRR: 301 X: 18.8535 +INDEX GOES BRRR: 217 X: 13.5996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.874 +INDEX GOES BRRR: 316 X: 19.7998 +INDEX GOES BRRR: 163 X: 10.1943 +INDEX GOES BRRR: 223 X: 13.9863 +INDEX GOES BRRR: 421 X: 26.3662 +INDEX GOES BRRR: 764 X: -16.2012 +INDEX GOES BRRR: 356 X: 22.2705 +INDEX GOES BRRR: 194 X: 12.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 409 X: 25.5664 +INDEX GOES BRRR: 770 X: -15.8203 +INDEX GOES BRRR: 101 X: 6.31641 +INDEX GOES BRRR: 102 X: 6.38477 +INDEX GOES BRRR: 203 X: 12.6973 +INDEX GOES BRRR: 218 X: 13.6455 +INDEX GOES BRRR: 54 X: 3.40723 +INDEX GOES BRRR: 169 X: 10.6182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 919 X: -6.55664 +INDEX GOES BRRR: 148 X: 9.25488 +INDEX GOES BRRR: 202 X: 12.6846 +INDEX GOES BRRR: 986 X: -2.37012 +INDEX GOES BRRR: 240 X: 15.0156 +INDEX GOES BRRR: 168 X: 10.5547 +INDEX GOES BRRR: 296 X: 18.5361 +INDEX GOES BRRR: 211 X: 13.1924 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.3418 +INDEX GOES BRRR: 88 X: 5.5459 +INDEX GOES BRRR: 237 X: 14.832 +INDEX GOES BRRR: 95 X: 5.95703 +INDEX GOES BRRR: 206 X: 12.8848 +INDEX GOES BRRR: 877 X: -9.12891 +INDEX GOES BRRR: 967 X: -3.52832 +INDEX GOES BRRR: 62 X: 3.90332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2529 +INDEX GOES BRRR: 246 X: 15.4297 +INDEX GOES BRRR: 242 X: 15.1318 +INDEX GOES BRRR: 116 X: 7.31152 +INDEX GOES BRRR: 104 X: 6.50684 +INDEX GOES BRRR: 937 X: -5.37793 +INDEX GOES BRRR: 171 X: 10.7227 +INDEX GOES BRRR: 258 X: 16.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.35352 +INDEX GOES BRRR: 406 X: 25.4199 +INDEX GOES BRRR: 283 X: 17.6953 +INDEX GOES BRRR: 167 X: 10.4863 +INDEX GOES BRRR: 188 X: 11.7871 +INDEX GOES BRRR: 1023 X: -0.0283203 +INDEX GOES BRRR: 408 X: 25.5596 +INDEX GOES BRRR: 112 X: 7.00391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 276 X: 17.3096 +INDEX GOES BRRR: 962 X: -3.875 +INDEX GOES BRRR: 237 X: 14.8691 +INDEX GOES BRRR: 178 X: 11.1484 +INDEX GOES BRRR: 90 X: 5.63477 +INDEX GOES BRRR: 949 X: -4.67773 +INDEX GOES BRRR: 366 X: 22.9062 +INDEX GOES BRRR: 312 X: 19.5537 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 810 X: -13.373 +INDEX GOES BRRR: 927 X: -6.04688 +INDEX GOES BRRR: 425 X: 26.6006 +INDEX GOES BRRR: 82 X: 5.16016 +INDEX GOES BRRR: 65 X: 4.08984 +INDEX GOES BRRR: 178 X: 11.1816 +INDEX GOES BRRR: 973 X: -3.18262 +INDEX GOES BRRR: 126 X: 7.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 426 X: 26.6787 +INDEX GOES BRRR: 246 X: 15.4355 +INDEX GOES BRRR: 39 X: 2.47266 +INDEX GOES BRRR: 148 X: 9.29297 +INDEX GOES BRRR: 1004 X: -1.2168 +INDEX GOES BRRR: 200 X: 12.5518 +INDEX GOES BRRR: 308 X: 19.2588 +INDEX GOES BRRR: 246 X: 15.4248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.1582 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 135 X: 8.4834 +INDEX GOES BRRR: 14 X: 0.904297 +INDEX GOES BRRR: 906 X: -7.37109 +INDEX GOES BRRR: 317 X: 19.8262 +INDEX GOES BRRR: 154 X: 9.66504 +INDEX GOES BRRR: 8 X: 0.560547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3301 +INDEX GOES BRRR: 373 X: 23.3174 +INDEX GOES BRRR: 34 X: 2.12793 +INDEX GOES BRRR: 289 X: 18.0928 +INDEX GOES BRRR: 459 X: 28.7344 +INDEX GOES BRRR: 156 X: 9.77148 +INDEX GOES BRRR: 182 X: 11.4092 +INDEX GOES BRRR: 307 X: 19.2168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6777 +INDEX GOES BRRR: 374 X: 23.3945 +INDEX GOES BRRR: 336 X: 21.0508 +INDEX GOES BRRR: 25 X: 1.60352 +INDEX GOES BRRR: 282 X: 17.6533 +INDEX GOES BRRR: 934 X: -5.6123 +INDEX GOES BRRR: 132 X: 8.26953 +INDEX GOES BRRR: 110 X: 6.91504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 915 X: -6.76465 +INDEX GOES BRRR: 139 X: 8.73535 +INDEX GOES BRRR: 416 X: 26.0537 +INDEX GOES BRRR: 283 X: 17.6875 +INDEX GOES BRRR: 286 X: 17.9297 +INDEX GOES BRRR: 151 X: 9.46484 +INDEX GOES BRRR: 72 X: 4.5498 +INDEX GOES BRRR: 4 X: 0.266602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.03125 +INDEX GOES BRRR: 144 X: 9.00391 +INDEX GOES BRRR: 143 X: 8.9375 +INDEX GOES BRRR: 384 X: 24.0098 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 230 X: 14.3955 +INDEX GOES BRRR: 220 X: 13.7871 +INDEX GOES BRRR: 67 X: 4.2002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5107 +INDEX GOES BRRR: 297 X: 18.585 +INDEX GOES BRRR: 413 X: 25.8457 +INDEX GOES BRRR: 301 X: 18.8447 +INDEX GOES BRRR: 163 X: 10.2422 +INDEX GOES BRRR: 727 X: -18.543 +INDEX GOES BRRR: 998 X: -1.56543 +INDEX GOES BRRR: 90 X: 5.67578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.71582 +INDEX GOES BRRR: 116 X: 7.29395 +INDEX GOES BRRR: 997 X: -1.64258 +INDEX GOES BRRR: 351 X: 21.9912 +INDEX GOES BRRR: 70 X: 4.43359 +INDEX GOES BRRR: 252 X: 15.7725 +INDEX GOES BRRR: 68 X: 4.26758 +INDEX GOES BRRR: 356 X: 22.2637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.9248 +INDEX GOES BRRR: 992 X: -1.95996 +INDEX GOES BRRR: 896 X: -7.95996 +INDEX GOES BRRR: 295 X: 18.4375 +INDEX GOES BRRR: 180 X: 11.2939 +INDEX GOES BRRR: 39 X: 2.48828 +INDEX GOES BRRR: 235 X: 14.7363 +INDEX GOES BRRR: 307 X: 19.2158 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.8916 +INDEX GOES BRRR: 854 X: -10.6143 +INDEX GOES BRRR: 391 X: 24.4658 +INDEX GOES BRRR: 70 X: 4.42285 +INDEX GOES BRRR: 163 X: 10.2295 +INDEX GOES BRRR: 872 X: -9.45215 +INDEX GOES BRRR: 383 X: 23.9609 +INDEX GOES BRRR: 428 X: 26.8018 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 929 X: -5.89746 +INDEX GOES BRRR: 6 X: 0.400391 +INDEX GOES BRRR: 210 X: 13.1602 +INDEX GOES BRRR: 992 X: -1.96191 +INDEX GOES BRRR: 930 X: -5.83008 +INDEX GOES BRRR: 142 X: 8.91699 +INDEX GOES BRRR: 99 X: 6.20605 +INDEX GOES BRRR: 280 X: 17.5361 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.31348 +INDEX GOES BRRR: 121 X: 7.59668 +INDEX GOES BRRR: 205 X: 12.8691 +INDEX GOES BRRR: 55 X: 3.44824 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 946 X: -4.84668 +INDEX GOES BRRR: 211 X: 13.2197 +INDEX GOES BRRR: 277 X: 17.3262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.52051 +INDEX GOES BRRR: 270 X: 16.9326 +INDEX GOES BRRR: 265 X: 16.5654 +INDEX GOES BRRR: 832 X: -11.9512 +INDEX GOES BRRR: 1005 X: -1.18652 +INDEX GOES BRRR: 119 X: 7.48242 +INDEX GOES BRRR: 372 X: 23.3076 +INDEX GOES BRRR: 466 X: 29.1328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6777 +INDEX GOES BRRR: 34 X: 2.1748 +INDEX GOES BRRR: 109 X: 6.84668 +INDEX GOES BRRR: 889 X: -8.39746 +INDEX GOES BRRR: 176 X: 11.04 +INDEX GOES BRRR: 872 X: -9.47168 +INDEX GOES BRRR: 61 X: 3.82031 +INDEX GOES BRRR: 249 X: 15.6162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.251 +INDEX GOES BRRR: 371 X: 23.2119 +INDEX GOES BRRR: 800 X: -13.96 +INDEX GOES BRRR: 144 X: 9.0459 +INDEX GOES BRRR: 379 X: 23.7334 +INDEX GOES BRRR: 224 X: 14.042 +INDEX GOES BRRR: 90 X: 5.66016 +INDEX GOES BRRR: 54 X: 3.41797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 319 X: 19.9492 +INDEX GOES BRRR: 233 X: 14.5752 +INDEX GOES BRRR: 86 X: 5.40527 +INDEX GOES BRRR: 170 X: 10.6787 +INDEX GOES BRRR: 425 X: 26.5811 +INDEX GOES BRRR: 251 X: 15.6973 +INDEX GOES BRRR: 180 X: 11.2969 +INDEX GOES BRRR: 937 X: -5.42383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 319 X: 19.9834 +INDEX GOES BRRR: 1017 X: -0.419922 +INDEX GOES BRRR: 205 X: 12.8672 +INDEX GOES BRRR: 111 X: 6.95703 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 89 X: 5.58398 +INDEX GOES BRRR: 117 X: 7.33496 +INDEX GOES BRRR: 48 X: 3.0332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3438 +INDEX GOES BRRR: 28 X: 1.80469 +INDEX GOES BRRR: 191 X: 11.9404 +INDEX GOES BRRR: 219 X: 13.7412 +INDEX GOES BRRR: 215 X: 13.4502 +INDEX GOES BRRR: 162 X: 10.1738 +INDEX GOES BRRR: 222 X: 13.9111 +INDEX GOES BRRR: 136 X: 8.53516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0371 +INDEX GOES BRRR: 111 X: 6.97754 +INDEX GOES BRRR: 167 X: 10.4395 +INDEX GOES BRRR: 950 X: -4.56543 +INDEX GOES BRRR: 370 X: 23.1797 +INDEX GOES BRRR: 896 X: -7.98047 +INDEX GOES BRRR: 130 X: 8.17188 +INDEX GOES BRRR: 174 X: 10.9131 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 930 X: -5.82324 +INDEX GOES BRRR: 53 X: 3.36816 +INDEX GOES BRRR: 299 X: 18.7383 +INDEX GOES BRRR: 294 X: 18.3926 +INDEX GOES BRRR: 1 X: 0.109375 +INDEX GOES BRRR: 79 X: 4.94629 +INDEX GOES BRRR: 327 X: 20.4795 +INDEX GOES BRRR: 807 X: -13.5205 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.8428 +INDEX GOES BRRR: 883 X: -8.75098 +INDEX GOES BRRR: 933 X: -5.67383 +INDEX GOES BRRR: 237 X: 14.8408 +INDEX GOES BRRR: 91 X: 5.7168 +INDEX GOES BRRR: 289 X: 18.0947 +INDEX GOES BRRR: 844 X: -11.1904 +INDEX GOES BRRR: 217 X: 13.5889 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 197 X: 12.3516 +INDEX GOES BRRR: 75 X: 4.69434 +INDEX GOES BRRR: 158 X: 9.8916 +INDEX GOES BRRR: 366 X: 22.9033 +INDEX GOES BRRR: 141 X: 8.81836 +INDEX GOES BRRR: 236 X: 14.7803 +INDEX GOES BRRR: 100 X: 6.27148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 930 X: -5.86621 +INDEX GOES BRRR: 157 X: 9.84473 +INDEX GOES BRRR: 92 X: 5.79492 +INDEX GOES BRRR: 315 X: 19.749 +INDEX GOES BRRR: 253 X: 15.8418 +INDEX GOES BRRR: 196 X: 12.2852 +INDEX GOES BRRR: 356 X: 22.2891 +INDEX GOES BRRR: 169 X: 10.6074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.05273 +INDEX GOES BRRR: 197 X: 12.3691 +INDEX GOES BRRR: 67 X: 4.21289 +INDEX GOES BRRR: 164 X: 10.2744 +INDEX GOES BRRR: 211 X: 13.2012 +INDEX GOES BRRR: 397 X: 24.8174 +INDEX GOES BRRR: 980 X: -2.72266 +INDEX GOES BRRR: 165 X: 10.3564 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.8066 +INDEX GOES BRRR: 259 X: 16.1914 +INDEX GOES BRRR: 785 X: -14.8936 +INDEX GOES BRRR: 168 X: 10.543 +INDEX GOES BRRR: 390 X: 24.3916 +INDEX GOES BRRR: 318 X: 19.9102 +INDEX GOES BRRR: 0 X: 0.0556641 +INDEX GOES BRRR: 269 X: 16.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 925 X: -6.17676 +INDEX GOES BRRR: 150 X: 9.42578 +INDEX GOES BRRR: 994 X: -1.86719 +INDEX GOES BRRR: 136 X: 8.5166 +INDEX GOES BRRR: 56 X: 3.54199 +INDEX GOES BRRR: 131 X: 8.24805 +INDEX GOES BRRR: 880 X: -8.96094 +INDEX GOES BRRR: 98 X: 6.12988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 980 X: -2.73242 +INDEX GOES BRRR: 123 X: 7.69238 +INDEX GOES BRRR: 155 X: 9.72559 +INDEX GOES BRRR: 93 X: 5.8418 +INDEX GOES BRRR: 185 X: 11.5879 +INDEX GOES BRRR: 316 X: 19.7773 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 298 X: 18.6602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9893 +INDEX GOES BRRR: 247 X: 15.4443 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 285 X: 17.8359 +INDEX GOES BRRR: 512 X: -32 +INDEX GOES BRRR: 107 X: 6.69629 +INDEX GOES BRRR: 14 X: 0.911133 +INDEX GOES BRRR: 55 X: 3.49707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 917 X: -6.64844 +INDEX GOES BRRR: 32 X: 2.06152 +INDEX GOES BRRR: 331 X: 20.7324 +INDEX GOES BRRR: 57 X: 3.57812 +INDEX GOES BRRR: 246 X: 15.4199 +INDEX GOES BRRR: 144 X: 9.00781 +INDEX GOES BRRR: 121 X: 7.5752 +INDEX GOES BRRR: 850 X: -10.8594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2568 +INDEX GOES BRRR: 186 X: 11.6748 +INDEX GOES BRRR: 323 X: 20.207 +INDEX GOES BRRR: 157 X: 9.85352 +INDEX GOES BRRR: 119 X: 7.49902 +INDEX GOES BRRR: 975 X: -3.05078 +INDEX GOES BRRR: 27 X: 1.69336 +INDEX GOES BRRR: 11 X: 0.745117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.36426 +INDEX GOES BRRR: 47 X: 2.97559 +INDEX GOES BRRR: 305 X: 19.0869 +INDEX GOES BRRR: 299 X: 18.7178 +INDEX GOES BRRR: 225 X: 14.1035 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 254 X: 15.9141 +INDEX GOES BRRR: 32 X: 2.00977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7139 +INDEX GOES BRRR: 5 X: 0.319336 +INDEX GOES BRRR: 1013 X: -0.663086 +INDEX GOES BRRR: 992 X: -1.97461 +INDEX GOES BRRR: 863 X: -10.0156 +INDEX GOES BRRR: 230 X: 14.4092 +INDEX GOES BRRR: 124 X: 7.78027 +INDEX GOES BRRR: 204 X: 12.7822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.53906 +INDEX GOES BRRR: 204 X: 12.8037 +INDEX GOES BRRR: 69 X: 4.35449 +INDEX GOES BRRR: 177 X: 11.085 +INDEX GOES BRRR: 432 X: 27.042 +INDEX GOES BRRR: 377 X: 23.5742 +INDEX GOES BRRR: 144 X: 9.02637 +INDEX GOES BRRR: 374 X: 23.4033 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.31738 +INDEX GOES BRRR: 969 X: -3.38477 +INDEX GOES BRRR: 316 X: 19.7793 +INDEX GOES BRRR: 416 X: 26.0508 +INDEX GOES BRRR: 960 X: -3.96094 +INDEX GOES BRRR: 381 X: 23.8613 +INDEX GOES BRRR: 137 X: 8.6084 +INDEX GOES BRRR: 307 X: 19.2236 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.68262 +INDEX GOES BRRR: 27 X: 1.70605 +INDEX GOES BRRR: 199 X: 12.4824 +INDEX GOES BRRR: 182 X: 11.3936 +INDEX GOES BRRR: 434 X: 27.127 +INDEX GOES BRRR: 223 X: 13.9727 +INDEX GOES BRRR: 103 X: 6.45117 +INDEX GOES BRRR: 299 X: 18.7314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.7734 +INDEX GOES BRRR: 77 X: 4.82031 +INDEX GOES BRRR: 12 X: 0.786133 +INDEX GOES BRRR: 328 X: 20.5029 +INDEX GOES BRRR: 199 X: 12.4746 +INDEX GOES BRRR: 384 X: 24.0537 +INDEX GOES BRRR: 2 X: 0.160156 +INDEX GOES BRRR: 82 X: 5.13672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.51367 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 72 X: 4.52832 +INDEX GOES BRRR: 156 X: 9.77637 +INDEX GOES BRRR: 377 X: 23.5732 +INDEX GOES BRRR: 228 X: 14.2705 +INDEX GOES BRRR: 229 X: 14.3545 +INDEX GOES BRRR: 11 X: 0.713867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 396 X: 24.752 +INDEX GOES BRRR: 88 X: 5.52539 +INDEX GOES BRRR: 230 X: 14.4131 +INDEX GOES BRRR: 207 X: 12.9814 +INDEX GOES BRRR: 92 X: 5.75684 +INDEX GOES BRRR: 415 X: 25.9707 +INDEX GOES BRRR: 212 X: 13.2734 +INDEX GOES BRRR: 122 X: 7.62695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.13867 +INDEX GOES BRRR: 137 X: 8.59668 +INDEX GOES BRRR: 868 X: -9.70996 +INDEX GOES BRRR: 167 X: 10.457 +INDEX GOES BRRR: 402 X: 25.1338 +INDEX GOES BRRR: 150 X: 9.42676 +INDEX GOES BRRR: 169 X: 10.5879 +INDEX GOES BRRR: 252 X: 15.7881 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0518 +INDEX GOES BRRR: 304 X: 19.0508 +INDEX GOES BRRR: 139 X: 8.69043 +INDEX GOES BRRR: 954 X: -4.34961 +INDEX GOES BRRR: 1021 X: -0.154297 +INDEX GOES BRRR: 269 X: 16.8535 +INDEX GOES BRRR: 142 X: 8.92773 +INDEX GOES BRRR: 109 X: 6.81934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 880 X: -8.98535 +INDEX GOES BRRR: 847 X: -11.0469 +INDEX GOES BRRR: 184 X: 11.5078 +INDEX GOES BRRR: 29 X: 1.83984 +INDEX GOES BRRR: 210 X: 13.1738 +INDEX GOES BRRR: 361 X: 22.6182 +INDEX GOES BRRR: 216 X: 13.5342 +INDEX GOES BRRR: 333 X: 20.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 335 X: 20.9551 +INDEX GOES BRRR: 231 X: 14.4883 +INDEX GOES BRRR: 182 X: 11.3984 +INDEX GOES BRRR: 77 X: 4.8418 +INDEX GOES BRRR: 168 X: 10.5459 +INDEX GOES BRRR: 324 X: 20.2559 +INDEX GOES BRRR: 170 X: 10.6699 +INDEX GOES BRRR: 179 X: 11.1885 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 13 X: 0.842773 +INDEX GOES BRRR: 345 X: 21.6113 +INDEX GOES BRRR: 806 X: -13.6182 +INDEX GOES BRRR: 255 X: 15.9609 +INDEX GOES BRRR: 120 X: 7.53613 +INDEX GOES BRRR: 1021 X: -0.144531 +INDEX GOES BRRR: 467 X: 29.2334 +INDEX GOES BRRR: 232 X: 14.5488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.54492 +INDEX GOES BRRR: 52 X: 3.28809 +INDEX GOES BRRR: 280 X: 17.54 +INDEX GOES BRRR: 666 X: -22.3389 +INDEX GOES BRRR: 275 X: 17.2422 +INDEX GOES BRRR: 349 X: 21.8203 +INDEX GOES BRRR: 217 X: 13.5879 +INDEX GOES BRRR: 53 X: 3.35547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 994 X: -1.86621 +INDEX GOES BRRR: 183 X: 11.4385 +INDEX GOES BRRR: 916 X: -6.74023 +INDEX GOES BRRR: 62 X: 3.88477 +INDEX GOES BRRR: 473 X: 29.583 +INDEX GOES BRRR: 378 X: 23.626 +INDEX GOES BRRR: 208 X: 13.0176 +INDEX GOES BRRR: 268 X: 16.7588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.5918 +INDEX GOES BRRR: 329 X: 20.6162 +INDEX GOES BRRR: 125 X: 7.86523 +INDEX GOES BRRR: 8 X: 0.549805 +INDEX GOES BRRR: 192 X: 12.0029 +INDEX GOES BRRR: 416 X: 26.0537 +INDEX GOES BRRR: 249 X: 15.5947 +INDEX GOES BRRR: 201 X: 12.5938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 434 X: 27.167 +INDEX GOES BRRR: 208 X: 13.0391 +INDEX GOES BRRR: 280 X: 17.5254 +INDEX GOES BRRR: 37 X: 2.36914 +INDEX GOES BRRR: 882 X: -8.81738 +INDEX GOES BRRR: 177 X: 11.0674 +INDEX GOES BRRR: 304 X: 19.0254 +INDEX GOES BRRR: 334 X: 20.9072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.168 +INDEX GOES BRRR: 329 X: 20.6162 +INDEX GOES BRRR: 325 X: 20.374 +INDEX GOES BRRR: 230 X: 14.4199 +INDEX GOES BRRR: 377 X: 23.6201 +INDEX GOES BRRR: 123 X: 7.74512 +INDEX GOES BRRR: 339 X: 21.2148 +INDEX GOES BRRR: 848 X: -10.9561 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.6543 +INDEX GOES BRRR: 252 X: 15.8105 +INDEX GOES BRRR: 323 X: 20.1875 +INDEX GOES BRRR: 160 X: 10.0205 +INDEX GOES BRRR: 102 X: 6.37988 +INDEX GOES BRRR: 253 X: 15.8408 +INDEX GOES BRRR: 198 X: 12.3975 +INDEX GOES BRRR: 1023 X: -0.0175781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.94727 +INDEX GOES BRRR: 107 X: 6.71484 +INDEX GOES BRRR: 189 X: 11.8467 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1 X: 0.107422 +INDEX GOES BRRR: 81 X: 5.10059 +INDEX GOES BRRR: 61 X: 3.82422 +INDEX GOES BRRR: 898 X: -7.87109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.568359 +INDEX GOES BRRR: 994 X: -1.83105 +INDEX GOES BRRR: 882 X: -8.83984 +INDEX GOES BRRR: 310 X: 19.3799 +INDEX GOES BRRR: 206 X: 12.9336 +INDEX GOES BRRR: 867 X: -9.7998 +INDEX GOES BRRR: 322 X: 20.1709 +INDEX GOES BRRR: 124 X: 7.80371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.24316 +INDEX GOES BRRR: 200 X: 12.5186 +INDEX GOES BRRR: 21 X: 1.33203 +INDEX GOES BRRR: 216 X: 13.5098 +INDEX GOES BRRR: 194 X: 12.1768 +INDEX GOES BRRR: 95 X: 5.98438 +INDEX GOES BRRR: 232 X: 14.5586 +INDEX GOES BRRR: 769 X: -15.9297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 990 X: -2.07812 +INDEX GOES BRRR: 103 X: 6.49902 +INDEX GOES BRRR: 129 X: 8.08887 +INDEX GOES BRRR: 982 X: -2.60449 +INDEX GOES BRRR: 28 X: 1.80176 +INDEX GOES BRRR: 170 X: 10.6348 +INDEX GOES BRRR: 93 X: 5.87012 +INDEX GOES BRRR: 212 X: 13.251 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 919 X: -6.50391 +INDEX GOES BRRR: 492 X: 30.7607 +INDEX GOES BRRR: 765 X: -16.1396 +INDEX GOES BRRR: 82 X: 5.15918 +INDEX GOES BRRR: 149 X: 9.33398 +INDEX GOES BRRR: 72 X: 4.52734 +INDEX GOES BRRR: 123 X: 7.72363 +INDEX GOES BRRR: 877 X: -9.17578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 310 X: 19.4043 +INDEX GOES BRRR: 66 X: 4.12598 +INDEX GOES BRRR: 376 X: 23.5488 +INDEX GOES BRRR: 123 X: 7.70215 +INDEX GOES BRRR: 899 X: -7.78223 +INDEX GOES BRRR: 251 X: 15.6982 +INDEX GOES BRRR: 19 X: 1.24707 +INDEX GOES BRRR: 467 X: 29.1914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.8584 +INDEX GOES BRRR: 997 X: -1.67871 +INDEX GOES BRRR: 173 X: 10.8701 +INDEX GOES BRRR: 97 X: 6.08301 +INDEX GOES BRRR: 87 X: 5.4502 +INDEX GOES BRRR: 277 X: 17.3506 +INDEX GOES BRRR: 1014 X: -0.567383 +INDEX GOES BRRR: 7 X: 0.446289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.2441 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 248 X: 15.5557 +INDEX GOES BRRR: 253 X: 15.8682 +INDEX GOES BRRR: 191 X: 11.9531 +INDEX GOES BRRR: 196 X: 12.291 +INDEX GOES BRRR: 386 X: 24.1836 +INDEX GOES BRRR: 63 X: 3.96289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.6924 +INDEX GOES BRRR: 160 X: 10.0605 +INDEX GOES BRRR: 373 X: 23.3457 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 157 X: 9.87207 +INDEX GOES BRRR: 971 X: -3.30664 +INDEX GOES BRRR: 199 X: 12.4668 +INDEX GOES BRRR: 362 X: 22.6709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 342 X: 21.4141 +INDEX GOES BRRR: 990 X: -2.1084 +INDEX GOES BRRR: 53 X: 3.37207 +INDEX GOES BRRR: 246 X: 15.3877 +INDEX GOES BRRR: 352 X: 22.0332 +INDEX GOES BRRR: 227 X: 14.2422 +INDEX GOES BRRR: 340 X: 21.2881 +INDEX GOES BRRR: 947 X: -4.7998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.52441 +INDEX GOES BRRR: 372 X: 23.291 +INDEX GOES BRRR: 88 X: 5.50684 +INDEX GOES BRRR: 286 X: 17.9365 +INDEX GOES BRRR: 156 X: 9.76074 +INDEX GOES BRRR: 92 X: 5.76367 +INDEX GOES BRRR: 179 X: 11.2236 +INDEX GOES BRRR: 151 X: 9.49512 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 31 X: 1.97852 +INDEX GOES BRRR: 324 X: 20.2881 +INDEX GOES BRRR: 322 X: 20.1533 +INDEX GOES BRRR: 221 X: 13.8672 +INDEX GOES BRRR: 82 X: 5.17188 +INDEX GOES BRRR: 242 X: 15.1514 +INDEX GOES BRRR: 138 X: 8.66992 +INDEX GOES BRRR: 16 X: 1.04004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 417 X: 26.0869 +INDEX GOES BRRR: 121 X: 7.61621 +INDEX GOES BRRR: 231 X: 14.4492 +INDEX GOES BRRR: 305 X: 19.1162 +INDEX GOES BRRR: 86 X: 5.40332 +INDEX GOES BRRR: 983 X: -2.50391 +INDEX GOES BRRR: 47 X: 2.97852 +INDEX GOES BRRR: 109 X: 6.82812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.16992 +INDEX GOES BRRR: 246 X: 15.4238 +INDEX GOES BRRR: 104 X: 6.55371 +INDEX GOES BRRR: 241 X: 15.1016 +INDEX GOES BRRR: 110 X: 6.88867 +INDEX GOES BRRR: 126 X: 7.92871 +INDEX GOES BRRR: 9 X: 0.592773 +INDEX GOES BRRR: 373 X: 23.3672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.52051 +INDEX GOES BRRR: 1016 X: -0.455078 +INDEX GOES BRRR: 367 X: 22.9805 +INDEX GOES BRRR: 198 X: 12.3896 +INDEX GOES BRRR: 172 X: 10.7578 +INDEX GOES BRRR: 253 X: 15.8174 +INDEX GOES BRRR: 281 X: 17.6045 +INDEX GOES BRRR: 490 X: 30.668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.30957 +INDEX GOES BRRR: 98 X: 6.1709 +INDEX GOES BRRR: 431 X: 26.9648 +INDEX GOES BRRR: 907 X: -7.29883 +INDEX GOES BRRR: 246 X: 15.4004 +INDEX GOES BRRR: 167 X: 10.4961 +INDEX GOES BRRR: 233 X: 14.5967 +INDEX GOES BRRR: 415 X: 25.9414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.99902 +INDEX GOES BRRR: 18 X: 1.17676 +INDEX GOES BRRR: 178 X: 11.1309 +INDEX GOES BRRR: 191 X: 11.958 +INDEX GOES BRRR: 3 X: 0.189453 +INDEX GOES BRRR: 22 X: 1.4248 +INDEX GOES BRRR: 239 X: 14.9912 +INDEX GOES BRRR: 170 X: 10.6289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.86914 +INDEX GOES BRRR: 145 X: 9.11621 +INDEX GOES BRRR: 207 X: 12.9629 +INDEX GOES BRRR: 445 X: 27.8125 +INDEX GOES BRRR: 82 X: 5.13965 +INDEX GOES BRRR: 79 X: 4.97559 +INDEX GOES BRRR: 336 X: 21.0068 +INDEX GOES BRRR: 179 X: 11.1875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.89746 +INDEX GOES BRRR: 106 X: 6.6582 +INDEX GOES BRRR: 235 X: 14.7002 +INDEX GOES BRRR: 341 X: 21.3301 +INDEX GOES BRRR: 1001 X: -1.40918 +INDEX GOES BRRR: 300 X: 18.7969 +INDEX GOES BRRR: 187 X: 11.7393 +INDEX GOES BRRR: 1017 X: -0.422852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8125 +INDEX GOES BRRR: 343 X: 21.4834 +INDEX GOES BRRR: 197 X: 12.3516 +INDEX GOES BRRR: 269 X: 16.833 +INDEX GOES BRRR: 303 X: 18.9482 +INDEX GOES BRRR: 726 X: -18.6123 +INDEX GOES BRRR: 998 X: -1.60156 +INDEX GOES BRRR: 81 X: 5.10059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 411 X: 25.7012 +INDEX GOES BRRR: 435 X: 27.2158 +INDEX GOES BRRR: 112 X: 7.00684 +INDEX GOES BRRR: 179 X: 11.2139 +INDEX GOES BRRR: 30 X: 1.92676 +INDEX GOES BRRR: 277 X: 17.3213 +INDEX GOES BRRR: 192 X: 12.0303 +INDEX GOES BRRR: 142 X: 8.91797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.46484 +INDEX GOES BRRR: 162 X: 10.1455 +INDEX GOES BRRR: 41 X: 2.58594 +INDEX GOES BRRR: 71 X: 4.49707 +INDEX GOES BRRR: 14 X: 0.895508 +INDEX GOES BRRR: 175 X: 10.9658 +INDEX GOES BRRR: 356 X: 22.3027 +INDEX GOES BRRR: 182 X: 11.415 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 974 X: -3.11133 +INDEX GOES BRRR: 344 X: 21.5078 +INDEX GOES BRRR: 891 X: -8.28223 +INDEX GOES BRRR: 280 X: 17.5283 +INDEX GOES BRRR: 823 X: -12.541 +INDEX GOES BRRR: 284 X: 17.793 +INDEX GOES BRRR: 64 X: 4.05469 +INDEX GOES BRRR: 390 X: 24.4072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 998 X: -1.60156 +INDEX GOES BRRR: 978 X: -2.85645 +INDEX GOES BRRR: 815 X: -13.0137 +INDEX GOES BRRR: 356 X: 22.2715 +INDEX GOES BRRR: 163 X: 10.2139 +INDEX GOES BRRR: 72 X: 4.53711 +INDEX GOES BRRR: 347 X: 21.7393 +INDEX GOES BRRR: 91 X: 5.74023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 385 X: 24.0635 +INDEX GOES BRRR: 337 X: 21.0938 +INDEX GOES BRRR: 182 X: 11.4209 +INDEX GOES BRRR: 942 X: -5.08398 +INDEX GOES BRRR: 823 X: -12.5459 +INDEX GOES BRRR: 23 X: 1.49609 +INDEX GOES BRRR: 1 X: 0.078125 +INDEX GOES BRRR: 165 X: 10.3711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.29395 +INDEX GOES BRRR: 382 X: 23.9277 +INDEX GOES BRRR: 208 X: 13.0352 +INDEX GOES BRRR: 206 X: 12.8916 +INDEX GOES BRRR: 74 X: 4.68652 +INDEX GOES BRRR: 990 X: -2.10645 +INDEX GOES BRRR: 267 X: 16.7324 +INDEX GOES BRRR: 201 X: 12.5938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.65723 +INDEX GOES BRRR: 212 X: 13.2939 +INDEX GOES BRRR: 226 X: 14.1426 +INDEX GOES BRRR: 353 X: 22.1162 +INDEX GOES BRRR: 917 X: -6.68262 +INDEX GOES BRRR: 64 X: 4.05273 +INDEX GOES BRRR: 153 X: 9.59277 +INDEX GOES BRRR: 186 X: 11.625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7051 +INDEX GOES BRRR: 325 X: 20.3438 +INDEX GOES BRRR: 325 X: 20.373 +INDEX GOES BRRR: 948 X: -4.73438 +INDEX GOES BRRR: 68 X: 4.25195 +INDEX GOES BRRR: 285 X: 17.8125 +INDEX GOES BRRR: 46 X: 2.89941 +INDEX GOES BRRR: 276 X: 17.2627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.11328 +INDEX GOES BRRR: 157 X: 9.81836 +INDEX GOES BRRR: 195 X: 12.1982 +INDEX GOES BRRR: 272 X: 17.001 +INDEX GOES BRRR: 138 X: 8.65625 +INDEX GOES BRRR: 159 X: 9.95117 +INDEX GOES BRRR: 48 X: 3.05762 +INDEX GOES BRRR: 247 X: 15.4609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 495 X: 30.9629 +INDEX GOES BRRR: 341 X: 21.373 +INDEX GOES BRRR: 15 X: 0.951172 +INDEX GOES BRRR: 126 X: 7.87891 +INDEX GOES BRRR: 883 X: -8.78125 +INDEX GOES BRRR: 260 X: 16.25 +INDEX GOES BRRR: 58 X: 3.67188 +INDEX GOES BRRR: 942 X: -5.11816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.765625 +INDEX GOES BRRR: 158 X: 9.90625 +INDEX GOES BRRR: 127 X: 7.96875 +INDEX GOES BRRR: 355 X: 22.207 +INDEX GOES BRRR: 184 X: 11.5225 +INDEX GOES BRRR: 305 X: 19.0742 +INDEX GOES BRRR: 130 X: 8.14551 +INDEX GOES BRRR: 205 X: 12.8398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.12109 +INDEX GOES BRRR: 51 X: 3.24512 +INDEX GOES BRRR: 54 X: 3.40625 +INDEX GOES BRRR: 190 X: 11.9336 +INDEX GOES BRRR: 246 X: 15.4023 +INDEX GOES BRRR: 149 X: 9.34961 +INDEX GOES BRRR: 307 X: 19.2275 +INDEX GOES BRRR: 236 X: 14.7754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.16309 +INDEX GOES BRRR: 13 X: 0.850586 +INDEX GOES BRRR: 252 X: 15.7871 +INDEX GOES BRRR: 379 X: 23.7373 +INDEX GOES BRRR: 123 X: 7.69434 +INDEX GOES BRRR: 359 X: 22.4453 +INDEX GOES BRRR: 199 X: 12.4648 +INDEX GOES BRRR: 167 X: 10.4434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9658 +INDEX GOES BRRR: 189 X: 11.8545 +INDEX GOES BRRR: 947 X: -4.78809 +INDEX GOES BRRR: 380 X: 23.8115 +INDEX GOES BRRR: 37 X: 2.31836 +INDEX GOES BRRR: 261 X: 16.3311 +INDEX GOES BRRR: 0 X: 0.0400391 +INDEX GOES BRRR: 1000 X: -1.48926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 922 X: -6.33594 +INDEX GOES BRRR: 1002 X: -1.36914 +INDEX GOES BRRR: 33 X: 2.09277 +INDEX GOES BRRR: 232 X: 14.5049 +INDEX GOES BRRR: 348 X: 21.8076 +INDEX GOES BRRR: 993 X: -1.87598 +INDEX GOES BRRR: 438 X: 27.3906 +INDEX GOES BRRR: 147 X: 9.2373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.875 +INDEX GOES BRRR: 973 X: -3.16309 +INDEX GOES BRRR: 109 X: 6.85352 +INDEX GOES BRRR: 320 X: 20.0137 +INDEX GOES BRRR: 65 X: 4.07422 +INDEX GOES BRRR: 204 X: 12.7812 +INDEX GOES BRRR: 310 X: 19.3838 +INDEX GOES BRRR: 981 X: -2.64355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.929688 +INDEX GOES BRRR: 101 X: 6.35645 +INDEX GOES BRRR: 179 X: 11.2334 +INDEX GOES BRRR: 182 X: 11.3848 +INDEX GOES BRRR: 142 X: 8.92285 +INDEX GOES BRRR: 109 X: 6.8252 +INDEX GOES BRRR: 941 X: -5.18457 +INDEX GOES BRRR: 218 X: 13.6289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.5654 +INDEX GOES BRRR: 238 X: 14.8789 +INDEX GOES BRRR: 259 X: 16.2178 +INDEX GOES BRRR: 288 X: 18.0029 +INDEX GOES BRRR: 137 X: 8.59961 +INDEX GOES BRRR: 107 X: 6.71875 +INDEX GOES BRRR: 25 X: 1.56348 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 958 X: -4.07422 +INDEX GOES BRRR: 77 X: 4.84668 +INDEX GOES BRRR: 286 X: 17.9121 +INDEX GOES BRRR: 139 X: 8.69629 +INDEX GOES BRRR: 932 X: -5.68945 +INDEX GOES BRRR: 265 X: 16.6182 +INDEX GOES BRRR: 370 X: 23.1689 +INDEX GOES BRRR: 988 X: -2.20117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.84668 +INDEX GOES BRRR: 272 X: 17.0088 +INDEX GOES BRRR: 293 X: 18.3125 +INDEX GOES BRRR: 203 X: 12.7441 +INDEX GOES BRRR: 440 X: 27.5303 +INDEX GOES BRRR: 958 X: -4.10352 +INDEX GOES BRRR: 138 X: 8.63086 +INDEX GOES BRRR: 232 X: 14.5576 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.1475 +INDEX GOES BRRR: 256 X: 16.0332 +INDEX GOES BRRR: 182 X: 11.3848 +INDEX GOES BRRR: 174 X: 10.9092 +INDEX GOES BRRR: 73 X: 4.58691 +INDEX GOES BRRR: 43 X: 2.70215 +INDEX GOES BRRR: 41 X: 2.57715 +INDEX GOES BRRR: 94 X: 5.8877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.0625 +INDEX GOES BRRR: 332 X: 20.7988 +INDEX GOES BRRR: 205 X: 12.8262 +INDEX GOES BRRR: 212 X: 13.2725 +INDEX GOES BRRR: 269 X: 16.8467 +INDEX GOES BRRR: 133 X: 8.33887 +INDEX GOES BRRR: 267 X: 16.7461 +INDEX GOES BRRR: 64 X: 4.01758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.6133 +INDEX GOES BRRR: 56 X: 3.50781 +INDEX GOES BRRR: 978 X: -2.875 +INDEX GOES BRRR: 99 X: 6.20801 +INDEX GOES BRRR: 74 X: 4.6377 +INDEX GOES BRRR: 240 X: 15.0303 +INDEX GOES BRRR: 340 X: 21.2559 +INDEX GOES BRRR: 144 X: 9.0332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 334 X: 20.8877 +INDEX GOES BRRR: 15 X: 0.967773 +INDEX GOES BRRR: 3 X: 0.212891 +INDEX GOES BRRR: 979 X: -2.79785 +INDEX GOES BRRR: 108 X: 6.79102 +INDEX GOES BRRR: 382 X: 23.9248 +INDEX GOES BRRR: 251 X: 15.6953 +INDEX GOES BRRR: 280 X: 17.5254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.78125 +INDEX GOES BRRR: 106 X: 6.64062 +INDEX GOES BRRR: 115 X: 7.22852 +INDEX GOES BRRR: 7 X: 0.499023 +INDEX GOES BRRR: 37 X: 2.31641 +INDEX GOES BRRR: 827 X: -12.2949 +INDEX GOES BRRR: 943 X: -5.03027 +INDEX GOES BRRR: 324 X: 20.2881 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1012 X: -0.724609 +INDEX GOES BRRR: 351 X: 21.9697 +INDEX GOES BRRR: 461 X: 28.8252 +INDEX GOES BRRR: 180 X: 11.2773 +INDEX GOES BRRR: 191 X: 11.9561 +INDEX GOES BRRR: 958 X: -4.06738 +INDEX GOES BRRR: 164 X: 10.3086 +INDEX GOES BRRR: 933 X: -5.63379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.72852 +INDEX GOES BRRR: 36 X: 2.28027 +INDEX GOES BRRR: 142 X: 8.9082 +INDEX GOES BRRR: 310 X: 19.3799 +INDEX GOES BRRR: 172 X: 10.7607 +INDEX GOES BRRR: 963 X: -3.78223 +INDEX GOES BRRR: 419 X: 26.2363 +INDEX GOES BRRR: 433 X: 27.0645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7988 +INDEX GOES BRRR: 293 X: 18.3203 +INDEX GOES BRRR: 247 X: 15.4668 +INDEX GOES BRRR: 223 X: 13.9785 +INDEX GOES BRRR: 265 X: 16.6016 +INDEX GOES BRRR: 399 X: 24.9766 +INDEX GOES BRRR: 1019 X: -0.254883 +INDEX GOES BRRR: 1002 X: -1.35449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.90625 +INDEX GOES BRRR: 70 X: 4.41113 +INDEX GOES BRRR: 170 X: 10.6768 +INDEX GOES BRRR: 444 X: 27.7832 +INDEX GOES BRRR: 464 X: 29.0479 +INDEX GOES BRRR: 796 X: -14.2383 +INDEX GOES BRRR: 192 X: 12.0234 +INDEX GOES BRRR: 34 X: 2.15039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6602 +INDEX GOES BRRR: 150 X: 9.375 +INDEX GOES BRRR: 97 X: 6.1084 +INDEX GOES BRRR: 11 X: 0.688477 +INDEX GOES BRRR: 149 X: 9.32715 +INDEX GOES BRRR: 150 X: 9.40234 +INDEX GOES BRRR: 487 X: 30.4492 +INDEX GOES BRRR: 101 X: 6.36816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.94434 +INDEX GOES BRRR: 127 X: 7.96875 +INDEX GOES BRRR: 186 X: 11.6562 +INDEX GOES BRRR: 160 X: 10.0391 +INDEX GOES BRRR: 344 X: 21.5039 +INDEX GOES BRRR: 929 X: -5.9209 +INDEX GOES BRRR: 302 X: 18.8965 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0088 +INDEX GOES BRRR: 204 X: 12.7793 +INDEX GOES BRRR: 138 X: 8.65723 +INDEX GOES BRRR: 1008 X: -0.967773 +INDEX GOES BRRR: 383 X: 23.9688 +INDEX GOES BRRR: 1 X: 0.0673828 +INDEX GOES BRRR: 128 X: 8.00977 +INDEX GOES BRRR: 124 X: 7.77441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1 X: 0.0634766 +INDEX GOES BRRR: 919 X: -6.52051 +INDEX GOES BRRR: 243 X: 15.2207 +INDEX GOES BRRR: 984 X: -2.45117 +INDEX GOES BRRR: 13 X: 0.870117 +INDEX GOES BRRR: 178 X: 11.1338 +INDEX GOES BRRR: 113 X: 7.08105 +INDEX GOES BRRR: 975 X: -3.00684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9736 +INDEX GOES BRRR: 293 X: 18.3682 +INDEX GOES BRRR: 125 X: 7.82227 +INDEX GOES BRRR: 91 X: 5.70508 +INDEX GOES BRRR: 129 X: 8.09863 +INDEX GOES BRRR: 422 X: 26.415 +INDEX GOES BRRR: 979 X: -2.78125 +INDEX GOES BRRR: 45 X: 2.84863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6523 +INDEX GOES BRRR: 381 X: 23.8242 +INDEX GOES BRRR: 47 X: 2.93848 +INDEX GOES BRRR: 222 X: 13.9043 +INDEX GOES BRRR: 81 X: 5.09863 +INDEX GOES BRRR: 264 X: 16.5244 +INDEX GOES BRRR: 354 X: 22.1709 +INDEX GOES BRRR: 730 X: -18.3584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4326 +INDEX GOES BRRR: 240 X: 15.0264 +INDEX GOES BRRR: 295 X: 18.4785 +INDEX GOES BRRR: 257 X: 16.0762 +INDEX GOES BRRR: 258 X: 16.1641 +INDEX GOES BRRR: 417 X: 26.0908 +INDEX GOES BRRR: 993 X: -1.89258 +INDEX GOES BRRR: 353 X: 22.1025 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.68555 +INDEX GOES BRRR: 395 X: 24.7227 +INDEX GOES BRRR: 23 X: 1.49023 +INDEX GOES BRRR: 30 X: 1.89941 +INDEX GOES BRRR: 117 X: 7.33594 +INDEX GOES BRRR: 922 X: -6.33594 +INDEX GOES BRRR: 29 X: 1.8623 +INDEX GOES BRRR: 43 X: 2.7168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 385 X: 24.0723 +INDEX GOES BRRR: 299 X: 18.7051 +INDEX GOES BRRR: 78 X: 4.88574 +INDEX GOES BRRR: 221 X: 13.8496 +INDEX GOES BRRR: 90 X: 5.65918 +INDEX GOES BRRR: 223 X: 13.9541 +INDEX GOES BRRR: 248 X: 15.5469 +INDEX GOES BRRR: 91 X: 5.73242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 914 X: -6.84766 +INDEX GOES BRRR: 226 X: 14.1729 +INDEX GOES BRRR: 9 X: 0.615234 +INDEX GOES BRRR: 973 X: -3.14551 +INDEX GOES BRRR: 15 X: 0.999023 +INDEX GOES BRRR: 217 X: 13.584 +INDEX GOES BRRR: 234 X: 14.6846 +INDEX GOES BRRR: 238 X: 14.8896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.1875 +INDEX GOES BRRR: 983 X: -2.55566 +INDEX GOES BRRR: 225 X: 14.0898 +INDEX GOES BRRR: 254 X: 15.9277 +INDEX GOES BRRR: 331 X: 20.6875 +INDEX GOES BRRR: 163 X: 10.2129 +INDEX GOES BRRR: 48 X: 3.02734 +INDEX GOES BRRR: 91 X: 5.74023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.54688 +INDEX GOES BRRR: 139 X: 8.70508 +INDEX GOES BRRR: 102 X: 6.41602 +INDEX GOES BRRR: 1016 X: -0.479492 +INDEX GOES BRRR: 80 X: 5.02148 +INDEX GOES BRRR: 262 X: 16.4336 +INDEX GOES BRRR: 407 X: 25.459 +INDEX GOES BRRR: 159 X: 9.96484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.30566 +INDEX GOES BRRR: 284 X: 17.7871 +INDEX GOES BRRR: 185 X: 11.5977 +INDEX GOES BRRR: 128 X: 8.05371 +INDEX GOES BRRR: 442 X: 27.6592 +INDEX GOES BRRR: 170 X: 10.6748 +INDEX GOES BRRR: 785 X: -14.9248 +INDEX GOES BRRR: 998 X: -1.62109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.2236 +INDEX GOES BRRR: 10 X: 0.644531 +INDEX GOES BRRR: 79 X: 4.9375 +INDEX GOES BRRR: 1009 X: -0.895508 +INDEX GOES BRRR: 399 X: 24.9619 +INDEX GOES BRRR: 203 X: 12.7412 +INDEX GOES BRRR: 961 X: -3.92383 +INDEX GOES BRRR: 93 X: 5.83984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.1084 +INDEX GOES BRRR: 194 X: 12.1553 +INDEX GOES BRRR: 154 X: 9.67285 +INDEX GOES BRRR: 145 X: 9.10938 +INDEX GOES BRRR: 328 X: 20.5078 +INDEX GOES BRRR: 68 X: 4.28223 +INDEX GOES BRRR: 0 X: 0.0517578 +INDEX GOES BRRR: 332 X: 20.8027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8555 +INDEX GOES BRRR: 194 X: 12.1562 +INDEX GOES BRRR: 254 X: 15.8984 +INDEX GOES BRRR: 85 X: 5.33594 +INDEX GOES BRRR: 156 X: 9.75879 +INDEX GOES BRRR: 186 X: 11.6387 +INDEX GOES BRRR: 181 X: 11.3301 +INDEX GOES BRRR: 268 X: 16.7773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.602539 +INDEX GOES BRRR: 304 X: 19.0225 +INDEX GOES BRRR: 45 X: 2.84668 +INDEX GOES BRRR: 148 X: 9.26758 +INDEX GOES BRRR: 152 X: 9.52734 +INDEX GOES BRRR: 803 X: -13.7881 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 217 X: 13.5918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.69727 +INDEX GOES BRRR: 206 X: 12.8789 +INDEX GOES BRRR: 171 X: 10.7139 +INDEX GOES BRRR: 924 X: -6.21973 +INDEX GOES BRRR: 188 X: 11.7861 +INDEX GOES BRRR: 996 X: -1.70312 +INDEX GOES BRRR: 79 X: 4.95703 +INDEX GOES BRRR: 49 X: 3.06348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5879 +INDEX GOES BRRR: 103 X: 6.49707 +INDEX GOES BRRR: 129 X: 8.11816 +INDEX GOES BRRR: 103 X: 6.43848 +INDEX GOES BRRR: 347 X: 21.7334 +INDEX GOES BRRR: 181 X: 11.3359 +INDEX GOES BRRR: 149 X: 9.37207 +INDEX GOES BRRR: 50 X: 3.15039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6387 +INDEX GOES BRRR: 83 X: 5.20215 +INDEX GOES BRRR: 981 X: -2.68359 +INDEX GOES BRRR: 296 X: 18.5254 +INDEX GOES BRRR: 29 X: 1.83887 +INDEX GOES BRRR: 1012 X: -0.711914 +INDEX GOES BRRR: 383 X: 23.9375 +INDEX GOES BRRR: 137 X: 8.56836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7344 +INDEX GOES BRRR: 168 X: 10.5049 +INDEX GOES BRRR: 61 X: 3.85059 +INDEX GOES BRRR: 274 X: 17.1338 +INDEX GOES BRRR: 118 X: 7.41309 +INDEX GOES BRRR: 147 X: 9.22852 +INDEX GOES BRRR: 194 X: 12.1523 +INDEX GOES BRRR: 236 X: 14.8066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2314 +INDEX GOES BRRR: 105 X: 6.62207 +INDEX GOES BRRR: 204 X: 12.8037 +INDEX GOES BRRR: 198 X: 12.4023 +INDEX GOES BRRR: 87 X: 5.45801 +INDEX GOES BRRR: 1004 X: -1.21094 +INDEX GOES BRRR: 1012 X: -0.704102 +INDEX GOES BRRR: 136 X: 8.50879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.3018 +INDEX GOES BRRR: 222 X: 13.9238 +INDEX GOES BRRR: 106 X: 6.6543 +INDEX GOES BRRR: 234 X: 14.6504 +INDEX GOES BRRR: 984 X: -2.44922 +INDEX GOES BRRR: 179 X: 11.2334 +INDEX GOES BRRR: 277 X: 17.3574 +INDEX GOES BRRR: 957 X: -4.13867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 877 X: -9.14746 +INDEX GOES BRRR: 166 X: 10.4004 +INDEX GOES BRRR: 96 X: 6.04297 +INDEX GOES BRRR: 340 X: 21.2754 +INDEX GOES BRRR: 70 X: 4.43555 +INDEX GOES BRRR: 970 X: -3.32227 +INDEX GOES BRRR: 377 X: 23.5742 +INDEX GOES BRRR: 140 X: 8.80957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0107 +INDEX GOES BRRR: 138 X: 8.65625 +INDEX GOES BRRR: 917 X: -6.65332 +INDEX GOES BRRR: 982 X: -2.56934 +INDEX GOES BRRR: 349 X: 21.8281 +INDEX GOES BRRR: 292 X: 18.2793 +INDEX GOES BRRR: 257 X: 16.1045 +INDEX GOES BRRR: 106 X: 6.65723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 824 X: -12.4961 +INDEX GOES BRRR: 401 X: 25.0645 +INDEX GOES BRRR: 326 X: 20.4014 +INDEX GOES BRRR: 218 X: 13.6641 +INDEX GOES BRRR: 167 X: 10.4453 +INDEX GOES BRRR: 170 X: 10.6699 +INDEX GOES BRRR: 63 X: 3.97266 +INDEX GOES BRRR: 77 X: 4.86914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.03711 +INDEX GOES BRRR: 905 X: -7.38477 +INDEX GOES BRRR: 39 X: 2.45898 +INDEX GOES BRRR: 887 X: -8.53223 +INDEX GOES BRRR: 34 X: 2.13574 +INDEX GOES BRRR: 184 X: 11.5068 +INDEX GOES BRRR: 371 X: 23.2207 +INDEX GOES BRRR: 365 X: 22.8555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.2598 +INDEX GOES BRRR: 257 X: 16.0928 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 114 X: 7.17285 +INDEX GOES BRRR: 287 X: 17.9785 +INDEX GOES BRRR: 407 X: 25.4395 +INDEX GOES BRRR: 53 X: 3.34277 +INDEX GOES BRRR: 98 X: 6.17285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 63 X: 3.94727 +INDEX GOES BRRR: 200 X: 12.541 +INDEX GOES BRRR: 421 X: 26.3271 +INDEX GOES BRRR: 103 X: 6.49707 +INDEX GOES BRRR: 290 X: 18.1689 +INDEX GOES BRRR: 227 X: 14.2031 +INDEX GOES BRRR: 296 X: 18.543 +INDEX GOES BRRR: 252 X: 15.8076 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 384 X: 24.0303 +INDEX GOES BRRR: 176 X: 11.041 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 150 X: 9.42969 +INDEX GOES BRRR: 143 X: 8.98145 +INDEX GOES BRRR: 295 X: 18.4922 +INDEX GOES BRRR: 968 X: -3.49316 +INDEX GOES BRRR: 945 X: -4.91504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.85352 +INDEX GOES BRRR: 229 X: 14.3398 +INDEX GOES BRRR: 319 X: 19.9844 +INDEX GOES BRRR: 329 X: 20.5918 +INDEX GOES BRRR: 188 X: 11.7803 +INDEX GOES BRRR: 303 X: 18.9814 +INDEX GOES BRRR: 18 X: 1.16211 +INDEX GOES BRRR: 943 X: -5.04785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.38086 +INDEX GOES BRRR: 394 X: 24.6299 +INDEX GOES BRRR: 960 X: -3.98535 +INDEX GOES BRRR: 236 X: 14.8018 +INDEX GOES BRRR: 925 X: -6.18457 +INDEX GOES BRRR: 288 X: 18.043 +INDEX GOES BRRR: 896 X: -7.99023 +INDEX GOES BRRR: 971 X: -3.28516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.998 +INDEX GOES BRRR: 760 X: -16.4629 +INDEX GOES BRRR: 233 X: 14.5928 +INDEX GOES BRRR: 29 X: 1.87012 +INDEX GOES BRRR: 314 X: 19.6562 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 382 X: 23.9277 +INDEX GOES BRRR: 106 X: 6.66699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.7197 +INDEX GOES BRRR: 106 X: 6.63281 +INDEX GOES BRRR: 166 X: 10.3955 +INDEX GOES BRRR: 185 X: 11.5762 +INDEX GOES BRRR: 426 X: 26.6338 +INDEX GOES BRRR: 5 X: 0.373047 +INDEX GOES BRRR: 993 X: -1.89355 +INDEX GOES BRRR: 946 X: -4.84668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.00977 +INDEX GOES BRRR: 237 X: 14.8555 +INDEX GOES BRRR: 4 X: 0.250977 +INDEX GOES BRRR: 33 X: 2.08008 +INDEX GOES BRRR: 124 X: 7.79004 +INDEX GOES BRRR: 443 X: 27.7334 +INDEX GOES BRRR: 248 X: 15.5117 +INDEX GOES BRRR: 23 X: 1.45703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8574 +INDEX GOES BRRR: 307 X: 19.1914 +INDEX GOES BRRR: 164 X: 10.2871 +INDEX GOES BRRR: 350 X: 21.8887 +INDEX GOES BRRR: 89 X: 5.61719 +INDEX GOES BRRR: 992 X: -1.97949 +INDEX GOES BRRR: 171 X: 10.7217 +INDEX GOES BRRR: 63 X: 3.97949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 931 X: -5.76855 +INDEX GOES BRRR: 309 X: 19.3359 +INDEX GOES BRRR: 150 X: 9.41113 +INDEX GOES BRRR: 69 X: 4.31934 +INDEX GOES BRRR: 22 X: 1.39355 +INDEX GOES BRRR: 5 X: 0.363281 +INDEX GOES BRRR: 166 X: 10.4277 +INDEX GOES BRRR: 264 X: 16.5469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0029 +INDEX GOES BRRR: 304 X: 19 +INDEX GOES BRRR: 438 X: 27.4004 +INDEX GOES BRRR: 133 X: 8.35449 +INDEX GOES BRRR: 64 X: 4.05762 +INDEX GOES BRRR: 899 X: -7.80957 +INDEX GOES BRRR: 290 X: 18.1836 +INDEX GOES BRRR: 189 X: 11.833 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.61621 +INDEX GOES BRRR: 259 X: 16.2197 +INDEX GOES BRRR: 916 X: -6.71191 +INDEX GOES BRRR: 58 X: 3.68262 +INDEX GOES BRRR: 144 X: 9.03027 +INDEX GOES BRRR: 33 X: 2.09668 +INDEX GOES BRRR: 117 X: 7.37305 +INDEX GOES BRRR: 831 X: -12.0146 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.77734 +INDEX GOES BRRR: 131 X: 8.21582 +INDEX GOES BRRR: 125 X: 7.82324 +INDEX GOES BRRR: 323 X: 20.207 +INDEX GOES BRRR: 376 X: 23.5156 +INDEX GOES BRRR: 325 X: 20.3135 +INDEX GOES BRRR: 327 X: 20.4961 +INDEX GOES BRRR: 116 X: 7.26172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.93848 +INDEX GOES BRRR: 57 X: 3.5791 +INDEX GOES BRRR: 156 X: 9.75781 +INDEX GOES BRRR: 124 X: 7.79199 +INDEX GOES BRRR: 304 X: 19.0479 +INDEX GOES BRRR: 34 X: 2.12891 +INDEX GOES BRRR: 238 X: 14.876 +INDEX GOES BRRR: 429 X: 26.8418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 279 X: 17.4648 +INDEX GOES BRRR: 31 X: 1.95898 +INDEX GOES BRRR: 206 X: 12.8984 +INDEX GOES BRRR: 112 X: 7.04297 +INDEX GOES BRRR: 121 X: 7.6123 +INDEX GOES BRRR: 355 X: 22.2256 +INDEX GOES BRRR: 406 X: 25.3906 +INDEX GOES BRRR: 269 X: 16.833 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.48047 +INDEX GOES BRRR: 333 X: 20.8359 +INDEX GOES BRRR: 55 X: 3.4834 +INDEX GOES BRRR: 127 X: 7.98145 +INDEX GOES BRRR: 84 X: 5.26953 +INDEX GOES BRRR: 240 X: 15.0283 +INDEX GOES BRRR: 226 X: 14.1406 +INDEX GOES BRRR: 953 X: -4.37598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.2344 +INDEX GOES BRRR: 408 X: 25.5605 +INDEX GOES BRRR: 102 X: 6.40918 +INDEX GOES BRRR: 173 X: 10.832 +INDEX GOES BRRR: 292 X: 18.2646 +INDEX GOES BRRR: 70 X: 4.4209 +INDEX GOES BRRR: 162 X: 10.1514 +INDEX GOES BRRR: 971 X: -3.27734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 292 X: 18.3037 +INDEX GOES BRRR: 97 X: 6.06445 +INDEX GOES BRRR: 357 X: 22.3398 +INDEX GOES BRRR: 190 X: 11.8789 +INDEX GOES BRRR: 917 X: -6.67676 +INDEX GOES BRRR: 300 X: 18.7734 +INDEX GOES BRRR: 489 X: 30.6025 +INDEX GOES BRRR: 254 X: 15.9199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.19727 +INDEX GOES BRRR: 95 X: 5.95215 +INDEX GOES BRRR: 444 X: 27.7783 +INDEX GOES BRRR: 916 X: -6.72852 +INDEX GOES BRRR: 421 X: 26.3584 +INDEX GOES BRRR: 934 X: -5.59668 +INDEX GOES BRRR: 187 X: 11.7197 +INDEX GOES BRRR: 469 X: 29.3652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1002 X: -1.36035 +INDEX GOES BRRR: 130 X: 8.17578 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 347 X: 21.6914 +INDEX GOES BRRR: 361 X: 22.623 +INDEX GOES BRRR: 213 X: 13.3564 +INDEX GOES BRRR: 235 X: 14.707 +INDEX GOES BRRR: 284 X: 17.7744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.75293 +INDEX GOES BRRR: 26 X: 1.63086 +INDEX GOES BRRR: 382 X: 23.9189 +INDEX GOES BRRR: 309 X: 19.3389 +INDEX GOES BRRR: 107 X: 6.73926 +INDEX GOES BRRR: 42 X: 2.6748 +INDEX GOES BRRR: 263 X: 16.4736 +INDEX GOES BRRR: 265 X: 16.6035 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.5957 +INDEX GOES BRRR: 57 X: 3.57031 +INDEX GOES BRRR: 340 X: 21.2686 +INDEX GOES BRRR: 242 X: 15.1377 +INDEX GOES BRRR: 295 X: 18.459 +INDEX GOES BRRR: 831 X: -12.0293 +INDEX GOES BRRR: 1000 X: -1.47852 +INDEX GOES BRRR: 31 X: 1.9541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 46 X: 2.875 +INDEX GOES BRRR: 370 X: 23.1504 +INDEX GOES BRRR: 173 X: 10.8633 +INDEX GOES BRRR: 42 X: 2.67188 +INDEX GOES BRRR: 926 X: -6.11328 +INDEX GOES BRRR: 89 X: 5.60352 +INDEX GOES BRRR: 343 X: 21.4463 +INDEX GOES BRRR: 991 X: -2.00098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 963 X: -3.79004 +INDEX GOES BRRR: 145 X: 9.11621 +INDEX GOES BRRR: 177 X: 11.083 +INDEX GOES BRRR: 36 X: 2.25684 +INDEX GOES BRRR: 334 X: 20.8789 +INDEX GOES BRRR: 148 X: 9.30566 +INDEX GOES BRRR: 1013 X: -0.677734 +INDEX GOES BRRR: 349 X: 21.8379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 412 X: 25.7842 +INDEX GOES BRRR: 343 X: 21.4453 +INDEX GOES BRRR: 1002 X: -1.3623 +INDEX GOES BRRR: 147 X: 9.20215 +INDEX GOES BRRR: 24 X: 1.54785 +INDEX GOES BRRR: 129 X: 8.07617 +INDEX GOES BRRR: 239 X: 14.9541 +INDEX GOES BRRR: 207 X: 12.9814 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 338 X: 21.1582 +INDEX GOES BRRR: 284 X: 17.7617 +INDEX GOES BRRR: 313 X: 19.5908 +INDEX GOES BRRR: 151 X: 9.4834 +INDEX GOES BRRR: 110 X: 6.89258 +INDEX GOES BRRR: 195 X: 12.2314 +INDEX GOES BRRR: 161 X: 10.0801 +INDEX GOES BRRR: 1005 X: -1.16992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.522461 +INDEX GOES BRRR: 111 X: 6.99316 +INDEX GOES BRRR: 277 X: 17.373 +INDEX GOES BRRR: 31 X: 1.97266 +INDEX GOES BRRR: 375 X: 23.4727 +INDEX GOES BRRR: 344 X: 21.5254 +INDEX GOES BRRR: 83 X: 5.2207 +INDEX GOES BRRR: 112 X: 7.01855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.84961 +INDEX GOES BRRR: 15 X: 0.944336 +INDEX GOES BRRR: 20 X: 1.25781 +INDEX GOES BRRR: 101 X: 6.31836 +INDEX GOES BRRR: 438 X: 27.3984 +INDEX GOES BRRR: 71 X: 4.46387 +INDEX GOES BRRR: 239 X: 14.9951 +INDEX GOES BRRR: 921 X: -6.38086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.86523 +INDEX GOES BRRR: 245 X: 15.3447 +INDEX GOES BRRR: 982 X: -2.60352 +INDEX GOES BRRR: 164 X: 10.3076 +INDEX GOES BRRR: 416 X: 26.0049 +INDEX GOES BRRR: 68 X: 4.30469 +INDEX GOES BRRR: 146 X: 9.17969 +INDEX GOES BRRR: 282 X: 17.6377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5439 +INDEX GOES BRRR: 25 X: 1.6123 +INDEX GOES BRRR: 385 X: 24.0859 +INDEX GOES BRRR: 168 X: 10.5283 +INDEX GOES BRRR: 341 X: 21.3125 +INDEX GOES BRRR: 160 X: 10.0547 +INDEX GOES BRRR: 180 X: 11.2891 +INDEX GOES BRRR: 119 X: 7.49316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.19043 +INDEX GOES BRRR: 252 X: 15.7598 +INDEX GOES BRRR: 355 X: 22.1963 +INDEX GOES BRRR: 147 X: 9.22266 +INDEX GOES BRRR: 116 X: 7.2959 +INDEX GOES BRRR: 113 X: 7.10352 +INDEX GOES BRRR: 122 X: 7.6582 +INDEX GOES BRRR: 827 X: -12.2578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.30469 +INDEX GOES BRRR: 34 X: 2.13184 +INDEX GOES BRRR: 285 X: 17.8408 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 178 X: 11.1465 +INDEX GOES BRRR: 101 X: 6.34863 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 14 X: 0.887695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.91016 +INDEX GOES BRRR: 53 X: 3.33594 +INDEX GOES BRRR: 971 X: -3.29004 +INDEX GOES BRRR: 144 X: 9.02832 +INDEX GOES BRRR: 909 X: -7.18066 +INDEX GOES BRRR: 68 X: 4.2666 +INDEX GOES BRRR: 994 X: -1.81641 +INDEX GOES BRRR: 393 X: 24.5684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2656 +INDEX GOES BRRR: 996 X: -1.70996 +INDEX GOES BRRR: 97 X: 6.10547 +INDEX GOES BRRR: 18 X: 1.16406 +INDEX GOES BRRR: 294 X: 18.4297 +INDEX GOES BRRR: 116 X: 7.29492 +INDEX GOES BRRR: 153 X: 9.59961 +INDEX GOES BRRR: 135 X: 8.46777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 871 X: -9.53711 +INDEX GOES BRRR: 1013 X: -0.636719 +INDEX GOES BRRR: 412 X: 25.7979 +INDEX GOES BRRR: 17 X: 1.07422 +INDEX GOES BRRR: 7 X: 0.439453 +INDEX GOES BRRR: 827 X: -12.3037 +INDEX GOES BRRR: 156 X: 9.7627 +INDEX GOES BRRR: 214 X: 13.3916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6543 +INDEX GOES BRRR: 71 X: 4.47949 +INDEX GOES BRRR: 272 X: 17.0127 +INDEX GOES BRRR: 996 X: -1.71582 +INDEX GOES BRRR: 451 X: 28.209 +INDEX GOES BRRR: 853 X: -10.6328 +INDEX GOES BRRR: 979 X: -2.76172 +INDEX GOES BRRR: 972 X: -3.24805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 434 X: 27.1309 +INDEX GOES BRRR: 204 X: 12.8037 +INDEX GOES BRRR: 333 X: 20.8232 +INDEX GOES BRRR: 84 X: 5.25488 +INDEX GOES BRRR: 252 X: 15.75 +INDEX GOES BRRR: 38 X: 2.41211 +INDEX GOES BRRR: 989 X: -2.16406 +INDEX GOES BRRR: 66 X: 4.12793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.32324 +INDEX GOES BRRR: 986 X: -2.31445 +INDEX GOES BRRR: 379 X: 23.7168 +INDEX GOES BRRR: 34 X: 2.14062 +INDEX GOES BRRR: 1006 X: -1.11621 +INDEX GOES BRRR: 405 X: 25.3672 +INDEX GOES BRRR: 298 X: 18.6572 +INDEX GOES BRRR: 347 X: 21.6973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 64 X: 4.01172 +INDEX GOES BRRR: 114 X: 7.15625 +INDEX GOES BRRR: 189 X: 11.8535 +INDEX GOES BRRR: 17 X: 1.11133 +INDEX GOES BRRR: 232 X: 14.5488 +INDEX GOES BRRR: 0 X: 0.0351562 +INDEX GOES BRRR: 193 X: 12.0801 +INDEX GOES BRRR: 101 X: 6.3252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.3887 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 411 X: 25.7324 +INDEX GOES BRRR: 138 X: 8.65723 +INDEX GOES BRRR: 955 X: -4.30469 +INDEX GOES BRRR: 894 X: -8.09473 +INDEX GOES BRRR: 8 X: 0.548828 +INDEX GOES BRRR: 43 X: 2.71777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 378 X: 23.6748 +INDEX GOES BRRR: 107 X: 6.7207 +INDEX GOES BRRR: 1020 X: -0.245117 +INDEX GOES BRRR: 51 X: 3.24512 +INDEX GOES BRRR: 359 X: 22.499 +INDEX GOES BRRR: 949 X: -4.64258 +INDEX GOES BRRR: 910 X: -7.09863 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.20996 +INDEX GOES BRRR: 73 X: 4.61719 +INDEX GOES BRRR: 933 X: -5.63672 +INDEX GOES BRRR: 89 X: 5.5791 +INDEX GOES BRRR: 320 X: 20 +INDEX GOES BRRR: 312 X: 19.5322 +INDEX GOES BRRR: 761 X: -16.4014 +INDEX GOES BRRR: 417 X: 26.0947 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.48535 +INDEX GOES BRRR: 213 X: 13.373 +INDEX GOES BRRR: 347 X: 21.7051 +INDEX GOES BRRR: 160 X: 10.0039 +INDEX GOES BRRR: 990 X: -2.06641 +INDEX GOES BRRR: 254 X: 15.9297 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 199 X: 12.4766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3516 +INDEX GOES BRRR: 85 X: 5.31641 +INDEX GOES BRRR: 139 X: 8.7168 +INDEX GOES BRRR: 987 X: -2.29688 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 135 X: 8.45117 +INDEX GOES BRRR: 205 X: 12.8691 +INDEX GOES BRRR: 66 X: 4.18262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 890 X: -8.36914 +INDEX GOES BRRR: 213 X: 13.3545 +INDEX GOES BRRR: 119 X: 7.45605 +INDEX GOES BRRR: 275 X: 17.1895 +INDEX GOES BRRR: 459 X: 28.7051 +INDEX GOES BRRR: 93 X: 5.81738 +INDEX GOES BRRR: 295 X: 18.4521 +INDEX GOES BRRR: 427 X: 26.7236 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.00684 +INDEX GOES BRRR: 75 X: 4.73828 +INDEX GOES BRRR: 321 X: 20.1094 +INDEX GOES BRRR: 76 X: 4.77734 +INDEX GOES BRRR: 477 X: 29.835 +INDEX GOES BRRR: 14 X: 0.894531 +INDEX GOES BRRR: 34 X: 2.14062 +INDEX GOES BRRR: 16 X: 1.02832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 7 X: 0.473633 +INDEX GOES BRRR: 28 X: 1.77637 +INDEX GOES BRRR: 1015 X: -0.521484 +INDEX GOES BRRR: 999 X: -1.55957 +INDEX GOES BRRR: 1 X: 0.121094 +INDEX GOES BRRR: 57 X: 3.58887 +INDEX GOES BRRR: 7 X: 0.466797 +INDEX GOES BRRR: 297 X: 18.5713 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.6025 +INDEX GOES BRRR: 320 X: 20.0615 +INDEX GOES BRRR: 163 X: 10.2471 +INDEX GOES BRRR: 35 X: 2.21191 +INDEX GOES BRRR: 916 X: -6.69531 +INDEX GOES BRRR: 224 X: 14.0605 +INDEX GOES BRRR: 85 X: 5.36816 +INDEX GOES BRRR: 984 X: -2.46387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1533 +INDEX GOES BRRR: 1016 X: -0.456055 +INDEX GOES BRRR: 190 X: 11.9072 +INDEX GOES BRRR: 30 X: 1.92383 +INDEX GOES BRRR: 226 X: 14.1299 +INDEX GOES BRRR: 103 X: 6.47754 +INDEX GOES BRRR: 79 X: 4.97266 +INDEX GOES BRRR: 448 X: 28.0078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.10254 +INDEX GOES BRRR: 837 X: -11.6777 +INDEX GOES BRRR: 144 X: 9.00488 +INDEX GOES BRRR: 875 X: -9.26758 +INDEX GOES BRRR: 15 X: 0.952148 +INDEX GOES BRRR: 185 X: 11.5684 +INDEX GOES BRRR: 194 X: 12.167 +INDEX GOES BRRR: 207 X: 12.9736 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.9688 +INDEX GOES BRRR: 398 X: 24.9277 +INDEX GOES BRRR: 289 X: 18.0898 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 415 X: 25.9756 +INDEX GOES BRRR: 37 X: 2.37109 +INDEX GOES BRRR: 176 X: 11.0098 +INDEX GOES BRRR: 307 X: 19.208 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.7344 +INDEX GOES BRRR: 131 X: 8.24609 +INDEX GOES BRRR: 492 X: 30.7969 +INDEX GOES BRRR: 35 X: 2.19824 +INDEX GOES BRRR: 904 X: -7.4668 +INDEX GOES BRRR: 868 X: -9.73145 +INDEX GOES BRRR: 356 X: 22.2979 +INDEX GOES BRRR: 48 X: 3.05469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.0625 +INDEX GOES BRRR: 950 X: -4.58789 +INDEX GOES BRRR: 181 X: 11.3203 +INDEX GOES BRRR: 326 X: 20.4014 +INDEX GOES BRRR: 141 X: 8.83203 +INDEX GOES BRRR: 53 X: 3.34766 +INDEX GOES BRRR: 151 X: 9.49707 +INDEX GOES BRRR: 226 X: 14.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.65039 +INDEX GOES BRRR: 773 X: -15.6699 +INDEX GOES BRRR: 53 X: 3.33594 +INDEX GOES BRRR: 253 X: 15.832 +INDEX GOES BRRR: 16 X: 1.04785 +INDEX GOES BRRR: 446 X: 27.8848 +INDEX GOES BRRR: 251 X: 15.708 +INDEX GOES BRRR: 816 X: -12.9551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.26172 +INDEX GOES BRRR: 3 X: 0.229492 +INDEX GOES BRRR: 408 X: 25.5479 +INDEX GOES BRRR: 175 X: 10.9395 +INDEX GOES BRRR: 90 X: 5.66992 +INDEX GOES BRRR: 206 X: 12.9355 +INDEX GOES BRRR: 99 X: 6.22949 +INDEX GOES BRRR: 38 X: 2.41211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.614258 +INDEX GOES BRRR: 1014 X: -0.563477 +INDEX GOES BRRR: 62 X: 3.9248 +INDEX GOES BRRR: 431 X: 26.9395 +INDEX GOES BRRR: 225 X: 14.123 +INDEX GOES BRRR: 327 X: 20.4746 +INDEX GOES BRRR: 451 X: 28.2148 +INDEX GOES BRRR: 133 X: 8.36328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 83 X: 5.22266 +INDEX GOES BRRR: 157 X: 9.81836 +INDEX GOES BRRR: 974 X: -3.09375 +INDEX GOES BRRR: 1017 X: -0.432617 +INDEX GOES BRRR: 312 X: 19.5283 +INDEX GOES BRRR: 102 X: 6.38281 +INDEX GOES BRRR: 1023 X: -0.0361328 +INDEX GOES BRRR: 116 X: 7.29199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9678 +INDEX GOES BRRR: 149 X: 9.31348 +INDEX GOES BRRR: 252 X: 15.7725 +INDEX GOES BRRR: 157 X: 9.87305 +INDEX GOES BRRR: 140 X: 8.7959 +INDEX GOES BRRR: 463 X: 28.9814 +INDEX GOES BRRR: 224 X: 14.0283 +INDEX GOES BRRR: 29 X: 1.8125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 729 X: -18.3945 +INDEX GOES BRRR: 719 X: -19.0146 +INDEX GOES BRRR: 36 X: 2.26367 +INDEX GOES BRRR: 243 X: 15.249 +INDEX GOES BRRR: 879 X: -9.0459 +INDEX GOES BRRR: 232 X: 14.5312 +INDEX GOES BRRR: 11 X: 0.708984 +INDEX GOES BRRR: 387 X: 24.2295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 361 X: 22.5918 +INDEX GOES BRRR: 115 X: 7.2373 +INDEX GOES BRRR: 349 X: 21.8301 +INDEX GOES BRRR: 213 X: 13.3213 +INDEX GOES BRRR: 56 X: 3.54785 +INDEX GOES BRRR: 98 X: 6.18652 +INDEX GOES BRRR: 27 X: 1.70117 +INDEX GOES BRRR: 15 X: 0.978516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.999 +INDEX GOES BRRR: 257 X: 16.085 +INDEX GOES BRRR: 724 X: -18.7051 +INDEX GOES BRRR: 193 X: 12.085 +INDEX GOES BRRR: 56 X: 3.51465 +INDEX GOES BRRR: 167 X: 10.4707 +INDEX GOES BRRR: 115 X: 7.21191 +INDEX GOES BRRR: 243 X: 15.2129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 863 X: -10.0342 +INDEX GOES BRRR: 158 X: 9.91602 +INDEX GOES BRRR: 80 X: 5.00684 +INDEX GOES BRRR: 173 X: 10.8604 +INDEX GOES BRRR: 263 X: 16.4404 +INDEX GOES BRRR: 323 X: 20.1953 +INDEX GOES BRRR: 220 X: 13.7803 +INDEX GOES BRRR: 208 X: 13.0352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5244 +INDEX GOES BRRR: 972 X: -3.24707 +INDEX GOES BRRR: 307 X: 19.2305 +INDEX GOES BRRR: 960 X: -3.9668 +INDEX GOES BRRR: 493 X: 30.8125 +INDEX GOES BRRR: 186 X: 11.6338 +INDEX GOES BRRR: 30 X: 1.90527 +INDEX GOES BRRR: 32 X: 2.0166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8535 +INDEX GOES BRRR: 259 X: 16.2109 +INDEX GOES BRRR: 232 X: 14.5508 +INDEX GOES BRRR: 98 X: 6.13281 +INDEX GOES BRRR: 43 X: 2.71094 +INDEX GOES BRRR: 138 X: 8.68359 +INDEX GOES BRRR: 146 X: 9.18359 +INDEX GOES BRRR: 163 X: 10.2002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.18262 +INDEX GOES BRRR: 57 X: 3.58789 +INDEX GOES BRRR: 941 X: -5.15332 +INDEX GOES BRRR: 451 X: 28.2285 +INDEX GOES BRRR: 966 X: -3.57812 +INDEX GOES BRRR: 289 X: 18.083 +INDEX GOES BRRR: 211 X: 13.2246 +INDEX GOES BRRR: 64 X: 4.00977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.25781 +INDEX GOES BRRR: 390 X: 24.4199 +INDEX GOES BRRR: 261 X: 16.3174 +INDEX GOES BRRR: 182 X: 11.416 +INDEX GOES BRRR: 147 X: 9.22656 +INDEX GOES BRRR: 453 X: 28.3438 +INDEX GOES BRRR: 204 X: 12.7695 +INDEX GOES BRRR: 396 X: 24.7754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1016 X: -0.489258 +INDEX GOES BRRR: 72 X: 4.5332 +INDEX GOES BRRR: 975 X: -3.00391 +INDEX GOES BRRR: 221 X: 13.8506 +INDEX GOES BRRR: 206 X: 12.8945 +INDEX GOES BRRR: 311 X: 19.4814 +INDEX GOES BRRR: 409 X: 25.5762 +INDEX GOES BRRR: 223 X: 13.9629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5283 +INDEX GOES BRRR: 0 X: 0.0605469 +INDEX GOES BRRR: 938 X: -5.33105 +INDEX GOES BRRR: 58 X: 3.67188 +INDEX GOES BRRR: 83 X: 5.24609 +INDEX GOES BRRR: 946 X: -4.8418 +INDEX GOES BRRR: 77 X: 4.87305 +INDEX GOES BRRR: 79 X: 4.9541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1533 +INDEX GOES BRRR: 287 X: 17.9756 +INDEX GOES BRRR: 1012 X: -0.728516 +INDEX GOES BRRR: 248 X: 15.501 +INDEX GOES BRRR: 177 X: 11.0898 +INDEX GOES BRRR: 264 X: 16.5576 +INDEX GOES BRRR: 946 X: -4.8623 +INDEX GOES BRRR: 346 X: 21.6816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8682 +INDEX GOES BRRR: 215 X: 13.4717 +INDEX GOES BRRR: 296 X: 18.5293 +INDEX GOES BRRR: 103 X: 6.46875 +INDEX GOES BRRR: 121 X: 7.57227 +INDEX GOES BRRR: 405 X: 25.3438 +INDEX GOES BRRR: 122 X: 7.66602 +INDEX GOES BRRR: 333 X: 20.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.3672 +INDEX GOES BRRR: 296 X: 18.5205 +INDEX GOES BRRR: 283 X: 17.7314 +INDEX GOES BRRR: 1 X: 0.105469 +INDEX GOES BRRR: 44 X: 2.79492 +INDEX GOES BRRR: 1 X: 0.0771484 +INDEX GOES BRRR: 276 X: 17.291 +INDEX GOES BRRR: 183 X: 11.4502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 775 X: -15.543 +INDEX GOES BRRR: 48 X: 3.00977 +INDEX GOES BRRR: 124 X: 7.81152 +INDEX GOES BRRR: 164 X: 10.2646 +INDEX GOES BRRR: 70 X: 4.37891 +INDEX GOES BRRR: 200 X: 12.5518 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 52 X: 3.31152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.48145 +INDEX GOES BRRR: 125 X: 7.86133 +INDEX GOES BRRR: 278 X: 17.3965 +INDEX GOES BRRR: 147 X: 9.24219 +INDEX GOES BRRR: 48 X: 3.05664 +INDEX GOES BRRR: 110 X: 6.89648 +INDEX GOES BRRR: 933 X: -5.64062 +INDEX GOES BRRR: 439 X: 27.4482 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.2188 +INDEX GOES BRRR: 149 X: 9.32129 +INDEX GOES BRRR: 1019 X: -0.306641 +INDEX GOES BRRR: 434 X: 27.1494 +INDEX GOES BRRR: 899 X: -7.78711 +INDEX GOES BRRR: 174 X: 10.8828 +INDEX GOES BRRR: 173 X: 10.8672 +INDEX GOES BRRR: 274 X: 17.1357 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 378 X: 23.6348 +INDEX GOES BRRR: 349 X: 21.8457 +INDEX GOES BRRR: 115 X: 7.19824 +INDEX GOES BRRR: 55 X: 3.4707 +INDEX GOES BRRR: 1010 X: -0.865234 +INDEX GOES BRRR: 131 X: 8.2334 +INDEX GOES BRRR: 326 X: 20.4326 +INDEX GOES BRRR: 362 X: 22.6475 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5723 +INDEX GOES BRRR: 314 X: 19.6367 +INDEX GOES BRRR: 3 X: 0.211914 +INDEX GOES BRRR: 246 X: 15.4131 +INDEX GOES BRRR: 117 X: 7.32031 +INDEX GOES BRRR: 194 X: 12.1777 +INDEX GOES BRRR: 79 X: 4.98145 +INDEX GOES BRRR: 131 X: 8.23145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.23242 +INDEX GOES BRRR: 280 X: 17.5176 +INDEX GOES BRRR: 18 X: 1.16016 +INDEX GOES BRRR: 95 X: 5.95801 +INDEX GOES BRRR: 48 X: 3.02344 +INDEX GOES BRRR: 989 X: -2.14258 +INDEX GOES BRRR: 404 X: 25.2803 +INDEX GOES BRRR: 267 X: 16.71 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.5166 +INDEX GOES BRRR: 107 X: 6.7041 +INDEX GOES BRRR: 389 X: 24.3584 +INDEX GOES BRRR: 184 X: 11.541 +INDEX GOES BRRR: 819 X: -12.7949 +INDEX GOES BRRR: 95 X: 5.99609 +INDEX GOES BRRR: 134 X: 8.38184 +INDEX GOES BRRR: 66 X: 4.13281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 339 X: 21.2295 +INDEX GOES BRRR: 206 X: 12.9131 +INDEX GOES BRRR: 212 X: 13.3105 +INDEX GOES BRRR: 290 X: 18.1709 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 408 X: 25.5225 +INDEX GOES BRRR: 907 X: -7.27832 +INDEX GOES BRRR: 245 X: 15.3438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 320 X: 20.0098 +INDEX GOES BRRR: 283 X: 17.7051 +INDEX GOES BRRR: 455 X: 28.4814 +INDEX GOES BRRR: 119 X: 7.4541 +INDEX GOES BRRR: 165 X: 10.3604 +INDEX GOES BRRR: 91 X: 5.72266 +INDEX GOES BRRR: 209 X: 13.1143 +INDEX GOES BRRR: 203 X: 12.7344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.56738 +INDEX GOES BRRR: 156 X: 9.7627 +INDEX GOES BRRR: 57 X: 3.56836 +INDEX GOES BRRR: 113 X: 7.11719 +INDEX GOES BRRR: 219 X: 13.7393 +INDEX GOES BRRR: 192 X: 12.0381 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 217 X: 13.5684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 415 X: 25.9727 +INDEX GOES BRRR: 340 X: 21.2705 +INDEX GOES BRRR: 363 X: 22.7432 +INDEX GOES BRRR: 162 X: 10.1426 +INDEX GOES BRRR: 118 X: 7.40234 +INDEX GOES BRRR: 225 X: 14.1094 +INDEX GOES BRRR: 236 X: 14.8008 +INDEX GOES BRRR: 120 X: 7.55469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.7637 +INDEX GOES BRRR: 140 X: 8.78516 +INDEX GOES BRRR: 144 X: 9.00098 +INDEX GOES BRRR: 199 X: 12.4375 +INDEX GOES BRRR: 236 X: 14.7959 +INDEX GOES BRRR: 68 X: 4.2793 +INDEX GOES BRRR: 127 X: 7.96777 +INDEX GOES BRRR: 50 X: 3.16797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.73242 +INDEX GOES BRRR: 111 X: 6.94824 +INDEX GOES BRRR: 194 X: 12.1396 +INDEX GOES BRRR: 198 X: 12.4346 +INDEX GOES BRRR: 242 X: 15.166 +INDEX GOES BRRR: 6 X: 0.375977 +INDEX GOES BRRR: 900 X: -7.73633 +INDEX GOES BRRR: 245 X: 15.3291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.703125 +INDEX GOES BRRR: 161 X: 10.0654 +INDEX GOES BRRR: 441 X: 27.5762 +INDEX GOES BRRR: 278 X: 17.4258 +INDEX GOES BRRR: 226 X: 14.1523 +INDEX GOES BRRR: 467 X: 29.1963 +INDEX GOES BRRR: 244 X: 15.2881 +INDEX GOES BRRR: 249 X: 15.582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.03027 +INDEX GOES BRRR: 153 X: 9.61914 +INDEX GOES BRRR: 1007 X: -1.05078 +INDEX GOES BRRR: 812 X: -13.1943 +INDEX GOES BRRR: 203 X: 12.71 +INDEX GOES BRRR: 80 X: 5.05469 +INDEX GOES BRRR: 80 X: 5.06055 +INDEX GOES BRRR: 89 X: 5.5752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1013 X: -0.678711 +INDEX GOES BRRR: 1022 X: -0.0761719 +INDEX GOES BRRR: 156 X: 9.81152 +INDEX GOES BRRR: 85 X: 5.36914 +INDEX GOES BRRR: 294 X: 18.4111 +INDEX GOES BRRR: 107 X: 6.71387 +INDEX GOES BRRR: 1023 X: -0.0537109 +INDEX GOES BRRR: 985 X: -2.38281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.5332 +INDEX GOES BRRR: 131 X: 8.23438 +INDEX GOES BRRR: 256 X: 16.002 +INDEX GOES BRRR: 67 X: 4.19531 +INDEX GOES BRRR: 230 X: 14.415 +INDEX GOES BRRR: 1019 X: -0.307617 +INDEX GOES BRRR: 184 X: 11.5557 +INDEX GOES BRRR: 220 X: 13.7871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 881 X: -8.88086 +INDEX GOES BRRR: 23 X: 1.43848 +INDEX GOES BRRR: 970 X: -3.34375 +INDEX GOES BRRR: 409 X: 25.6143 +INDEX GOES BRRR: 932 X: -5.7168 +INDEX GOES BRRR: 155 X: 9.71582 +INDEX GOES BRRR: 147 X: 9.2041 +INDEX GOES BRRR: 116 X: 7.26172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.64258 +INDEX GOES BRRR: 148 X: 9.2998 +INDEX GOES BRRR: 258 X: 16.1768 +INDEX GOES BRRR: 86 X: 5.40234 +INDEX GOES BRRR: 400 X: 25.0332 +INDEX GOES BRRR: 293 X: 18.3691 +INDEX GOES BRRR: 208 X: 13.0547 +INDEX GOES BRRR: 459 X: 28.6875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 128 X: 8.05371 +INDEX GOES BRRR: 128 X: 8.05566 +INDEX GOES BRRR: 10 X: 0.626953 +INDEX GOES BRRR: 135 X: 8.47949 +INDEX GOES BRRR: 217 X: 13.6016 +INDEX GOES BRRR: 464 X: 29.0488 +INDEX GOES BRRR: 193 X: 12.1123 +INDEX GOES BRRR: 145 X: 9.1123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.7451 +INDEX GOES BRRR: 43 X: 2.74512 +INDEX GOES BRRR: 97 X: 6.06348 +INDEX GOES BRRR: 265 X: 16.5811 +INDEX GOES BRRR: 1011 X: -0.789062 +INDEX GOES BRRR: 162 X: 10.166 +INDEX GOES BRRR: 938 X: -5.33105 +INDEX GOES BRRR: 202 X: 12.627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 287 X: 17.9707 +INDEX GOES BRRR: 192 X: 12.0312 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 937 X: -5.42285 +INDEX GOES BRRR: 188 X: 11.8018 +INDEX GOES BRRR: 55 X: 3.43848 +INDEX GOES BRRR: 944 X: -4.99023 +INDEX GOES BRRR: 145 X: 9.09668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 291 X: 18.1943 +INDEX GOES BRRR: 195 X: 12.209 +INDEX GOES BRRR: 94 X: 5.88281 +INDEX GOES BRRR: 144 X: 9.03516 +INDEX GOES BRRR: 279 X: 17.4551 +INDEX GOES BRRR: 210 X: 13.1572 +INDEX GOES BRRR: 222 X: 13.8818 +INDEX GOES BRRR: 838 X: -11.6191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.87598 +INDEX GOES BRRR: 195 X: 12.2393 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1020 X: -0.235352 +INDEX GOES BRRR: 3 X: 0.216797 +INDEX GOES BRRR: 158 X: 9.9043 +INDEX GOES BRRR: 323 X: 20.2061 +INDEX GOES BRRR: 274 X: 17.1396 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.543 +INDEX GOES BRRR: 179 X: 11.2158 +INDEX GOES BRRR: 260 X: 16.2852 +INDEX GOES BRRR: 1007 X: -1.01074 +INDEX GOES BRRR: 469 X: 29.3682 +INDEX GOES BRRR: 73 X: 4.58301 +INDEX GOES BRRR: 63 X: 3.9375 +INDEX GOES BRRR: 964 X: -3.68945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.631836 +INDEX GOES BRRR: 1019 X: -0.294922 +INDEX GOES BRRR: 60 X: 3.81055 +INDEX GOES BRRR: 116 X: 7.30859 +INDEX GOES BRRR: 205 X: 12.8379 +INDEX GOES BRRR: 239 X: 14.9707 +INDEX GOES BRRR: 349 X: 21.8633 +INDEX GOES BRRR: 200 X: 12.5479 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.79492 +INDEX GOES BRRR: 192 X: 12.0527 +INDEX GOES BRRR: 418 X: 26.1621 +INDEX GOES BRRR: 228 X: 14.2686 +INDEX GOES BRRR: 983 X: -2.52832 +INDEX GOES BRRR: 308 X: 19.2725 +INDEX GOES BRRR: 153 X: 9.58887 +INDEX GOES BRRR: 151 X: 9.46777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.79395 +INDEX GOES BRRR: 317 X: 19.8594 +INDEX GOES BRRR: 923 X: -6.28027 +INDEX GOES BRRR: 384 X: 24.002 +INDEX GOES BRRR: 243 X: 15.208 +INDEX GOES BRRR: 164 X: 10.2627 +INDEX GOES BRRR: 281 X: 17.5742 +INDEX GOES BRRR: 185 X: 11.5908 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2656 +INDEX GOES BRRR: 111 X: 6.98145 +INDEX GOES BRRR: 998 X: -1.625 +INDEX GOES BRRR: 206 X: 12.9023 +INDEX GOES BRRR: 443 X: 27.7373 +INDEX GOES BRRR: 186 X: 11.6846 +INDEX GOES BRRR: 17 X: 1.1123 +INDEX GOES BRRR: 392 X: 24.5508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 182 X: 11.3896 +INDEX GOES BRRR: 1006 X: -1.11719 +INDEX GOES BRRR: 16 X: 1.04297 +INDEX GOES BRRR: 51 X: 3.19727 +INDEX GOES BRRR: 239 X: 14.9824 +INDEX GOES BRRR: 303 X: 18.9512 +INDEX GOES BRRR: 127 X: 7.93945 +INDEX GOES BRRR: 153 X: 9.59375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.34668 +INDEX GOES BRRR: 297 X: 18.6172 +INDEX GOES BRRR: 190 X: 11.9229 +INDEX GOES BRRR: 130 X: 8.18457 +INDEX GOES BRRR: 1006 X: -1.11035 +INDEX GOES BRRR: 16 X: 1.0332 +INDEX GOES BRRR: 334 X: 20.9297 +INDEX GOES BRRR: 243 X: 15.2402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.9277 +INDEX GOES BRRR: 277 X: 17.3643 +INDEX GOES BRRR: 269 X: 16.8164 +INDEX GOES BRRR: 409 X: 25.5859 +INDEX GOES BRRR: 168 X: 10.5449 +INDEX GOES BRRR: 39 X: 2.44434 +INDEX GOES BRRR: 278 X: 17.4033 +INDEX GOES BRRR: 337 X: 21.1094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 944 X: -4.94824 +INDEX GOES BRRR: 133 X: 8.32617 +INDEX GOES BRRR: 134 X: 8.37695 +INDEX GOES BRRR: 137 X: 8.60156 +INDEX GOES BRRR: 179 X: 11.2256 +INDEX GOES BRRR: 310 X: 19.415 +INDEX GOES BRRR: 315 X: 19.6904 +INDEX GOES BRRR: 122 X: 7.66602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.89648 +INDEX GOES BRRR: 237 X: 14.8242 +INDEX GOES BRRR: 90 X: 5.66309 +INDEX GOES BRRR: 200 X: 12.5449 +INDEX GOES BRRR: 146 X: 9.16309 +INDEX GOES BRRR: 988 X: -2.20898 +INDEX GOES BRRR: 146 X: 9.15332 +INDEX GOES BRRR: 292 X: 18.2627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 432 X: 27.0312 +INDEX GOES BRRR: 159 X: 9.98047 +INDEX GOES BRRR: 110 X: 6.91211 +INDEX GOES BRRR: 122 X: 7.66309 +INDEX GOES BRRR: 94 X: 5.90039 +INDEX GOES BRRR: 111 X: 6.94824 +INDEX GOES BRRR: 172 X: 10.7764 +INDEX GOES BRRR: 799 X: -14.0332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.83398 +INDEX GOES BRRR: 222 X: 13.8984 +INDEX GOES BRRR: 100 X: 6.2793 +INDEX GOES BRRR: 224 X: 14.0566 +INDEX GOES BRRR: 169 X: 10.5859 +INDEX GOES BRRR: 54 X: 3.38965 +INDEX GOES BRRR: 431 X: 26.9932 +INDEX GOES BRRR: 259 X: 16.209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 463 X: 28.9463 +INDEX GOES BRRR: 347 X: 21.6934 +INDEX GOES BRRR: 365 X: 22.8164 +INDEX GOES BRRR: 171 X: 10.7256 +INDEX GOES BRRR: 136 X: 8.52051 +INDEX GOES BRRR: 305 X: 19.0908 +INDEX GOES BRRR: 77 X: 4.86621 +INDEX GOES BRRR: 478 X: 29.9248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.31738 +INDEX GOES BRRR: 179 X: 11.2031 +INDEX GOES BRRR: 51 X: 3.19922 +INDEX GOES BRRR: 162 X: 10.1465 +INDEX GOES BRRR: 245 X: 15.332 +INDEX GOES BRRR: 216 X: 13.5332 +INDEX GOES BRRR: 43 X: 2.69531 +INDEX GOES BRRR: 929 X: -5.91797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 854 X: -10.5811 +INDEX GOES BRRR: 152 X: 9.55664 +INDEX GOES BRRR: 214 X: 13.4219 +INDEX GOES BRRR: 92 X: 5.75781 +INDEX GOES BRRR: 248 X: 15.5049 +INDEX GOES BRRR: 147 X: 9.20215 +INDEX GOES BRRR: 424 X: 26.5586 +INDEX GOES BRRR: 280 X: 17.5176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.42969 +INDEX GOES BRRR: 215 X: 13.4688 +INDEX GOES BRRR: 97 X: 6.11133 +INDEX GOES BRRR: 50 X: 3.17773 +INDEX GOES BRRR: 1007 X: -1.04785 +INDEX GOES BRRR: 48 X: 3.00781 +INDEX GOES BRRR: 155 X: 9.74023 +INDEX GOES BRRR: 55 X: 3.44434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.7168 +INDEX GOES BRRR: 951 X: -4.54688 +INDEX GOES BRRR: 780 X: -15.1924 +INDEX GOES BRRR: 200 X: 12.5312 +INDEX GOES BRRR: 175 X: 10.9629 +INDEX GOES BRRR: 336 X: 21.0234 +INDEX GOES BRRR: 995 X: -1.7832 +INDEX GOES BRRR: 1008 X: -0.950195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8447 +INDEX GOES BRRR: 74 X: 4.68164 +INDEX GOES BRRR: 136 X: 8.55469 +INDEX GOES BRRR: 1006 X: -1.06445 +INDEX GOES BRRR: 120 X: 7.56055 +INDEX GOES BRRR: 195 X: 12.2217 +INDEX GOES BRRR: 874 X: -9.33691 +INDEX GOES BRRR: 161 X: 10.1201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 81 X: 5.10059 +INDEX GOES BRRR: 972 X: -3.20605 +INDEX GOES BRRR: 159 X: 9.99609 +INDEX GOES BRRR: 875 X: -9.25586 +INDEX GOES BRRR: 347 X: 21.6953 +INDEX GOES BRRR: 111 X: 6.9541 +INDEX GOES BRRR: 195 X: 12.21 +INDEX GOES BRRR: 327 X: 20.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.5625 +INDEX GOES BRRR: 185 X: 11.5967 +INDEX GOES BRRR: 281 X: 17.6123 +INDEX GOES BRRR: 74 X: 4.65625 +INDEX GOES BRRR: 1022 X: -0.0673828 +INDEX GOES BRRR: 351 X: 21.9854 +INDEX GOES BRRR: 17 X: 1.1084 +INDEX GOES BRRR: 161 X: 10.0986 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.275391 +INDEX GOES BRRR: 249 X: 15.6152 +INDEX GOES BRRR: 261 X: 16.3398 +INDEX GOES BRRR: 448 X: 28.0186 +INDEX GOES BRRR: 260 X: 16.2715 +INDEX GOES BRRR: 125 X: 7.83594 +INDEX GOES BRRR: 953 X: -4.37988 +INDEX GOES BRRR: 201 X: 12.5693 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 941 X: -5.18359 +INDEX GOES BRRR: 54 X: 3.41113 +INDEX GOES BRRR: 940 X: -5.2168 +INDEX GOES BRRR: 83 X: 5.23828 +INDEX GOES BRRR: 159 X: 9.97461 +INDEX GOES BRRR: 182 X: 11.418 +INDEX GOES BRRR: 210 X: 13.168 +INDEX GOES BRRR: 175 X: 10.998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.74414 +INDEX GOES BRRR: 31 X: 1.96387 +INDEX GOES BRRR: 103 X: 6.44043 +INDEX GOES BRRR: 56 X: 3.50098 +INDEX GOES BRRR: 7 X: 0.485352 +INDEX GOES BRRR: 915 X: -6.76172 +INDEX GOES BRRR: 390 X: 24.4238 +INDEX GOES BRRR: 367 X: 22.9629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 248 X: 15.5166 +INDEX GOES BRRR: 319 X: 19.9629 +INDEX GOES BRRR: 351 X: 21.9551 +INDEX GOES BRRR: 75 X: 4.6875 +INDEX GOES BRRR: 204 X: 12.8037 +INDEX GOES BRRR: 34 X: 2.14551 +INDEX GOES BRRR: 910 X: -7.09766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.68555 +INDEX GOES BRRR: 23 X: 1.45801 +INDEX GOES BRRR: 64 X: 4.03418 +INDEX GOES BRRR: 192 X: 12.0312 +INDEX GOES BRRR: 321 X: 20.084 +INDEX GOES BRRR: 183 X: 11.4834 +INDEX GOES BRRR: 375 X: 23.4863 +INDEX GOES BRRR: 263 X: 16.4951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.410156 +INDEX GOES BRRR: 981 X: -2.62891 +INDEX GOES BRRR: 385 X: 24.124 +INDEX GOES BRRR: 1022 X: -0.107422 +INDEX GOES BRRR: 981 X: -2.65039 +INDEX GOES BRRR: 1000 X: -1.46777 +INDEX GOES BRRR: 241 X: 15.0908 +INDEX GOES BRRR: 21 X: 1.36133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.1221 +INDEX GOES BRRR: 22 X: 1.37891 +INDEX GOES BRRR: 232 X: 14.5498 +INDEX GOES BRRR: 72 X: 4.50195 +INDEX GOES BRRR: 806 X: -13.625 +INDEX GOES BRRR: 110 X: 6.90332 +INDEX GOES BRRR: 276 X: 17.293 +INDEX GOES BRRR: 30 X: 1.91309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.42871 +INDEX GOES BRRR: 0 X: 0.0361328 +INDEX GOES BRRR: 989 X: -2.16699 +INDEX GOES BRRR: 495 X: 30.958 +INDEX GOES BRRR: 422 X: 26.417 +INDEX GOES BRRR: 111 X: 6.99707 +INDEX GOES BRRR: 370 X: 23.167 +INDEX GOES BRRR: 51 X: 3.24414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.0889 +INDEX GOES BRRR: 118 X: 7.42383 +INDEX GOES BRRR: 944 X: -4.98926 +INDEX GOES BRRR: 221 X: 13.8604 +INDEX GOES BRRR: 876 X: -9.22852 +INDEX GOES BRRR: 1014 X: -0.619141 +INDEX GOES BRRR: 45 X: 2.87402 +INDEX GOES BRRR: 359 X: 22.4531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0488 +INDEX GOES BRRR: 205 X: 12.8359 +INDEX GOES BRRR: 295 X: 18.4912 +INDEX GOES BRRR: 310 X: 19.415 +INDEX GOES BRRR: 94 X: 5.91309 +INDEX GOES BRRR: 287 X: 17.9912 +INDEX GOES BRRR: 283 X: 17.7305 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 31 X: 1.99805 +INDEX GOES BRRR: 240 X: 15.0039 +INDEX GOES BRRR: 195 X: 12.2305 +INDEX GOES BRRR: 89 X: 5.56934 +INDEX GOES BRRR: 252 X: 15.7871 +INDEX GOES BRRR: 324 X: 20.3115 +INDEX GOES BRRR: 169 X: 10.6084 +INDEX GOES BRRR: 165 X: 10.333 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3389 +INDEX GOES BRRR: 1003 X: -1.27441 +INDEX GOES BRRR: 221 X: 13.8467 +INDEX GOES BRRR: 80 X: 5.01074 +INDEX GOES BRRR: 484 X: 30.2881 +INDEX GOES BRRR: 128 X: 8.00293 +INDEX GOES BRRR: 277 X: 17.3262 +INDEX GOES BRRR: 299 X: 18.7363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 419 X: 26.1934 +INDEX GOES BRRR: 355 X: 22.2383 +INDEX GOES BRRR: 142 X: 8.92578 +INDEX GOES BRRR: 216 X: 13.5068 +INDEX GOES BRRR: 200 X: 12.5469 +INDEX GOES BRRR: 39 X: 2.48828 +INDEX GOES BRRR: 24 X: 1.51172 +INDEX GOES BRRR: 94 X: 5.88184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.71289 +INDEX GOES BRRR: 214 X: 13.4346 +INDEX GOES BRRR: 414 X: 25.9209 +INDEX GOES BRRR: 244 X: 15.2676 +INDEX GOES BRRR: 128 X: 8.0459 +INDEX GOES BRRR: 906 X: -7.35352 +INDEX GOES BRRR: 107 X: 6.74219 +INDEX GOES BRRR: 232 X: 14.5068 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.69629 +INDEX GOES BRRR: 905 X: -7.39062 +INDEX GOES BRRR: 65 X: 4.10352 +INDEX GOES BRRR: 957 X: -4.12793 +INDEX GOES BRRR: 291 X: 18.1934 +INDEX GOES BRRR: 260 X: 16.3027 +INDEX GOES BRRR: 995 X: -1.77246 +INDEX GOES BRRR: 183 X: 11.4697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 862 X: -10.0889 +INDEX GOES BRRR: 922 X: -6.36523 +INDEX GOES BRRR: 64 X: 4.0127 +INDEX GOES BRRR: 118 X: 7.39648 +INDEX GOES BRRR: 141 X: 8.84863 +INDEX GOES BRRR: 87 X: 5.47266 +INDEX GOES BRRR: 386 X: 24.1289 +INDEX GOES BRRR: 371 X: 23.209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.22461 +INDEX GOES BRRR: 87 X: 5.45703 +INDEX GOES BRRR: 109 X: 6.87402 +INDEX GOES BRRR: 98 X: 6.1543 +INDEX GOES BRRR: 168 X: 10.5049 +INDEX GOES BRRR: 175 X: 10.9727 +INDEX GOES BRRR: 985 X: -2.39648 +INDEX GOES BRRR: 301 X: 18.835 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9277 +INDEX GOES BRRR: 196 X: 12.2969 +INDEX GOES BRRR: 979 X: -2.7627 +INDEX GOES BRRR: 73 X: 4.56934 +INDEX GOES BRRR: 223 X: 13.999 +INDEX GOES BRRR: 122 X: 7.64258 +INDEX GOES BRRR: 165 X: 10.3232 +INDEX GOES BRRR: 156 X: 9.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.9248 +INDEX GOES BRRR: 915 X: -6.75098 +INDEX GOES BRRR: 216 X: 13.541 +INDEX GOES BRRR: 188 X: 11.7725 +INDEX GOES BRRR: 118 X: 7.42773 +INDEX GOES BRRR: 210 X: 13.165 +INDEX GOES BRRR: 199 X: 12.4707 +INDEX GOES BRRR: 179 X: 11.1885 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.168945 +INDEX GOES BRRR: 129 X: 8.08789 +INDEX GOES BRRR: 196 X: 12.2607 +INDEX GOES BRRR: 916 X: -6.69922 +INDEX GOES BRRR: 268 X: 16.793 +INDEX GOES BRRR: 332 X: 20.793 +INDEX GOES BRRR: 467 X: 29.2227 +INDEX GOES BRRR: 156 X: 9.75488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 270 X: 16.9199 +INDEX GOES BRRR: 218 X: 13.6494 +INDEX GOES BRRR: 88 X: 5.50293 +INDEX GOES BRRR: 219 X: 13.7021 +INDEX GOES BRRR: 217 X: 13.5713 +INDEX GOES BRRR: 281 X: 17.5996 +INDEX GOES BRRR: 268 X: 16.7744 +INDEX GOES BRRR: 252 X: 15.7598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.2363 +INDEX GOES BRRR: 969 X: -3.41797 +INDEX GOES BRRR: 408 X: 25.5166 +INDEX GOES BRRR: 318 X: 19.9248 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 355 X: 22.1973 +INDEX GOES BRRR: 222 X: 13.9004 +INDEX GOES BRRR: 1017 X: -0.380859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.27441 +INDEX GOES BRRR: 239 X: 14.9717 +INDEX GOES BRRR: 111 X: 6.97559 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 566 X: -28.6201 +INDEX GOES BRRR: 19 X: 1.19531 +INDEX GOES BRRR: 258 X: 16.1582 +INDEX GOES BRRR: 50 X: 3.17969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 892 X: -8.24414 +INDEX GOES BRRR: 331 X: 20.707 +INDEX GOES BRRR: 947 X: -4.75879 +INDEX GOES BRRR: 170 X: 10.666 +INDEX GOES BRRR: 221 X: 13.8662 +INDEX GOES BRRR: 1015 X: -0.538086 +INDEX GOES BRRR: 181 X: 11.3213 +INDEX GOES BRRR: 918 X: -6.59766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 887 X: -8.56055 +INDEX GOES BRRR: 225 X: 14.0928 +INDEX GOES BRRR: 328 X: 20.502 +INDEX GOES BRRR: 818 X: -12.8203 +INDEX GOES BRRR: 168 X: 10.5098 +INDEX GOES BRRR: 125 X: 7.86621 +INDEX GOES BRRR: 286 X: 17.9141 +INDEX GOES BRRR: 182 X: 11.3809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3145 +INDEX GOES BRRR: 56 X: 3.52734 +INDEX GOES BRRR: 290 X: 18.1484 +INDEX GOES BRRR: 211 X: 13.21 +INDEX GOES BRRR: 117 X: 7.35449 +INDEX GOES BRRR: 45 X: 2.86719 +INDEX GOES BRRR: 44 X: 2.80273 +INDEX GOES BRRR: 111 X: 6.97168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1005 X: -1.1377 +INDEX GOES BRRR: 184 X: 11.5312 +INDEX GOES BRRR: 314 X: 19.625 +INDEX GOES BRRR: 141 X: 8.84961 +INDEX GOES BRRR: 336 X: 21.0596 +INDEX GOES BRRR: 411 X: 25.7285 +INDEX GOES BRRR: 115 X: 7.22949 +INDEX GOES BRRR: 342 X: 21.4209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.6885 +INDEX GOES BRRR: 908 X: -7.18848 +INDEX GOES BRRR: 2 X: 0.168945 +INDEX GOES BRRR: 355 X: 22.1885 +INDEX GOES BRRR: 1019 X: -0.292969 +INDEX GOES BRRR: 254 X: 15.9316 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 741 X: -17.6699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.60547 +INDEX GOES BRRR: 117 X: 7.36914 +INDEX GOES BRRR: 357 X: 22.335 +INDEX GOES BRRR: 74 X: 4.66016 +INDEX GOES BRRR: 406 X: 25.4082 +INDEX GOES BRRR: 177 X: 11.0908 +INDEX GOES BRRR: 76 X: 4.76562 +INDEX GOES BRRR: 140 X: 8.79102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4277 +INDEX GOES BRRR: 202 X: 12.6455 +INDEX GOES BRRR: 53 X: 3.32129 +INDEX GOES BRRR: 6 X: 0.408203 +INDEX GOES BRRR: 429 X: 26.8252 +INDEX GOES BRRR: 200 X: 12.5127 +INDEX GOES BRRR: 378 X: 23.6387 +INDEX GOES BRRR: 315 X: 19.7451 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0371 +INDEX GOES BRRR: 339 X: 21.1992 +INDEX GOES BRRR: 158 X: 9.89941 +INDEX GOES BRRR: 102 X: 6.39062 +INDEX GOES BRRR: 127 X: 7.94922 +INDEX GOES BRRR: 216 X: 13.5 +INDEX GOES BRRR: 173 X: 10.8701 +INDEX GOES BRRR: 401 X: 25.0801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.333984 +INDEX GOES BRRR: 65 X: 4.08789 +INDEX GOES BRRR: 996 X: -1.69141 +INDEX GOES BRRR: 185 X: 11.5986 +INDEX GOES BRRR: 29 X: 1.82617 +INDEX GOES BRRR: 350 X: 21.8965 +INDEX GOES BRRR: 369 X: 23.1133 +INDEX GOES BRRR: 331 X: 20.7129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9609 +INDEX GOES BRRR: 305 X: 19.1006 +INDEX GOES BRRR: 127 X: 7.97461 +INDEX GOES BRRR: 37 X: 2.31445 +INDEX GOES BRRR: 81 X: 5.11816 +INDEX GOES BRRR: 221 X: 13.8184 +INDEX GOES BRRR: 18 X: 1.18262 +INDEX GOES BRRR: 185 X: 11.5762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 300 X: 18.7803 +INDEX GOES BRRR: 351 X: 21.9971 +INDEX GOES BRRR: 229 X: 14.3662 +INDEX GOES BRRR: 56 X: 3.50586 +INDEX GOES BRRR: 22 X: 1.41797 +INDEX GOES BRRR: 87 X: 5.47852 +INDEX GOES BRRR: 81 X: 5.09375 +INDEX GOES BRRR: 88 X: 5.51465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 906 X: -7.3291 +INDEX GOES BRRR: 402 X: 25.1719 +INDEX GOES BRRR: 64 X: 4.03125 +INDEX GOES BRRR: 134 X: 8.37598 +INDEX GOES BRRR: 32 X: 2.02344 +INDEX GOES BRRR: 330 X: 20.6572 +INDEX GOES BRRR: 207 X: 12.9668 +INDEX GOES BRRR: 974 X: -3.10156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 286 X: 17.9287 +INDEX GOES BRRR: 150 X: 9.39746 +INDEX GOES BRRR: 305 X: 19.1182 +INDEX GOES BRRR: 130 X: 8.1748 +INDEX GOES BRRR: 115 X: 7.2334 +INDEX GOES BRRR: 256 X: 16.0449 +INDEX GOES BRRR: 220 X: 13.7549 +INDEX GOES BRRR: 108 X: 6.77148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1357 +INDEX GOES BRRR: 163 X: 10.2354 +INDEX GOES BRRR: 204 X: 12.7979 +INDEX GOES BRRR: 135 X: 8.46484 +INDEX GOES BRRR: 241 X: 15.0664 +INDEX GOES BRRR: 884 X: -8.69336 +INDEX GOES BRRR: 309 X: 19.374 +INDEX GOES BRRR: 216 X: 13.5117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 288 X: 18.0566 +INDEX GOES BRRR: 199 X: 12.4561 +INDEX GOES BRRR: 360 X: 22.5391 +INDEX GOES BRRR: 981 X: -2.62891 +INDEX GOES BRRR: 70 X: 4.38086 +INDEX GOES BRRR: 49 X: 3.10938 +INDEX GOES BRRR: 355 X: 22.1992 +INDEX GOES BRRR: 32 X: 2.05762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1008 X: -0.973633 +INDEX GOES BRRR: 175 X: 10.9463 +INDEX GOES BRRR: 192 X: 12.0117 +INDEX GOES BRRR: 51 X: 3.18945 +INDEX GOES BRRR: 127 X: 7.96777 +INDEX GOES BRRR: 197 X: 12.334 +INDEX GOES BRRR: 204 X: 12.7988 +INDEX GOES BRRR: 142 X: 8.92676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.56934 +INDEX GOES BRRR: 757 X: -16.6514 +INDEX GOES BRRR: 161 X: 10.1084 +INDEX GOES BRRR: 903 X: -7.53027 +INDEX GOES BRRR: 254 X: 15.875 +INDEX GOES BRRR: 297 X: 18.5791 +INDEX GOES BRRR: 236 X: 14.7695 +INDEX GOES BRRR: 88 X: 5.5 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.21484 +INDEX GOES BRRR: 73 X: 4.57129 +INDEX GOES BRRR: 277 X: 17.3555 +INDEX GOES BRRR: 156 X: 9.76172 +INDEX GOES BRRR: 154 X: 9.67871 +INDEX GOES BRRR: 966 X: -3.59375 +INDEX GOES BRRR: 212 X: 13.2686 +INDEX GOES BRRR: 968 X: -3.45605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 454 X: 28.4189 +INDEX GOES BRRR: 973 X: -3.13574 +INDEX GOES BRRR: 354 X: 22.1797 +INDEX GOES BRRR: 58 X: 3.66016 +INDEX GOES BRRR: 87 X: 5.44238 +INDEX GOES BRRR: 55 X: 3.44043 +INDEX GOES BRRR: 7 X: 0.44043 +INDEX GOES BRRR: 981 X: -2.68652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.2285 +INDEX GOES BRRR: 270 X: 16.8896 +INDEX GOES BRRR: 98 X: 6.18555 +INDEX GOES BRRR: 91 X: 5.73242 +INDEX GOES BRRR: 364 X: 22.7842 +INDEX GOES BRRR: 938 X: -5.33691 +INDEX GOES BRRR: 103 X: 6.49805 +INDEX GOES BRRR: 55 X: 3.49316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 128 X: 8.0166 +INDEX GOES BRRR: 952 X: -4.44434 +INDEX GOES BRRR: 215 X: 13.4912 +INDEX GOES BRRR: 90 X: 5.67773 +INDEX GOES BRRR: 349 X: 21.8418 +INDEX GOES BRRR: 93 X: 5.81543 +INDEX GOES BRRR: 252 X: 15.8076 +INDEX GOES BRRR: 5 X: 0.322266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 372 X: 23.3105 +INDEX GOES BRRR: 12 X: 0.774414 +INDEX GOES BRRR: 177 X: 11.0947 +INDEX GOES BRRR: 149 X: 9.31836 +INDEX GOES BRRR: 165 X: 10.3721 +INDEX GOES BRRR: 256 X: 16.0215 +INDEX GOES BRRR: 217 X: 13.5771 +INDEX GOES BRRR: 305 X: 19.0674 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.9248 +INDEX GOES BRRR: 4 X: 0.280273 +INDEX GOES BRRR: 1017 X: -0.421875 +INDEX GOES BRRR: 995 X: -1.79102 +INDEX GOES BRRR: 100 X: 6.25879 +INDEX GOES BRRR: 237 X: 14.8389 +INDEX GOES BRRR: 484 X: 30.2588 +INDEX GOES BRRR: 76 X: 4.79395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0469 +INDEX GOES BRRR: 83 X: 5.20898 +INDEX GOES BRRR: 12 X: 0.765625 +INDEX GOES BRRR: 260 X: 16.2686 +INDEX GOES BRRR: 130 X: 8.15234 +INDEX GOES BRRR: 313 X: 19.5781 +INDEX GOES BRRR: 81 X: 5.10547 +INDEX GOES BRRR: 227 X: 14.209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 790 X: -14.6074 +INDEX GOES BRRR: 342 X: 21.4287 +INDEX GOES BRRR: 167 X: 10.4746 +INDEX GOES BRRR: 948 X: -4.73926 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 990 X: -2.12109 +INDEX GOES BRRR: 188 X: 11.8018 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5693 +INDEX GOES BRRR: 350 X: 21.8975 +INDEX GOES BRRR: 1009 X: -0.907227 +INDEX GOES BRRR: 232 X: 14.5137 +INDEX GOES BRRR: 95 X: 5.96582 +INDEX GOES BRRR: 129 X: 8.12402 +INDEX GOES BRRR: 1010 X: -0.845703 +INDEX GOES BRRR: 7 X: 0.483398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5195 +INDEX GOES BRRR: 68 X: 4.29395 +INDEX GOES BRRR: 967 X: -3.51074 +INDEX GOES BRRR: 323 X: 20.2422 +INDEX GOES BRRR: 285 X: 17.8125 +INDEX GOES BRRR: 323 X: 20.1973 +INDEX GOES BRRR: 343 X: 21.4707 +INDEX GOES BRRR: 79 X: 4.9707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.17773 +INDEX GOES BRRR: 54 X: 3.39453 +INDEX GOES BRRR: 87 X: 5.44727 +INDEX GOES BRRR: 146 X: 9.12598 +INDEX GOES BRRR: 399 X: 24.9746 +INDEX GOES BRRR: 331 X: 20.7412 +INDEX GOES BRRR: 119 X: 7.46387 +INDEX GOES BRRR: 862 X: -10.0645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 954 X: -4.36426 +INDEX GOES BRRR: 174 X: 10.8887 +INDEX GOES BRRR: 990 X: -2.125 +INDEX GOES BRRR: 199 X: 12.4736 +INDEX GOES BRRR: 142 X: 8.93359 +INDEX GOES BRRR: 411 X: 25.749 +INDEX GOES BRRR: 179 X: 11.2373 +INDEX GOES BRRR: 77 X: 4.83984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 992 X: -1.97949 +INDEX GOES BRRR: 1007 X: -1.06055 +INDEX GOES BRRR: 39 X: 2.48438 +INDEX GOES BRRR: 225 X: 14.082 +INDEX GOES BRRR: 197 X: 12.3623 +INDEX GOES BRRR: 1 X: 0.09375 +INDEX GOES BRRR: 116 X: 7.29785 +INDEX GOES BRRR: 12 X: 0.779297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.7236 +INDEX GOES BRRR: 83 X: 5.24805 +INDEX GOES BRRR: 311 X: 19.4453 +INDEX GOES BRRR: 326 X: 20.4092 +INDEX GOES BRRR: 161 X: 10.0996 +INDEX GOES BRRR: 148 X: 9.30664 +INDEX GOES BRRR: 947 X: -4.80762 +INDEX GOES BRRR: 160 X: 10.0195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9219 +INDEX GOES BRRR: 889 X: -8.42285 +INDEX GOES BRRR: 170 X: 10.6328 +INDEX GOES BRRR: 122 X: 7.66602 +INDEX GOES BRRR: 92 X: 5.79297 +INDEX GOES BRRR: 9 X: 0.583008 +INDEX GOES BRRR: 188 X: 11.7891 +INDEX GOES BRRR: 343 X: 21.4893 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7393 +INDEX GOES BRRR: 130 X: 8.16309 +INDEX GOES BRRR: 63 X: 3.99121 +INDEX GOES BRRR: 254 X: 15.877 +INDEX GOES BRRR: 107 X: 6.72266 +INDEX GOES BRRR: 94 X: 5.87793 +INDEX GOES BRRR: 247 X: 15.4883 +INDEX GOES BRRR: 299 X: 18.7236 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.8486 +INDEX GOES BRRR: 49 X: 3.0752 +INDEX GOES BRRR: 286 X: 17.9219 +INDEX GOES BRRR: 314 X: 19.6475 +INDEX GOES BRRR: 179 X: 11.2012 +INDEX GOES BRRR: 293 X: 18.3477 +INDEX GOES BRRR: 995 X: -1.78711 +INDEX GOES BRRR: 303 X: 18.9824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 984 X: -2.45117 +INDEX GOES BRRR: 84 X: 5.29492 +INDEX GOES BRRR: 273 X: 17.1191 +INDEX GOES BRRR: 111 X: 6.96289 +INDEX GOES BRRR: 833 X: -11.9268 +INDEX GOES BRRR: 117 X: 7.37402 +INDEX GOES BRRR: 20 X: 1.26367 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.667 +INDEX GOES BRRR: 261 X: 16.3672 +INDEX GOES BRRR: 185 X: 11.585 +INDEX GOES BRRR: 225 X: 14.0801 +INDEX GOES BRRR: 313 X: 19.6143 +INDEX GOES BRRR: 202 X: 12.6562 +INDEX GOES BRRR: 946 X: -4.81738 +INDEX GOES BRRR: 382 X: 23.8965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 128 X: 8.04785 +INDEX GOES BRRR: 86 X: 5.38281 +INDEX GOES BRRR: 177 X: 11.1084 +INDEX GOES BRRR: 222 X: 13.9229 +INDEX GOES BRRR: 468 X: 29.3076 +INDEX GOES BRRR: 925 X: -6.12891 +INDEX GOES BRRR: 225 X: 14.1084 +INDEX GOES BRRR: 86 X: 5.39453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.79 +INDEX GOES BRRR: 215 X: 13.4658 +INDEX GOES BRRR: 363 X: 22.7412 +INDEX GOES BRRR: 992 X: -1.96191 +INDEX GOES BRRR: 341 X: 21.3262 +INDEX GOES BRRR: 254 X: 15.9258 +INDEX GOES BRRR: 160 X: 10.0146 +INDEX GOES BRRR: 907 X: -7.27051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 64 X: 4.01562 +INDEX GOES BRRR: 77 X: 4.8252 +INDEX GOES BRRR: 214 X: 13.4014 +INDEX GOES BRRR: 156 X: 9.76953 +INDEX GOES BRRR: 950 X: -4.58691 +INDEX GOES BRRR: 911 X: -7.06055 +INDEX GOES BRRR: 989 X: -2.15137 +INDEX GOES BRRR: 60 X: 3.80664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2422 +INDEX GOES BRRR: 90 X: 5.6582 +INDEX GOES BRRR: 252 X: 15.7803 +INDEX GOES BRRR: 184 X: 11.5234 +INDEX GOES BRRR: 152 X: 9.53223 +INDEX GOES BRRR: 62 X: 3.91895 +INDEX GOES BRRR: 171 X: 10.7471 +INDEX GOES BRRR: 962 X: -3.86719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.61133 +INDEX GOES BRRR: 18 X: 1.16797 +INDEX GOES BRRR: 964 X: -3.71582 +INDEX GOES BRRR: 204 X: 12.7588 +INDEX GOES BRRR: 324 X: 20.2578 +INDEX GOES BRRR: 93 X: 5.81934 +INDEX GOES BRRR: 160 X: 10.0234 +INDEX GOES BRRR: 466 X: 29.1865 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.70312 +INDEX GOES BRRR: 126 X: 7.91309 +INDEX GOES BRRR: 145 X: 9.07812 +INDEX GOES BRRR: 204 X: 12.8105 +INDEX GOES BRRR: 41 X: 2.60547 +INDEX GOES BRRR: 305 X: 19.0996 +INDEX GOES BRRR: 329 X: 20.5703 +INDEX GOES BRRR: 68 X: 4.25293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9922 +INDEX GOES BRRR: 320 X: 20.0195 +INDEX GOES BRRR: 399 X: 24.9736 +INDEX GOES BRRR: 281 X: 17.5742 +INDEX GOES BRRR: 258 X: 16.1426 +INDEX GOES BRRR: 933 X: -5.66406 +INDEX GOES BRRR: 994 X: -1.86621 +INDEX GOES BRRR: 187 X: 11.7031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3682 +INDEX GOES BRRR: 70 X: 4.40332 +INDEX GOES BRRR: 41 X: 2.62207 +INDEX GOES BRRR: 409 X: 25.6152 +INDEX GOES BRRR: 884 X: -8.72168 +INDEX GOES BRRR: 145 X: 9.1084 +INDEX GOES BRRR: 235 X: 14.7197 +INDEX GOES BRRR: 207 X: 12.9502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 940 X: -5.2207 +INDEX GOES BRRR: 298 X: 18.666 +INDEX GOES BRRR: 395 X: 24.7432 +INDEX GOES BRRR: 227 X: 14.1943 +INDEX GOES BRRR: 211 X: 13.2119 +INDEX GOES BRRR: 214 X: 13.3984 +INDEX GOES BRRR: 100 X: 6.30176 +INDEX GOES BRRR: 245 X: 15.3232 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0088 +INDEX GOES BRRR: 924 X: -6.2002 +INDEX GOES BRRR: 290 X: 18.1865 +INDEX GOES BRRR: 178 X: 11.1533 +INDEX GOES BRRR: 389 X: 24.3477 +INDEX GOES BRRR: 288 X: 18.0566 +INDEX GOES BRRR: 101 X: 6.31738 +INDEX GOES BRRR: 204 X: 12.8086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.78809 +INDEX GOES BRRR: 272 X: 17.0449 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 755 X: -16.7598 +INDEX GOES BRRR: 212 X: 13.2891 +INDEX GOES BRRR: 286 X: 17.9277 +INDEX GOES BRRR: 274 X: 17.1592 +INDEX GOES BRRR: 479 X: 29.9902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.77051 +INDEX GOES BRRR: 102 X: 6.42285 +INDEX GOES BRRR: 166 X: 10.4102 +INDEX GOES BRRR: 366 X: 22.9258 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 400 X: 25.0166 +INDEX GOES BRRR: 29 X: 1.81738 +INDEX GOES BRRR: 904 X: -7.47656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.11328 +INDEX GOES BRRR: 222 X: 13.9082 +INDEX GOES BRRR: 434 X: 27.1377 +INDEX GOES BRRR: 78 X: 4.93066 +INDEX GOES BRRR: 212 X: 13.3027 +INDEX GOES BRRR: 161 X: 10.1074 +INDEX GOES BRRR: 99 X: 6.19043 +INDEX GOES BRRR: 997 X: -1.6582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5391 +INDEX GOES BRRR: 982 X: -2.56836 +INDEX GOES BRRR: 481 X: 30.0762 +INDEX GOES BRRR: 107 X: 6.72363 +INDEX GOES BRRR: 202 X: 12.6553 +INDEX GOES BRRR: 140 X: 8.76855 +INDEX GOES BRRR: 194 X: 12.1475 +INDEX GOES BRRR: 268 X: 16.8066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.6875 +INDEX GOES BRRR: 75 X: 4.71289 +INDEX GOES BRRR: 999 X: -1.53809 +INDEX GOES BRRR: 249 X: 15.6152 +INDEX GOES BRRR: 42 X: 2.63086 +INDEX GOES BRRR: 795 X: -14.3057 +INDEX GOES BRRR: 290 X: 18.1826 +INDEX GOES BRRR: 43 X: 2.71582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4688 +INDEX GOES BRRR: 294 X: 18.4258 +INDEX GOES BRRR: 981 X: -2.68262 +INDEX GOES BRRR: 934 X: -5.57422 +INDEX GOES BRRR: 302 X: 18.9199 +INDEX GOES BRRR: 151 X: 9.48242 +INDEX GOES BRRR: 910 X: -7.07812 +INDEX GOES BRRR: 433 X: 27.0645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 977 X: -2.90039 +INDEX GOES BRRR: 59 X: 3.69434 +INDEX GOES BRRR: 84 X: 5.30176 +INDEX GOES BRRR: 71 X: 4.46484 +INDEX GOES BRRR: 58 X: 3.67871 +INDEX GOES BRRR: 228 X: 14.2988 +INDEX GOES BRRR: 68 X: 4.2832 +INDEX GOES BRRR: 7 X: 0.475586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.2012 +INDEX GOES BRRR: 75 X: 4.7041 +INDEX GOES BRRR: 325 X: 20.3467 +INDEX GOES BRRR: 67 X: 4.19727 +INDEX GOES BRRR: 133 X: 8.33496 +INDEX GOES BRRR: 989 X: -2.14941 +INDEX GOES BRRR: 778 X: -15.3457 +INDEX GOES BRRR: 868 X: -9.68848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.68848 +INDEX GOES BRRR: 289 X: 18.0664 +INDEX GOES BRRR: 242 X: 15.1797 +INDEX GOES BRRR: 134 X: 8.42188 +INDEX GOES BRRR: 115 X: 7.22363 +INDEX GOES BRRR: 19 X: 1.24902 +INDEX GOES BRRR: 295 X: 18.4883 +INDEX GOES BRRR: 122 X: 7.63281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.7939 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 80 X: 5.06152 +INDEX GOES BRRR: 358 X: 22.4053 +INDEX GOES BRRR: 952 X: -4.48633 +INDEX GOES BRRR: 378 X: 23.6318 +INDEX GOES BRRR: 262 X: 16.4229 +INDEX GOES BRRR: 130 X: 8.18066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.01562 +INDEX GOES BRRR: 409 X: 25.6211 +INDEX GOES BRRR: 142 X: 8.91504 +INDEX GOES BRRR: 389 X: 24.3662 +INDEX GOES BRRR: 964 X: -3.7168 +INDEX GOES BRRR: 75 X: 4.7334 +INDEX GOES BRRR: 196 X: 12.3076 +INDEX GOES BRRR: 255 X: 15.999 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.05859 +INDEX GOES BRRR: 1004 X: -1.25 +INDEX GOES BRRR: 200 X: 12.5469 +INDEX GOES BRRR: 25 X: 1.56836 +INDEX GOES BRRR: 990 X: -2.10449 +INDEX GOES BRRR: 980 X: -2.72461 +INDEX GOES BRRR: 36 X: 2.25684 +INDEX GOES BRRR: 133 X: 8.35156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3154 +INDEX GOES BRRR: 988 X: -2.21777 +INDEX GOES BRRR: 239 X: 14.9932 +INDEX GOES BRRR: 306 X: 19.1562 +INDEX GOES BRRR: 956 X: -4.19531 +INDEX GOES BRRR: 297 X: 18.585 +INDEX GOES BRRR: 256 X: 16.0615 +INDEX GOES BRRR: 1 X: 0.112305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 275 X: 17.2148 +INDEX GOES BRRR: 993 X: -1.88965 +INDEX GOES BRRR: 149 X: 9.35742 +INDEX GOES BRRR: 121 X: 7.57031 +INDEX GOES BRRR: 202 X: 12.6602 +INDEX GOES BRRR: 971 X: -3.27539 +INDEX GOES BRRR: 1003 X: -1.31152 +INDEX GOES BRRR: 75 X: 4.71191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.7832 +INDEX GOES BRRR: 44 X: 2.75098 +INDEX GOES BRRR: 928 X: -5.96094 +INDEX GOES BRRR: 65 X: 4.10742 +INDEX GOES BRRR: 30 X: 1.89062 +INDEX GOES BRRR: 219 X: 13.7148 +INDEX GOES BRRR: 862 X: -10.0684 +INDEX GOES BRRR: 92 X: 5.76074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.2061 +INDEX GOES BRRR: 913 X: -6.93555 +INDEX GOES BRRR: 301 X: 18.8447 +INDEX GOES BRRR: 1010 X: -0.839844 +INDEX GOES BRRR: 1019 X: -0.282227 +INDEX GOES BRRR: 138 X: 8.6416 +INDEX GOES BRRR: 233 X: 14.5703 +INDEX GOES BRRR: 79 X: 4.99023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.71777 +INDEX GOES BRRR: 243 X: 15.2139 +INDEX GOES BRRR: 93 X: 5.85352 +INDEX GOES BRRR: 265 X: 16.5801 +INDEX GOES BRRR: 288 X: 18.043 +INDEX GOES BRRR: 868 X: -9.72852 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 191 X: 11.9443 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 424 X: 26.541 +INDEX GOES BRRR: 358 X: 22.4053 +INDEX GOES BRRR: 470 X: 29.4336 +INDEX GOES BRRR: 297 X: 18.623 +INDEX GOES BRRR: 189 X: 11.8174 +INDEX GOES BRRR: 226 X: 14.1484 +INDEX GOES BRRR: 102 X: 6.38379 +INDEX GOES BRRR: 353 X: 22.0771 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.12207 +INDEX GOES BRRR: 342 X: 21.4189 +INDEX GOES BRRR: 352 X: 22.0459 +INDEX GOES BRRR: 197 X: 12.3467 +INDEX GOES BRRR: 1005 X: -1.15137 +INDEX GOES BRRR: 34 X: 2.1582 +INDEX GOES BRRR: 233 X: 14.5625 +INDEX GOES BRRR: 7 X: 0.438477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0049 +INDEX GOES BRRR: 110 X: 6.92578 +INDEX GOES BRRR: 141 X: 8.85547 +INDEX GOES BRRR: 901 X: -7.68457 +INDEX GOES BRRR: 151 X: 9.46875 +INDEX GOES BRRR: 196 X: 12.291 +INDEX GOES BRRR: 969 X: -3.41113 +INDEX GOES BRRR: 348 X: 21.7705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 968 X: -3.49609 +INDEX GOES BRRR: 310 X: 19.4121 +INDEX GOES BRRR: 156 X: 9.77148 +INDEX GOES BRRR: 359 X: 22.4951 +INDEX GOES BRRR: 154 X: 9.66895 +INDEX GOES BRRR: 381 X: 23.8682 +INDEX GOES BRRR: 68 X: 4.2627 +INDEX GOES BRRR: 894 X: -8.08496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 922 X: -6.34375 +INDEX GOES BRRR: 217 X: 13.5752 +INDEX GOES BRRR: 14 X: 0.920898 +INDEX GOES BRRR: 65 X: 4.12109 +INDEX GOES BRRR: 129 X: 8.07715 +INDEX GOES BRRR: 934 X: -5.57031 +INDEX GOES BRRR: 1023 X: -0.0136719 +INDEX GOES BRRR: 211 X: 13.2324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 985 X: -2.43262 +INDEX GOES BRRR: 159 X: 9.94434 +INDEX GOES BRRR: 341 X: 21.3506 +INDEX GOES BRRR: 205 X: 12.8574 +INDEX GOES BRRR: 122 X: 7.64551 +INDEX GOES BRRR: 233 X: 14.5977 +INDEX GOES BRRR: 995 X: -1.78906 +INDEX GOES BRRR: 998 X: -1.59375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 343 X: 21.46 +INDEX GOES BRRR: 170 X: 10.6357 +INDEX GOES BRRR: 349 X: 21.8633 +INDEX GOES BRRR: 992 X: -2 +INDEX GOES BRRR: 193 X: 12.0693 +INDEX GOES BRRR: 236 X: 14.7607 +INDEX GOES BRRR: 183 X: 11.4854 +INDEX GOES BRRR: 120 X: 7.5459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.9111 +INDEX GOES BRRR: 402 X: 25.1689 +INDEX GOES BRRR: 135 X: 8.45117 +INDEX GOES BRRR: 153 X: 9.58105 +INDEX GOES BRRR: 384 X: 24 +INDEX GOES BRRR: 353 X: 22.0781 +INDEX GOES BRRR: 205 X: 12.8389 +INDEX GOES BRRR: 151 X: 9.45605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.88184 +INDEX GOES BRRR: 114 X: 7.18359 +INDEX GOES BRRR: 978 X: -2.8623 +INDEX GOES BRRR: 398 X: 24.8789 +INDEX GOES BRRR: 162 X: 10.1709 +INDEX GOES BRRR: 417 X: 26.1201 +INDEX GOES BRRR: 336 X: 21.0127 +INDEX GOES BRRR: 189 X: 11.8125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.81445 +INDEX GOES BRRR: 6 X: 0.382812 +INDEX GOES BRRR: 75 X: 4.69238 +INDEX GOES BRRR: 183 X: 11.4863 +INDEX GOES BRRR: 286 X: 17.9238 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 14 X: 0.90625 +INDEX GOES BRRR: 292 X: 18.2734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.70312 +INDEX GOES BRRR: 158 X: 9.90039 +INDEX GOES BRRR: 451 X: 28.2314 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 213 X: 13.3125 +INDEX GOES BRRR: 79 X: 4.94531 +INDEX GOES BRRR: 43 X: 2.70703 +INDEX GOES BRRR: 206 X: 12.8945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.1045 +INDEX GOES BRRR: 159 X: 9.95117 +INDEX GOES BRRR: 174 X: 10.8984 +INDEX GOES BRRR: 268 X: 16.79 +INDEX GOES BRRR: 225 X: 14.0684 +INDEX GOES BRRR: 132 X: 8.25098 +INDEX GOES BRRR: 385 X: 24.0986 +INDEX GOES BRRR: 127 X: 7.99805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 423 X: 26.4658 +INDEX GOES BRRR: 97 X: 6.09863 +INDEX GOES BRRR: 22 X: 1.37598 +INDEX GOES BRRR: 114 X: 7.16602 +INDEX GOES BRRR: 24 X: 1.50781 +INDEX GOES BRRR: 119 X: 7.45215 +INDEX GOES BRRR: 208 X: 13.0273 +INDEX GOES BRRR: 926 X: -6.1084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.76074 +INDEX GOES BRRR: 200 X: 12.5518 +INDEX GOES BRRR: 229 X: 14.3545 +INDEX GOES BRRR: 953 X: -4.43652 +INDEX GOES BRRR: 150 X: 9.37891 +INDEX GOES BRRR: 315 X: 19.748 +INDEX GOES BRRR: 285 X: 17.8145 +INDEX GOES BRRR: 106 X: 6.65918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.43555 +INDEX GOES BRRR: 482 X: 30.1523 +INDEX GOES BRRR: 442 X: 27.667 +INDEX GOES BRRR: 157 X: 9.83105 +INDEX GOES BRRR: 272 X: 17.0371 +INDEX GOES BRRR: 25 X: 1.57422 +INDEX GOES BRRR: 841 X: -11.4014 +INDEX GOES BRRR: 125 X: 7.86523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.08789 +INDEX GOES BRRR: 363 X: 22.6885 +INDEX GOES BRRR: 942 X: -5.08887 +INDEX GOES BRRR: 90 X: 5.63965 +INDEX GOES BRRR: 399 X: 24.9502 +INDEX GOES BRRR: 289 X: 18.0938 +INDEX GOES BRRR: 444 X: 27.7754 +INDEX GOES BRRR: 160 X: 10.0127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 903 X: -7.54297 +INDEX GOES BRRR: 389 X: 24.3301 +INDEX GOES BRRR: 195 X: 12.2441 +INDEX GOES BRRR: 1002 X: -1.37109 +INDEX GOES BRRR: 151 X: 9.43945 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 943 X: -5.05371 +INDEX GOES BRRR: 284 X: 17.8086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 0 X: 0.0429688 +INDEX GOES BRRR: 228 X: 14.2676 +INDEX GOES BRRR: 974 X: -3.09961 +INDEX GOES BRRR: 214 X: 13.4199 +INDEX GOES BRRR: 118 X: 7.4082 +INDEX GOES BRRR: 210 X: 13.1572 +INDEX GOES BRRR: 365 X: 22.834 +INDEX GOES BRRR: 151 X: 9.44727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.80273 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 467 X: 29.2256 +INDEX GOES BRRR: 183 X: 11.4541 +INDEX GOES BRRR: 288 X: 18.0361 +INDEX GOES BRRR: 294 X: 18.4004 +INDEX GOES BRRR: 201 X: 12.5791 +INDEX GOES BRRR: 153 X: 9.59961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 992 X: -1.94727 +INDEX GOES BRRR: 216 X: 13.5166 +INDEX GOES BRRR: 173 X: 10.834 +INDEX GOES BRRR: 250 X: 15.626 +INDEX GOES BRRR: 235 X: 14.7285 +INDEX GOES BRRR: 255 X: 15.9639 +INDEX GOES BRRR: 77 X: 4.84375 +INDEX GOES BRRR: 315 X: 19.7041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.31445 +INDEX GOES BRRR: 120 X: 7.55078 +INDEX GOES BRRR: 245 X: 15.3311 +INDEX GOES BRRR: 1019 X: -0.280273 +INDEX GOES BRRR: 1021 X: -0.186523 +INDEX GOES BRRR: 76 X: 4.75391 +INDEX GOES BRRR: 218 X: 13.6357 +INDEX GOES BRRR: 84 X: 5.28711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 960 X: -3.99414 +INDEX GOES BRRR: 19 X: 1.24609 +INDEX GOES BRRR: 879 X: -9.00977 +INDEX GOES BRRR: 75 X: 4.71582 +INDEX GOES BRRR: 175 X: 10.9814 +INDEX GOES BRRR: 343 X: 21.4688 +INDEX GOES BRRR: 98 X: 6.14453 +INDEX GOES BRRR: 46 X: 2.8877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1017 X: -0.410156 +INDEX GOES BRRR: 41 X: 2.5918 +INDEX GOES BRRR: 130 X: 8.13574 +INDEX GOES BRRR: 207 X: 12.9883 +INDEX GOES BRRR: 279 X: 17.459 +INDEX GOES BRRR: 102 X: 6.37695 +INDEX GOES BRRR: 193 X: 12.0859 +INDEX GOES BRRR: 273 X: 17.085 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.3457 +INDEX GOES BRRR: 220 X: 13.7764 +INDEX GOES BRRR: 969 X: -3.4209 +INDEX GOES BRRR: 146 X: 9.16406 +INDEX GOES BRRR: 155 X: 9.70117 +INDEX GOES BRRR: 491 X: 30.7461 +INDEX GOES BRRR: 837 X: -11.6631 +INDEX GOES BRRR: 138 X: 8.65039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9043 +INDEX GOES BRRR: 8 X: 0.529297 +INDEX GOES BRRR: 1 X: 0.0751953 +INDEX GOES BRRR: 30 X: 1.91406 +INDEX GOES BRRR: 165 X: 10.3193 +INDEX GOES BRRR: 71 X: 4.49414 +INDEX GOES BRRR: 261 X: 16.3682 +INDEX GOES BRRR: 112 X: 7.05859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.15039 +INDEX GOES BRRR: 177 X: 11.0986 +INDEX GOES BRRR: 302 X: 18.9307 +INDEX GOES BRRR: 64 X: 4.02539 +INDEX GOES BRRR: 933 X: -5.64062 +INDEX GOES BRRR: 81 X: 5.0918 +INDEX GOES BRRR: 198 X: 12.4307 +INDEX GOES BRRR: 306 X: 19.1328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 236 X: 14.7773 +INDEX GOES BRRR: 1015 X: -0.55957 +INDEX GOES BRRR: 6 X: 0.410156 +INDEX GOES BRRR: 240 X: 15.0127 +INDEX GOES BRRR: 1008 X: -0.953125 +INDEX GOES BRRR: 442 X: 27.6309 +INDEX GOES BRRR: 199 X: 12.4512 +INDEX GOES BRRR: 88 X: 5.52832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 952 X: -4.44824 +INDEX GOES BRRR: 94 X: 5.93652 +INDEX GOES BRRR: 172 X: 10.7607 +INDEX GOES BRRR: 129 X: 8.08496 +INDEX GOES BRRR: 66 X: 4.1543 +INDEX GOES BRRR: 236 X: 14.7822 +INDEX GOES BRRR: 245 X: 15.3145 +INDEX GOES BRRR: 964 X: -3.69727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 37 X: 2.3291 +INDEX GOES BRRR: 66 X: 4.16602 +INDEX GOES BRRR: 1017 X: -0.387695 +INDEX GOES BRRR: 224 X: 14.043 +INDEX GOES BRRR: 129 X: 8.08887 +INDEX GOES BRRR: 179 X: 11.207 +INDEX GOES BRRR: 298 X: 18.6318 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8594 +INDEX GOES BRRR: 249 X: 15.5693 +INDEX GOES BRRR: 784 X: -14.9717 +INDEX GOES BRRR: 67 X: 4.19043 +INDEX GOES BRRR: 236 X: 14.7949 +INDEX GOES BRRR: 231 X: 14.4473 +INDEX GOES BRRR: 100 X: 6.2959 +INDEX GOES BRRR: 753 X: -16.8906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 824 X: -12.4531 +INDEX GOES BRRR: 285 X: 17.8174 +INDEX GOES BRRR: 932 X: -5.73047 +INDEX GOES BRRR: 290 X: 18.1357 +INDEX GOES BRRR: 55 X: 3.44531 +INDEX GOES BRRR: 290 X: 18.1836 +INDEX GOES BRRR: 403 X: 25.2314 +INDEX GOES BRRR: 54 X: 3.39746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1445 +INDEX GOES BRRR: 9 X: 0.569336 +INDEX GOES BRRR: 176 X: 11.0342 +INDEX GOES BRRR: 22 X: 1.40039 +INDEX GOES BRRR: 169 X: 10.6025 +INDEX GOES BRRR: 93 X: 5.83008 +INDEX GOES BRRR: 447 X: 27.9453 +INDEX GOES BRRR: 334 X: 20.8818 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.67383 +INDEX GOES BRRR: 875 X: -9.27441 +INDEX GOES BRRR: 959 X: -4.00195 +INDEX GOES BRRR: 100 X: 6.25098 +INDEX GOES BRRR: 226 X: 14.1318 +INDEX GOES BRRR: 93 X: 5.81348 +INDEX GOES BRRR: 122 X: 7.67969 +INDEX GOES BRRR: 832 X: -11.9551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 870 X: -9.57617 +INDEX GOES BRRR: 999 X: -1.53125 +INDEX GOES BRRR: 116 X: 7.28711 +INDEX GOES BRRR: 40 X: 2.50781 +INDEX GOES BRRR: 29 X: 1.82617 +INDEX GOES BRRR: 56 X: 3.53711 +INDEX GOES BRRR: 93 X: 5.86133 +INDEX GOES BRRR: 404 X: 25.2744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.2471 +INDEX GOES BRRR: 281 X: 17.5723 +INDEX GOES BRRR: 251 X: 15.7393 +INDEX GOES BRRR: 85 X: 5.31641 +INDEX GOES BRRR: 241 X: 15.0732 +INDEX GOES BRRR: 279 X: 17.4395 +INDEX GOES BRRR: 324 X: 20.3115 +INDEX GOES BRRR: 905 X: -7.3877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6699 +INDEX GOES BRRR: 242 X: 15.1572 +INDEX GOES BRRR: 937 X: -5.38965 +INDEX GOES BRRR: 873 X: -9.43359 +INDEX GOES BRRR: 231 X: 14.4375 +INDEX GOES BRRR: 387 X: 24.2373 +INDEX GOES BRRR: 3 X: 0.199219 +INDEX GOES BRRR: 44 X: 2.79102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.65723 +INDEX GOES BRRR: 245 X: 15.3438 +INDEX GOES BRRR: 821 X: -12.6709 +INDEX GOES BRRR: 974 X: -3.08984 +INDEX GOES BRRR: 149 X: 9.35254 +INDEX GOES BRRR: 270 X: 16.9258 +INDEX GOES BRRR: 230 X: 14.3955 +INDEX GOES BRRR: 152 X: 9.51367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 982 X: -2.56348 +INDEX GOES BRRR: 931 X: -5.78125 +INDEX GOES BRRR: 279 X: 17.4619 +INDEX GOES BRRR: 805 X: -13.6328 +INDEX GOES BRRR: 96 X: 6.03516 +INDEX GOES BRRR: 105 X: 6.60645 +INDEX GOES BRRR: 997 X: -1.62988 +INDEX GOES BRRR: 76 X: 4.75879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.25 +INDEX GOES BRRR: 37 X: 2.33887 +INDEX GOES BRRR: 232 X: 14.5166 +INDEX GOES BRRR: 324 X: 20.252 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 943 X: -5.04102 +INDEX GOES BRRR: 1019 X: -0.307617 +INDEX GOES BRRR: 1011 X: -0.787109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 415 X: 25.9463 +INDEX GOES BRRR: 461 X: 28.8721 +INDEX GOES BRRR: 1019 X: -0.270508 +INDEX GOES BRRR: 239 X: 14.9551 +INDEX GOES BRRR: 870 X: -9.5918 +INDEX GOES BRRR: 293 X: 18.3574 +INDEX GOES BRRR: 41 X: 2.56836 +INDEX GOES BRRR: 290 X: 18.1602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.91602 +INDEX GOES BRRR: 61 X: 3.82227 +INDEX GOES BRRR: 32 X: 2.00488 +INDEX GOES BRRR: 194 X: 12.1396 +INDEX GOES BRRR: 126 X: 7.89746 +INDEX GOES BRRR: 148 X: 9.26855 +INDEX GOES BRRR: 33 X: 2.06836 +INDEX GOES BRRR: 302 X: 18.916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3691 +INDEX GOES BRRR: 160 X: 10.0166 +INDEX GOES BRRR: 249 X: 15.585 +INDEX GOES BRRR: 155 X: 9.74414 +INDEX GOES BRRR: 8 X: 0.541992 +INDEX GOES BRRR: 82 X: 5.1748 +INDEX GOES BRRR: 134 X: 8.43164 +INDEX GOES BRRR: 98 X: 6.17578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.22852 +INDEX GOES BRRR: 66 X: 4.17383 +INDEX GOES BRRR: 338 X: 21.1416 +INDEX GOES BRRR: 87 X: 5.45605 +INDEX GOES BRRR: 124 X: 7.76855 +INDEX GOES BRRR: 240 X: 15.042 +INDEX GOES BRRR: 131 X: 8.20508 +INDEX GOES BRRR: 1014 X: -0.585938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.625 +INDEX GOES BRRR: 60 X: 3.76758 +INDEX GOES BRRR: 244 X: 15.252 +INDEX GOES BRRR: 928 X: -5.98242 +INDEX GOES BRRR: 408 X: 25.5586 +INDEX GOES BRRR: 426 X: 26.6797 +INDEX GOES BRRR: 934 X: -5.57031 +INDEX GOES BRRR: 233 X: 14.624 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 268 X: 16.7715 +INDEX GOES BRRR: 337 X: 21.0957 +INDEX GOES BRRR: 123 X: 7.70898 +INDEX GOES BRRR: 312 X: 19.5322 +INDEX GOES BRRR: 219 X: 13.7168 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1005 X: -1.18359 +INDEX GOES BRRR: 962 X: -3.86621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 802 X: -13.8203 +INDEX GOES BRRR: 226 X: 14.1826 +INDEX GOES BRRR: 253 X: 15.835 +INDEX GOES BRRR: 330 X: 20.6748 +INDEX GOES BRRR: 121 X: 7.59277 +INDEX GOES BRRR: 14 X: 0.918945 +INDEX GOES BRRR: 976 X: -2.93945 +INDEX GOES BRRR: 128 X: 8.03516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.9248 +INDEX GOES BRRR: 1001 X: -1.40918 +INDEX GOES BRRR: 892 X: -8.23047 +INDEX GOES BRRR: 3 X: 0.192383 +INDEX GOES BRRR: 319 X: 19.9492 +INDEX GOES BRRR: 775 X: -15.5205 +INDEX GOES BRRR: 243 X: 15.2393 +INDEX GOES BRRR: 798 X: -14.085 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3203 +INDEX GOES BRRR: 406 X: 25.4307 +INDEX GOES BRRR: 455 X: 28.4805 +INDEX GOES BRRR: 76 X: 4.79688 +INDEX GOES BRRR: 297 X: 18.5986 +INDEX GOES BRRR: 39 X: 2.47266 +INDEX GOES BRRR: 407 X: 25.4404 +INDEX GOES BRRR: 94 X: 5.90234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 913 X: -6.8916 +INDEX GOES BRRR: 205 X: 12.835 +INDEX GOES BRRR: 340 X: 21.2705 +INDEX GOES BRRR: 117 X: 7.31836 +INDEX GOES BRRR: 1013 X: -0.655273 +INDEX GOES BRRR: 158 X: 9.92773 +INDEX GOES BRRR: 186 X: 11.6807 +INDEX GOES BRRR: 918 X: -6.62207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0175781 +INDEX GOES BRRR: 387 X: 24.207 +INDEX GOES BRRR: 170 X: 10.6836 +INDEX GOES BRRR: 159 X: 9.94043 +INDEX GOES BRRR: 349 X: 21.8672 +INDEX GOES BRRR: 41 X: 2.61328 +INDEX GOES BRRR: 428 X: 26.7832 +INDEX GOES BRRR: 196 X: 12.2881 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.2529 +INDEX GOES BRRR: 915 X: -6.78027 +INDEX GOES BRRR: 894 X: -8.10254 +INDEX GOES BRRR: 171 X: 10.7236 +INDEX GOES BRRR: 52 X: 3.27637 +INDEX GOES BRRR: 369 X: 23.0693 +INDEX GOES BRRR: 202 X: 12.6748 +INDEX GOES BRRR: 73 X: 4.62402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.746094 +INDEX GOES BRRR: 386 X: 24.1865 +INDEX GOES BRRR: 49 X: 3.11914 +INDEX GOES BRRR: 439 X: 27.4941 +INDEX GOES BRRR: 233 X: 14.5908 +INDEX GOES BRRR: 469 X: 29.3389 +INDEX GOES BRRR: 254 X: 15.8984 +INDEX GOES BRRR: 65 X: 4.12402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.69531 +INDEX GOES BRRR: 236 X: 14.7754 +INDEX GOES BRRR: 208 X: 13.0498 +INDEX GOES BRRR: 978 X: -2.85352 +INDEX GOES BRRR: 48 X: 3.00098 +INDEX GOES BRRR: 18 X: 1.13672 +INDEX GOES BRRR: 93 X: 5.86816 +INDEX GOES BRRR: 308 X: 19.293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.8027 +INDEX GOES BRRR: 439 X: 27.4902 +INDEX GOES BRRR: 1008 X: -0.939453 +INDEX GOES BRRR: 225 X: 14.0801 +INDEX GOES BRRR: 303 X: 18.9805 +INDEX GOES BRRR: 995 X: -1.76855 +INDEX GOES BRRR: 104 X: 6.55273 +INDEX GOES BRRR: 76 X: 4.76465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.8955 +INDEX GOES BRRR: 81 X: 5.09277 +INDEX GOES BRRR: 274 X: 17.125 +INDEX GOES BRRR: 75 X: 4.69043 +INDEX GOES BRRR: 237 X: 14.8613 +INDEX GOES BRRR: 216 X: 13.5381 +INDEX GOES BRRR: 340 X: 21.2979 +INDEX GOES BRRR: 136 X: 8.54004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6318 +INDEX GOES BRRR: 126 X: 7.92969 +INDEX GOES BRRR: 196 X: 12.293 +INDEX GOES BRRR: 320 X: 20.0576 +INDEX GOES BRRR: 877 X: -9.13184 +INDEX GOES BRRR: 277 X: 17.3574 +INDEX GOES BRRR: 197 X: 12.3594 +INDEX GOES BRRR: 934 X: -5.6084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.26367 +INDEX GOES BRRR: 366 X: 22.8867 +INDEX GOES BRRR: 215 X: 13.4834 +INDEX GOES BRRR: 246 X: 15.4238 +INDEX GOES BRRR: 28 X: 1.7793 +INDEX GOES BRRR: 148 X: 9.25488 +INDEX GOES BRRR: 186 X: 11.6699 +INDEX GOES BRRR: 113 X: 7.06348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.03711 +INDEX GOES BRRR: 139 X: 8.70801 +INDEX GOES BRRR: 281 X: 17.583 +INDEX GOES BRRR: 242 X: 15.1826 +INDEX GOES BRRR: 223 X: 13.9492 +INDEX GOES BRRR: 472 X: 29.5234 +INDEX GOES BRRR: 50 X: 3.17383 +INDEX GOES BRRR: 311 X: 19.4844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 48 X: 3.04199 +INDEX GOES BRRR: 368 X: 23.041 +INDEX GOES BRRR: 365 X: 22.8145 +INDEX GOES BRRR: 27 X: 1.72559 +INDEX GOES BRRR: 410 X: 25.6387 +INDEX GOES BRRR: 280 X: 17.5615 +INDEX GOES BRRR: 989 X: -2.13477 +INDEX GOES BRRR: 211 X: 13.2314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.67383 +INDEX GOES BRRR: 189 X: 11.8223 +INDEX GOES BRRR: 166 X: 10.3975 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 828 X: -12.1943 +INDEX GOES BRRR: 33 X: 2.12012 +INDEX GOES BRRR: 236 X: 14.7852 +INDEX GOES BRRR: 344 X: 21.5439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.74121 +INDEX GOES BRRR: 240 X: 15.0234 +INDEX GOES BRRR: 143 X: 8.94727 +INDEX GOES BRRR: 196 X: 12.2783 +INDEX GOES BRRR: 321 X: 20.1113 +INDEX GOES BRRR: 877 X: -9.16406 +INDEX GOES BRRR: 15 X: 0.97168 +INDEX GOES BRRR: 233 X: 14.5996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.34375 +INDEX GOES BRRR: 141 X: 8.82324 +INDEX GOES BRRR: 12 X: 0.790039 +INDEX GOES BRRR: 325 X: 20.3721 +INDEX GOES BRRR: 990 X: -2.07031 +INDEX GOES BRRR: 969 X: -3.41895 +INDEX GOES BRRR: 255 X: 15.9551 +INDEX GOES BRRR: 972 X: -3.20703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 950 X: -4.6123 +INDEX GOES BRRR: 147 X: 9.24902 +INDEX GOES BRRR: 115 X: 7.20605 +INDEX GOES BRRR: 111 X: 6.98633 +INDEX GOES BRRR: 15 X: 0.974609 +INDEX GOES BRRR: 842 X: -11.3545 +INDEX GOES BRRR: 180 X: 11.2783 +INDEX GOES BRRR: 336 X: 21.0439 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9502 +INDEX GOES BRRR: 89 X: 5.57227 +INDEX GOES BRRR: 151 X: 9.46191 +INDEX GOES BRRR: 338 X: 21.1738 +INDEX GOES BRRR: 65 X: 4.06641 +INDEX GOES BRRR: 390 X: 24.4043 +INDEX GOES BRRR: 229 X: 14.335 +INDEX GOES BRRR: 93 X: 5.83984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.18652 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 173 X: 10.8691 +INDEX GOES BRRR: 132 X: 8.30176 +INDEX GOES BRRR: 233 X: 14.6221 +INDEX GOES BRRR: 78 X: 4.8877 +INDEX GOES BRRR: 165 X: 10.3379 +INDEX GOES BRRR: 43 X: 2.69336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.2373 +INDEX GOES BRRR: 839 X: -11.5234 +INDEX GOES BRRR: 276 X: 17.3096 +INDEX GOES BRRR: 200 X: 12.5166 +INDEX GOES BRRR: 16 X: 1 +INDEX GOES BRRR: 183 X: 11.4834 +INDEX GOES BRRR: 54 X: 3.39746 +INDEX GOES BRRR: 962 X: -3.82422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 175 X: 10.9404 +INDEX GOES BRRR: 191 X: 11.9531 +INDEX GOES BRRR: 154 X: 9.64941 +INDEX GOES BRRR: 223 X: 13.957 +INDEX GOES BRRR: 251 X: 15.7188 +INDEX GOES BRRR: 131 X: 8.21094 +INDEX GOES BRRR: 1 X: 0.0693359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 350 X: 21.9346 +INDEX GOES BRRR: 228 X: 14.2793 +INDEX GOES BRRR: 321 X: 20.0693 +INDEX GOES BRRR: 328 X: 20.5547 +INDEX GOES BRRR: 328 X: 20.5283 +INDEX GOES BRRR: 959 X: -4.04297 +INDEX GOES BRRR: 1015 X: -0.554688 +INDEX GOES BRRR: 194 X: 12.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.01758 +INDEX GOES BRRR: 64 X: 4.03711 +INDEX GOES BRRR: 1013 X: -0.666992 +INDEX GOES BRRR: 37 X: 2.3584 +INDEX GOES BRRR: 980 X: -2.72461 +INDEX GOES BRRR: 154 X: 9.68164 +INDEX GOES BRRR: 100 X: 6.30664 +INDEX GOES BRRR: 62 X: 3.92969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 265 X: 16.6123 +INDEX GOES BRRR: 966 X: -3.59277 +INDEX GOES BRRR: 151 X: 9.45508 +INDEX GOES BRRR: 69 X: 4.33496 +INDEX GOES BRRR: 230 X: 14.3984 +INDEX GOES BRRR: 359 X: 22.4717 +INDEX GOES BRRR: 109 X: 6.84961 +INDEX GOES BRRR: 194 X: 12.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 977 X: -2.91211 +INDEX GOES BRRR: 477 X: 29.8516 +INDEX GOES BRRR: 1010 X: -0.834961 +INDEX GOES BRRR: 261 X: 16.3271 +INDEX GOES BRRR: 233 X: 14.6162 +INDEX GOES BRRR: 903 X: -7.51855 +INDEX GOES BRRR: 187 X: 11.707 +INDEX GOES BRRR: 253 X: 15.8389 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.9766 +INDEX GOES BRRR: 148 X: 9.30176 +INDEX GOES BRRR: 460 X: 28.7764 +INDEX GOES BRRR: 371 X: 23.1924 +INDEX GOES BRRR: 16 X: 1.05273 +INDEX GOES BRRR: 132 X: 8.27148 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 391 X: 24.4639 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 999 X: -1.54102 +INDEX GOES BRRR: 295 X: 18.459 +INDEX GOES BRRR: 328 X: 20.5605 +INDEX GOES BRRR: 816 X: -12.9834 +INDEX GOES BRRR: 338 X: 21.1836 +INDEX GOES BRRR: 477 X: 29.8203 +INDEX GOES BRRR: 1008 X: -0.957031 +INDEX GOES BRRR: 266 X: 16.6602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 975 X: -3.05859 +INDEX GOES BRRR: 119 X: 7.4375 +INDEX GOES BRRR: 166 X: 10.4004 +INDEX GOES BRRR: 140 X: 8.78516 +INDEX GOES BRRR: 360 X: 22.5195 +INDEX GOES BRRR: 114 X: 7.1582 +INDEX GOES BRRR: 225 X: 14.0801 +INDEX GOES BRRR: 183 X: 11.4453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2021 +INDEX GOES BRRR: 102 X: 6.3877 +INDEX GOES BRRR: 301 X: 18.8301 +INDEX GOES BRRR: 43 X: 2.70996 +INDEX GOES BRRR: 132 X: 8.27734 +INDEX GOES BRRR: 205 X: 12.8262 +INDEX GOES BRRR: 79 X: 4.96387 +INDEX GOES BRRR: 832 X: -11.9395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 335 X: 20.9443 +INDEX GOES BRRR: 125 X: 7.83008 +INDEX GOES BRRR: 382 X: 23.8789 +INDEX GOES BRRR: 161 X: 10.0723 +INDEX GOES BRRR: 986 X: -2.37305 +INDEX GOES BRRR: 258 X: 16.168 +INDEX GOES BRRR: 440 X: 27.5596 +INDEX GOES BRRR: 228 X: 14.2822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 399 X: 24.959 +INDEX GOES BRRR: 912 X: -6.96875 +INDEX GOES BRRR: 63 X: 3.94043 +INDEX GOES BRRR: 269 X: 16.8203 +INDEX GOES BRRR: 7 X: 0.495117 +INDEX GOES BRRR: 158 X: 9.92773 +INDEX GOES BRRR: 277 X: 17.3545 +INDEX GOES BRRR: 341 X: 21.374 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.1074 +INDEX GOES BRRR: 325 X: 20.3223 +INDEX GOES BRRR: 948 X: -4.74316 +INDEX GOES BRRR: 234 X: 14.6377 +INDEX GOES BRRR: 92 X: 5.80859 +INDEX GOES BRRR: 165 X: 10.3232 +INDEX GOES BRRR: 356 X: 22.3008 +INDEX GOES BRRR: 191 X: 11.999 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 345 X: 21.6084 +INDEX GOES BRRR: 217 X: 13.5879 +INDEX GOES BRRR: 296 X: 18.5449 +INDEX GOES BRRR: 206 X: 12.9258 +INDEX GOES BRRR: 264 X: 16.5381 +INDEX GOES BRRR: 1020 X: -0.225586 +INDEX GOES BRRR: 11 X: 0.738281 +INDEX GOES BRRR: 251 X: 15.7354 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6553 +INDEX GOES BRRR: 342 X: 21.416 +INDEX GOES BRRR: 207 X: 12.9932 +INDEX GOES BRRR: 924 X: -6.25 +INDEX GOES BRRR: 955 X: -4.27148 +INDEX GOES BRRR: 69 X: 4.32227 +INDEX GOES BRRR: 121 X: 7.58789 +INDEX GOES BRRR: 924 X: -6.24414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0098 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 212 X: 13.292 +INDEX GOES BRRR: 342 X: 21.4043 +INDEX GOES BRRR: 64 X: 4.06152 +INDEX GOES BRRR: 125 X: 7.82422 +INDEX GOES BRRR: 206 X: 12.9316 +INDEX GOES BRRR: 979 X: -2.78027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.2451 +INDEX GOES BRRR: 853 X: -10.6533 +INDEX GOES BRRR: 186 X: 11.6748 +INDEX GOES BRRR: 173 X: 10.8252 +INDEX GOES BRRR: 67 X: 4.24414 +INDEX GOES BRRR: 101 X: 6.36719 +INDEX GOES BRRR: 879 X: -9.05371 +INDEX GOES BRRR: 325 X: 20.3223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.1221 +INDEX GOES BRRR: 113 X: 7.11035 +INDEX GOES BRRR: 68 X: 4.30469 +INDEX GOES BRRR: 820 X: -12.7471 +INDEX GOES BRRR: 951 X: -4.54102 +INDEX GOES BRRR: 38 X: 2.42969 +INDEX GOES BRRR: 960 X: -3.9668 +INDEX GOES BRRR: 296 X: 18.5488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3193 +INDEX GOES BRRR: 160 X: 10.0234 +INDEX GOES BRRR: 986 X: -2.31348 +INDEX GOES BRRR: 119 X: 7.45898 +INDEX GOES BRRR: 283 X: 17.707 +INDEX GOES BRRR: 255 X: 15.9961 +INDEX GOES BRRR: 276 X: 17.2539 +INDEX GOES BRRR: 67 X: 4.24316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.7178 +INDEX GOES BRRR: 936 X: -5.4834 +INDEX GOES BRRR: 128 X: 8.04492 +INDEX GOES BRRR: 286 X: 17.8984 +INDEX GOES BRRR: 35 X: 2.21094 +INDEX GOES BRRR: 311 X: 19.4668 +INDEX GOES BRRR: 351 X: 21.9814 +INDEX GOES BRRR: 495 X: 30.9658 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 984 X: -2.48633 +INDEX GOES BRRR: 1020 X: -0.204102 +INDEX GOES BRRR: 126 X: 7.88672 +INDEX GOES BRRR: 209 X: 13.1221 +INDEX GOES BRRR: 19 X: 1.23535 +INDEX GOES BRRR: 9 X: 0.607422 +INDEX GOES BRRR: 134 X: 8.39551 +INDEX GOES BRRR: 269 X: 16.8662 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9346 +INDEX GOES BRRR: 7 X: 0.452148 +INDEX GOES BRRR: 230 X: 14.4004 +INDEX GOES BRRR: 226 X: 14.1592 +INDEX GOES BRRR: 9 X: 0.588867 +INDEX GOES BRRR: 227 X: 14.21 +INDEX GOES BRRR: 113 X: 7.08594 +INDEX GOES BRRR: 258 X: 16.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 452 X: 28.251 +INDEX GOES BRRR: 34 X: 2.13379 +INDEX GOES BRRR: 349 X: 21.8516 +INDEX GOES BRRR: 983 X: -2.55371 +INDEX GOES BRRR: 190 X: 11.8838 +INDEX GOES BRRR: 1021 X: -0.15332 +INDEX GOES BRRR: 209 X: 13.082 +INDEX GOES BRRR: 991 X: -2.00586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 341 X: 21.3291 +INDEX GOES BRRR: 963 X: -3.7959 +INDEX GOES BRRR: 279 X: 17.457 +INDEX GOES BRRR: 169 X: 10.5947 +INDEX GOES BRRR: 343 X: 21.4619 +INDEX GOES BRRR: 142 X: 8.90234 +INDEX GOES BRRR: 76 X: 4.76562 +INDEX GOES BRRR: 933 X: -5.68555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6445 +INDEX GOES BRRR: 78 X: 4.90137 +INDEX GOES BRRR: 169 X: 10.5713 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 905 X: -7.43652 +INDEX GOES BRRR: 72 X: 4.52051 +INDEX GOES BRRR: 57 X: 3.60254 +INDEX GOES BRRR: 253 X: 15.8525 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 898 X: -7.85742 +INDEX GOES BRRR: 27 X: 1.69531 +INDEX GOES BRRR: 231 X: 14.459 +INDEX GOES BRRR: 283 X: 17.7168 +INDEX GOES BRRR: 400 X: 25.0342 +INDEX GOES BRRR: 30 X: 1.9209 +INDEX GOES BRRR: 950 X: -4.57617 +INDEX GOES BRRR: 37 X: 2.33984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.98242 +INDEX GOES BRRR: 178 X: 11.1592 +INDEX GOES BRRR: 242 X: 15.1289 +INDEX GOES BRRR: 981 X: -2.64355 +INDEX GOES BRRR: 390 X: 24.4014 +INDEX GOES BRRR: 104 X: 6.51562 +INDEX GOES BRRR: 296 X: 18.5576 +INDEX GOES BRRR: 271 X: 16.9775 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 853 X: -10.6865 +INDEX GOES BRRR: 73 X: 4.58496 +INDEX GOES BRRR: 89 X: 5.60059 +INDEX GOES BRRR: 1 X: 0.109375 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 59 X: 3.72461 +INDEX GOES BRRR: 85 X: 5.32715 +INDEX GOES BRRR: 134 X: 8.40918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 982 X: -2.58203 +INDEX GOES BRRR: 314 X: 19.6855 +INDEX GOES BRRR: 179 X: 11.2432 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 227 X: 14.2061 +INDEX GOES BRRR: 251 X: 15.7314 +INDEX GOES BRRR: 49 X: 3.11133 +INDEX GOES BRRR: 206 X: 12.8867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.1055 +INDEX GOES BRRR: 323 X: 20.2422 +INDEX GOES BRRR: 93 X: 5.8125 +INDEX GOES BRRR: 227 X: 14.1904 +INDEX GOES BRRR: 174 X: 10.9131 +INDEX GOES BRRR: 261 X: 16.335 +INDEX GOES BRRR: 379 X: 23.7363 +INDEX GOES BRRR: 121 X: 7.62109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 51 X: 3.21094 +INDEX GOES BRRR: 106 X: 6.64648 +INDEX GOES BRRR: 17 X: 1.06934 +INDEX GOES BRRR: 29 X: 1.85352 +INDEX GOES BRRR: 266 X: 16.6631 +INDEX GOES BRRR: 97 X: 6.10742 +INDEX GOES BRRR: 244 X: 15.3086 +INDEX GOES BRRR: 110 X: 6.90625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.75586 +INDEX GOES BRRR: 219 X: 13.7471 +INDEX GOES BRRR: 1004 X: -1.24219 +INDEX GOES BRRR: 181 X: 11.3438 +INDEX GOES BRRR: 952 X: -4.4707 +INDEX GOES BRRR: 82 X: 5.16992 +INDEX GOES BRRR: 897 X: -7.90723 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.98145 +INDEX GOES BRRR: 274 X: 17.1719 +INDEX GOES BRRR: 237 X: 14.8242 +INDEX GOES BRRR: 179 X: 11.2227 +INDEX GOES BRRR: 247 X: 15.4824 +INDEX GOES BRRR: 117 X: 7.31934 +INDEX GOES BRRR: 111 X: 6.97168 +INDEX GOES BRRR: 184 X: 11.5469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1826 +INDEX GOES BRRR: 1000 X: -1.44141 +INDEX GOES BRRR: 173 X: 10.8398 +INDEX GOES BRRR: 339 X: 21.209 +INDEX GOES BRRR: 439 X: 27.4541 +INDEX GOES BRRR: 186 X: 11.6689 +INDEX GOES BRRR: 819 X: -12.7607 +INDEX GOES BRRR: 984 X: -2.44043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 365 X: 22.8486 +INDEX GOES BRRR: 8 X: 0.516602 +INDEX GOES BRRR: 72 X: 4.54785 +INDEX GOES BRRR: 169 X: 10.582 +INDEX GOES BRRR: 1008 X: -0.938477 +INDEX GOES BRRR: 429 X: 26.874 +INDEX GOES BRRR: 97 X: 6.10156 +INDEX GOES BRRR: 175 X: 10.9414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.2217 +INDEX GOES BRRR: 11 X: 0.71875 +INDEX GOES BRRR: 117 X: 7.36914 +INDEX GOES BRRR: 1009 X: -0.886719 +INDEX GOES BRRR: 986 X: -2.32715 +INDEX GOES BRRR: 180 X: 11.292 +INDEX GOES BRRR: 187 X: 11.6973 +INDEX GOES BRRR: 158 X: 9.90137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 615 X: -25.5205 +INDEX GOES BRRR: 307 X: 19.2285 +INDEX GOES BRRR: 391 X: 24.4834 +INDEX GOES BRRR: 409 X: 25.5713 +INDEX GOES BRRR: 998 X: -1.59082 +INDEX GOES BRRR: 813 X: -13.1562 +INDEX GOES BRRR: 1000 X: -1.45703 +INDEX GOES BRRR: 277 X: 17.3193 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 285 X: 17.8369 +INDEX GOES BRRR: 34 X: 2.13477 +INDEX GOES BRRR: 102 X: 6.4082 +INDEX GOES BRRR: 121 X: 7.56543 +INDEX GOES BRRR: 1012 X: -0.700195 +INDEX GOES BRRR: 138 X: 8.63086 +INDEX GOES BRRR: 170 X: 10.6426 +INDEX GOES BRRR: 135 X: 8.47754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 953 X: -4.43066 +INDEX GOES BRRR: 354 X: 22.1445 +INDEX GOES BRRR: 1009 X: -0.897461 +INDEX GOES BRRR: 246 X: 15.4258 +INDEX GOES BRRR: 283 X: 17.7061 +INDEX GOES BRRR: 254 X: 15.9023 +INDEX GOES BRRR: 10 X: 0.654297 +INDEX GOES BRRR: 66 X: 4.14648 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.8945 +INDEX GOES BRRR: 365 X: 22.8691 +INDEX GOES BRRR: 20 X: 1.29199 +INDEX GOES BRRR: 147 X: 9.2002 +INDEX GOES BRRR: 87 X: 5.4873 +INDEX GOES BRRR: 1020 X: -0.219727 +INDEX GOES BRRR: 842 X: -11.332 +INDEX GOES BRRR: 124 X: 7.75195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.625 +INDEX GOES BRRR: 929 X: -5.87598 +INDEX GOES BRRR: 138 X: 8.64844 +INDEX GOES BRRR: 145 X: 9.06836 +INDEX GOES BRRR: 232 X: 14.5039 +INDEX GOES BRRR: 451 X: 28.1924 +INDEX GOES BRRR: 416 X: 26.0254 +INDEX GOES BRRR: 157 X: 9.83789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3135 +INDEX GOES BRRR: 151 X: 9.4668 +INDEX GOES BRRR: 270 X: 16.875 +INDEX GOES BRRR: 225 X: 14.0713 +INDEX GOES BRRR: 427 X: 26.7197 +INDEX GOES BRRR: 43 X: 2.71289 +INDEX GOES BRRR: 60 X: 3.75195 +INDEX GOES BRRR: 43 X: 2.71875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.388672 +INDEX GOES BRRR: 1009 X: -0.924805 +INDEX GOES BRRR: 130 X: 8.13379 +INDEX GOES BRRR: 76 X: 4.79102 +INDEX GOES BRRR: 291 X: 18.2393 +INDEX GOES BRRR: 280 X: 17.5244 +INDEX GOES BRRR: 244 X: 15.2666 +INDEX GOES BRRR: 913 X: -6.89355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 358 X: 22.417 +INDEX GOES BRRR: 288 X: 18.0605 +INDEX GOES BRRR: 154 X: 9.66016 +INDEX GOES BRRR: 18 X: 1.13379 +INDEX GOES BRRR: 39 X: 2.46484 +INDEX GOES BRRR: 192 X: 12.04 +INDEX GOES BRRR: 106 X: 6.625 +INDEX GOES BRRR: 890 X: -8.31543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 46 X: 2.90723 +INDEX GOES BRRR: 993 X: -1.93262 +INDEX GOES BRRR: 301 X: 18.8262 +INDEX GOES BRRR: 237 X: 14.8721 +INDEX GOES BRRR: 390 X: 24.3799 +INDEX GOES BRRR: 24 X: 1.51367 +INDEX GOES BRRR: 987 X: -2.27246 +INDEX GOES BRRR: 56 X: 3.50586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3125 +INDEX GOES BRRR: 54 X: 3.41699 +INDEX GOES BRRR: 362 X: 22.6748 +INDEX GOES BRRR: 109 X: 6.83008 +INDEX GOES BRRR: 86 X: 5.39453 +INDEX GOES BRRR: 244 X: 15.2627 +INDEX GOES BRRR: 369 X: 23.0654 +INDEX GOES BRRR: 146 X: 9.16797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.043 +INDEX GOES BRRR: 222 X: 13.9307 +INDEX GOES BRRR: 181 X: 11.334 +INDEX GOES BRRR: 980 X: -2.70117 +INDEX GOES BRRR: 164 X: 10.293 +INDEX GOES BRRR: 434 X: 27.1699 +INDEX GOES BRRR: 178 X: 11.1504 +INDEX GOES BRRR: 869 X: -9.64062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.23633 +INDEX GOES BRRR: 976 X: -2.94141 +INDEX GOES BRRR: 273 X: 17.0713 +INDEX GOES BRRR: 91 X: 5.7207 +INDEX GOES BRRR: 181 X: 11.3496 +INDEX GOES BRRR: 107 X: 6.74414 +INDEX GOES BRRR: 161 X: 10.124 +INDEX GOES BRRR: 270 X: 16.8848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 356 X: 22.3047 +INDEX GOES BRRR: 937 X: -5.38379 +INDEX GOES BRRR: 926 X: -6.09961 +INDEX GOES BRRR: 145 X: 9.07324 +INDEX GOES BRRR: 397 X: 24.8193 +INDEX GOES BRRR: 31 X: 1.99609 +INDEX GOES BRRR: 403 X: 25.2412 +INDEX GOES BRRR: 958 X: -4.07422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0322 +INDEX GOES BRRR: 146 X: 9.17188 +INDEX GOES BRRR: 998 X: -1.56445 +INDEX GOES BRRR: 341 X: 21.3574 +INDEX GOES BRRR: 134 X: 8.41602 +INDEX GOES BRRR: 302 X: 18.8945 +INDEX GOES BRRR: 268 X: 16.7666 +INDEX GOES BRRR: 155 X: 9.71777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13 +INDEX GOES BRRR: 356 X: 22.2705 +INDEX GOES BRRR: 458 X: 28.6553 +INDEX GOES BRRR: 57 X: 3.60547 +INDEX GOES BRRR: 395 X: 24.7002 +INDEX GOES BRRR: 124 X: 7.79297 +INDEX GOES BRRR: 26 X: 1.63477 +INDEX GOES BRRR: 307 X: 19.2402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.48242 +INDEX GOES BRRR: 353 X: 22.1162 +INDEX GOES BRRR: 199 X: 12.4912 +INDEX GOES BRRR: 154 X: 9.64941 +INDEX GOES BRRR: 1017 X: -0.395508 +INDEX GOES BRRR: 2 X: 0.173828 +INDEX GOES BRRR: 175 X: 10.9443 +INDEX GOES BRRR: 292 X: 18.3086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.42383 +INDEX GOES BRRR: 83 X: 5.20508 +INDEX GOES BRRR: 127 X: 7.99316 +INDEX GOES BRRR: 298 X: 18.6289 +INDEX GOES BRRR: 209 X: 13.0693 +INDEX GOES BRRR: 58 X: 3.68262 +INDEX GOES BRRR: 910 X: -7.09766 +INDEX GOES BRRR: 57 X: 3.61426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.20996 +INDEX GOES BRRR: 1010 X: -0.834961 +INDEX GOES BRRR: 377 X: 23.5723 +INDEX GOES BRRR: 131 X: 8.19727 +INDEX GOES BRRR: 416 X: 26.0215 +INDEX GOES BRRR: 28 X: 1.76074 +INDEX GOES BRRR: 934 X: -5.59473 +INDEX GOES BRRR: 90 X: 5.6416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 953 X: -4.42383 +INDEX GOES BRRR: 262 X: 16.415 +INDEX GOES BRRR: 80 X: 5.0459 +INDEX GOES BRRR: 191 X: 11.9844 +INDEX GOES BRRR: 182 X: 11.4268 +INDEX GOES BRRR: 951 X: -4.54688 +INDEX GOES BRRR: 134 X: 8.39844 +INDEX GOES BRRR: 194 X: 12.1836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 355 X: 22.1885 +INDEX GOES BRRR: 416 X: 26.0244 +INDEX GOES BRRR: 984 X: -2.46191 +INDEX GOES BRRR: 149 X: 9.34863 +INDEX GOES BRRR: 307 X: 19.2041 +INDEX GOES BRRR: 351 X: 21.9531 +INDEX GOES BRRR: 48 X: 3.06055 +INDEX GOES BRRR: 5 X: 0.353516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.165 +INDEX GOES BRRR: 184 X: 11.5508 +INDEX GOES BRRR: 325 X: 20.3262 +INDEX GOES BRRR: 113 X: 7.06348 +INDEX GOES BRRR: 289 X: 18.0703 +INDEX GOES BRRR: 302 X: 18.9199 +INDEX GOES BRRR: 190 X: 11.8994 +INDEX GOES BRRR: 174 X: 10.9316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.55762 +INDEX GOES BRRR: 226 X: 14.1553 +INDEX GOES BRRR: 171 X: 10.7295 +INDEX GOES BRRR: 1021 X: -0.141602 +INDEX GOES BRRR: 282 X: 17.6709 +INDEX GOES BRRR: 294 X: 18.4346 +INDEX GOES BRRR: 318 X: 19.9307 +INDEX GOES BRRR: 146 X: 9.18652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1008 X: -0.981445 +INDEX GOES BRRR: 479 X: 29.9375 +INDEX GOES BRRR: 27 X: 1.74023 +INDEX GOES BRRR: 97 X: 6.07031 +INDEX GOES BRRR: 98 X: 6.12988 +INDEX GOES BRRR: 899 X: -7.80566 +INDEX GOES BRRR: 197 X: 12.3145 +INDEX GOES BRRR: 980 X: -2.70703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 975 X: -3.00684 +INDEX GOES BRRR: 990 X: -2.09961 +INDEX GOES BRRR: 382 X: 23.9326 +INDEX GOES BRRR: 203 X: 12.7432 +INDEX GOES BRRR: 167 X: 10.4922 +INDEX GOES BRRR: 250 X: 15.6504 +INDEX GOES BRRR: 5 X: 0.331055 +INDEX GOES BRRR: 1023 X: -0.0458984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.244141 +INDEX GOES BRRR: 56 X: 3.50879 +INDEX GOES BRRR: 230 X: 14.3877 +INDEX GOES BRRR: 184 X: 11.5371 +INDEX GOES BRRR: 195 X: 12.2129 +INDEX GOES BRRR: 303 X: 18.998 +INDEX GOES BRRR: 934 X: -5.58984 +INDEX GOES BRRR: 408 X: 25.5527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.3105 +INDEX GOES BRRR: 844 X: -11.2305 +INDEX GOES BRRR: 271 X: 16.9854 +INDEX GOES BRRR: 409 X: 25.5811 +INDEX GOES BRRR: 123 X: 7.74805 +INDEX GOES BRRR: 355 X: 22.1953 +INDEX GOES BRRR: 41 X: 2.60254 +INDEX GOES BRRR: 934 X: -5.61133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6426 +INDEX GOES BRRR: 361 X: 22.5977 +INDEX GOES BRRR: 230 X: 14.415 +INDEX GOES BRRR: 165 X: 10.3408 +INDEX GOES BRRR: 998 X: -1.56543 +INDEX GOES BRRR: 150 X: 9.41406 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 185 X: 11.6104 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0029 +INDEX GOES BRRR: 824 X: -12.4424 +INDEX GOES BRRR: 269 X: 16.8154 +INDEX GOES BRRR: 159 X: 9.96191 +INDEX GOES BRRR: 1004 X: -1.23633 +INDEX GOES BRRR: 1 X: 0.0693359 +INDEX GOES BRRR: 207 X: 12.9453 +INDEX GOES BRRR: 350 X: 21.9102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.66309 +INDEX GOES BRRR: 401 X: 25.1152 +INDEX GOES BRRR: 408 X: 25.5342 +INDEX GOES BRRR: 141 X: 8.84277 +INDEX GOES BRRR: 900 X: -7.73926 +INDEX GOES BRRR: 412 X: 25.7529 +INDEX GOES BRRR: 132 X: 8.29785 +INDEX GOES BRRR: 989 X: -2.14941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.92285 +INDEX GOES BRRR: 163 X: 10.2441 +INDEX GOES BRRR: 431 X: 26.9971 +INDEX GOES BRRR: 257 X: 16.1172 +INDEX GOES BRRR: 160 X: 10.0479 +INDEX GOES BRRR: 47 X: 2.95898 +INDEX GOES BRRR: 158 X: 9.875 +INDEX GOES BRRR: 153 X: 9.58691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.94824 +INDEX GOES BRRR: 204 X: 12.7725 +INDEX GOES BRRR: 31 X: 1.97949 +INDEX GOES BRRR: 433 X: 27.1064 +INDEX GOES BRRR: 205 X: 12.8447 +INDEX GOES BRRR: 285 X: 17.8359 +INDEX GOES BRRR: 270 X: 16.8809 +INDEX GOES BRRR: 937 X: -5.39453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 184 X: 11.5049 +INDEX GOES BRRR: 37 X: 2.32324 +INDEX GOES BRRR: 197 X: 12.332 +INDEX GOES BRRR: 13 X: 0.813477 +INDEX GOES BRRR: 457 X: 28.5996 +INDEX GOES BRRR: 180 X: 11.2861 +INDEX GOES BRRR: 1004 X: -1.19629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.3252 +INDEX GOES BRRR: 276 X: 17.291 +INDEX GOES BRRR: 81 X: 5.08301 +INDEX GOES BRRR: 181 X: 11.3164 +INDEX GOES BRRR: 960 X: -3.99902 +INDEX GOES BRRR: 225 X: 14.0635 +INDEX GOES BRRR: 209 X: 13.0781 +INDEX GOES BRRR: 151 X: 9.44238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.51367 +INDEX GOES BRRR: 19 X: 1.24512 +INDEX GOES BRRR: 210 X: 13.167 +INDEX GOES BRRR: 215 X: 13.4629 +INDEX GOES BRRR: 110 X: 6.92969 +INDEX GOES BRRR: 218 X: 13.6299 +INDEX GOES BRRR: 237 X: 14.8477 +INDEX GOES BRRR: 70 X: 4.37988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 953 X: -4.38574 +INDEX GOES BRRR: 950 X: -4.56836 +INDEX GOES BRRR: 19 X: 1.2373 +INDEX GOES BRRR: 31 X: 1.98535 +INDEX GOES BRRR: 197 X: 12.3682 +INDEX GOES BRRR: 292 X: 18.2988 +INDEX GOES BRRR: 206 X: 12.8838 +INDEX GOES BRRR: 166 X: 10.3828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9824 +INDEX GOES BRRR: 115 X: 7.18945 +INDEX GOES BRRR: 206 X: 12.8916 +INDEX GOES BRRR: 409 X: 25.6074 +INDEX GOES BRRR: 962 X: -3.84668 +INDEX GOES BRRR: 474 X: 29.6328 +INDEX GOES BRRR: 987 X: -2.28223 +INDEX GOES BRRR: 992 X: -1.95996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 945 X: -4.93262 +INDEX GOES BRRR: 960 X: -3.96191 +INDEX GOES BRRR: 136 X: 8.50586 +INDEX GOES BRRR: 151 X: 9.44727 +INDEX GOES BRRR: 153 X: 9.61035 +INDEX GOES BRRR: 210 X: 13.1289 +INDEX GOES BRRR: 987 X: -2.31152 +INDEX GOES BRRR: 251 X: 15.7119 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 365 X: 22.8555 +INDEX GOES BRRR: 101 X: 6.37109 +INDEX GOES BRRR: 64 X: 4.00098 +INDEX GOES BRRR: 27 X: 1.70117 +INDEX GOES BRRR: 145 X: 9.11426 +INDEX GOES BRRR: 6 X: 0.402344 +INDEX GOES BRRR: 148 X: 9.2959 +INDEX GOES BRRR: 234 X: 14.666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.30273 +INDEX GOES BRRR: 278 X: 17.376 +INDEX GOES BRRR: 125 X: 7.84082 +INDEX GOES BRRR: 315 X: 19.6982 +INDEX GOES BRRR: 192 X: 12.0527 +INDEX GOES BRRR: 903 X: -7.50879 +INDEX GOES BRRR: 27 X: 1.71875 +INDEX GOES BRRR: 1016 X: -0.477539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0342 +INDEX GOES BRRR: 127 X: 7.94727 +INDEX GOES BRRR: 354 X: 22.127 +INDEX GOES BRRR: 992 X: -1.94043 +INDEX GOES BRRR: 264 X: 16.5 +INDEX GOES BRRR: 362 X: 22.6289 +INDEX GOES BRRR: 44 X: 2.79688 +INDEX GOES BRRR: 97 X: 6.07812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 7 X: 0.457031 +INDEX GOES BRRR: 317 X: 19.832 +INDEX GOES BRRR: 68 X: 4.27832 +INDEX GOES BRRR: 147 X: 9.24023 +INDEX GOES BRRR: 252 X: 15.8057 +INDEX GOES BRRR: 145 X: 9.12109 +INDEX GOES BRRR: 905 X: -7.42676 +INDEX GOES BRRR: 45 X: 2.83789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2207 +INDEX GOES BRRR: 962 X: -3.84277 +INDEX GOES BRRR: 1014 X: -0.611328 +INDEX GOES BRRR: 235 X: 14.7158 +INDEX GOES BRRR: 964 X: -3.70117 +INDEX GOES BRRR: 257 X: 16.1201 +INDEX GOES BRRR: 363 X: 22.7363 +INDEX GOES BRRR: 77 X: 4.85156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.291 +INDEX GOES BRRR: 287 X: 17.9873 +INDEX GOES BRRR: 48 X: 3.03516 +INDEX GOES BRRR: 191 X: 11.9561 +INDEX GOES BRRR: 155 X: 9.69531 +INDEX GOES BRRR: 158 X: 9.89941 +INDEX GOES BRRR: 71 X: 4.44336 +INDEX GOES BRRR: 110 X: 6.88867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8613 +INDEX GOES BRRR: 219 X: 13.7402 +INDEX GOES BRRR: 43 X: 2.7334 +INDEX GOES BRRR: 218 X: 13.6846 +INDEX GOES BRRR: 944 X: -4.99609 +INDEX GOES BRRR: 316 X: 19.8096 +INDEX GOES BRRR: 738 X: -17.8223 +INDEX GOES BRRR: 217 X: 13.5908 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9844 +INDEX GOES BRRR: 206 X: 12.915 +INDEX GOES BRRR: 99 X: 6.20898 +INDEX GOES BRRR: 54 X: 3.39844 +INDEX GOES BRRR: 1023 X: -0.0566406 +INDEX GOES BRRR: 201 X: 12.5859 +INDEX GOES BRRR: 31 X: 1.97949 +INDEX GOES BRRR: 921 X: -6.42773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 31 X: 1.97363 +INDEX GOES BRRR: 310 X: 19.376 +INDEX GOES BRRR: 51 X: 3.19238 +INDEX GOES BRRR: 962 X: -3.86914 +INDEX GOES BRRR: 4 X: 0.305664 +INDEX GOES BRRR: 96 X: 6.03125 +INDEX GOES BRRR: 353 X: 22.123 +INDEX GOES BRRR: 124 X: 7.79004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2559 +INDEX GOES BRRR: 285 X: 17.8516 +INDEX GOES BRRR: 159 X: 9.98828 +INDEX GOES BRRR: 243 X: 15.1895 +INDEX GOES BRRR: 46 X: 2.87695 +INDEX GOES BRRR: 935 X: -5.56055 +INDEX GOES BRRR: 118 X: 7.38672 +INDEX GOES BRRR: 300 X: 18.7861 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.40625 +INDEX GOES BRRR: 175 X: 10.9805 +INDEX GOES BRRR: 380 X: 23.7871 +INDEX GOES BRRR: 31 X: 1.94336 +INDEX GOES BRRR: 94 X: 5.89648 +INDEX GOES BRRR: 195 X: 12.2402 +INDEX GOES BRRR: 427 X: 26.7441 +INDEX GOES BRRR: 342 X: 21.4355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3379 +INDEX GOES BRRR: 258 X: 16.168 +INDEX GOES BRRR: 102 X: 6.37793 +INDEX GOES BRRR: 135 X: 8.47559 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 33 X: 2.11328 +INDEX GOES BRRR: 197 X: 12.3174 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 910 X: -7.07715 +INDEX GOES BRRR: 228 X: 14.2715 +INDEX GOES BRRR: 147 X: 9.22168 +INDEX GOES BRRR: 109 X: 6.84668 +INDEX GOES BRRR: 12 X: 0.753906 +INDEX GOES BRRR: 983 X: -2.52246 +INDEX GOES BRRR: 15 X: 0.969727 +INDEX GOES BRRR: 4 X: 0.263672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.44727 +INDEX GOES BRRR: 984 X: -2.49805 +INDEX GOES BRRR: 160 X: 10.0615 +INDEX GOES BRRR: 205 X: 12.8555 +INDEX GOES BRRR: 68 X: 4.26074 +INDEX GOES BRRR: 173 X: 10.8525 +INDEX GOES BRRR: 990 X: -2.12109 +INDEX GOES BRRR: 1011 X: -0.807617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.12988 +INDEX GOES BRRR: 434 X: 27.1846 +INDEX GOES BRRR: 421 X: 26.3428 +INDEX GOES BRRR: 112 X: 7.05176 +INDEX GOES BRRR: 943 X: -5.01855 +INDEX GOES BRRR: 135 X: 8.49023 +INDEX GOES BRRR: 111 X: 6.99512 +INDEX GOES BRRR: 1008 X: -0.999023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 356 X: 22.2627 +INDEX GOES BRRR: 107 X: 6.74707 +INDEX GOES BRRR: 22 X: 1.40625 +INDEX GOES BRRR: 381 X: 23.8457 +INDEX GOES BRRR: 177 X: 11.1074 +INDEX GOES BRRR: 1002 X: -1.34766 +INDEX GOES BRRR: 898 X: -7.85449 +INDEX GOES BRRR: 89 X: 5.59961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 429 X: 26.8613 +INDEX GOES BRRR: 157 X: 9.83203 +INDEX GOES BRRR: 362 X: 22.6504 +INDEX GOES BRRR: 982 X: -2.56934 +INDEX GOES BRRR: 323 X: 20.2402 +INDEX GOES BRRR: 976 X: -2.98535 +INDEX GOES BRRR: 361 X: 22.5762 +INDEX GOES BRRR: 44 X: 2.7793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7656 +INDEX GOES BRRR: 301 X: 18.8174 +INDEX GOES BRRR: 133 X: 8.34473 +INDEX GOES BRRR: 106 X: 6.64844 +INDEX GOES BRRR: 86 X: 5.40234 +INDEX GOES BRRR: 171 X: 10.7461 +INDEX GOES BRRR: 37 X: 2.31738 +INDEX GOES BRRR: 16 X: 1.00195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 442 X: 27.6641 +INDEX GOES BRRR: 343 X: 21.4512 +INDEX GOES BRRR: 445 X: 27.8584 +INDEX GOES BRRR: 1014 X: -0.564453 +INDEX GOES BRRR: 199 X: 12.4648 +INDEX GOES BRRR: 400 X: 25.0361 +INDEX GOES BRRR: 18 X: 1.13379 +INDEX GOES BRRR: 57 X: 3.56836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9961 +INDEX GOES BRRR: 897 X: -7.90137 +INDEX GOES BRRR: 47 X: 2.95508 +INDEX GOES BRRR: 9 X: 0.570312 +INDEX GOES BRRR: 223 X: 13.9707 +INDEX GOES BRRR: 236 X: 14.8057 +INDEX GOES BRRR: 142 X: 8.93164 +INDEX GOES BRRR: 280 X: 17.5039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4492 +INDEX GOES BRRR: 213 X: 13.3486 +INDEX GOES BRRR: 251 X: 15.7158 +INDEX GOES BRRR: 289 X: 18.1162 +INDEX GOES BRRR: 253 X: 15.8613 +INDEX GOES BRRR: 258 X: 16.1387 +INDEX GOES BRRR: 355 X: 22.2471 +INDEX GOES BRRR: 90 X: 5.65918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1012 X: -0.704102 +INDEX GOES BRRR: 1022 X: -0.0664062 +INDEX GOES BRRR: 36 X: 2.2666 +INDEX GOES BRRR: 993 X: -1.92969 +INDEX GOES BRRR: 435 X: 27.1982 +INDEX GOES BRRR: 56 X: 3.53711 +INDEX GOES BRRR: 735 X: -18.043 +INDEX GOES BRRR: 412 X: 25.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 342 X: 21.4111 +INDEX GOES BRRR: 234 X: 14.6748 +INDEX GOES BRRR: 792 X: -14.459 +INDEX GOES BRRR: 70 X: 4.39844 +INDEX GOES BRRR: 358 X: 22.3779 +INDEX GOES BRRR: 208 X: 13.0322 +INDEX GOES BRRR: 78 X: 4.90234 +INDEX GOES BRRR: 97 X: 6.08496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.1963 +INDEX GOES BRRR: 953 X: -4.38965 +INDEX GOES BRRR: 8 X: 0.557617 +INDEX GOES BRRR: 294 X: 18.4229 +INDEX GOES BRRR: 41 X: 2.60254 +INDEX GOES BRRR: 1021 X: -0.157227 +INDEX GOES BRRR: 316 X: 19.7988 +INDEX GOES BRRR: 33 X: 2.07715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1005 X: -1.14258 +INDEX GOES BRRR: 256 X: 16.0078 +INDEX GOES BRRR: 109 X: 6.85645 +INDEX GOES BRRR: 4 X: 0.301758 +INDEX GOES BRRR: 959 X: -4.00391 +INDEX GOES BRRR: 47 X: 2.97559 +INDEX GOES BRRR: 99 X: 6.22754 +INDEX GOES BRRR: 182 X: 11.4287 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9326 +INDEX GOES BRRR: 81 X: 5.07031 +INDEX GOES BRRR: 849 X: -10.9287 +INDEX GOES BRRR: 349 X: 21.8262 +INDEX GOES BRRR: 9 X: 0.577148 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 201 X: 12.5703 +INDEX GOES BRRR: 129 X: 8.09863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3711 +INDEX GOES BRRR: 1018 X: -0.334961 +INDEX GOES BRRR: 214 X: 13.4277 +INDEX GOES BRRR: 245 X: 15.3389 +INDEX GOES BRRR: 89 X: 5.62207 +INDEX GOES BRRR: 85 X: 5.35742 +INDEX GOES BRRR: 224 X: 14.0039 +INDEX GOES BRRR: 256 X: 16.0361 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.15625 +INDEX GOES BRRR: 12 X: 0.764648 +INDEX GOES BRRR: 322 X: 20.1777 +INDEX GOES BRRR: 152 X: 9.55078 +INDEX GOES BRRR: 355 X: 22.2188 +INDEX GOES BRRR: 73 X: 4.62012 +INDEX GOES BRRR: 173 X: 10.8496 +INDEX GOES BRRR: 171 X: 10.6885 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.4834 +INDEX GOES BRRR: 344 X: 21.5059 +INDEX GOES BRRR: 367 X: 22.9424 +INDEX GOES BRRR: 437 X: 27.3535 +INDEX GOES BRRR: 1013 X: -0.661133 +INDEX GOES BRRR: 938 X: -5.36133 +INDEX GOES BRRR: 202 X: 12.6689 +INDEX GOES BRRR: 731 X: -18.2598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.65332 +INDEX GOES BRRR: 115 X: 7.22559 +INDEX GOES BRRR: 991 X: -2.00977 +INDEX GOES BRRR: 130 X: 8.15918 +INDEX GOES BRRR: 313 X: 19.5664 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 175 X: 10.9375 +INDEX GOES BRRR: 106 X: 6.64258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 360 X: 22.5547 +INDEX GOES BRRR: 223 X: 13.9941 +INDEX GOES BRRR: 197 X: 12.3271 +INDEX GOES BRRR: 358 X: 22.4268 +INDEX GOES BRRR: 1010 X: -0.870117 +INDEX GOES BRRR: 91 X: 5.7373 +INDEX GOES BRRR: 491 X: 30.709 +INDEX GOES BRRR: 1006 X: -1.10645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 837 X: -11.6729 +INDEX GOES BRRR: 58 X: 3.64453 +INDEX GOES BRRR: 231 X: 14.4912 +INDEX GOES BRRR: 417 X: 26.0684 +INDEX GOES BRRR: 162 X: 10.1787 +INDEX GOES BRRR: 104 X: 6.53613 +INDEX GOES BRRR: 995 X: -1.80664 +INDEX GOES BRRR: 71 X: 4.44922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3379 +INDEX GOES BRRR: 148 X: 9.27441 +INDEX GOES BRRR: 149 X: 9.3623 +INDEX GOES BRRR: 930 X: -5.83203 +INDEX GOES BRRR: 1012 X: -0.694336 +INDEX GOES BRRR: 267 X: 16.7041 +INDEX GOES BRRR: 66 X: 4.12598 +INDEX GOES BRRR: 146 X: 9.13477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.42383 +INDEX GOES BRRR: 129 X: 8.12207 +INDEX GOES BRRR: 332 X: 20.7939 +INDEX GOES BRRR: 932 X: -5.7373 +INDEX GOES BRRR: 376 X: 23.541 +INDEX GOES BRRR: 235 X: 14.707 +INDEX GOES BRRR: 156 X: 9.7793 +INDEX GOES BRRR: 337 X: 21.0918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6738 +INDEX GOES BRRR: 1 X: 0.0742188 +INDEX GOES BRRR: 212 X: 13.2979 +INDEX GOES BRRR: 421 X: 26.3359 +INDEX GOES BRRR: 385 X: 24.1074 +INDEX GOES BRRR: 148 X: 9.30859 +INDEX GOES BRRR: 7 X: 0.470703 +INDEX GOES BRRR: 127 X: 7.96387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.245117 +INDEX GOES BRRR: 210 X: 13.1543 +INDEX GOES BRRR: 32 X: 2.01172 +INDEX GOES BRRR: 81 X: 5.08203 +INDEX GOES BRRR: 172 X: 10.7959 +INDEX GOES BRRR: 330 X: 20.667 +INDEX GOES BRRR: 336 X: 21.0205 +INDEX GOES BRRR: 1021 X: -0.181641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.05078 +INDEX GOES BRRR: 281 X: 17.5908 +INDEX GOES BRRR: 238 X: 14.9209 +INDEX GOES BRRR: 94 X: 5.88281 +INDEX GOES BRRR: 308 X: 19.2812 +INDEX GOES BRRR: 379 X: 23.7178 +INDEX GOES BRRR: 64 X: 4.03613 +INDEX GOES BRRR: 223 X: 13.958 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.12988 +INDEX GOES BRRR: 158 X: 9.90527 +INDEX GOES BRRR: 799 X: -14.0059 +INDEX GOES BRRR: 138 X: 8.66602 +INDEX GOES BRRR: 90 X: 5.66602 +INDEX GOES BRRR: 131 X: 8.23926 +INDEX GOES BRRR: 255 X: 15.9395 +INDEX GOES BRRR: 156 X: 9.77246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 326 X: 20.3887 +INDEX GOES BRRR: 46 X: 2.89551 +INDEX GOES BRRR: 3 X: 0.214844 +INDEX GOES BRRR: 308 X: 19.2715 +INDEX GOES BRRR: 140 X: 8.79492 +INDEX GOES BRRR: 449 X: 28.0908 +INDEX GOES BRRR: 235 X: 14.7041 +INDEX GOES BRRR: 239 X: 14.9463 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3574 +INDEX GOES BRRR: 16 X: 1.01172 +INDEX GOES BRRR: 245 X: 15.3398 +INDEX GOES BRRR: 174 X: 10.9346 +INDEX GOES BRRR: 25 X: 1.57227 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 15 X: 0.963867 +INDEX GOES BRRR: 187 X: 11.6904 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 277 X: 17.3223 +INDEX GOES BRRR: 242 X: 15.1758 +INDEX GOES BRRR: 49 X: 3.12207 +INDEX GOES BRRR: 6 X: 0.401367 +INDEX GOES BRRR: 189 X: 11.8604 +INDEX GOES BRRR: 154 X: 9.68359 +INDEX GOES BRRR: 24 X: 1.55762 +INDEX GOES BRRR: 218 X: 13.6572 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 429 X: 26.8613 +INDEX GOES BRRR: 213 X: 13.3428 +INDEX GOES BRRR: 114 X: 7.17871 +INDEX GOES BRRR: 329 X: 20.6133 +INDEX GOES BRRR: 304 X: 19.0488 +INDEX GOES BRRR: 446 X: 27.9033 +INDEX GOES BRRR: 277 X: 17.3633 +INDEX GOES BRRR: 993 X: -1.91602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.24414 +INDEX GOES BRRR: 206 X: 12.9365 +INDEX GOES BRRR: 214 X: 13.3906 +INDEX GOES BRRR: 212 X: 13.2588 +INDEX GOES BRRR: 72 X: 4.5166 +INDEX GOES BRRR: 333 X: 20.8633 +INDEX GOES BRRR: 185 X: 11.5723 +INDEX GOES BRRR: 207 X: 12.9492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9395 +INDEX GOES BRRR: 160 X: 10.0225 +INDEX GOES BRRR: 235 X: 14.7188 +INDEX GOES BRRR: 268 X: 16.7822 +INDEX GOES BRRR: 268 X: 16.7549 +INDEX GOES BRRR: 23 X: 1.49512 +INDEX GOES BRRR: 5 X: 0.358398 +INDEX GOES BRRR: 68 X: 4.27734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.48047 +INDEX GOES BRRR: 1007 X: -1.0625 +INDEX GOES BRRR: 906 X: -7.35547 +INDEX GOES BRRR: 37 X: 2.37207 +INDEX GOES BRRR: 153 X: 9.60938 +INDEX GOES BRRR: 1022 X: -0.124023 +INDEX GOES BRRR: 455 X: 28.4941 +INDEX GOES BRRR: 78 X: 4.90625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.22656 +INDEX GOES BRRR: 49 X: 3.0957 +INDEX GOES BRRR: 286 X: 17.8965 +INDEX GOES BRRR: 28 X: 1.79688 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 208 X: 13.043 +INDEX GOES BRRR: 203 X: 12.7285 +INDEX GOES BRRR: 267 X: 16.707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2666 +INDEX GOES BRRR: 127 X: 7.97363 +INDEX GOES BRRR: 46 X: 2.91602 +INDEX GOES BRRR: 180 X: 11.2676 +INDEX GOES BRRR: 110 X: 6.87891 +INDEX GOES BRRR: 116 X: 7.30664 +INDEX GOES BRRR: 153 X: 9.62012 +INDEX GOES BRRR: 177 X: 11.0625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9463 +INDEX GOES BRRR: 406 X: 25.3926 +INDEX GOES BRRR: 1004 X: -1.24902 +INDEX GOES BRRR: 143 X: 8.96484 +INDEX GOES BRRR: 49 X: 3.07617 +INDEX GOES BRRR: 21 X: 1.3623 +INDEX GOES BRRR: 116 X: 7.27344 +INDEX GOES BRRR: 22 X: 1.37695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.71387 +INDEX GOES BRRR: 119 X: 7.48047 +INDEX GOES BRRR: 193 X: 12.0723 +INDEX GOES BRRR: 83 X: 5.2373 +INDEX GOES BRRR: 162 X: 10.1621 +INDEX GOES BRRR: 150 X: 9.41504 +INDEX GOES BRRR: 876 X: -9.22656 +INDEX GOES BRRR: 40 X: 2.55176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1787 +INDEX GOES BRRR: 8 X: 0.560547 +INDEX GOES BRRR: 915 X: -6.75879 +INDEX GOES BRRR: 950 X: -4.57715 +INDEX GOES BRRR: 85 X: 5.35156 +INDEX GOES BRRR: 979 X: -2.80859 +INDEX GOES BRRR: 201 X: 12.5771 +INDEX GOES BRRR: 940 X: -5.20508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 440 X: 27.5488 +INDEX GOES BRRR: 217 X: 13.5732 +INDEX GOES BRRR: 74 X: 4.64746 +INDEX GOES BRRR: 33 X: 2.10742 +INDEX GOES BRRR: 172 X: 10.7539 +INDEX GOES BRRR: 969 X: -3.42188 +INDEX GOES BRRR: 665 X: -22.3799 +INDEX GOES BRRR: 122 X: 7.66309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 975 X: -3.03027 +INDEX GOES BRRR: 183 X: 11.4824 +INDEX GOES BRRR: 199 X: 12.4541 +INDEX GOES BRRR: 45 X: 2.86328 +INDEX GOES BRRR: 300 X: 18.7607 +INDEX GOES BRRR: 333 X: 20.8369 +INDEX GOES BRRR: 963 X: -3.8125 +INDEX GOES BRRR: 27 X: 1.6875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9932 +INDEX GOES BRRR: 145 X: 9.12109 +INDEX GOES BRRR: 302 X: 18.9102 +INDEX GOES BRRR: 208 X: 13.001 +INDEX GOES BRRR: 147 X: 9.22754 +INDEX GOES BRRR: 167 X: 10.4932 +INDEX GOES BRRR: 176 X: 11.0547 +INDEX GOES BRRR: 91 X: 5.73242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1572 +INDEX GOES BRRR: 157 X: 9.86426 +INDEX GOES BRRR: 102 X: 6.37598 +INDEX GOES BRRR: 166 X: 10.3848 +INDEX GOES BRRR: 339 X: 21.2295 +INDEX GOES BRRR: 110 X: 6.87891 +INDEX GOES BRRR: 99 X: 6.21973 +INDEX GOES BRRR: 162 X: 10.1787 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3643 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 357 X: 22.3545 +INDEX GOES BRRR: 168 X: 10.5137 +INDEX GOES BRRR: 215 X: 13.4482 +INDEX GOES BRRR: 164 X: 10.2588 +INDEX GOES BRRR: 93 X: 5.8457 +INDEX GOES BRRR: 269 X: 16.8408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.74512 +INDEX GOES BRRR: 275 X: 17.2305 +INDEX GOES BRRR: 139 X: 8.72363 +INDEX GOES BRRR: 349 X: 21.834 +INDEX GOES BRRR: 12 X: 0.805664 +INDEX GOES BRRR: 239 X: 14.9414 +INDEX GOES BRRR: 95 X: 5.95215 +INDEX GOES BRRR: 31 X: 1.97266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 913 X: -6.9082 +INDEX GOES BRRR: 85 X: 5.36816 +INDEX GOES BRRR: 314 X: 19.665 +INDEX GOES BRRR: 165 X: 10.3623 +INDEX GOES BRRR: 866 X: -9.86133 +INDEX GOES BRRR: 316 X: 19.7617 +INDEX GOES BRRR: 275 X: 17.2119 +INDEX GOES BRRR: 254 X: 15.9062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.3896 +INDEX GOES BRRR: 136 X: 8.50293 +INDEX GOES BRRR: 492 X: 30.7715 +INDEX GOES BRRR: 366 X: 22.8896 +INDEX GOES BRRR: 163 X: 10.2129 +INDEX GOES BRRR: 181 X: 11.3477 +INDEX GOES BRRR: 148 X: 9.26562 +INDEX GOES BRRR: 46 X: 2.89844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 58 X: 3.66211 +INDEX GOES BRRR: 338 X: 21.1729 +INDEX GOES BRRR: 73 X: 4.56445 +INDEX GOES BRRR: 469 X: 29.3145 +INDEX GOES BRRR: 888 X: -8.44727 +INDEX GOES BRRR: 91 X: 5.7041 +INDEX GOES BRRR: 286 X: 17.8828 +INDEX GOES BRRR: 107 X: 6.70117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 50 X: 3.13379 +INDEX GOES BRRR: 248 X: 15.5361 +INDEX GOES BRRR: 114 X: 7.12793 +INDEX GOES BRRR: 491 X: 30.71 +INDEX GOES BRRR: 296 X: 18.5449 +INDEX GOES BRRR: 163 X: 10.2012 +INDEX GOES BRRR: 268 X: 16.793 +INDEX GOES BRRR: 363 X: 22.749 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 405 X: 25.3232 +INDEX GOES BRRR: 97 X: 6.09766 +INDEX GOES BRRR: 153 X: 9.60352 +INDEX GOES BRRR: 70 X: 4.42969 +INDEX GOES BRRR: 455 X: 28.4775 +INDEX GOES BRRR: 140 X: 8.76465 +INDEX GOES BRRR: 250 X: 15.6719 +INDEX GOES BRRR: 387 X: 24.1992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1777 +INDEX GOES BRRR: 230 X: 14.4053 +INDEX GOES BRRR: 28 X: 1.76758 +INDEX GOES BRRR: 146 X: 9.16602 +INDEX GOES BRRR: 76 X: 4.79785 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1011 X: -0.8125 +INDEX GOES BRRR: 22 X: 1.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.64258 +INDEX GOES BRRR: 167 X: 10.4434 +INDEX GOES BRRR: 366 X: 22.9307 +INDEX GOES BRRR: 368 X: 23.0518 +INDEX GOES BRRR: 471 X: 29.4502 +INDEX GOES BRRR: 1009 X: -0.896484 +INDEX GOES BRRR: 189 X: 11.8652 +INDEX GOES BRRR: 213 X: 13.3574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.1377 +INDEX GOES BRRR: 102 X: 6.4248 +INDEX GOES BRRR: 95 X: 5.95996 +INDEX GOES BRRR: 244 X: 15.3037 +INDEX GOES BRRR: 276 X: 17.2607 +INDEX GOES BRRR: 295 X: 18.4707 +INDEX GOES BRRR: 386 X: 24.1514 +INDEX GOES BRRR: 477 X: 29.8447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 913 X: -6.88379 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 117 X: 7.37109 +INDEX GOES BRRR: 857 X: -10.3984 +INDEX GOES BRRR: 121 X: 7.60254 +INDEX GOES BRRR: 115 X: 7.23535 +INDEX GOES BRRR: 370 X: 23.1826 +INDEX GOES BRRR: 876 X: -9.20605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.07031 +INDEX GOES BRRR: 115 X: 7.20312 +INDEX GOES BRRR: 759 X: -16.5029 +INDEX GOES BRRR: 971 X: -3.30859 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 155 X: 9.70215 +INDEX GOES BRRR: 109 X: 6.8252 +INDEX GOES BRRR: 247 X: 15.459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7461 +INDEX GOES BRRR: 40 X: 2.55078 +INDEX GOES BRRR: 252 X: 15.7715 +INDEX GOES BRRR: 141 X: 8.81445 +INDEX GOES BRRR: 167 X: 10.4688 +INDEX GOES BRRR: 975 X: -3.03125 +INDEX GOES BRRR: 189 X: 11.834 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1562 +INDEX GOES BRRR: 972 X: -3.21973 +INDEX GOES BRRR: 352 X: 22.0215 +INDEX GOES BRRR: 321 X: 20.1143 +INDEX GOES BRRR: 296 X: 18.501 +INDEX GOES BRRR: 240 X: 15.0098 +INDEX GOES BRRR: 23 X: 1.46973 +INDEX GOES BRRR: 339 X: 21.2188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 253 X: 15.8145 +INDEX GOES BRRR: 932 X: -5.72949 +INDEX GOES BRRR: 791 X: -14.5527 +INDEX GOES BRRR: 475 X: 29.7305 +INDEX GOES BRRR: 76 X: 4.76855 +INDEX GOES BRRR: 228 X: 14.251 +INDEX GOES BRRR: 924 X: -6.21289 +INDEX GOES BRRR: 267 X: 16.709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.83008 +INDEX GOES BRRR: 202 X: 12.6602 +INDEX GOES BRRR: 117 X: 7.3291 +INDEX GOES BRRR: 61 X: 3.84668 +INDEX GOES BRRR: 419 X: 26.2197 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 72 X: 4.53125 +INDEX GOES BRRR: 211 X: 13.2061 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 980 X: -2.74316 +INDEX GOES BRRR: 60 X: 3.80273 +INDEX GOES BRRR: 220 X: 13.7568 +INDEX GOES BRRR: 5 X: 0.358398 +INDEX GOES BRRR: 15 X: 0.94043 +INDEX GOES BRRR: 180 X: 11.2832 +INDEX GOES BRRR: 252 X: 15.7734 +INDEX GOES BRRR: 144 X: 9.00488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 288 X: 18.0322 +INDEX GOES BRRR: 453 X: 28.3379 +INDEX GOES BRRR: 201 X: 12.5879 +INDEX GOES BRRR: 201 X: 12.6162 +INDEX GOES BRRR: 115 X: 7.20703 +INDEX GOES BRRR: 274 X: 17.166 +INDEX GOES BRRR: 198 X: 12.3867 +INDEX GOES BRRR: 722 X: -18.874 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.02734 +INDEX GOES BRRR: 288 X: 18.0039 +INDEX GOES BRRR: 401 X: 25.1035 +INDEX GOES BRRR: 403 X: 25.2197 +INDEX GOES BRRR: 5 X: 0.344727 +INDEX GOES BRRR: 179 X: 11.2061 +INDEX GOES BRRR: 1016 X: -0.445312 +INDEX GOES BRRR: 473 X: 29.5713 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 920 X: -6.48926 +INDEX GOES BRRR: 292 X: 18.2949 +INDEX GOES BRRR: 377 X: 23.5664 +INDEX GOES BRRR: 964 X: -3.72754 +INDEX GOES BRRR: 53 X: 3.3252 +INDEX GOES BRRR: 19 X: 1.22656 +INDEX GOES BRRR: 908 X: -7.20801 +INDEX GOES BRRR: 302 X: 18.8896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.29199 +INDEX GOES BRRR: 4 X: 0.291992 +INDEX GOES BRRR: 91 X: 5.70898 +INDEX GOES BRRR: 127 X: 7.94043 +INDEX GOES BRRR: 317 X: 19.8584 +INDEX GOES BRRR: 410 X: 25.6387 +INDEX GOES BRRR: 352 X: 22.0078 +INDEX GOES BRRR: 981 X: -2.65918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.49512 +INDEX GOES BRRR: 252 X: 15.8066 +INDEX GOES BRRR: 91 X: 5.70312 +INDEX GOES BRRR: 83 X: 5.23047 +INDEX GOES BRRR: 296 X: 18.541 +INDEX GOES BRRR: 187 X: 11.7031 +INDEX GOES BRRR: 188 X: 11.7646 +INDEX GOES BRRR: 964 X: -3.74707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 279 X: 17.4883 +INDEX GOES BRRR: 66 X: 4.15723 +INDEX GOES BRRR: 218 X: 13.6484 +INDEX GOES BRRR: 264 X: 16.5518 +INDEX GOES BRRR: 173 X: 10.8486 +INDEX GOES BRRR: 993 X: -1.89258 +INDEX GOES BRRR: 66 X: 4.1748 +INDEX GOES BRRR: 97 X: 6.08105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6709 +INDEX GOES BRRR: 19 X: 1.22363 +INDEX GOES BRRR: 974 X: -3.09766 +INDEX GOES BRRR: 70 X: 4.42773 +INDEX GOES BRRR: 248 X: 15.5498 +INDEX GOES BRRR: 47 X: 2.99414 +INDEX GOES BRRR: 1010 X: -0.821289 +INDEX GOES BRRR: 289 X: 18.0664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.3945 +INDEX GOES BRRR: 31 X: 1.97559 +INDEX GOES BRRR: 139 X: 8.71094 +INDEX GOES BRRR: 911 X: -7.02051 +INDEX GOES BRRR: 248 X: 15.5596 +INDEX GOES BRRR: 31 X: 1.95996 +INDEX GOES BRRR: 214 X: 13.3848 +INDEX GOES BRRR: 150 X: 9.41699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1406 +INDEX GOES BRRR: 52 X: 3.28125 +INDEX GOES BRRR: 471 X: 29.4512 +INDEX GOES BRRR: 35 X: 2.21582 +INDEX GOES BRRR: 250 X: 15.6807 +INDEX GOES BRRR: 296 X: 18.5029 +INDEX GOES BRRR: 79 X: 4.98145 +INDEX GOES BRRR: 337 X: 21.1084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.3066 +INDEX GOES BRRR: 275 X: 17.1992 +INDEX GOES BRRR: 331 X: 20.7188 +INDEX GOES BRRR: 162 X: 10.1309 +INDEX GOES BRRR: 453 X: 28.3125 +INDEX GOES BRRR: 209 X: 13.124 +INDEX GOES BRRR: 1020 X: -0.223633 +INDEX GOES BRRR: 205 X: 12.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.73438 +INDEX GOES BRRR: 390 X: 24.3838 +INDEX GOES BRRR: 32 X: 2.03418 +INDEX GOES BRRR: 291 X: 18.2256 +INDEX GOES BRRR: 203 X: 12.7188 +INDEX GOES BRRR: 460 X: 28.7998 +INDEX GOES BRRR: 314 X: 19.6406 +INDEX GOES BRRR: 290 X: 18.127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4795 +INDEX GOES BRRR: 187 X: 11.7041 +INDEX GOES BRRR: 54 X: 3.43262 +INDEX GOES BRRR: 102 X: 6.42188 +INDEX GOES BRRR: 212 X: 13.2598 +INDEX GOES BRRR: 274 X: 17.1748 +INDEX GOES BRRR: 142 X: 8.93066 +INDEX GOES BRRR: 126 X: 7.90039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 297 X: 18.5938 +INDEX GOES BRRR: 87 X: 5.47754 +INDEX GOES BRRR: 59 X: 3.7207 +INDEX GOES BRRR: 319 X: 19.9521 +INDEX GOES BRRR: 294 X: 18.3896 +INDEX GOES BRRR: 144 X: 9.06055 +INDEX GOES BRRR: 73 X: 4.61035 +INDEX GOES BRRR: 232 X: 14.5547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.83203 +INDEX GOES BRRR: 739 X: -17.752 +INDEX GOES BRRR: 112 X: 7.0498 +INDEX GOES BRRR: 423 X: 26.4482 +INDEX GOES BRRR: 267 X: 16.7246 +INDEX GOES BRRR: 128 X: 8.00879 +INDEX GOES BRRR: 49 X: 3.07617 +INDEX GOES BRRR: 290 X: 18.1396 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.29199 +INDEX GOES BRRR: 140 X: 8.7793 +INDEX GOES BRRR: 184 X: 11.5391 +INDEX GOES BRRR: 184 X: 11.5312 +INDEX GOES BRRR: 230 X: 14.4062 +INDEX GOES BRRR: 135 X: 8.45215 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 279 X: 17.4619 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 915 X: -6.78516 +INDEX GOES BRRR: 20 X: 1.25195 +INDEX GOES BRRR: 781 X: -15.1436 +INDEX GOES BRRR: 293 X: 18.3262 +INDEX GOES BRRR: 121 X: 7.59766 +INDEX GOES BRRR: 269 X: 16.8418 +INDEX GOES BRRR: 250 X: 15.6777 +INDEX GOES BRRR: 248 X: 15.501 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3545 +INDEX GOES BRRR: 125 X: 7.81348 +INDEX GOES BRRR: 120 X: 7.55469 +INDEX GOES BRRR: 172 X: 10.8047 +INDEX GOES BRRR: 302 X: 18.9277 +INDEX GOES BRRR: 193 X: 12.083 +INDEX GOES BRRR: 80 X: 5.02246 +INDEX GOES BRRR: 218 X: 13.6309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7227 +INDEX GOES BRRR: 282 X: 17.6855 +INDEX GOES BRRR: 285 X: 17.8682 +INDEX GOES BRRR: 416 X: 26.0361 +INDEX GOES BRRR: 91 X: 5.71387 +INDEX GOES BRRR: 250 X: 15.627 +INDEX GOES BRRR: 920 X: -6.4502 +INDEX GOES BRRR: 106 X: 6.64941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1436 +INDEX GOES BRRR: 600 X: -26.4727 +INDEX GOES BRRR: 9 X: 0.608398 +INDEX GOES BRRR: 234 X: 14.626 +INDEX GOES BRRR: 70 X: 4.3877 +INDEX GOES BRRR: 278 X: 17.3779 +INDEX GOES BRRR: 187 X: 11.6963 +INDEX GOES BRRR: 13 X: 0.827148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.61816 +INDEX GOES BRRR: 205 X: 12.8203 +INDEX GOES BRRR: 231 X: 14.4434 +INDEX GOES BRRR: 219 X: 13.7168 +INDEX GOES BRRR: 176 X: 11.0195 +INDEX GOES BRRR: 208 X: 13.0283 +INDEX GOES BRRR: 200 X: 12.5234 +INDEX GOES BRRR: 317 X: 19.8525 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 919 X: -6.52832 +INDEX GOES BRRR: 287 X: 17.9443 +INDEX GOES BRRR: 118 X: 7.38867 +INDEX GOES BRRR: 187 X: 11.7266 +INDEX GOES BRRR: 231 X: 14.4561 +INDEX GOES BRRR: 463 X: 28.9609 +INDEX GOES BRRR: 88 X: 5.50195 +INDEX GOES BRRR: 80 X: 5.02246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.22852 +INDEX GOES BRRR: 402 X: 25.1543 +INDEX GOES BRRR: 975 X: -3.01367 +INDEX GOES BRRR: 217 X: 13.624 +INDEX GOES BRRR: 283 X: 17.7217 +INDEX GOES BRRR: 107 X: 6.70605 +INDEX GOES BRRR: 929 X: -5.93262 +INDEX GOES BRRR: 953 X: -4.42578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.15918 +INDEX GOES BRRR: 1021 X: -0.162109 +INDEX GOES BRRR: 148 X: 9.29102 +INDEX GOES BRRR: 88 X: 5.55762 +INDEX GOES BRRR: 137 X: 8.58008 +INDEX GOES BRRR: 77 X: 4.86426 +INDEX GOES BRRR: 890 X: -8.36328 +INDEX GOES BRRR: 188 X: 11.7949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5156 +INDEX GOES BRRR: 191 X: 11.9893 +INDEX GOES BRRR: 458 X: 28.6436 +INDEX GOES BRRR: 174 X: 10.9004 +INDEX GOES BRRR: 217 X: 13.6016 +INDEX GOES BRRR: 46 X: 2.92676 +INDEX GOES BRRR: 72 X: 4.50098 +INDEX GOES BRRR: 147 X: 9.23047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8301 +INDEX GOES BRRR: 310 X: 19.4102 +INDEX GOES BRRR: 293 X: 18.3359 +INDEX GOES BRRR: 214 X: 13.4131 +INDEX GOES BRRR: 244 X: 15.2656 +INDEX GOES BRRR: 987 X: -2.29492 +INDEX GOES BRRR: 136 X: 8.5127 +INDEX GOES BRRR: 98 X: 6.17871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6816 +INDEX GOES BRRR: 400 X: 25.04 +INDEX GOES BRRR: 404 X: 25.2871 +INDEX GOES BRRR: 66 X: 4.16211 +INDEX GOES BRRR: 10 X: 0.665039 +INDEX GOES BRRR: 28 X: 1.78809 +INDEX GOES BRRR: 79 X: 4.99805 +INDEX GOES BRRR: 997 X: -1.66211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 263 X: 16.4971 +INDEX GOES BRRR: 139 X: 8.69238 +INDEX GOES BRRR: 141 X: 8.84473 +INDEX GOES BRRR: 91 X: 5.71094 +INDEX GOES BRRR: 30 X: 1.93164 +INDEX GOES BRRR: 1021 X: -0.176758 +INDEX GOES BRRR: 23 X: 1.46777 +INDEX GOES BRRR: 123 X: 7.7002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2324 +INDEX GOES BRRR: 78 X: 4.91602 +INDEX GOES BRRR: 138 X: 8.65234 +INDEX GOES BRRR: 246 X: 15.4033 +INDEX GOES BRRR: 137 X: 8.58789 +INDEX GOES BRRR: 122 X: 7.66992 +INDEX GOES BRRR: 245 X: 15.3506 +INDEX GOES BRRR: 18 X: 1.15332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 908 X: -7.21191 +INDEX GOES BRRR: 139 X: 8.7334 +INDEX GOES BRRR: 491 X: 30.7412 +INDEX GOES BRRR: 266 X: 16.6689 +INDEX GOES BRRR: 20 X: 1.28418 +INDEX GOES BRRR: 70 X: 4.38965 +INDEX GOES BRRR: 234 X: 14.6455 +INDEX GOES BRRR: 164 X: 10.2549 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7422 +INDEX GOES BRRR: 83 X: 5.19727 +INDEX GOES BRRR: 94 X: 5.90625 +INDEX GOES BRRR: 296 X: 18.501 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 9 X: 0.572266 +INDEX GOES BRRR: 91 X: 5.68848 +INDEX GOES BRRR: 353 X: 22.0713 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 408 X: 25.5146 +INDEX GOES BRRR: 908 X: -7.19629 +INDEX GOES BRRR: 244 X: 15.2822 +INDEX GOES BRRR: 472 X: 29.5137 +INDEX GOES BRRR: 98 X: 6.17578 +INDEX GOES BRRR: 985 X: -2.42676 +INDEX GOES BRRR: 30 X: 1.88574 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 282 X: 17.6621 +INDEX GOES BRRR: 126 X: 7.9082 +INDEX GOES BRRR: 110 X: 6.93652 +INDEX GOES BRRR: 992 X: -1.99609 +INDEX GOES BRRR: 56 X: 3.52148 +INDEX GOES BRRR: 22 X: 1.42383 +INDEX GOES BRRR: 158 X: 9.91992 +INDEX GOES BRRR: 77 X: 4.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 985 X: -2.42188 +INDEX GOES BRRR: 379 X: 23.7344 +INDEX GOES BRRR: 134 X: 8.38965 +INDEX GOES BRRR: 653 X: -23.1523 +INDEX GOES BRRR: 426 X: 26.6289 +INDEX GOES BRRR: 262 X: 16.4336 +INDEX GOES BRRR: 170 X: 10.6572 +INDEX GOES BRRR: 244 X: 15.2578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.61035 +INDEX GOES BRRR: 210 X: 13.1562 +INDEX GOES BRRR: 307 X: 19.1992 +INDEX GOES BRRR: 976 X: -2.95215 +INDEX GOES BRRR: 138 X: 8.65625 +INDEX GOES BRRR: 299 X: 18.749 +INDEX GOES BRRR: 242 X: 15.1553 +INDEX GOES BRRR: 872 X: -9.44727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.8408 +INDEX GOES BRRR: 182 X: 11.3896 +INDEX GOES BRRR: 329 X: 20.584 +INDEX GOES BRRR: 399 X: 24.9531 +INDEX GOES BRRR: 158 X: 9.91602 +INDEX GOES BRRR: 36 X: 2.30469 +INDEX GOES BRRR: 336 X: 21.0293 +INDEX GOES BRRR: 76 X: 4.7793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.16895 +INDEX GOES BRRR: 422 X: 26.4072 +INDEX GOES BRRR: 137 X: 8.6084 +INDEX GOES BRRR: 325 X: 20.3682 +INDEX GOES BRRR: 255 X: 15.9971 +INDEX GOES BRRR: 908 X: -7.24023 +INDEX GOES BRRR: 87 X: 5.44922 +INDEX GOES BRRR: 103 X: 6.44727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 697 X: -20.3926 +INDEX GOES BRRR: 416 X: 26.0361 +INDEX GOES BRRR: 749 X: -17.1611 +INDEX GOES BRRR: 29 X: 1.81445 +INDEX GOES BRRR: 254 X: 15.8896 +INDEX GOES BRRR: 29 X: 1.86523 +INDEX GOES BRRR: 909 X: -7.15234 +INDEX GOES BRRR: 98 X: 6.13965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.78418 +INDEX GOES BRRR: 319 X: 19.9414 +INDEX GOES BRRR: 131 X: 8.21484 +INDEX GOES BRRR: 70 X: 4.41406 +INDEX GOES BRRR: 340 X: 21.2891 +INDEX GOES BRRR: 982 X: -2.58594 +INDEX GOES BRRR: 239 X: 14.9727 +INDEX GOES BRRR: 954 X: -4.37012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.185547 +INDEX GOES BRRR: 75 X: 4.69043 +INDEX GOES BRRR: 455 X: 28.498 +INDEX GOES BRRR: 416 X: 26.0439 +INDEX GOES BRRR: 884 X: -8.75 +INDEX GOES BRRR: 284 X: 17.7744 +INDEX GOES BRRR: 140 X: 8.79102 +INDEX GOES BRRR: 249 X: 15.624 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1289 +INDEX GOES BRRR: 27 X: 1.69141 +INDEX GOES BRRR: 20 X: 1.28418 +INDEX GOES BRRR: 1022 X: -0.121094 +INDEX GOES BRRR: 914 X: -6.875 +INDEX GOES BRRR: 879 X: -9.05176 +INDEX GOES BRRR: 147 X: 9.21875 +INDEX GOES BRRR: 462 X: 28.8828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.8428 +INDEX GOES BRRR: 78 X: 4.91895 +INDEX GOES BRRR: 169 X: 10.6211 +INDEX GOES BRRR: 12 X: 0.75 +INDEX GOES BRRR: 192 X: 12.0225 +INDEX GOES BRRR: 194 X: 12.1445 +INDEX GOES BRRR: 54 X: 3.40918 +INDEX GOES BRRR: 7 X: 0.457031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.3252 +INDEX GOES BRRR: 168 X: 10.5283 +INDEX GOES BRRR: 205 X: 12.8516 +INDEX GOES BRRR: 172 X: 10.7656 +INDEX GOES BRRR: 991 X: -2.00586 +INDEX GOES BRRR: 246 X: 15.4365 +INDEX GOES BRRR: 132 X: 8.29102 +INDEX GOES BRRR: 998 X: -1.58496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0518 +INDEX GOES BRRR: 379 X: 23.6943 +INDEX GOES BRRR: 83 X: 5.22266 +INDEX GOES BRRR: 206 X: 12.9092 +INDEX GOES BRRR: 876 X: -9.19141 +INDEX GOES BRRR: 83 X: 5.22559 +INDEX GOES BRRR: 329 X: 20.5635 +INDEX GOES BRRR: 16 X: 1.03027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0801 +INDEX GOES BRRR: 836 X: -11.6973 +INDEX GOES BRRR: 369 X: 23.1191 +INDEX GOES BRRR: 275 X: 17.2051 +INDEX GOES BRRR: 19 X: 1.2041 +INDEX GOES BRRR: 86 X: 5.39941 +INDEX GOES BRRR: 124 X: 7.75684 +INDEX GOES BRRR: 43 X: 2.72559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6455 +INDEX GOES BRRR: 60 X: 3.76953 +INDEX GOES BRRR: 240 X: 15.0361 +INDEX GOES BRRR: 137 X: 8.58496 +INDEX GOES BRRR: 101 X: 6.35742 +INDEX GOES BRRR: 171 X: 10.7158 +INDEX GOES BRRR: 135 X: 8.48633 +INDEX GOES BRRR: 971 X: -3.25977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.44531 +INDEX GOES BRRR: 258 X: 16.1309 +INDEX GOES BRRR: 151 X: 9.47559 +INDEX GOES BRRR: 142 X: 8.92578 +INDEX GOES BRRR: 216 X: 13.5586 +INDEX GOES BRRR: 43 X: 2.74805 +INDEX GOES BRRR: 341 X: 21.3184 +INDEX GOES BRRR: 305 X: 19.0859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.9209 +INDEX GOES BRRR: 81 X: 5.10352 +INDEX GOES BRRR: 185 X: 11.585 +INDEX GOES BRRR: 137 X: 8.58691 +INDEX GOES BRRR: 25 X: 1.61621 +INDEX GOES BRRR: 149 X: 9.34668 +INDEX GOES BRRR: 274 X: 17.1279 +INDEX GOES BRRR: 406 X: 25.3955 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 265 X: 16.5713 +INDEX GOES BRRR: 135 X: 8.48438 +INDEX GOES BRRR: 109 X: 6.81445 +INDEX GOES BRRR: 196 X: 12.2988 +INDEX GOES BRRR: 203 X: 12.7012 +INDEX GOES BRRR: 953 X: -4.43164 +INDEX GOES BRRR: 187 X: 11.6914 +INDEX GOES BRRR: 451 X: 28.1953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.49707 +INDEX GOES BRRR: 255 X: 15.9521 +INDEX GOES BRRR: 119 X: 7.48145 +INDEX GOES BRRR: 1011 X: -0.768555 +INDEX GOES BRRR: 280 X: 17.5107 +INDEX GOES BRRR: 49 X: 3.10352 +INDEX GOES BRRR: 251 X: 15.7041 +INDEX GOES BRRR: 227 X: 14.2217 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 995 X: -1.80762 +INDEX GOES BRRR: 10 X: 0.634766 +INDEX GOES BRRR: 263 X: 16.4834 +INDEX GOES BRRR: 420 X: 26.2734 +INDEX GOES BRRR: 490 X: 30.6338 +INDEX GOES BRRR: 227 X: 14.1992 +INDEX GOES BRRR: 131 X: 8.22168 +INDEX GOES BRRR: 248 X: 15.5146 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 398 X: 24.918 +INDEX GOES BRRR: 947 X: -4.77441 +INDEX GOES BRRR: 130 X: 8.12695 +INDEX GOES BRRR: 355 X: 22.2041 +INDEX GOES BRRR: 255 X: 15.9512 +INDEX GOES BRRR: 150 X: 9.43359 +INDEX GOES BRRR: 1011 X: -0.811523 +INDEX GOES BRRR: 983 X: -2.53027 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 925 X: -6.16895 +INDEX GOES BRRR: 201 X: 12.5732 +INDEX GOES BRRR: 897 X: -7.92383 +INDEX GOES BRRR: 166 X: 10.4268 +INDEX GOES BRRR: 914 X: -6.84082 +INDEX GOES BRRR: 131 X: 8.18848 +INDEX GOES BRRR: 486 X: 30.417 +INDEX GOES BRRR: 148 X: 9.30664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.5381 +INDEX GOES BRRR: 180 X: 11.2891 +INDEX GOES BRRR: 212 X: 13.3115 +INDEX GOES BRRR: 195 X: 12.208 +INDEX GOES BRRR: 195 X: 12.2207 +INDEX GOES BRRR: 37 X: 2.35254 +INDEX GOES BRRR: 219 X: 13.7119 +INDEX GOES BRRR: 144 X: 9.04004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 786 X: -14.8662 +INDEX GOES BRRR: 433 X: 27.0752 +INDEX GOES BRRR: 830 X: -12.0811 +INDEX GOES BRRR: 1010 X: -0.836914 +INDEX GOES BRRR: 223 X: 13.9375 +INDEX GOES BRRR: 972 X: -3.19043 +INDEX GOES BRRR: 229 X: 14.3691 +INDEX GOES BRRR: 82 X: 5.1709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.194336 +INDEX GOES BRRR: 97 X: 6.07422 +INDEX GOES BRRR: 18 X: 1.1377 +INDEX GOES BRRR: 135 X: 8.47949 +INDEX GOES BRRR: 225 X: 14.123 +INDEX GOES BRRR: 194 X: 12.1592 +INDEX GOES BRRR: 378 X: 23.668 +INDEX GOES BRRR: 1011 X: -0.787109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 342 X: 21.4258 +INDEX GOES BRRR: 747 X: -17.2803 +INDEX GOES BRRR: 122 X: 7.67285 +INDEX GOES BRRR: 881 X: -8.92969 +INDEX GOES BRRR: 929 X: -5.9082 +INDEX GOES BRRR: 400 X: 25 +INDEX GOES BRRR: 252 X: 15.79 +INDEX GOES BRRR: 933 X: -5.68066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.2441 +INDEX GOES BRRR: 316 X: 19.7686 +INDEX GOES BRRR: 379 X: 23.7451 +INDEX GOES BRRR: 998 X: -1.57031 +INDEX GOES BRRR: 195 X: 12.2217 +INDEX GOES BRRR: 1001 X: -1.41406 +INDEX GOES BRRR: 415 X: 25.999 +INDEX GOES BRRR: 101 X: 6.35156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.39746 +INDEX GOES BRRR: 238 X: 14.9131 +INDEX GOES BRRR: 959 X: -4.00879 +INDEX GOES BRRR: 68 X: 4.26367 +INDEX GOES BRRR: 252 X: 15.792 +INDEX GOES BRRR: 20 X: 1.30078 +INDEX GOES BRRR: 282 X: 17.6357 +INDEX GOES BRRR: 315 X: 19.7324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 466 X: 29.1572 +INDEX GOES BRRR: 18 X: 1.13477 +INDEX GOES BRRR: 60 X: 3.77148 +INDEX GOES BRRR: 222 X: 13.9297 +INDEX GOES BRRR: 371 X: 23.2324 +INDEX GOES BRRR: 8 X: 0.537109 +INDEX GOES BRRR: 141 X: 8.83691 +INDEX GOES BRRR: 887 X: -8.53711 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0098 +INDEX GOES BRRR: 222 X: 13.8828 +INDEX GOES BRRR: 368 X: 23.0039 +INDEX GOES BRRR: 66 X: 4.15234 +INDEX GOES BRRR: 237 X: 14.8682 +INDEX GOES BRRR: 1007 X: -1.01758 +INDEX GOES BRRR: 285 X: 17.8291 +INDEX GOES BRRR: 6 X: 0.408203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.6396 +INDEX GOES BRRR: 133 X: 8.32422 +INDEX GOES BRRR: 122 X: 7.66113 +INDEX GOES BRRR: 361 X: 22.5811 +INDEX GOES BRRR: 106 X: 6.66309 +INDEX GOES BRRR: 11 X: 0.732422 +INDEX GOES BRRR: 855 X: -10.5039 +INDEX GOES BRRR: 144 X: 9.00098 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 970 X: -3.3457 +INDEX GOES BRRR: 938 X: -5.3457 +INDEX GOES BRRR: 443 X: 27.7422 +INDEX GOES BRRR: 16 X: 1.05176 +INDEX GOES BRRR: 211 X: 13.1973 +INDEX GOES BRRR: 22 X: 1.41406 +INDEX GOES BRRR: 381 X: 23.8496 +INDEX GOES BRRR: 203 X: 12.7471 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 330 X: 20.6514 +INDEX GOES BRRR: 808 X: -13.4678 +INDEX GOES BRRR: 266 X: 16.6338 +INDEX GOES BRRR: 118 X: 7.41895 +INDEX GOES BRRR: 184 X: 11.5557 +INDEX GOES BRRR: 307 X: 19.2012 +INDEX GOES BRRR: 124 X: 7.75 +INDEX GOES BRRR: 327 X: 20.4912 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 970 X: -3.33789 +INDEX GOES BRRR: 40 X: 2.53125 +INDEX GOES BRRR: 876 X: -9.24121 +INDEX GOES BRRR: 331 X: 20.6953 +INDEX GOES BRRR: 21 X: 1.35059 +INDEX GOES BRRR: 953 X: -4.42676 +INDEX GOES BRRR: 191 X: 11.9951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.2832 +INDEX GOES BRRR: 18 X: 1.18359 +INDEX GOES BRRR: 924 X: -6.22168 +INDEX GOES BRRR: 903 X: -7.55176 +INDEX GOES BRRR: 223 X: 13.9951 +INDEX GOES BRRR: 163 X: 10.2344 +INDEX GOES BRRR: 253 X: 15.8672 +INDEX GOES BRRR: 229 X: 14.3623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 403 X: 25.1973 +INDEX GOES BRRR: 192 X: 12.0146 +INDEX GOES BRRR: 911 X: -7.05371 +INDEX GOES BRRR: 192 X: 12.0293 +INDEX GOES BRRR: 46 X: 2.93164 +INDEX GOES BRRR: 73 X: 4.60547 +INDEX GOES BRRR: 285 X: 17.8164 +INDEX GOES BRRR: 272 X: 17.0244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 992 X: -1.97949 +INDEX GOES BRRR: 29 X: 1.86133 +INDEX GOES BRRR: 165 X: 10.335 +INDEX GOES BRRR: 292 X: 18.2764 +INDEX GOES BRRR: 110 X: 6.8916 +INDEX GOES BRRR: 141 X: 8.85254 +INDEX GOES BRRR: 197 X: 12.3486 +INDEX GOES BRRR: 1015 X: -0.500977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.85352 +INDEX GOES BRRR: 312 X: 19.5449 +INDEX GOES BRRR: 109 X: 6.81934 +INDEX GOES BRRR: 226 X: 14.1426 +INDEX GOES BRRR: 165 X: 10.3672 +INDEX GOES BRRR: 244 X: 15.2559 +INDEX GOES BRRR: 73 X: 4.59766 +INDEX GOES BRRR: 81 X: 5.0752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.26465 +INDEX GOES BRRR: 292 X: 18.2578 +INDEX GOES BRRR: 460 X: 28.8027 +INDEX GOES BRRR: 100 X: 6.25195 +INDEX GOES BRRR: 32 X: 2.01855 +INDEX GOES BRRR: 475 X: 29.7295 +INDEX GOES BRRR: 331 X: 20.7061 +INDEX GOES BRRR: 176 X: 11.0234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6738 +INDEX GOES BRRR: 167 X: 10.4404 +INDEX GOES BRRR: 133 X: 8.36523 +INDEX GOES BRRR: 367 X: 22.9961 +INDEX GOES BRRR: 46 X: 2.90527 +INDEX GOES BRRR: 217 X: 13.5684 +INDEX GOES BRRR: 224 X: 14.0098 +INDEX GOES BRRR: 1 X: 0.0878906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8135 +INDEX GOES BRRR: 148 X: 9.29492 +INDEX GOES BRRR: 325 X: 20.3223 +INDEX GOES BRRR: 259 X: 16.2227 +INDEX GOES BRRR: 374 X: 23.3789 +INDEX GOES BRRR: 187 X: 11.6875 +INDEX GOES BRRR: 251 X: 15.7422 +INDEX GOES BRRR: 391 X: 24.4492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 954 X: -4.3623 +INDEX GOES BRRR: 175 X: 10.9531 +INDEX GOES BRRR: 228 X: 14.2656 +INDEX GOES BRRR: 23 X: 1.47559 +INDEX GOES BRRR: 211 X: 13.2363 +INDEX GOES BRRR: 322 X: 20.1699 +INDEX GOES BRRR: 849 X: -10.9199 +INDEX GOES BRRR: 7 X: 0.454102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0342 +INDEX GOES BRRR: 138 X: 8.64551 +INDEX GOES BRRR: 91 X: 5.74121 +INDEX GOES BRRR: 1007 X: -1.05176 +INDEX GOES BRRR: 108 X: 6.78906 +INDEX GOES BRRR: 113 X: 7.1084 +INDEX GOES BRRR: 438 X: 27.4326 +INDEX GOES BRRR: 113 X: 7.08691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7451 +INDEX GOES BRRR: 411 X: 25.7324 +INDEX GOES BRRR: 94 X: 5.89648 +INDEX GOES BRRR: 271 X: 16.9375 +INDEX GOES BRRR: 196 X: 12.2842 +INDEX GOES BRRR: 908 X: -7.22363 +INDEX GOES BRRR: 170 X: 10.6504 +INDEX GOES BRRR: 350 X: 21.8867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.96094 +INDEX GOES BRRR: 61 X: 3.86133 +INDEX GOES BRRR: 16 X: 1.03418 +INDEX GOES BRRR: 55 X: 3.48633 +INDEX GOES BRRR: 270 X: 16.8975 +INDEX GOES BRRR: 72 X: 4.53418 +INDEX GOES BRRR: 103 X: 6.45605 +INDEX GOES BRRR: 344 X: 21.5586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 411 X: 25.7236 +INDEX GOES BRRR: 243 X: 15.1953 +INDEX GOES BRRR: 122 X: 7.6377 +INDEX GOES BRRR: 247 X: 15.4727 +INDEX GOES BRRR: 490 X: 30.6855 +INDEX GOES BRRR: 276 X: 17.2783 +INDEX GOES BRRR: 243 X: 15.2129 +INDEX GOES BRRR: 266 X: 16.6455 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.1484 +INDEX GOES BRRR: 33 X: 2.0957 +INDEX GOES BRRR: 981 X: -2.63184 +INDEX GOES BRRR: 439 X: 27.4453 +INDEX GOES BRRR: 207 X: 12.9395 +INDEX GOES BRRR: 171 X: 10.7031 +INDEX GOES BRRR: 340 X: 21.2676 +INDEX GOES BRRR: 438 X: 27.3975 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 438 X: 27.415 +INDEX GOES BRRR: 180 X: 11.2627 +INDEX GOES BRRR: 271 X: 16.9395 +INDEX GOES BRRR: 195 X: 12.1943 +INDEX GOES BRRR: 265 X: 16.5811 +INDEX GOES BRRR: 28 X: 1.80469 +INDEX GOES BRRR: 316 X: 19.8037 +INDEX GOES BRRR: 288 X: 18.0566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1357 +INDEX GOES BRRR: 413 X: 25.8604 +INDEX GOES BRRR: 164 X: 10.2803 +INDEX GOES BRRR: 323 X: 20.2422 +INDEX GOES BRRR: 338 X: 21.1367 +INDEX GOES BRRR: 62 X: 3.88672 +INDEX GOES BRRR: 296 X: 18.542 +INDEX GOES BRRR: 108 X: 6.78906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9521 +INDEX GOES BRRR: 134 X: 8.38184 +INDEX GOES BRRR: 320 X: 20.042 +INDEX GOES BRRR: 48 X: 3.05273 +INDEX GOES BRRR: 195 X: 12.1943 +INDEX GOES BRRR: 293 X: 18.3262 +INDEX GOES BRRR: 54 X: 3.43555 +INDEX GOES BRRR: 961 X: -3.89746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.18652 +INDEX GOES BRRR: 874 X: -9.37207 +INDEX GOES BRRR: 67 X: 4.20605 +INDEX GOES BRRR: 477 X: 29.8506 +INDEX GOES BRRR: 73 X: 4.57715 +INDEX GOES BRRR: 351 X: 21.9922 +INDEX GOES BRRR: 41 X: 2.57227 +INDEX GOES BRRR: 469 X: 29.3291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.71582 +INDEX GOES BRRR: 50 X: 3.15918 +INDEX GOES BRRR: 7 X: 0.466797 +INDEX GOES BRRR: 180 X: 11.2686 +INDEX GOES BRRR: 166 X: 10.3877 +INDEX GOES BRRR: 306 X: 19.1367 +INDEX GOES BRRR: 157 X: 9.82324 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.59863 +INDEX GOES BRRR: 209 X: 13.084 +INDEX GOES BRRR: 157 X: 9.86523 +INDEX GOES BRRR: 111 X: 6.98926 +INDEX GOES BRRR: 337 X: 21.1123 +INDEX GOES BRRR: 21 X: 1.35547 +INDEX GOES BRRR: 214 X: 13.4248 +INDEX GOES BRRR: 10 X: 0.68457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.36133 +INDEX GOES BRRR: 945 X: -4.91797 +INDEX GOES BRRR: 164 X: 10.2822 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 2 X: 0.149414 +INDEX GOES BRRR: 43 X: 2.69727 +INDEX GOES BRRR: 935 X: -5.55371 +INDEX GOES BRRR: 124 X: 7.76367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1 X: 0.0771484 +INDEX GOES BRRR: 259 X: 16.248 +INDEX GOES BRRR: 64 X: 4.04004 +INDEX GOES BRRR: 65 X: 4.07227 +INDEX GOES BRRR: 21 X: 1.36621 +INDEX GOES BRRR: 246 X: 15.4043 +INDEX GOES BRRR: 194 X: 12.1807 +INDEX GOES BRRR: 151 X: 9.46289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.11719 +INDEX GOES BRRR: 1022 X: -0.109375 +INDEX GOES BRRR: 268 X: 16.8096 +INDEX GOES BRRR: 294 X: 18.4199 +INDEX GOES BRRR: 176 X: 11.0518 +INDEX GOES BRRR: 337 X: 21.0791 +INDEX GOES BRRR: 311 X: 19.4863 +INDEX GOES BRRR: 176 X: 11.0137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 743 X: -17.5371 +INDEX GOES BRRR: 142 X: 8.89648 +INDEX GOES BRRR: 119 X: 7.48633 +INDEX GOES BRRR: 45 X: 2.87207 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 70 X: 4.43652 +INDEX GOES BRRR: 44 X: 2.76367 +INDEX GOES BRRR: 19 X: 1.20312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1816 +INDEX GOES BRRR: 156 X: 9.76367 +INDEX GOES BRRR: 186 X: 11.6562 +INDEX GOES BRRR: 77 X: 4.85156 +INDEX GOES BRRR: 141 X: 8.81738 +INDEX GOES BRRR: 980 X: -2.72461 +INDEX GOES BRRR: 963 X: -3.79492 +INDEX GOES BRRR: 195 X: 12.2256 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 0 X: 0.0507812 +INDEX GOES BRRR: 307 X: 19.2031 +INDEX GOES BRRR: 381 X: 23.8594 +INDEX GOES BRRR: 55 X: 3.48438 +INDEX GOES BRRR: 161 X: 10.0947 +INDEX GOES BRRR: 49 X: 3.08301 +INDEX GOES BRRR: 13 X: 0.855469 +INDEX GOES BRRR: 5 X: 0.31543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3711 +INDEX GOES BRRR: 160 X: 10.0146 +INDEX GOES BRRR: 58 X: 3.67188 +INDEX GOES BRRR: 242 X: 15.1748 +INDEX GOES BRRR: 442 X: 27.667 +INDEX GOES BRRR: 356 X: 22.251 +INDEX GOES BRRR: 40 X: 2.50293 +INDEX GOES BRRR: 351 X: 21.9834 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 14 X: 0.920898 +INDEX GOES BRRR: 892 X: -8.24316 +INDEX GOES BRRR: 152 X: 9.55664 +INDEX GOES BRRR: 243 X: 15.2012 +INDEX GOES BRRR: 99 X: 6.21191 +INDEX GOES BRRR: 424 X: 26.5439 +INDEX GOES BRRR: 429 X: 26.8711 +INDEX GOES BRRR: 76 X: 4.76855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2266 +INDEX GOES BRRR: 431 X: 26.9551 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 160 X: 10.0244 +INDEX GOES BRRR: 243 X: 15.2168 +INDEX GOES BRRR: 980 X: -2.71582 +INDEX GOES BRRR: 383 X: 23.9473 +INDEX GOES BRRR: 88 X: 5.55469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 295 X: 18.4502 +INDEX GOES BRRR: 235 X: 14.6914 +INDEX GOES BRRR: 817 X: -12.9043 +INDEX GOES BRRR: 968 X: -3.49512 +INDEX GOES BRRR: 77 X: 4.83887 +INDEX GOES BRRR: 84 X: 5.28125 +INDEX GOES BRRR: 435 X: 27.2324 +INDEX GOES BRRR: 335 X: 20.9688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2969 +INDEX GOES BRRR: 1019 X: -0.250977 +INDEX GOES BRRR: 233 X: 14.5947 +INDEX GOES BRRR: 184 X: 11.5146 +INDEX GOES BRRR: 189 X: 11.8564 +INDEX GOES BRRR: 42 X: 2.64551 +INDEX GOES BRRR: 165 X: 10.3203 +INDEX GOES BRRR: 359 X: 22.4395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.53906 +INDEX GOES BRRR: 175 X: 10.998 +INDEX GOES BRRR: 222 X: 13.9238 +INDEX GOES BRRR: 980 X: -2.73828 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 154 X: 9.62598 +INDEX GOES BRRR: 128 X: 8.00391 +INDEX GOES BRRR: 303 X: 18.9482 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.544922 +INDEX GOES BRRR: 47 X: 2.96289 +INDEX GOES BRRR: 166 X: 10.417 +INDEX GOES BRRR: 151 X: 9.45605 +INDEX GOES BRRR: 349 X: 21.8623 +INDEX GOES BRRR: 199 X: 12.4463 +INDEX GOES BRRR: 127 X: 7.99023 +INDEX GOES BRRR: 381 X: 23.8184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 308 X: 19.2998 +INDEX GOES BRRR: 153 X: 9.56641 +INDEX GOES BRRR: 131 X: 8.2334 +INDEX GOES BRRR: 901 X: -7.66211 +INDEX GOES BRRR: 52 X: 3.27344 +INDEX GOES BRRR: 14 X: 0.931641 +INDEX GOES BRRR: 35 X: 2.20508 +INDEX GOES BRRR: 349 X: 21.8301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.60645 +INDEX GOES BRRR: 1017 X: -0.408203 +INDEX GOES BRRR: 40 X: 2.53906 +INDEX GOES BRRR: 444 X: 27.7793 +INDEX GOES BRRR: 101 X: 6.33984 +INDEX GOES BRRR: 424 X: 26.502 +INDEX GOES BRRR: 377 X: 23.5811 +INDEX GOES BRRR: 106 X: 6.67285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.0928 +INDEX GOES BRRR: 167 X: 10.4824 +INDEX GOES BRRR: 335 X: 20.9512 +INDEX GOES BRRR: 47 X: 2.95898 +INDEX GOES BRRR: 173 X: 10.8594 +INDEX GOES BRRR: 455 X: 28.4668 +INDEX GOES BRRR: 304 X: 19.0039 +INDEX GOES BRRR: 204 X: 12.7686 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.4092 +INDEX GOES BRRR: 943 X: -5.01562 +INDEX GOES BRRR: 88 X: 5.53809 +INDEX GOES BRRR: 167 X: 10.4805 +INDEX GOES BRRR: 109 X: 6.86426 +INDEX GOES BRRR: 22 X: 1.39648 +INDEX GOES BRRR: 345 X: 21.5889 +INDEX GOES BRRR: 869 X: -9.63281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 233 X: 14.5693 +INDEX GOES BRRR: 156 X: 9.75293 +INDEX GOES BRRR: 233 X: 14.5762 +INDEX GOES BRRR: 245 X: 15.3379 +INDEX GOES BRRR: 16 X: 1.02832 +INDEX GOES BRRR: 18 X: 1.13672 +INDEX GOES BRRR: 948 X: -4.71387 +INDEX GOES BRRR: 404 X: 25.293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 330 X: 20.6641 +INDEX GOES BRRR: 14 X: 0.905273 +INDEX GOES BRRR: 389 X: 24.3682 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 193 X: 12.0908 +INDEX GOES BRRR: 34 X: 2.15234 +INDEX GOES BRRR: 223 X: 13.9814 +INDEX GOES BRRR: 190 X: 11.9072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 859 X: -10.2764 +INDEX GOES BRRR: 399 X: 24.9893 +INDEX GOES BRRR: 988 X: -2.22559 +INDEX GOES BRRR: 961 X: -3.93457 +INDEX GOES BRRR: 936 X: -5.47656 +INDEX GOES BRRR: 308 X: 19.2979 +INDEX GOES BRRR: 221 X: 13.8486 +INDEX GOES BRRR: 390 X: 24.416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.1582 +INDEX GOES BRRR: 955 X: -4.26758 +INDEX GOES BRRR: 44 X: 2.77637 +INDEX GOES BRRR: 235 X: 14.7471 +INDEX GOES BRRR: 473 X: 29.5723 +INDEX GOES BRRR: 113 X: 7.06934 +INDEX GOES BRRR: 325 X: 20.3252 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.415 +INDEX GOES BRRR: 181 X: 11.3682 +INDEX GOES BRRR: 90 X: 5.64453 +INDEX GOES BRRR: 143 X: 8.9375 +INDEX GOES BRRR: 129 X: 8.09082 +INDEX GOES BRRR: 315 X: 19.7119 +INDEX GOES BRRR: 234 X: 14.6436 +INDEX GOES BRRR: 207 X: 12.9824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1005 X: -1.16016 +INDEX GOES BRRR: 952 X: -4.46973 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 311 X: 19.4648 +INDEX GOES BRRR: 976 X: -2.96387 +INDEX GOES BRRR: 292 X: 18.2842 +INDEX GOES BRRR: 324 X: 20.2695 +INDEX GOES BRRR: 251 X: 15.7178 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 434 X: 27.1445 +INDEX GOES BRRR: 216 X: 13.5146 +INDEX GOES BRRR: 188 X: 11.7656 +INDEX GOES BRRR: 29 X: 1.81348 +INDEX GOES BRRR: 56 X: 3.55273 +INDEX GOES BRRR: 283 X: 17.7295 +INDEX GOES BRRR: 151 X: 9.48926 +INDEX GOES BRRR: 264 X: 16.5205 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 405 X: 25.3398 +INDEX GOES BRRR: 77 X: 4.85156 +INDEX GOES BRRR: 2 X: 0.170898 +INDEX GOES BRRR: 10 X: 0.662109 +INDEX GOES BRRR: 32 X: 2.02734 +INDEX GOES BRRR: 72 X: 4.5 +INDEX GOES BRRR: 206 X: 12.8906 +INDEX GOES BRRR: 165 X: 10.3438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 263 X: 16.4756 +INDEX GOES BRRR: 11 X: 0.730469 +INDEX GOES BRRR: 176 X: 11.0049 +INDEX GOES BRRR: 207 X: 12.9434 +INDEX GOES BRRR: 321 X: 20.0732 +INDEX GOES BRRR: 210 X: 13.1338 +INDEX GOES BRRR: 306 X: 19.1309 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 926 X: -6.07617 +INDEX GOES BRRR: 283 X: 17.7158 +INDEX GOES BRRR: 116 X: 7.25684 +INDEX GOES BRRR: 100 X: 6.25 +INDEX GOES BRRR: 97 X: 6.10059 +INDEX GOES BRRR: 1 X: 0.0849609 +INDEX GOES BRRR: 230 X: 14.3984 +INDEX GOES BRRR: 305 X: 19.0732 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.626 +INDEX GOES BRRR: 135 X: 8.47168 +INDEX GOES BRRR: 91 X: 5.74902 +INDEX GOES BRRR: 365 X: 22.8174 +INDEX GOES BRRR: 219 X: 13.6914 +INDEX GOES BRRR: 953 X: -4.42383 +INDEX GOES BRRR: 465 X: 29.084 +INDEX GOES BRRR: 308 X: 19.2959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 4 X: 0.268555 +INDEX GOES BRRR: 135 X: 8.46191 +INDEX GOES BRRR: 398 X: 24.9316 +INDEX GOES BRRR: 334 X: 20.8906 +INDEX GOES BRRR: 884 X: -8.68945 +INDEX GOES BRRR: 89 X: 5.59766 +INDEX GOES BRRR: 218 X: 13.6279 +INDEX GOES BRRR: 282 X: 17.6816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 60 X: 3.76465 +INDEX GOES BRRR: 153 X: 9.57324 +INDEX GOES BRRR: 305 X: 19.0645 +INDEX GOES BRRR: 206 X: 12.9355 +INDEX GOES BRRR: 175 X: 10.9961 +INDEX GOES BRRR: 15 X: 0.967773 +INDEX GOES BRRR: 348 X: 21.7822 +INDEX GOES BRRR: 166 X: 10.3857 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 408 X: 25.54 +INDEX GOES BRRR: 197 X: 12.3701 +INDEX GOES BRRR: 118 X: 7.42773 +INDEX GOES BRRR: 273 X: 17.083 +INDEX GOES BRRR: 843 X: -11.292 +INDEX GOES BRRR: 244 X: 15.29 +INDEX GOES BRRR: 247 X: 15.4688 +INDEX GOES BRRR: 802 X: -13.8408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 341 X: 21.3438 +INDEX GOES BRRR: 142 X: 8.90234 +INDEX GOES BRRR: 168 X: 10.5469 +INDEX GOES BRRR: 953 X: -4.40918 +INDEX GOES BRRR: 117 X: 7.31641 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 346 X: 21.6406 +INDEX GOES BRRR: 245 X: 15.3145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.3838 +INDEX GOES BRRR: 400 X: 25.0469 +INDEX GOES BRRR: 18 X: 1.17188 +INDEX GOES BRRR: 28 X: 1.75781 +INDEX GOES BRRR: 259 X: 16.248 +INDEX GOES BRRR: 271 X: 16.9717 +INDEX GOES BRRR: 1008 X: -0.953125 +INDEX GOES BRRR: 159 X: 9.94141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9395 +INDEX GOES BRRR: 269 X: 16.8623 +INDEX GOES BRRR: 151 X: 9.49512 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 115 X: 7.2207 +INDEX GOES BRRR: 970 X: -3.37109 +INDEX GOES BRRR: 152 X: 9.52246 +INDEX GOES BRRR: 183 X: 11.4424 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 985 X: -2.41504 +INDEX GOES BRRR: 198 X: 12.4072 +INDEX GOES BRRR: 215 X: 13.4805 +INDEX GOES BRRR: 180 X: 11.2764 +INDEX GOES BRRR: 987 X: -2.28418 +INDEX GOES BRRR: 181 X: 11.3584 +INDEX GOES BRRR: 291 X: 18.2129 +INDEX GOES BRRR: 329 X: 20.5752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3506 +INDEX GOES BRRR: 1021 X: -0.130859 +INDEX GOES BRRR: 128 X: 8.00293 +INDEX GOES BRRR: 141 X: 8.82422 +INDEX GOES BRRR: 981 X: -2.68262 +INDEX GOES BRRR: 297 X: 18.5957 +INDEX GOES BRRR: 44 X: 2.78809 +INDEX GOES BRRR: 77 X: 4.81934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3359 +INDEX GOES BRRR: 280 X: 17.5078 +INDEX GOES BRRR: 334 X: 20.8838 +INDEX GOES BRRR: 322 X: 20.1289 +INDEX GOES BRRR: 93 X: 5.84375 +INDEX GOES BRRR: 269 X: 16.8301 +INDEX GOES BRRR: 481 X: 30.1182 +INDEX GOES BRRR: 253 X: 15.8691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.25879 +INDEX GOES BRRR: 132 X: 8.28516 +INDEX GOES BRRR: 108 X: 6.79785 +INDEX GOES BRRR: 130 X: 8.18555 +INDEX GOES BRRR: 138 X: 8.67578 +INDEX GOES BRRR: 220 X: 13.7744 +INDEX GOES BRRR: 958 X: -4.0957 +INDEX GOES BRRR: 972 X: -3.21582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.37305 +INDEX GOES BRRR: 359 X: 22.4531 +INDEX GOES BRRR: 290 X: 18.125 +INDEX GOES BRRR: 91 X: 5.70508 +INDEX GOES BRRR: 171 X: 10.7109 +INDEX GOES BRRR: 850 X: -10.8359 +INDEX GOES BRRR: 234 X: 14.6465 +INDEX GOES BRRR: 271 X: 16.9951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8389 +INDEX GOES BRRR: 62 X: 3.91016 +INDEX GOES BRRR: 67 X: 4.23047 +INDEX GOES BRRR: 1005 X: -1.14844 +INDEX GOES BRRR: 55 X: 3.4707 +INDEX GOES BRRR: 114 X: 7.13184 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 345 X: 21.6113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.7812 +INDEX GOES BRRR: 105 X: 6.58594 +INDEX GOES BRRR: 88 X: 5.53027 +INDEX GOES BRRR: 198 X: 12.3975 +INDEX GOES BRRR: 20 X: 1.27051 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 237 X: 14.8652 +INDEX GOES BRRR: 60 X: 3.77734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 972 X: -3.2041 +INDEX GOES BRRR: 87 X: 5.47559 +INDEX GOES BRRR: 272 X: 17.0391 +INDEX GOES BRRR: 72 X: 4.52637 +INDEX GOES BRRR: 230 X: 14.3916 +INDEX GOES BRRR: 1 X: 0.0996094 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 188 X: 11.7939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.86523 +INDEX GOES BRRR: 365 X: 22.8291 +INDEX GOES BRRR: 128 X: 8.02246 +INDEX GOES BRRR: 311 X: 19.4805 +INDEX GOES BRRR: 130 X: 8.1543 +INDEX GOES BRRR: 93 X: 5.83887 +INDEX GOES BRRR: 211 X: 13.1924 +INDEX GOES BRRR: 273 X: 17.0859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.5732 +INDEX GOES BRRR: 302 X: 18.9062 +INDEX GOES BRRR: 388 X: 24.2871 +INDEX GOES BRRR: 70 X: 4.39062 +INDEX GOES BRRR: 327 X: 20.4932 +INDEX GOES BRRR: 125 X: 7.86719 +INDEX GOES BRRR: 314 X: 19.6611 +INDEX GOES BRRR: 796 X: -14.2461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.49414 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 293 X: 18.3643 +INDEX GOES BRRR: 132 X: 8.25781 +INDEX GOES BRRR: 154 X: 9.64355 +INDEX GOES BRRR: 101 X: 6.3584 +INDEX GOES BRRR: 425 X: 26.6074 +INDEX GOES BRRR: 324 X: 20.2803 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.79 +INDEX GOES BRRR: 262 X: 16.4287 +INDEX GOES BRRR: 359 X: 22.4482 +INDEX GOES BRRR: 85 X: 5.32031 +INDEX GOES BRRR: 194 X: 12.1484 +INDEX GOES BRRR: 96 X: 6.03809 +INDEX GOES BRRR: 942 X: -5.09961 +INDEX GOES BRRR: 198 X: 12.3926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8691 +INDEX GOES BRRR: 220 X: 13.7783 +INDEX GOES BRRR: 111 X: 6.98926 +INDEX GOES BRRR: 1008 X: -0.995117 +INDEX GOES BRRR: 930 X: -5.86914 +INDEX GOES BRRR: 160 X: 10.0068 +INDEX GOES BRRR: 206 X: 12.9004 +INDEX GOES BRRR: 96 X: 6.05664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 73 X: 4.58105 +INDEX GOES BRRR: 832 X: -11.9561 +INDEX GOES BRRR: 302 X: 18.8926 +INDEX GOES BRRR: 290 X: 18.1514 +INDEX GOES BRRR: 419 X: 26.1924 +INDEX GOES BRRR: 50 X: 3.17383 +INDEX GOES BRRR: 42 X: 2.62598 +INDEX GOES BRRR: 189 X: 11.8721 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.7373 +INDEX GOES BRRR: 118 X: 7.37598 +INDEX GOES BRRR: 312 X: 19.5371 +INDEX GOES BRRR: 28 X: 1.79883 +INDEX GOES BRRR: 314 X: 19.6592 +INDEX GOES BRRR: 327 X: 20.4951 +INDEX GOES BRRR: 903 X: -7.56055 +INDEX GOES BRRR: 287 X: 17.9941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 781 X: -15.1748 +INDEX GOES BRRR: 155 X: 9.74121 +INDEX GOES BRRR: 224 X: 14.0361 +INDEX GOES BRRR: 111 X: 6.98633 +INDEX GOES BRRR: 81 X: 5.07812 +INDEX GOES BRRR: 92 X: 5.75586 +INDEX GOES BRRR: 956 X: -4.23535 +INDEX GOES BRRR: 217 X: 13.5625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7305 +INDEX GOES BRRR: 264 X: 16.5508 +INDEX GOES BRRR: 61 X: 3.8291 +INDEX GOES BRRR: 300 X: 18.793 +INDEX GOES BRRR: 212 X: 13.291 +INDEX GOES BRRR: 377 X: 23.5908 +INDEX GOES BRRR: 149 X: 9.32812 +INDEX GOES BRRR: 113 X: 7.08984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.74023 +INDEX GOES BRRR: 92 X: 5.80176 +INDEX GOES BRRR: 279 X: 17.4482 +INDEX GOES BRRR: 163 X: 10.2275 +INDEX GOES BRRR: 903 X: -7.54004 +INDEX GOES BRRR: 30 X: 1.93262 +INDEX GOES BRRR: 206 X: 12.9023 +INDEX GOES BRRR: 933 X: -5.62891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.45996 +INDEX GOES BRRR: 935 X: -5.52539 +INDEX GOES BRRR: 980 X: -2.7207 +INDEX GOES BRRR: 6 X: 0.408203 +INDEX GOES BRRR: 124 X: 7.76367 +INDEX GOES BRRR: 118 X: 7.37598 +INDEX GOES BRRR: 314 X: 19.668 +INDEX GOES BRRR: 66 X: 4.13086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 164 X: 10.3037 +INDEX GOES BRRR: 992 X: -1.96094 +INDEX GOES BRRR: 31 X: 1.95117 +INDEX GOES BRRR: 16 X: 1.05664 +INDEX GOES BRRR: 203 X: 12.7412 +INDEX GOES BRRR: 280 X: 17.5557 +INDEX GOES BRRR: 486 X: 30.3984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6426 +INDEX GOES BRRR: 470 X: 29.3936 +INDEX GOES BRRR: 411 X: 25.7461 +INDEX GOES BRRR: 323 X: 20.2422 +INDEX GOES BRRR: 286 X: 17.9336 +INDEX GOES BRRR: 1000 X: -1.45215 +INDEX GOES BRRR: 1020 X: -0.196289 +INDEX GOES BRRR: 122 X: 7.68066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.0928 +INDEX GOES BRRR: 134 X: 8.40039 +INDEX GOES BRRR: 281 X: 17.5811 +INDEX GOES BRRR: 229 X: 14.3682 +INDEX GOES BRRR: 121 X: 7.60254 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 421 X: 26.3447 +INDEX GOES BRRR: 372 X: 23.3047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1709 +INDEX GOES BRRR: 155 X: 9.74219 +INDEX GOES BRRR: 77 X: 4.85645 +INDEX GOES BRRR: 24 X: 1.52148 +INDEX GOES BRRR: 1008 X: -0.994141 +INDEX GOES BRRR: 380 X: 23.7842 +INDEX GOES BRRR: 251 X: 15.7168 +INDEX GOES BRRR: 67 X: 4.21973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5098 +INDEX GOES BRRR: 331 X: 20.7373 +INDEX GOES BRRR: 77 X: 4.86621 +INDEX GOES BRRR: 72 X: 4.53809 +INDEX GOES BRRR: 55 X: 3.48145 +INDEX GOES BRRR: 953 X: -4.37598 +INDEX GOES BRRR: 834 X: -11.8232 +INDEX GOES BRRR: 462 X: 28.9014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 487 X: 30.4609 +INDEX GOES BRRR: 967 X: -3.53418 +INDEX GOES BRRR: 1004 X: -1.24023 +INDEX GOES BRRR: 138 X: 8.67188 +INDEX GOES BRRR: 275 X: 17.1943 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 268 X: 16.791 +INDEX GOES BRRR: 179 X: 11.2441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 364 X: 22.7803 +INDEX GOES BRRR: 166 X: 10.3916 +INDEX GOES BRRR: 203 X: 12.7334 +INDEX GOES BRRR: 1000 X: -1.44238 +INDEX GOES BRRR: 1002 X: -1.33594 +INDEX GOES BRRR: 109 X: 6.83301 +INDEX GOES BRRR: 66 X: 4.13965 +INDEX GOES BRRR: 474 X: 29.6689 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.69531 +INDEX GOES BRRR: 106 X: 6.6582 +INDEX GOES BRRR: 72 X: 4.53516 +INDEX GOES BRRR: 77 X: 4.82129 +INDEX GOES BRRR: 166 X: 10.4014 +INDEX GOES BRRR: 879 X: -9.01855 +INDEX GOES BRRR: 29 X: 1.86621 +INDEX GOES BRRR: 138 X: 8.64746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.582031 +INDEX GOES BRRR: 840 X: -11.4902 +INDEX GOES BRRR: 296 X: 18.5391 +INDEX GOES BRRR: 380 X: 23.7979 +INDEX GOES BRRR: 1017 X: -0.405273 +INDEX GOES BRRR: 953 X: -4.42383 +INDEX GOES BRRR: 318 X: 19.8799 +INDEX GOES BRRR: 40 X: 2.55078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3633 +INDEX GOES BRRR: 437 X: 27.3379 +INDEX GOES BRRR: 42 X: 2.68359 +INDEX GOES BRRR: 106 X: 6.67773 +INDEX GOES BRRR: 79 X: 4.94336 +INDEX GOES BRRR: 821 X: -12.6309 +INDEX GOES BRRR: 204 X: 12.7549 +INDEX GOES BRRR: 183 X: 11.4941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.6895 +INDEX GOES BRRR: 696 X: -20.4688 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 22 X: 1.42188 +INDEX GOES BRRR: 168 X: 10.5117 +INDEX GOES BRRR: 910 X: -7.10156 +INDEX GOES BRRR: 272 X: 17.0391 +INDEX GOES BRRR: 1007 X: -1.02637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6631 +INDEX GOES BRRR: 183 X: 11.4482 +INDEX GOES BRRR: 183 X: 11.4854 +INDEX GOES BRRR: 61 X: 3.87109 +INDEX GOES BRRR: 259 X: 16.1934 +INDEX GOES BRRR: 343 X: 21.4844 +INDEX GOES BRRR: 207 X: 12.9727 +INDEX GOES BRRR: 215 X: 13.459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.168 +INDEX GOES BRRR: 916 X: -6.71484 +INDEX GOES BRRR: 305 X: 19.0781 +INDEX GOES BRRR: 901 X: -7.62598 +INDEX GOES BRRR: 279 X: 17.4541 +INDEX GOES BRRR: 1003 X: -1.27051 +INDEX GOES BRRR: 870 X: -9.59277 +INDEX GOES BRRR: 241 X: 15.1016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1357 +INDEX GOES BRRR: 37 X: 2.33887 +INDEX GOES BRRR: 255 X: 15.96 +INDEX GOES BRRR: 112 X: 7.04004 +INDEX GOES BRRR: 377 X: 23.6152 +INDEX GOES BRRR: 156 X: 9.79785 +INDEX GOES BRRR: 17 X: 1.11035 +INDEX GOES BRRR: 237 X: 14.8359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.47266 +INDEX GOES BRRR: 362 X: 22.6406 +INDEX GOES BRRR: 93 X: 5.83301 +INDEX GOES BRRR: 358 X: 22.4121 +INDEX GOES BRRR: 356 X: 22.2578 +INDEX GOES BRRR: 262 X: 16.4336 +INDEX GOES BRRR: 353 X: 22.0693 +INDEX GOES BRRR: 998 X: -1.62207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 872 X: -9.4502 +INDEX GOES BRRR: 228 X: 14.2773 +INDEX GOES BRRR: 196 X: 12.2686 +INDEX GOES BRRR: 371 X: 23.2412 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 160 X: 10.0586 +INDEX GOES BRRR: 253 X: 15.8428 +INDEX GOES BRRR: 90 X: 5.65625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.793 +INDEX GOES BRRR: 112 X: 7.00781 +INDEX GOES BRRR: 363 X: 22.7471 +INDEX GOES BRRR: 17 X: 1.07227 +INDEX GOES BRRR: 245 X: 15.3379 +INDEX GOES BRRR: 893 X: -8.16016 +INDEX GOES BRRR: 71 X: 4.44238 +INDEX GOES BRRR: 255 X: 15.9658 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3213 +INDEX GOES BRRR: 1017 X: -0.388672 +INDEX GOES BRRR: 248 X: 15.543 +INDEX GOES BRRR: 85 X: 5.36035 +INDEX GOES BRRR: 993 X: -1.89258 +INDEX GOES BRRR: 166 X: 10.4033 +INDEX GOES BRRR: 383 X: 23.9502 +INDEX GOES BRRR: 210 X: 13.1289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.0791 +INDEX GOES BRRR: 131 X: 8.19727 +INDEX GOES BRRR: 157 X: 9.84668 +INDEX GOES BRRR: 113 X: 7.10645 +INDEX GOES BRRR: 299 X: 18.7422 +INDEX GOES BRRR: 295 X: 18.4434 +INDEX GOES BRRR: 161 X: 10.1182 +INDEX GOES BRRR: 119 X: 7.44141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3291 +INDEX GOES BRRR: 355 X: 22.1953 +INDEX GOES BRRR: 1013 X: -0.686523 +INDEX GOES BRRR: 139 X: 8.73438 +INDEX GOES BRRR: 117 X: 7.31836 +INDEX GOES BRRR: 93 X: 5.81934 +INDEX GOES BRRR: 9 X: 0.567383 +INDEX GOES BRRR: 251 X: 15.7275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2061 +INDEX GOES BRRR: 129 X: 8.0957 +INDEX GOES BRRR: 468 X: 29.3047 +INDEX GOES BRRR: 902 X: -7.59766 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 16 X: 1.04688 +INDEX GOES BRRR: 389 X: 24.332 +INDEX GOES BRRR: 841 X: -11.3926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 382 X: 23.9082 +INDEX GOES BRRR: 184 X: 11.5498 +INDEX GOES BRRR: 96 X: 6.04492 +INDEX GOES BRRR: 977 X: -2.9043 +INDEX GOES BRRR: 229 X: 14.3223 +INDEX GOES BRRR: 465 X: 29.0732 +INDEX GOES BRRR: 174 X: 10.8809 +INDEX GOES BRRR: 110 X: 6.91406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.16992 +INDEX GOES BRRR: 14 X: 0.880859 +INDEX GOES BRRR: 197 X: 12.3232 +INDEX GOES BRRR: 289 X: 18.0781 +INDEX GOES BRRR: 201 X: 12.5908 +INDEX GOES BRRR: 880 X: -8.96094 +INDEX GOES BRRR: 489 X: 30.5801 +INDEX GOES BRRR: 55 X: 3.45215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 279 X: 17.4736 +INDEX GOES BRRR: 102 X: 6.38477 +INDEX GOES BRRR: 306 X: 19.1709 +INDEX GOES BRRR: 987 X: -2.2627 +INDEX GOES BRRR: 180 X: 11.3105 +INDEX GOES BRRR: 384 X: 24.0459 +INDEX GOES BRRR: 120 X: 7.52344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.7666 +INDEX GOES BRRR: 346 X: 21.6865 +INDEX GOES BRRR: 31 X: 1.9873 +INDEX GOES BRRR: 60 X: 3.79492 +INDEX GOES BRRR: 53 X: 3.34277 +INDEX GOES BRRR: 347 X: 21.6934 +INDEX GOES BRRR: 19 X: 1.23926 +INDEX GOES BRRR: 1 X: 0.121094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.9834 +INDEX GOES BRRR: 77 X: 4.8623 +INDEX GOES BRRR: 222 X: 13.9053 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 966 X: -3.59863 +INDEX GOES BRRR: 361 X: 22.5859 +INDEX GOES BRRR: 867 X: -9.75293 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4648 +INDEX GOES BRRR: 2 X: 0.133789 +INDEX GOES BRRR: 950 X: -4.61621 +INDEX GOES BRRR: 330 X: 20.6514 +INDEX GOES BRRR: 315 X: 19.7383 +INDEX GOES BRRR: 944 X: -4.94727 +INDEX GOES BRRR: 970 X: -3.32227 +INDEX GOES BRRR: 294 X: 18.3857 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.002 +INDEX GOES BRRR: 355 X: 22.2148 +INDEX GOES BRRR: 246 X: 15.3896 +INDEX GOES BRRR: 17 X: 1.11426 +INDEX GOES BRRR: 355 X: 22.2246 +INDEX GOES BRRR: 369 X: 23.0947 +INDEX GOES BRRR: 107 X: 6.72461 +INDEX GOES BRRR: 231 X: 14.4395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 29 X: 1.86914 +INDEX GOES BRRR: 706 X: -19.8389 +INDEX GOES BRRR: 215 X: 13.4492 +INDEX GOES BRRR: 327 X: 20.4775 +INDEX GOES BRRR: 808 X: -13.457 +INDEX GOES BRRR: 41 X: 2.5752 +INDEX GOES BRRR: 351 X: 21.9707 +INDEX GOES BRRR: 12 X: 0.794922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 819 X: -12.8115 +INDEX GOES BRRR: 1020 X: -0.213867 +INDEX GOES BRRR: 83 X: 5.18945 +INDEX GOES BRRR: 199 X: 12.4902 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 261 X: 16.3545 +INDEX GOES BRRR: 885 X: -8.67188 +INDEX GOES BRRR: 909 X: -7.13574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 766 X: -16.0713 +INDEX GOES BRRR: 926 X: -6.09766 +INDEX GOES BRRR: 810 X: -13.3203 +INDEX GOES BRRR: 167 X: 10.4473 +INDEX GOES BRRR: 307 X: 19.2188 +INDEX GOES BRRR: 15 X: 0.982422 +INDEX GOES BRRR: 205 X: 12.8398 +INDEX GOES BRRR: 153 X: 9.59863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 872 X: -9.46582 +INDEX GOES BRRR: 385 X: 24.0703 +INDEX GOES BRRR: 313 X: 19.6172 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 956 X: -4.19824 +INDEX GOES BRRR: 252 X: 15.7676 +INDEX GOES BRRR: 167 X: 10.4424 +INDEX GOES BRRR: 239 X: 14.9941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.48047 +INDEX GOES BRRR: 380 X: 23.7676 +INDEX GOES BRRR: 220 X: 13.7705 +INDEX GOES BRRR: 109 X: 6.82227 +INDEX GOES BRRR: 372 X: 23.292 +INDEX GOES BRRR: 971 X: -3.30664 +INDEX GOES BRRR: 230 X: 14.4111 +INDEX GOES BRRR: 923 X: -6.29395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 831 X: -12.0527 +INDEX GOES BRRR: 293 X: 18.3652 +INDEX GOES BRRR: 44 X: 2.78027 +INDEX GOES BRRR: 971 X: -3.29199 +INDEX GOES BRRR: 66 X: 4.16992 +INDEX GOES BRRR: 665 X: -22.4062 +INDEX GOES BRRR: 344 X: 21.5273 +INDEX GOES BRRR: 285 X: 17.8203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8223 +INDEX GOES BRRR: 1010 X: -0.87207 +INDEX GOES BRRR: 198 X: 12.4141 +INDEX GOES BRRR: 311 X: 19.4541 +INDEX GOES BRRR: 906 X: -7.34277 +INDEX GOES BRRR: 76 X: 4.77832 +INDEX GOES BRRR: 153 X: 9.59863 +INDEX GOES BRRR: 306 X: 19.1836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.9834 +INDEX GOES BRRR: 314 X: 19.6709 +INDEX GOES BRRR: 277 X: 17.374 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 16 X: 1.00781 +INDEX GOES BRRR: 273 X: 17.0967 +INDEX GOES BRRR: 12 X: 0.791992 +INDEX GOES BRRR: 824 X: -12.459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7803 +INDEX GOES BRRR: 453 X: 28.3359 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 247 X: 15.46 +INDEX GOES BRRR: 303 X: 18.9531 +INDEX GOES BRRR: 109 X: 6.8291 +INDEX GOES BRRR: 370 X: 23.1807 +INDEX GOES BRRR: 22 X: 1.42676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.44238 +INDEX GOES BRRR: 925 X: -6.14453 +INDEX GOES BRRR: 158 X: 9.91895 +INDEX GOES BRRR: 418 X: 26.1475 +INDEX GOES BRRR: 48 X: 3.05371 +INDEX GOES BRRR: 910 X: -7.12207 +INDEX GOES BRRR: 15 X: 0.958008 +INDEX GOES BRRR: 826 X: -12.332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 359 X: 22.457 +INDEX GOES BRRR: 112 X: 7.00098 +INDEX GOES BRRR: 71 X: 4.46777 +INDEX GOES BRRR: 758 X: -16.5908 +INDEX GOES BRRR: 147 X: 9.22559 +INDEX GOES BRRR: 105 X: 6.56934 +INDEX GOES BRRR: 965 X: -3.6875 +INDEX GOES BRRR: 384 X: 24.0137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0645 +INDEX GOES BRRR: 992 X: -1.97461 +INDEX GOES BRRR: 45 X: 2.82812 +INDEX GOES BRRR: 203 X: 12.7383 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 251 X: 15.7256 +INDEX GOES BRRR: 1020 X: -0.238281 +INDEX GOES BRRR: 314 X: 19.6572 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2236 +INDEX GOES BRRR: 68 X: 4.25586 +INDEX GOES BRRR: 149 X: 9.32617 +INDEX GOES BRRR: 951 X: -4.54492 +INDEX GOES BRRR: 243 X: 15.2373 +INDEX GOES BRRR: 278 X: 17.4316 +INDEX GOES BRRR: 293 X: 18.3672 +INDEX GOES BRRR: 367 X: 22.9902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.6152 +INDEX GOES BRRR: 215 X: 13.4619 +INDEX GOES BRRR: 311 X: 19.4482 +INDEX GOES BRRR: 292 X: 18.2891 +INDEX GOES BRRR: 3 X: 0.205078 +INDEX GOES BRRR: 1008 X: -0.958008 +INDEX GOES BRRR: 391 X: 24.4619 +INDEX GOES BRRR: 435 X: 27.2256 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.50684 +INDEX GOES BRRR: 955 X: -4.31055 +INDEX GOES BRRR: 407 X: 25.4688 +INDEX GOES BRRR: 264 X: 16.541 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 862 X: -10.0732 +INDEX GOES BRRR: 156 X: 9.75195 +INDEX GOES BRRR: 78 X: 4.87891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.81445 +INDEX GOES BRRR: 131 X: 8.23828 +INDEX GOES BRRR: 218 X: 13.665 +INDEX GOES BRRR: 411 X: 25.7207 +INDEX GOES BRRR: 1001 X: -1.42969 +INDEX GOES BRRR: 199 X: 12.4639 +INDEX GOES BRRR: 223 X: 13.999 +INDEX GOES BRRR: 303 X: 18.9727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 955 X: -4.27246 +INDEX GOES BRRR: 170 X: 10.6357 +INDEX GOES BRRR: 253 X: 15.8613 +INDEX GOES BRRR: 417 X: 26.1162 +INDEX GOES BRRR: 1020 X: -0.220703 +INDEX GOES BRRR: 221 X: 13.8633 +INDEX GOES BRRR: 240 X: 15.042 +INDEX GOES BRRR: 393 X: 24.5693 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8721 +INDEX GOES BRRR: 1016 X: -0.485352 +INDEX GOES BRRR: 432 X: 27.0498 +INDEX GOES BRRR: 199 X: 12.4961 +INDEX GOES BRRR: 857 X: -10.4258 +INDEX GOES BRRR: 247 X: 15.4805 +INDEX GOES BRRR: 151 X: 9.45508 +INDEX GOES BRRR: 931 X: -5.78223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.07812 +INDEX GOES BRRR: 40 X: 2.54785 +INDEX GOES BRRR: 279 X: 17.4541 +INDEX GOES BRRR: 206 X: 12.8799 +INDEX GOES BRRR: 204 X: 12.7686 +INDEX GOES BRRR: 427 X: 26.6885 +INDEX GOES BRRR: 146 X: 9.12891 +INDEX GOES BRRR: 86 X: 5.41797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.49023 +INDEX GOES BRRR: 278 X: 17.3838 +INDEX GOES BRRR: 88 X: 5.50195 +INDEX GOES BRRR: 102 X: 6.43555 +INDEX GOES BRRR: 240 X: 15.0586 +INDEX GOES BRRR: 155 X: 9.70117 +INDEX GOES BRRR: 1000 X: -1.48926 +INDEX GOES BRRR: 214 X: 13.3789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 748 X: -17.2363 +INDEX GOES BRRR: 361 X: 22.5908 +INDEX GOES BRRR: 67 X: 4.24023 +INDEX GOES BRRR: 238 X: 14.8936 +INDEX GOES BRRR: 254 X: 15.8916 +INDEX GOES BRRR: 986 X: -2.33105 +INDEX GOES BRRR: 31 X: 1.9873 +INDEX GOES BRRR: 1002 X: -1.33301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 329 X: 20.5938 +INDEX GOES BRRR: 349 X: 21.8613 +INDEX GOES BRRR: 277 X: 17.3291 +INDEX GOES BRRR: 212 X: 13.2871 +INDEX GOES BRRR: 258 X: 16.1494 +INDEX GOES BRRR: 267 X: 16.7461 +INDEX GOES BRRR: 954 X: -4.35742 +INDEX GOES BRRR: 951 X: -4.50293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.584 +INDEX GOES BRRR: 118 X: 7.39648 +INDEX GOES BRRR: 310 X: 19.4053 +INDEX GOES BRRR: 434 X: 27.1572 +INDEX GOES BRRR: 1018 X: -0.354492 +INDEX GOES BRRR: 81 X: 5.08301 +INDEX GOES BRRR: 137 X: 8.58887 +INDEX GOES BRRR: 8 X: 0.552734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6523 +INDEX GOES BRRR: 1 X: 0.124023 +INDEX GOES BRRR: 238 X: 14.875 +INDEX GOES BRRR: 388 X: 24.2861 +INDEX GOES BRRR: 347 X: 21.6953 +INDEX GOES BRRR: 145 X: 9.10645 +INDEX GOES BRRR: 220 X: 13.7998 +INDEX GOES BRRR: 19 X: 1.20703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2129 +INDEX GOES BRRR: 54 X: 3.40723 +INDEX GOES BRRR: 928 X: -6 +INDEX GOES BRRR: 979 X: -2.76465 +INDEX GOES BRRR: 961 X: -3.90625 +INDEX GOES BRRR: 173 X: 10.8203 +INDEX GOES BRRR: 184 X: 11.5273 +INDEX GOES BRRR: 109 X: 6.84375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3262 +INDEX GOES BRRR: 335 X: 20.9941 +INDEX GOES BRRR: 54 X: 3.41211 +INDEX GOES BRRR: 879 X: -9.03125 +INDEX GOES BRRR: 247 X: 15.4639 +INDEX GOES BRRR: 318 X: 19.9189 +INDEX GOES BRRR: 179 X: 11.2002 +INDEX GOES BRRR: 24 X: 1.54004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.1201 +INDEX GOES BRRR: 245 X: 15.3457 +INDEX GOES BRRR: 28 X: 1.77539 +INDEX GOES BRRR: 415 X: 25.9961 +INDEX GOES BRRR: 22 X: 1.38477 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 868 X: -9.74023 +INDEX GOES BRRR: 211 X: 13.2109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 821 X: -12.6396 +INDEX GOES BRRR: 195 X: 12.2158 +INDEX GOES BRRR: 221 X: 13.8457 +INDEX GOES BRRR: 26 X: 1.62891 +INDEX GOES BRRR: 393 X: 24.624 +INDEX GOES BRRR: 1021 X: -0.180664 +INDEX GOES BRRR: 111 X: 6.99609 +INDEX GOES BRRR: 976 X: -2.99707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 379 X: 23.6904 +INDEX GOES BRRR: 130 X: 8.13574 +INDEX GOES BRRR: 305 X: 19.0645 +INDEX GOES BRRR: 945 X: -4.89551 +INDEX GOES BRRR: 419 X: 26.2021 +INDEX GOES BRRR: 92 X: 5.81055 +INDEX GOES BRRR: 330 X: 20.6445 +INDEX GOES BRRR: 738 X: -17.8408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.8584 +INDEX GOES BRRR: 145 X: 9.06445 +INDEX GOES BRRR: 203 X: 12.7207 +INDEX GOES BRRR: 282 X: 17.6719 +INDEX GOES BRRR: 10 X: 0.649414 +INDEX GOES BRRR: 47 X: 2.98145 +INDEX GOES BRRR: 151 X: 9.49023 +INDEX GOES BRRR: 960 X: -3.9502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.62598 +INDEX GOES BRRR: 2 X: 0.172852 +INDEX GOES BRRR: 974 X: -3.06543 +INDEX GOES BRRR: 140 X: 8.7627 +INDEX GOES BRRR: 284 X: 17.7783 +INDEX GOES BRRR: 183 X: 11.4619 +INDEX GOES BRRR: 266 X: 16.6729 +INDEX GOES BRRR: 93 X: 5.81543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.20996 +INDEX GOES BRRR: 203 X: 12.7314 +INDEX GOES BRRR: 278 X: 17.3867 +INDEX GOES BRRR: 37 X: 2.3418 +INDEX GOES BRRR: 21 X: 1.34277 +INDEX GOES BRRR: 317 X: 19.832 +INDEX GOES BRRR: 57 X: 3.59082 +INDEX GOES BRRR: 130 X: 8.12891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 956 X: -4.20215 +INDEX GOES BRRR: 181 X: 11.3291 +INDEX GOES BRRR: 66 X: 4.16211 +INDEX GOES BRRR: 26 X: 1.66016 +INDEX GOES BRRR: 47 X: 2.94727 +INDEX GOES BRRR: 1002 X: -1.32227 +INDEX GOES BRRR: 340 X: 21.2832 +INDEX GOES BRRR: 104 X: 6.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.66504 +INDEX GOES BRRR: 129 X: 8.07324 +INDEX GOES BRRR: 179 X: 11.2412 +INDEX GOES BRRR: 1011 X: -0.767578 +INDEX GOES BRRR: 115 X: 7.21582 +INDEX GOES BRRR: 927 X: -6.01562 +INDEX GOES BRRR: 162 X: 10.1387 +INDEX GOES BRRR: 125 X: 7.84082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8477 +INDEX GOES BRRR: 9 X: 0.584961 +INDEX GOES BRRR: 217 X: 13.5625 +INDEX GOES BRRR: 965 X: -3.68555 +INDEX GOES BRRR: 267 X: 16.7422 +INDEX GOES BRRR: 380 X: 23.8047 +INDEX GOES BRRR: 256 X: 16.0107 +INDEX GOES BRRR: 356 X: 22.2861 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.0752 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 910 X: -7.08691 +INDEX GOES BRRR: 910 X: -7.10352 +INDEX GOES BRRR: 231 X: 14.4395 +INDEX GOES BRRR: 969 X: -3.37891 +INDEX GOES BRRR: 83 X: 5.19434 +INDEX GOES BRRR: 195 X: 12.249 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.69727 +INDEX GOES BRRR: 417 X: 26.084 +INDEX GOES BRRR: 184 X: 11.5186 +INDEX GOES BRRR: 339 X: 21.2178 +INDEX GOES BRRR: 829 X: -12.1758 +INDEX GOES BRRR: 196 X: 12.3018 +INDEX GOES BRRR: 242 X: 15.1582 +INDEX GOES BRRR: 77 X: 4.8418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.24902 +INDEX GOES BRRR: 53 X: 3.3623 +INDEX GOES BRRR: 86 X: 5.38086 +INDEX GOES BRRR: 988 X: -2.22754 +INDEX GOES BRRR: 281 X: 17.5869 +INDEX GOES BRRR: 380 X: 23.793 +INDEX GOES BRRR: 256 X: 16.0117 +INDEX GOES BRRR: 81 X: 5.0918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.18457 +INDEX GOES BRRR: 252 X: 15.7637 +INDEX GOES BRRR: 157 X: 9.82129 +INDEX GOES BRRR: 260 X: 16.251 +INDEX GOES BRRR: 132 X: 8.27734 +INDEX GOES BRRR: 954 X: -4.36133 +INDEX GOES BRRR: 943 X: -5.05566 +INDEX GOES BRRR: 219 X: 13.6934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.354492 +INDEX GOES BRRR: 104 X: 6.51367 +INDEX GOES BRRR: 912 X: -6.96289 +INDEX GOES BRRR: 334 X: 20.8994 +INDEX GOES BRRR: 975 X: -3.00879 +INDEX GOES BRRR: 245 X: 15.332 +INDEX GOES BRRR: 246 X: 15.3867 +INDEX GOES BRRR: 129 X: 8.11719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 48 X: 3.03906 +INDEX GOES BRRR: 84 X: 5.2832 +INDEX GOES BRRR: 828 X: -12.21 +INDEX GOES BRRR: 232 X: 14.5322 +INDEX GOES BRRR: 983 X: -2.52344 +INDEX GOES BRRR: 164 X: 10.292 +INDEX GOES BRRR: 210 X: 13.1406 +INDEX GOES BRRR: 63 X: 3.95703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 952 X: -4.47852 +INDEX GOES BRRR: 1006 X: -1.10156 +INDEX GOES BRRR: 21 X: 1.3252 +INDEX GOES BRRR: 13 X: 0.84082 +INDEX GOES BRRR: 225 X: 14.0947 +INDEX GOES BRRR: 343 X: 21.4414 +INDEX GOES BRRR: 464 X: 29.042 +INDEX GOES BRRR: 326 X: 20.3887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 999 X: -1.56152 +INDEX GOES BRRR: 310 X: 19.4033 +INDEX GOES BRRR: 187 X: 11.6992 +INDEX GOES BRRR: 365 X: 22.832 +INDEX GOES BRRR: 40 X: 2.51172 +INDEX GOES BRRR: 251 X: 15.7148 +INDEX GOES BRRR: 268 X: 16.7881 +INDEX GOES BRRR: 20 X: 1.28613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 30 X: 1.89258 +INDEX GOES BRRR: 164 X: 10.2686 +INDEX GOES BRRR: 1016 X: -0.494141 +INDEX GOES BRRR: 250 X: 15.6846 +INDEX GOES BRRR: 156 X: 9.80859 +INDEX GOES BRRR: 130 X: 8.12891 +INDEX GOES BRRR: 154 X: 9.62598 +INDEX GOES BRRR: 270 X: 16.8994 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.0459 +INDEX GOES BRRR: 267 X: 16.707 +INDEX GOES BRRR: 195 X: 12.249 +INDEX GOES BRRR: 61 X: 3.84668 +INDEX GOES BRRR: 246 X: 15.3828 +INDEX GOES BRRR: 312 X: 19.5234 +INDEX GOES BRRR: 1 X: 0.0654297 +INDEX GOES BRRR: 321 X: 20.0791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.7207 +INDEX GOES BRRR: 409 X: 25.5889 +INDEX GOES BRRR: 296 X: 18.5137 +INDEX GOES BRRR: 478 X: 29.8877 +INDEX GOES BRRR: 973 X: -3.16504 +INDEX GOES BRRR: 228 X: 14.2754 +INDEX GOES BRRR: 142 X: 8.91602 +INDEX GOES BRRR: 226 X: 14.1836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.78906 +INDEX GOES BRRR: 851 X: -10.7822 +INDEX GOES BRRR: 226 X: 14.1377 +INDEX GOES BRRR: 216 X: 13.5566 +INDEX GOES BRRR: 936 X: -5.45996 +INDEX GOES BRRR: 859 X: -10.3057 +INDEX GOES BRRR: 166 X: 10.3916 +INDEX GOES BRRR: 239 X: 14.9854 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 974 X: -3.12305 +INDEX GOES BRRR: 328 X: 20.5332 +INDEX GOES BRRR: 158 X: 9.9043 +INDEX GOES BRRR: 904 X: -7.46973 +INDEX GOES BRRR: 249 X: 15.584 +INDEX GOES BRRR: 347 X: 21.7363 +INDEX GOES BRRR: 92 X: 5.77637 +INDEX GOES BRRR: 242 X: 15.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.130859 +INDEX GOES BRRR: 1014 X: -0.591797 +INDEX GOES BRRR: 202 X: 12.6748 +INDEX GOES BRRR: 389 X: 24.3311 +INDEX GOES BRRR: 231 X: 14.458 +INDEX GOES BRRR: 135 X: 8.47266 +INDEX GOES BRRR: 140 X: 8.76758 +INDEX GOES BRRR: 23 X: 1.45898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 932 X: -5.72559 +INDEX GOES BRRR: 1000 X: -1.4707 +INDEX GOES BRRR: 264 X: 16.5195 +INDEX GOES BRRR: 278 X: 17.4189 +INDEX GOES BRRR: 291 X: 18.1973 +INDEX GOES BRRR: 423 X: 26.458 +INDEX GOES BRRR: 1011 X: -0.805664 +INDEX GOES BRRR: 275 X: 17.2051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.81055 +INDEX GOES BRRR: 1013 X: -0.661133 +INDEX GOES BRRR: 950 X: -4.61328 +INDEX GOES BRRR: 166 X: 10.3789 +INDEX GOES BRRR: 76 X: 4.78809 +INDEX GOES BRRR: 381 X: 23.8564 +INDEX GOES BRRR: 976 X: -2.9873 +INDEX GOES BRRR: 255 X: 15.9482 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 763 X: -16.29 +INDEX GOES BRRR: 1014 X: -0.621094 +INDEX GOES BRRR: 96 X: 6.02539 +INDEX GOES BRRR: 1016 X: -0.478516 +INDEX GOES BRRR: 393 X: 24.5879 +INDEX GOES BRRR: 161 X: 10.1162 +INDEX GOES BRRR: 197 X: 12.3232 +INDEX GOES BRRR: 405 X: 25.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 69 X: 4.3623 +INDEX GOES BRRR: 33 X: 2.07617 +INDEX GOES BRRR: 237 X: 14.8398 +INDEX GOES BRRR: 924 X: -6.23438 +INDEX GOES BRRR: 306 X: 19.167 +INDEX GOES BRRR: 216 X: 13.54 +INDEX GOES BRRR: 495 X: 30.9854 +INDEX GOES BRRR: 334 X: 20.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 427 X: 26.7383 +INDEX GOES BRRR: 170 X: 10.6387 +INDEX GOES BRRR: 102 X: 6.38379 +INDEX GOES BRRR: 262 X: 16.4189 +INDEX GOES BRRR: 330 X: 20.6279 +INDEX GOES BRRR: 164 X: 10.2871 +INDEX GOES BRRR: 110 X: 6.88184 +INDEX GOES BRRR: 751 X: -17.0068 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 485 X: 30.3486 +INDEX GOES BRRR: 107 X: 6.69238 +INDEX GOES BRRR: 82 X: 5.18262 +INDEX GOES BRRR: 196 X: 12.2939 +INDEX GOES BRRR: 53 X: 3.34766 +INDEX GOES BRRR: 1006 X: -1.06836 +INDEX GOES BRRR: 41 X: 2.5918 +INDEX GOES BRRR: 939 X: -5.27637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 861 X: -10.1328 +INDEX GOES BRRR: 259 X: 16.2373 +INDEX GOES BRRR: 0 X: 0.0322266 +INDEX GOES BRRR: 141 X: 8.81641 +INDEX GOES BRRR: 201 X: 12.6064 +INDEX GOES BRRR: 232 X: 14.5137 +INDEX GOES BRRR: 31 X: 1.96387 +INDEX GOES BRRR: 90 X: 5.63281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.0762 +INDEX GOES BRRR: 239 X: 14.9805 +INDEX GOES BRRR: 927 X: -6.05469 +INDEX GOES BRRR: 236 X: 14.7666 +INDEX GOES BRRR: 267 X: 16.7451 +INDEX GOES BRRR: 8 X: 0.548828 +INDEX GOES BRRR: 874 X: -9.36328 +INDEX GOES BRRR: 1021 X: -0.152344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3154 +INDEX GOES BRRR: 955 X: -4.2959 +INDEX GOES BRRR: 376 X: 23.5381 +INDEX GOES BRRR: 21 X: 1.3623 +INDEX GOES BRRR: 922 X: -6.33398 +INDEX GOES BRRR: 154 X: 9.6377 +INDEX GOES BRRR: 972 X: -3.20703 +INDEX GOES BRRR: 171 X: 10.71 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 954 X: -4.33984 +INDEX GOES BRRR: 38 X: 2.40527 +INDEX GOES BRRR: 69 X: 4.35059 +INDEX GOES BRRR: 1009 X: -0.880859 +INDEX GOES BRRR: 103 X: 6.45215 +INDEX GOES BRRR: 971 X: -3.28809 +INDEX GOES BRRR: 184 X: 11.5117 +INDEX GOES BRRR: 127 X: 7.94824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 364 X: 22.8096 +INDEX GOES BRRR: 205 X: 12.8711 +INDEX GOES BRRR: 10 X: 0.636719 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 841 X: -11.4355 +INDEX GOES BRRR: 195 X: 12.2373 +INDEX GOES BRRR: 51 X: 3.23145 +INDEX GOES BRRR: 82 X: 5.15723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.50977 +INDEX GOES BRRR: 233 X: 14.5732 +INDEX GOES BRRR: 252 X: 15.79 +INDEX GOES BRRR: 140 X: 8.81152 +INDEX GOES BRRR: 260 X: 16.3008 +INDEX GOES BRRR: 389 X: 24.3711 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 994 X: -1.85254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 795 X: -14.3096 +INDEX GOES BRRR: 251 X: 15.7344 +INDEX GOES BRRR: 241 X: 15.0713 +INDEX GOES BRRR: 97 X: 6.11523 +INDEX GOES BRRR: 3 X: 0.242188 +INDEX GOES BRRR: 189 X: 11.8633 +INDEX GOES BRRR: 111 X: 6.94727 +INDEX GOES BRRR: 340 X: 21.3115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.32715 +INDEX GOES BRRR: 189 X: 11.8164 +INDEX GOES BRRR: 69 X: 4.36621 +INDEX GOES BRRR: 342 X: 21.3955 +INDEX GOES BRRR: 52 X: 3.28906 +INDEX GOES BRRR: 30 X: 1.88086 +INDEX GOES BRRR: 219 X: 13.7422 +INDEX GOES BRRR: 138 X: 8.66406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 881 X: -8.93555 +INDEX GOES BRRR: 333 X: 20.8721 +INDEX GOES BRRR: 184 X: 11.5225 +INDEX GOES BRRR: 491 X: 30.7207 +INDEX GOES BRRR: 977 X: -2.88672 +INDEX GOES BRRR: 987 X: -2.30664 +INDEX GOES BRRR: 447 X: 27.9443 +INDEX GOES BRRR: 137 X: 8.61719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.0625 +INDEX GOES BRRR: 137 X: 8.58789 +INDEX GOES BRRR: 211 X: 13.2324 +INDEX GOES BRRR: 978 X: -2.81738 +INDEX GOES BRRR: 469 X: 29.3203 +INDEX GOES BRRR: 326 X: 20.376 +INDEX GOES BRRR: 357 X: 22.3711 +INDEX GOES BRRR: 1019 X: -0.282227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.45898 +INDEX GOES BRRR: 232 X: 14.5039 +INDEX GOES BRRR: 1008 X: -0.954102 +INDEX GOES BRRR: 355 X: 22.2148 +INDEX GOES BRRR: 194 X: 12.1533 +INDEX GOES BRRR: 42 X: 2.62793 +INDEX GOES BRRR: 982 X: -2.5918 +INDEX GOES BRRR: 947 X: -4.77051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 940 X: -5.21875 +INDEX GOES BRRR: 166 X: 10.4121 +INDEX GOES BRRR: 266 X: 16.6846 +INDEX GOES BRRR: 406 X: 25.3809 +INDEX GOES BRRR: 207 X: 12.9941 +INDEX GOES BRRR: 318 X: 19.8965 +INDEX GOES BRRR: 244 X: 15.3066 +INDEX GOES BRRR: 305 X: 19.1143 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.792 +INDEX GOES BRRR: 3 X: 0.224609 +INDEX GOES BRRR: 212 X: 13.3027 +INDEX GOES BRRR: 100 X: 6.28613 +INDEX GOES BRRR: 359 X: 22.4824 +INDEX GOES BRRR: 209 X: 13.0928 +INDEX GOES BRRR: 178 X: 11.1406 +INDEX GOES BRRR: 19 X: 1.24023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.06934 +INDEX GOES BRRR: 198 X: 12.4287 +INDEX GOES BRRR: 258 X: 16.1807 +INDEX GOES BRRR: 175 X: 10.96 +INDEX GOES BRRR: 95 X: 5.94629 +INDEX GOES BRRR: 240 X: 15.0469 +INDEX GOES BRRR: 212 X: 13.3018 +INDEX GOES BRRR: 288 X: 18.0469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 441 X: 27.5811 +INDEX GOES BRRR: 280 X: 17.5605 +INDEX GOES BRRR: 298 X: 18.6436 +INDEX GOES BRRR: 103 X: 6.45703 +INDEX GOES BRRR: 188 X: 11.7617 +INDEX GOES BRRR: 932 X: -5.69336 +INDEX GOES BRRR: 313 X: 19.6191 +INDEX GOES BRRR: 175 X: 10.9414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0283 +INDEX GOES BRRR: 134 X: 8.38965 +INDEX GOES BRRR: 83 X: 5.22461 +INDEX GOES BRRR: 901 X: -7.63672 +INDEX GOES BRRR: 251 X: 15.7002 +INDEX GOES BRRR: 872 X: -9.46875 +INDEX GOES BRRR: 900 X: -7.70215 +INDEX GOES BRRR: 84 X: 5.25977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8535 +INDEX GOES BRRR: 926 X: -6.07812 +INDEX GOES BRRR: 912 X: -6.98242 +INDEX GOES BRRR: 224 X: 14.0596 +INDEX GOES BRRR: 147 X: 9.22754 +INDEX GOES BRRR: 152 X: 9.54785 +INDEX GOES BRRR: 148 X: 9.28027 +INDEX GOES BRRR: 207 X: 12.9668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 864 X: -9.94922 +INDEX GOES BRRR: 962 X: -3.83789 +INDEX GOES BRRR: 940 X: -5.2334 +INDEX GOES BRRR: 72 X: 4.52051 +INDEX GOES BRRR: 1016 X: -0.489258 +INDEX GOES BRRR: 305 X: 19.1191 +INDEX GOES BRRR: 101 X: 6.35059 +INDEX GOES BRRR: 79 X: 4.94727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.29102 +INDEX GOES BRRR: 167 X: 10.4922 +INDEX GOES BRRR: 870 X: -9.60645 +INDEX GOES BRRR: 69 X: 4.32617 +INDEX GOES BRRR: 104 X: 6.55078 +INDEX GOES BRRR: 221 X: 13.8506 +INDEX GOES BRRR: 317 X: 19.8369 +INDEX GOES BRRR: 69 X: 4.35254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3164 +INDEX GOES BRRR: 29 X: 1.81738 +INDEX GOES BRRR: 42 X: 2.64355 +INDEX GOES BRRR: 315 X: 19.748 +INDEX GOES BRRR: 281 X: 17.5674 +INDEX GOES BRRR: 193 X: 12.0635 +INDEX GOES BRRR: 319 X: 19.9609 +INDEX GOES BRRR: 92 X: 5.77539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 464 X: 29.0527 +INDEX GOES BRRR: 176 X: 11.0498 +INDEX GOES BRRR: 70 X: 4.42871 +INDEX GOES BRRR: 68 X: 4.2959 +INDEX GOES BRRR: 300 X: 18.7725 +INDEX GOES BRRR: 107 X: 6.72461 +INDEX GOES BRRR: 443 X: 27.7383 +INDEX GOES BRRR: 152 X: 9.51074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7988 +INDEX GOES BRRR: 280 X: 17.5137 +INDEX GOES BRRR: 167 X: 10.4727 +INDEX GOES BRRR: 363 X: 22.71 +INDEX GOES BRRR: 32 X: 2.04785 +INDEX GOES BRRR: 993 X: -1.8916 +INDEX GOES BRRR: 447 X: 27.9785 +INDEX GOES BRRR: 985 X: -2.38867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6729 +INDEX GOES BRRR: 1018 X: -0.333984 +INDEX GOES BRRR: 439 X: 27.459 +INDEX GOES BRRR: 185 X: 11.6191 +INDEX GOES BRRR: 4 X: 0.273438 +INDEX GOES BRRR: 180 X: 11.2705 +INDEX GOES BRRR: 144 X: 9.05762 +INDEX GOES BRRR: 350 X: 21.9209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.67676 +INDEX GOES BRRR: 178 X: 11.1309 +INDEX GOES BRRR: 271 X: 16.9775 +INDEX GOES BRRR: 189 X: 11.8486 +INDEX GOES BRRR: 428 X: 26.7881 +INDEX GOES BRRR: 325 X: 20.3301 +INDEX GOES BRRR: 48 X: 3.0166 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 438 X: 27.4043 +INDEX GOES BRRR: 167 X: 10.4766 +INDEX GOES BRRR: 160 X: 10.0186 +INDEX GOES BRRR: 420 X: 26.2734 +INDEX GOES BRRR: 199 X: 12.4502 +INDEX GOES BRRR: 339 X: 21.207 +INDEX GOES BRRR: 107 X: 6.73047 +INDEX GOES BRRR: 238 X: 14.8984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.3994 +INDEX GOES BRRR: 1009 X: -0.916016 +INDEX GOES BRRR: 216 X: 13.5439 +INDEX GOES BRRR: 1020 X: -0.21582 +INDEX GOES BRRR: 207 X: 12.9619 +INDEX GOES BRRR: 458 X: 28.6602 +INDEX GOES BRRR: 1019 X: -0.291016 +INDEX GOES BRRR: 69 X: 4.31934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 339 X: 21.2363 +INDEX GOES BRRR: 138 X: 8.66016 +INDEX GOES BRRR: 292 X: 18.2578 +INDEX GOES BRRR: 88 X: 5.51562 +INDEX GOES BRRR: 195 X: 12.1943 +INDEX GOES BRRR: 5 X: 0.366211 +INDEX GOES BRRR: 343 X: 21.4844 +INDEX GOES BRRR: 69 X: 4.34668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 465 X: 29.123 +INDEX GOES BRRR: 147 X: 9.20117 +INDEX GOES BRRR: 267 X: 16.7383 +INDEX GOES BRRR: 185 X: 11.6006 +INDEX GOES BRRR: 195 X: 12.2227 +INDEX GOES BRRR: 430 X: 26.9268 +INDEX GOES BRRR: 973 X: -3.13281 +INDEX GOES BRRR: 269 X: 16.8496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 437 X: 27.3496 +INDEX GOES BRRR: 268 X: 16.79 +INDEX GOES BRRR: 408 X: 25.5098 +INDEX GOES BRRR: 217 X: 13.5635 +INDEX GOES BRRR: 124 X: 7.80762 +INDEX GOES BRRR: 65 X: 4.07812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.30957 +INDEX GOES BRRR: 257 X: 16.0879 +INDEX GOES BRRR: 330 X: 20.625 +INDEX GOES BRRR: 167 X: 10.4902 +INDEX GOES BRRR: 189 X: 11.8398 +INDEX GOES BRRR: 71 X: 4.48242 +INDEX GOES BRRR: 344 X: 21.5596 +INDEX GOES BRRR: 294 X: 18.377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 453 X: 28.3555 +INDEX GOES BRRR: 261 X: 16.3438 +INDEX GOES BRRR: 55 X: 3.4668 +INDEX GOES BRRR: 126 X: 7.9248 +INDEX GOES BRRR: 90 X: 5.66309 +INDEX GOES BRRR: 25 X: 1.6123 +INDEX GOES BRRR: 227 X: 14.1875 +INDEX GOES BRRR: 277 X: 17.3164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.00488 +INDEX GOES BRRR: 215 X: 13.4854 +INDEX GOES BRRR: 342 X: 21.4297 +INDEX GOES BRRR: 4 X: 0.270508 +INDEX GOES BRRR: 165 X: 10.3555 +INDEX GOES BRRR: 156 X: 9.7793 +INDEX GOES BRRR: 251 X: 15.7344 +INDEX GOES BRRR: 89 X: 5.62207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 477 X: 29.8418 +INDEX GOES BRRR: 301 X: 18.8359 +INDEX GOES BRRR: 244 X: 15.2549 +INDEX GOES BRRR: 123 X: 7.68945 +INDEX GOES BRRR: 245 X: 15.3643 +INDEX GOES BRRR: 119 X: 7.49512 +INDEX GOES BRRR: 292 X: 18.2686 +INDEX GOES BRRR: 431 X: 26.9814 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 438 X: 27.4102 +INDEX GOES BRRR: 267 X: 16.7002 +INDEX GOES BRRR: 907 X: -7.2998 +INDEX GOES BRRR: 124 X: 7.80664 +INDEX GOES BRRR: 210 X: 13.1641 +INDEX GOES BRRR: 224 X: 14.042 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 229 X: 14.3193 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.63281 +INDEX GOES BRRR: 159 X: 9.94141 +INDEX GOES BRRR: 52 X: 3.26562 +INDEX GOES BRRR: 109 X: 6.85742 +INDEX GOES BRRR: 59 X: 3.71777 +INDEX GOES BRRR: 175 X: 10.9414 +INDEX GOES BRRR: 902 X: -7.60742 +INDEX GOES BRRR: 15 X: 0.97168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 356 X: 22.2793 +INDEX GOES BRRR: 889 X: -8.37598 +INDEX GOES BRRR: 64 X: 4.05371 +INDEX GOES BRRR: 320 X: 20.0312 +INDEX GOES BRRR: 334 X: 20.9121 +INDEX GOES BRRR: 232 X: 14.5127 +INDEX GOES BRRR: 218 X: 13.6328 +INDEX GOES BRRR: 388 X: 24.2686 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.7373 +INDEX GOES BRRR: 105 X: 6.56641 +INDEX GOES BRRR: 311 X: 19.46 +INDEX GOES BRRR: 145 X: 9.06348 +INDEX GOES BRRR: 353 X: 22.0654 +INDEX GOES BRRR: 844 X: -11.2246 +INDEX GOES BRRR: 1009 X: -0.931641 +INDEX GOES BRRR: 261 X: 16.3594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.66699 +INDEX GOES BRRR: 252 X: 15.7949 +INDEX GOES BRRR: 287 X: 17.9541 +INDEX GOES BRRR: 202 X: 12.666 +INDEX GOES BRRR: 315 X: 19.7158 +INDEX GOES BRRR: 24 X: 1.55664 +INDEX GOES BRRR: 162 X: 10.1543 +INDEX GOES BRRR: 470 X: 29.3965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.91992 +INDEX GOES BRRR: 279 X: 17.4775 +INDEX GOES BRRR: 249 X: 15.623 +INDEX GOES BRRR: 1012 X: -0.700195 +INDEX GOES BRRR: 397 X: 24.8193 +INDEX GOES BRRR: 54 X: 3.43164 +INDEX GOES BRRR: 77 X: 4.83398 +INDEX GOES BRRR: 66 X: 4.17578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 975 X: -3.02246 +INDEX GOES BRRR: 142 X: 8.92578 +INDEX GOES BRRR: 86 X: 5.39355 +INDEX GOES BRRR: 260 X: 16.2646 +INDEX GOES BRRR: 85 X: 5.32617 +INDEX GOES BRRR: 259 X: 16.2383 +INDEX GOES BRRR: 154 X: 9.66895 +INDEX GOES BRRR: 364 X: 22.7861 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.00391 +INDEX GOES BRRR: 33 X: 2.0957 +INDEX GOES BRRR: 337 X: 21.1152 +INDEX GOES BRRR: 197 X: 12.3125 +INDEX GOES BRRR: 85 X: 5.37109 +INDEX GOES BRRR: 86 X: 5.4248 +INDEX GOES BRRR: 207 X: 12.9736 +INDEX GOES BRRR: 249 X: 15.6045 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 150 X: 9.4043 +INDEX GOES BRRR: 82 X: 5.14941 +INDEX GOES BRRR: 400 X: 25.0312 +INDEX GOES BRRR: 141 X: 8.84961 +INDEX GOES BRRR: 371 X: 23.2471 +INDEX GOES BRRR: 247 X: 15.4834 +INDEX GOES BRRR: 122 X: 7.65137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.51953 +INDEX GOES BRRR: 70 X: 4.42285 +INDEX GOES BRRR: 274 X: 17.1738 +INDEX GOES BRRR: 300 X: 18.7676 +INDEX GOES BRRR: 330 X: 20.6611 +INDEX GOES BRRR: 873 X: -9.42578 +INDEX GOES BRRR: 283 X: 17.7041 +INDEX GOES BRRR: 143 X: 8.96582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.01562 +INDEX GOES BRRR: 55 X: 3.46191 +INDEX GOES BRRR: 123 X: 7.69531 +INDEX GOES BRRR: 64 X: 4.02832 +INDEX GOES BRRR: 43 X: 2.74316 +INDEX GOES BRRR: 181 X: 11.3154 +INDEX GOES BRRR: 988 X: -2.24219 +INDEX GOES BRRR: 430 X: 26.8828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 989 X: -2.17383 +INDEX GOES BRRR: 953 X: -4.41211 +INDEX GOES BRRR: 35 X: 2.21387 +INDEX GOES BRRR: 72 X: 4.5166 +INDEX GOES BRRR: 92 X: 5.79688 +INDEX GOES BRRR: 93 X: 5.82715 +INDEX GOES BRRR: 190 X: 11.9082 +INDEX GOES BRRR: 423 X: 26.4385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 838 X: -11.6191 +INDEX GOES BRRR: 43 X: 2.70215 +INDEX GOES BRRR: 78 X: 4.875 +INDEX GOES BRRR: 495 X: 30.9834 +INDEX GOES BRRR: 137 X: 8.62305 +INDEX GOES BRRR: 30 X: 1.91309 +INDEX GOES BRRR: 934 X: -5.58008 +INDEX GOES BRRR: 321 X: 20.0791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.83984 +INDEX GOES BRRR: 221 X: 13.8242 +INDEX GOES BRRR: 235 X: 14.7148 +INDEX GOES BRRR: 111 X: 6.96289 +INDEX GOES BRRR: 164 X: 10.2871 +INDEX GOES BRRR: 897 X: -7.9043 +INDEX GOES BRRR: 193 X: 12.1182 +INDEX GOES BRRR: 80 X: 5.0459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.32324 +INDEX GOES BRRR: 54 X: 3.43652 +INDEX GOES BRRR: 125 X: 7.84863 +INDEX GOES BRRR: 102 X: 6.41699 +INDEX GOES BRRR: 305 X: 19.1113 +INDEX GOES BRRR: 155 X: 9.71094 +INDEX GOES BRRR: 172 X: 10.793 +INDEX GOES BRRR: 364 X: 22.7998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 357 X: 22.3408 +INDEX GOES BRRR: 146 X: 9.13867 +INDEX GOES BRRR: 251 X: 15.707 +INDEX GOES BRRR: 401 X: 25.0977 +INDEX GOES BRRR: 233 X: 14.6133 +INDEX GOES BRRR: 78 X: 4.88184 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 857 X: -10.4248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4639 +INDEX GOES BRRR: 25 X: 1.61523 +INDEX GOES BRRR: 936 X: -5.48926 +INDEX GOES BRRR: 29 X: 1.8291 +INDEX GOES BRRR: 264 X: 16.5 +INDEX GOES BRRR: 311 X: 19.4639 +INDEX GOES BRRR: 238 X: 14.8936 +INDEX GOES BRRR: 979 X: -2.75684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 779 X: -15.29 +INDEX GOES BRRR: 153 X: 9.58203 +INDEX GOES BRRR: 798 X: -14.0859 +INDEX GOES BRRR: 434 X: 27.126 +INDEX GOES BRRR: 188 X: 11.7881 +INDEX GOES BRRR: 1014 X: -0.583008 +INDEX GOES BRRR: 911 X: -7.06152 +INDEX GOES BRRR: 151 X: 9.46191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 847 X: -11.0605 +INDEX GOES BRRR: 1015 X: -0.505859 +INDEX GOES BRRR: 30 X: 1.90918 +INDEX GOES BRRR: 298 X: 18.6846 +INDEX GOES BRRR: 921 X: -6.42285 +INDEX GOES BRRR: 208 X: 13.0518 +INDEX GOES BRRR: 4 X: 0.301758 +INDEX GOES BRRR: 44 X: 2.80176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 965 X: -3.68359 +INDEX GOES BRRR: 981 X: -2.67969 +INDEX GOES BRRR: 873 X: -9.41602 +INDEX GOES BRRR: 6 X: 0.426758 +INDEX GOES BRRR: 274 X: 17.1465 +INDEX GOES BRRR: 267 X: 16.7246 +INDEX GOES BRRR: 262 X: 16.3857 +INDEX GOES BRRR: 998 X: -1.59375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.38281 +INDEX GOES BRRR: 1 X: 0.0917969 +INDEX GOES BRRR: 168 X: 10.5518 +INDEX GOES BRRR: 243 X: 15.1924 +INDEX GOES BRRR: 108 X: 6.80957 +INDEX GOES BRRR: 310 X: 19.3877 +INDEX GOES BRRR: 45 X: 2.84375 +INDEX GOES BRRR: 109 X: 6.85254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0537 +INDEX GOES BRRR: 374 X: 23.4307 +INDEX GOES BRRR: 82 X: 5.12695 +INDEX GOES BRRR: 144 X: 9.04883 +INDEX GOES BRRR: 166 X: 10.3896 +INDEX GOES BRRR: 103 X: 6.47656 +INDEX GOES BRRR: 55 X: 3.48047 +INDEX GOES BRRR: 334 X: 20.9258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.86426 +INDEX GOES BRRR: 401 X: 25.0742 +INDEX GOES BRRR: 185 X: 11.5977 +INDEX GOES BRRR: 909 X: -7.1875 +INDEX GOES BRRR: 108 X: 6.80273 +INDEX GOES BRRR: 46 X: 2.92871 +INDEX GOES BRRR: 176 X: 11.0508 +INDEX GOES BRRR: 169 X: 10.6094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.52832 +INDEX GOES BRRR: 49 X: 3.07227 +INDEX GOES BRRR: 345 X: 21.5957 +INDEX GOES BRRR: 18 X: 1.12598 +INDEX GOES BRRR: 277 X: 17.3623 +INDEX GOES BRRR: 77 X: 4.8418 +INDEX GOES BRRR: 959 X: -4.01172 +INDEX GOES BRRR: 988 X: -2.2207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.84863 +INDEX GOES BRRR: 81 X: 5.08398 +INDEX GOES BRRR: 153 X: 9.61816 +INDEX GOES BRRR: 776 X: -15.4512 +INDEX GOES BRRR: 98 X: 6.15137 +INDEX GOES BRRR: 186 X: 11.6729 +INDEX GOES BRRR: 244 X: 15.2715 +INDEX GOES BRRR: 87 X: 5.48242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 830 X: -12.1104 +INDEX GOES BRRR: 109 X: 6.81543 +INDEX GOES BRRR: 71 X: 4.45508 +INDEX GOES BRRR: 393 X: 24.5732 +INDEX GOES BRRR: 235 X: 14.7422 +INDEX GOES BRRR: 121 X: 7.57129 +INDEX GOES BRRR: 56 X: 3.53418 +INDEX GOES BRRR: 127 X: 7.94922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.6709 +INDEX GOES BRRR: 35 X: 2.19824 +INDEX GOES BRRR: 825 X: -12.4287 +INDEX GOES BRRR: 231 X: 14.4609 +INDEX GOES BRRR: 115 X: 7.20703 +INDEX GOES BRRR: 186 X: 11.6504 +INDEX GOES BRRR: 281 X: 17.5674 +INDEX GOES BRRR: 240 X: 15.04 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.44629 +INDEX GOES BRRR: 56 X: 3.54883 +INDEX GOES BRRR: 957 X: -4.16406 +INDEX GOES BRRR: 145 X: 9.08398 +INDEX GOES BRRR: 251 X: 15.7354 +INDEX GOES BRRR: 998 X: -1.5957 +INDEX GOES BRRR: 206 X: 12.9307 +INDEX GOES BRRR: 281 X: 17.6123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 393 X: 24.624 +INDEX GOES BRRR: 114 X: 7.16504 +INDEX GOES BRRR: 382 X: 23.9111 +INDEX GOES BRRR: 171 X: 10.7012 +INDEX GOES BRRR: 100 X: 6.29004 +INDEX GOES BRRR: 98 X: 6.17188 +INDEX GOES BRRR: 494 X: 30.8779 +INDEX GOES BRRR: 136 X: 8.54102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.5957 +INDEX GOES BRRR: 285 X: 17.8643 +INDEX GOES BRRR: 70 X: 4.39844 +INDEX GOES BRRR: 301 X: 18.8486 +INDEX GOES BRRR: 216 X: 13.5439 +INDEX GOES BRRR: 240 X: 15.0137 +INDEX GOES BRRR: 68 X: 4.29883 +INDEX GOES BRRR: 222 X: 13.9014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.374 +INDEX GOES BRRR: 332 X: 20.8096 +INDEX GOES BRRR: 175 X: 10.9531 +INDEX GOES BRRR: 1023 X: -0.0498047 +INDEX GOES BRRR: 332 X: 20.751 +INDEX GOES BRRR: 27 X: 1.69629 +INDEX GOES BRRR: 380 X: 23.79 +INDEX GOES BRRR: 950 X: -4.61523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 980 X: -2.70215 +INDEX GOES BRRR: 209 X: 13.0908 +INDEX GOES BRRR: 331 X: 20.7422 +INDEX GOES BRRR: 798 X: -14.1025 +INDEX GOES BRRR: 124 X: 7.79395 +INDEX GOES BRRR: 282 X: 17.6768 +INDEX GOES BRRR: 232 X: 14.5117 +INDEX GOES BRRR: 392 X: 24.5371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 460 X: 28.8047 +INDEX GOES BRRR: 902 X: -7.61523 +INDEX GOES BRRR: 464 X: 29.0273 +INDEX GOES BRRR: 212 X: 13.251 +INDEX GOES BRRR: 432 X: 27.0029 +INDEX GOES BRRR: 153 X: 9.58594 +INDEX GOES BRRR: 280 X: 17.5381 +INDEX GOES BRRR: 395 X: 24.6953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 349 X: 21.8574 +INDEX GOES BRRR: 161 X: 10.0908 +INDEX GOES BRRR: 989 X: -2.17188 +INDEX GOES BRRR: 184 X: 11.5186 +INDEX GOES BRRR: 866 X: -9.8252 +INDEX GOES BRRR: 182 X: 11.3857 +INDEX GOES BRRR: 11 X: 0.741211 +INDEX GOES BRRR: 108 X: 6.77441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.20117 +INDEX GOES BRRR: 291 X: 18.21 +INDEX GOES BRRR: 928 X: -5.98242 +INDEX GOES BRRR: 131 X: 8.2207 +INDEX GOES BRRR: 915 X: -6.7627 +INDEX GOES BRRR: 330 X: 20.6826 +INDEX GOES BRRR: 208 X: 13.0488 +INDEX GOES BRRR: 213 X: 13.3496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 4 X: 0.307617 +INDEX GOES BRRR: 278 X: 17.376 +INDEX GOES BRRR: 265 X: 16.6143 +INDEX GOES BRRR: 943 X: -5.03711 +INDEX GOES BRRR: 134 X: 8.39062 +INDEX GOES BRRR: 8 X: 0.510742 +INDEX GOES BRRR: 188 X: 11.7559 +INDEX GOES BRRR: 950 X: -4.56738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 889 X: -8.41309 +INDEX GOES BRRR: 11 X: 0.712891 +INDEX GOES BRRR: 60 X: 3.7998 +INDEX GOES BRRR: 24 X: 1.53613 +INDEX GOES BRRR: 459 X: 28.7139 +INDEX GOES BRRR: 42 X: 2.64844 +INDEX GOES BRRR: 156 X: 9.7832 +INDEX GOES BRRR: 322 X: 20.1611 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 411 X: 25.6963 +INDEX GOES BRRR: 81 X: 5.10449 +INDEX GOES BRRR: 986 X: -2.32324 +INDEX GOES BRRR: 1000 X: -1.44922 +INDEX GOES BRRR: 942 X: -5.09277 +INDEX GOES BRRR: 254 X: 15.8799 +INDEX GOES BRRR: 239 X: 14.9404 +INDEX GOES BRRR: 178 X: 11.1719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 958 X: -4.12012 +INDEX GOES BRRR: 57 X: 3.56543 +INDEX GOES BRRR: 166 X: 10.3779 +INDEX GOES BRRR: 360 X: 22.5439 +INDEX GOES BRRR: 334 X: 20.8965 +INDEX GOES BRRR: 390 X: 24.417 +INDEX GOES BRRR: 35 X: 2.19922 +INDEX GOES BRRR: 127 X: 7.99805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.6895 +INDEX GOES BRRR: 127 X: 7.99707 +INDEX GOES BRRR: 854 X: -10.5938 +INDEX GOES BRRR: 489 X: 30.6133 +INDEX GOES BRRR: 273 X: 17.1201 +INDEX GOES BRRR: 170 X: 10.626 +INDEX GOES BRRR: 478 X: 29.8818 +INDEX GOES BRRR: 304 X: 19.002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1377 +INDEX GOES BRRR: 840 X: -11.4619 +INDEX GOES BRRR: 330 X: 20.6855 +INDEX GOES BRRR: 228 X: 14.2773 +INDEX GOES BRRR: 130 X: 8.12793 +INDEX GOES BRRR: 164 X: 10.2715 +INDEX GOES BRRR: 943 X: -5.05566 +INDEX GOES BRRR: 879 X: -9.0293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.577148 +INDEX GOES BRRR: 158 X: 9.9209 +INDEX GOES BRRR: 15 X: 0.976562 +INDEX GOES BRRR: 83 X: 5.20215 +INDEX GOES BRRR: 248 X: 15.5391 +INDEX GOES BRRR: 250 X: 15.6865 +INDEX GOES BRRR: 344 X: 21.5605 +INDEX GOES BRRR: 208 X: 13.0049 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.42188 +INDEX GOES BRRR: 99 X: 6.19336 +INDEX GOES BRRR: 202 X: 12.6572 +INDEX GOES BRRR: 255 X: 15.9736 +INDEX GOES BRRR: 1015 X: -0.549805 +INDEX GOES BRRR: 22 X: 1.43652 +INDEX GOES BRRR: 320 X: 20.0293 +INDEX GOES BRRR: 217 X: 13.6201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.8291 +INDEX GOES BRRR: 242 X: 15.1787 +INDEX GOES BRRR: 233 X: 14.5791 +INDEX GOES BRRR: 68 X: 4.30859 +INDEX GOES BRRR: 165 X: 10.3135 +INDEX GOES BRRR: 182 X: 11.4033 +INDEX GOES BRRR: 56 X: 3.5127 +INDEX GOES BRRR: 871 X: -9.51855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 956 X: -4.21973 +INDEX GOES BRRR: 341 X: 21.335 +INDEX GOES BRRR: 385 X: 24.0898 +INDEX GOES BRRR: 331 X: 20.7031 +INDEX GOES BRRR: 37 X: 2.33301 +INDEX GOES BRRR: 67 X: 4.19336 +INDEX GOES BRRR: 259 X: 16.1924 +INDEX GOES BRRR: 92 X: 5.80566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3418 +INDEX GOES BRRR: 45 X: 2.82812 +INDEX GOES BRRR: 248 X: 15.5137 +INDEX GOES BRRR: 148 X: 9.30273 +INDEX GOES BRRR: 954 X: -4.32324 +INDEX GOES BRRR: 107 X: 6.7002 +INDEX GOES BRRR: 294 X: 18.3896 +INDEX GOES BRRR: 295 X: 18.4932 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.00293 +INDEX GOES BRRR: 242 X: 15.1729 +INDEX GOES BRRR: 157 X: 9.82129 +INDEX GOES BRRR: 111 X: 6.97949 +INDEX GOES BRRR: 207 X: 12.9814 +INDEX GOES BRRR: 142 X: 8.92773 +INDEX GOES BRRR: 26 X: 1.625 +INDEX GOES BRRR: 153 X: 9.62207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.5166 +INDEX GOES BRRR: 65 X: 4.08691 +INDEX GOES BRRR: 311 X: 19.4971 +INDEX GOES BRRR: 955 X: -4.28516 +INDEX GOES BRRR: 266 X: 16.6719 +INDEX GOES BRRR: 189 X: 11.8535 +INDEX GOES BRRR: 224 X: 14.0557 +INDEX GOES BRRR: 116 X: 7.31152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3682 +INDEX GOES BRRR: 106 X: 6.66992 +INDEX GOES BRRR: 964 X: -3.71875 +INDEX GOES BRRR: 184 X: 11.5342 +INDEX GOES BRRR: 98 X: 6.14941 +INDEX GOES BRRR: 106 X: 6.66992 +INDEX GOES BRRR: 968 X: -3.49609 +INDEX GOES BRRR: 262 X: 16.4258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6523 +INDEX GOES BRRR: 312 X: 19.5508 +INDEX GOES BRRR: 147 X: 9.24512 +INDEX GOES BRRR: 256 X: 16.0449 +INDEX GOES BRRR: 883 X: -8.7959 +INDEX GOES BRRR: 820 X: -12.7412 +INDEX GOES BRRR: 119 X: 7.45898 +INDEX GOES BRRR: 380 X: 23.752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.7188 +INDEX GOES BRRR: 1006 X: -1.09961 +INDEX GOES BRRR: 88 X: 5.50684 +INDEX GOES BRRR: 222 X: 13.8818 +INDEX GOES BRRR: 73 X: 4.56348 +INDEX GOES BRRR: 192 X: 12.0273 +INDEX GOES BRRR: 44 X: 2.81055 +INDEX GOES BRRR: 47 X: 2.9873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7412 +INDEX GOES BRRR: 60 X: 3.79688 +INDEX GOES BRRR: 237 X: 14.8145 +INDEX GOES BRRR: 965 X: -3.66895 +INDEX GOES BRRR: 159 X: 9.94043 +INDEX GOES BRRR: 146 X: 9.16016 +INDEX GOES BRRR: 161 X: 10.1201 +INDEX GOES BRRR: 383 X: 23.9951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5566 +INDEX GOES BRRR: 354 X: 22.1768 +INDEX GOES BRRR: 149 X: 9.34961 +INDEX GOES BRRR: 32 X: 2.00879 +INDEX GOES BRRR: 268 X: 16.7881 +INDEX GOES BRRR: 106 X: 6.68359 +INDEX GOES BRRR: 417 X: 26.0703 +INDEX GOES BRRR: 16 X: 1.01953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 963 X: -3.79395 +INDEX GOES BRRR: 200 X: 12.5508 +INDEX GOES BRRR: 298 X: 18.6348 +INDEX GOES BRRR: 344 X: 21.5576 +INDEX GOES BRRR: 284 X: 17.7822 +INDEX GOES BRRR: 244 X: 15.2959 +INDEX GOES BRRR: 174 X: 10.9307 +INDEX GOES BRRR: 286 X: 17.9072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4355 +INDEX GOES BRRR: 282 X: 17.6777 +INDEX GOES BRRR: 266 X: 16.6465 +INDEX GOES BRRR: 159 X: 9.94531 +INDEX GOES BRRR: 226 X: 14.1289 +INDEX GOES BRRR: 86 X: 5.38965 +INDEX GOES BRRR: 114 X: 7.14258 +INDEX GOES BRRR: 192 X: 12.0225 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.71484 +INDEX GOES BRRR: 170 X: 10.6611 +INDEX GOES BRRR: 382 X: 23.9336 +INDEX GOES BRRR: 381 X: 23.8369 +INDEX GOES BRRR: 200 X: 12.5605 +INDEX GOES BRRR: 7 X: 0.482422 +INDEX GOES BRRR: 36 X: 2.26562 +INDEX GOES BRRR: 319 X: 19.9814 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 895 X: -8.01953 +INDEX GOES BRRR: 1016 X: -0.46582 +INDEX GOES BRRR: 42 X: 2.66211 +INDEX GOES BRRR: 86 X: 5.43359 +INDEX GOES BRRR: 266 X: 16.6797 +INDEX GOES BRRR: 2 X: 0.169922 +INDEX GOES BRRR: 24 X: 1.52734 +INDEX GOES BRRR: 308 X: 19.2959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.9307 +INDEX GOES BRRR: 394 X: 24.626 +INDEX GOES BRRR: 28 X: 1.77734 +INDEX GOES BRRR: 152 X: 9.50977 +INDEX GOES BRRR: 387 X: 24.208 +INDEX GOES BRRR: 60 X: 3.75586 +INDEX GOES BRRR: 342 X: 21.3896 +INDEX GOES BRRR: 96 X: 6.02441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4551 +INDEX GOES BRRR: 38 X: 2.41895 +INDEX GOES BRRR: 378 X: 23.6738 +INDEX GOES BRRR: 122 X: 7.6416 +INDEX GOES BRRR: 228 X: 14.3105 +INDEX GOES BRRR: 325 X: 20.3184 +INDEX GOES BRRR: 58 X: 3.68457 +INDEX GOES BRRR: 243 X: 15.1914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.50586 +INDEX GOES BRRR: 305 X: 19.1006 +INDEX GOES BRRR: 201 X: 12.5752 +INDEX GOES BRRR: 951 X: -4.5166 +INDEX GOES BRRR: 382 X: 23.9033 +INDEX GOES BRRR: 125 X: 7.85352 +INDEX GOES BRRR: 45 X: 2.86816 +INDEX GOES BRRR: 65 X: 4.07715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.66797 +INDEX GOES BRRR: 287 X: 17.9609 +INDEX GOES BRRR: 297 X: 18.6221 +INDEX GOES BRRR: 353 X: 22.1172 +INDEX GOES BRRR: 51 X: 3.24707 +INDEX GOES BRRR: 184 X: 11.5322 +INDEX GOES BRRR: 991 X: -2.01367 +INDEX GOES BRRR: 354 X: 22.1602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.45898 +INDEX GOES BRRR: 157 X: 9.85645 +INDEX GOES BRRR: 86 X: 5.41309 +INDEX GOES BRRR: 479 X: 29.9512 +INDEX GOES BRRR: 229 X: 14.3418 +INDEX GOES BRRR: 896 X: -7.99414 +INDEX GOES BRRR: 184 X: 11.5039 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 999 X: -1.52344 +INDEX GOES BRRR: 426 X: 26.6836 +INDEX GOES BRRR: 1023 X: -0.0478516 +INDEX GOES BRRR: 66 X: 4.13281 +INDEX GOES BRRR: 1008 X: -0.981445 +INDEX GOES BRRR: 358 X: 22.4131 +INDEX GOES BRRR: 118 X: 7.38672 +INDEX GOES BRRR: 303 X: 18.9443 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1523 +INDEX GOES BRRR: 164 X: 10.2939 +INDEX GOES BRRR: 946 X: -4.85938 +INDEX GOES BRRR: 99 X: 6.24512 +INDEX GOES BRRR: 197 X: 12.3145 +INDEX GOES BRRR: 292 X: 18.2686 +INDEX GOES BRRR: 108 X: 6.76465 +INDEX GOES BRRR: 276 X: 17.2588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.74414 +INDEX GOES BRRR: 299 X: 18.748 +INDEX GOES BRRR: 73 X: 4.62012 +INDEX GOES BRRR: 266 X: 16.6787 +INDEX GOES BRRR: 417 X: 26.082 +INDEX GOES BRRR: 69 X: 4.32617 +INDEX GOES BRRR: 53 X: 3.36621 +INDEX GOES BRRR: 179 X: 11.21 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 391 X: 24.4766 +INDEX GOES BRRR: 783 X: -15.0156 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 117 X: 7.33008 +INDEX GOES BRRR: 133 X: 8.37109 +INDEX GOES BRRR: 396 X: 24.8018 +INDEX GOES BRRR: 288 X: 18.0557 +INDEX GOES BRRR: 435 X: 27.2168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 978 X: -2.87012 +INDEX GOES BRRR: 123 X: 7.73633 +INDEX GOES BRRR: 33 X: 2.10352 +INDEX GOES BRRR: 11 X: 0.727539 +INDEX GOES BRRR: 453 X: 28.3711 +INDEX GOES BRRR: 111 X: 6.94043 +INDEX GOES BRRR: 86 X: 5.39258 +INDEX GOES BRRR: 320 X: 20.0312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 361 X: 22.5977 +INDEX GOES BRRR: 233 X: 14.6143 +INDEX GOES BRRR: 115 X: 7.18945 +INDEX GOES BRRR: 197 X: 12.373 +INDEX GOES BRRR: 340 X: 21.2832 +INDEX GOES BRRR: 200 X: 12.5127 +INDEX GOES BRRR: 432 X: 27.0586 +INDEX GOES BRRR: 830 X: -12.0693 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.82324 +INDEX GOES BRRR: 112 X: 7.00098 +INDEX GOES BRRR: 56 X: 3.54883 +INDEX GOES BRRR: 135 X: 8.47852 +INDEX GOES BRRR: 990 X: -2.12012 +INDEX GOES BRRR: 257 X: 16.0977 +INDEX GOES BRRR: 859 X: -10.2871 +INDEX GOES BRRR: 207 X: 12.9629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1768 +INDEX GOES BRRR: 897 X: -7.93066 +INDEX GOES BRRR: 284 X: 17.7979 +INDEX GOES BRRR: 214 X: 13.4209 +INDEX GOES BRRR: 53 X: 3.33496 +INDEX GOES BRRR: 202 X: 12.6338 +INDEX GOES BRRR: 382 X: 23.8887 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.20215 +INDEX GOES BRRR: 225 X: 14.124 +INDEX GOES BRRR: 153 X: 9.5918 +INDEX GOES BRRR: 281 X: 17.5664 +INDEX GOES BRRR: 230 X: 14.416 +INDEX GOES BRRR: 1008 X: -0.975586 +INDEX GOES BRRR: 59 X: 3.70703 +INDEX GOES BRRR: 218 X: 13.6348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.73145 +INDEX GOES BRRR: 226 X: 14.1494 +INDEX GOES BRRR: 403 X: 25.2363 +INDEX GOES BRRR: 205 X: 12.8643 +INDEX GOES BRRR: 348 X: 21.7881 +INDEX GOES BRRR: 6 X: 0.398438 +INDEX GOES BRRR: 324 X: 20.2578 +INDEX GOES BRRR: 980 X: -2.70215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.4873 +INDEX GOES BRRR: 200 X: 12.5146 +INDEX GOES BRRR: 112 X: 7.04883 +INDEX GOES BRRR: 131 X: 8.22559 +INDEX GOES BRRR: 866 X: -9.84961 +INDEX GOES BRRR: 153 X: 9.58789 +INDEX GOES BRRR: 75 X: 4.73633 +INDEX GOES BRRR: 1008 X: -0.947266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 897 X: -7.90723 +INDEX GOES BRRR: 1005 X: -1.13574 +INDEX GOES BRRR: 336 X: 21.0195 +INDEX GOES BRRR: 317 X: 19.8408 +INDEX GOES BRRR: 1008 X: -0.983398 +INDEX GOES BRRR: 321 X: 20.0752 +INDEX GOES BRRR: 417 X: 26.1025 +INDEX GOES BRRR: 274 X: 17.1689 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.200195 +INDEX GOES BRRR: 1022 X: -0.124023 +INDEX GOES BRRR: 141 X: 8.85352 +INDEX GOES BRRR: 1012 X: -0.735352 +INDEX GOES BRRR: 265 X: 16.5938 +INDEX GOES BRRR: 422 X: 26.3887 +INDEX GOES BRRR: 271 X: 16.9932 +INDEX GOES BRRR: 292 X: 18.252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 128 X: 8.04883 +INDEX GOES BRRR: 180 X: 11.3047 +INDEX GOES BRRR: 74 X: 4.65527 +INDEX GOES BRRR: 379 X: 23.7217 +INDEX GOES BRRR: 22 X: 1.39648 +INDEX GOES BRRR: 57 X: 3.59863 +INDEX GOES BRRR: 200 X: 12.5312 +INDEX GOES BRRR: 107 X: 6.72363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0479 +INDEX GOES BRRR: 284 X: 17.7529 +INDEX GOES BRRR: 905 X: -7.43359 +INDEX GOES BRRR: 278 X: 17.4043 +INDEX GOES BRRR: 255 X: 15.9854 +INDEX GOES BRRR: 1004 X: -1.2207 +INDEX GOES BRRR: 320 X: 20.0254 +INDEX GOES BRRR: 404 X: 25.2529 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.959 +INDEX GOES BRRR: 101 X: 6.31836 +INDEX GOES BRRR: 64 X: 4.00684 +INDEX GOES BRRR: 1011 X: -0.800781 +INDEX GOES BRRR: 72 X: 4.52832 +INDEX GOES BRRR: 887 X: -8.54199 +INDEX GOES BRRR: 883 X: -8.80078 +INDEX GOES BRRR: 184 X: 11.5137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0693 +INDEX GOES BRRR: 227 X: 14.2275 +INDEX GOES BRRR: 89 X: 5.59082 +INDEX GOES BRRR: 808 X: -13.457 +INDEX GOES BRRR: 117 X: 7.35352 +INDEX GOES BRRR: 255 X: 15.9629 +INDEX GOES BRRR: 176 X: 11.0479 +INDEX GOES BRRR: 113 X: 7.08008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.88184 +INDEX GOES BRRR: 158 X: 9.88867 +INDEX GOES BRRR: 237 X: 14.833 +INDEX GOES BRRR: 191 X: 11.9941 +INDEX GOES BRRR: 299 X: 18.7471 +INDEX GOES BRRR: 178 X: 11.1582 +INDEX GOES BRRR: 94 X: 5.92383 +INDEX GOES BRRR: 1020 X: -0.189453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9453 +INDEX GOES BRRR: 89 X: 5.57129 +INDEX GOES BRRR: 285 X: 17.8428 +INDEX GOES BRRR: 999 X: -1.51758 +INDEX GOES BRRR: 85 X: 5.3418 +INDEX GOES BRRR: 938 X: -5.32422 +INDEX GOES BRRR: 169 X: 10.5713 +INDEX GOES BRRR: 1005 X: -1.15234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.40625 +INDEX GOES BRRR: 164 X: 10.2822 +INDEX GOES BRRR: 428 X: 26.7656 +INDEX GOES BRRR: 991 X: -2.04199 +INDEX GOES BRRR: 176 X: 11.002 +INDEX GOES BRRR: 321 X: 20.0684 +INDEX GOES BRRR: 265 X: 16.582 +INDEX GOES BRRR: 829 X: -12.1465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 372 X: 23.2705 +INDEX GOES BRRR: 163 X: 10.2236 +INDEX GOES BRRR: 153 X: 9.59961 +INDEX GOES BRRR: 288 X: 18.001 +INDEX GOES BRRR: 381 X: 23.8574 +INDEX GOES BRRR: 150 X: 9.39355 +INDEX GOES BRRR: 209 X: 13.082 +INDEX GOES BRRR: 266 X: 16.6846 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.45801 +INDEX GOES BRRR: 978 X: -2.84082 +INDEX GOES BRRR: 337 X: 21.1006 +INDEX GOES BRRR: 210 X: 13.1396 +INDEX GOES BRRR: 200 X: 12.5293 +INDEX GOES BRRR: 157 X: 9.83203 +INDEX GOES BRRR: 140 X: 8.75098 +INDEX GOES BRRR: 311 X: 19.4688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2559 +INDEX GOES BRRR: 38 X: 2.38867 +INDEX GOES BRRR: 336 X: 21.0449 +INDEX GOES BRRR: 306 X: 19.167 +INDEX GOES BRRR: 82 X: 5.13672 +INDEX GOES BRRR: 146 X: 9.16211 +INDEX GOES BRRR: 283 X: 17.7422 +INDEX GOES BRRR: 1006 X: -1.07812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.3027 +INDEX GOES BRRR: 940 X: -5.24805 +INDEX GOES BRRR: 1020 X: -0.198242 +INDEX GOES BRRR: 251 X: 15.6934 +INDEX GOES BRRR: 965 X: -3.6875 +INDEX GOES BRRR: 225 X: 14.0957 +INDEX GOES BRRR: 356 X: 22.2549 +INDEX GOES BRRR: 46 X: 2.92773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.46387 +INDEX GOES BRRR: 180 X: 11.2637 +INDEX GOES BRRR: 272 X: 17.0107 +INDEX GOES BRRR: 150 X: 9.42285 +INDEX GOES BRRR: 139 X: 8.74121 +INDEX GOES BRRR: 212 X: 13.2568 +INDEX GOES BRRR: 75 X: 4.72266 +INDEX GOES BRRR: 70 X: 4.39453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 335 X: 20.9551 +INDEX GOES BRRR: 350 X: 21.9121 +INDEX GOES BRRR: 121 X: 7.58398 +INDEX GOES BRRR: 51 X: 3.2002 +INDEX GOES BRRR: 228 X: 14.2734 +INDEX GOES BRRR: 214 X: 13.4219 +INDEX GOES BRRR: 304 X: 19 +INDEX GOES BRRR: 303 X: 18.9668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.07715 +INDEX GOES BRRR: 73 X: 4.59375 +INDEX GOES BRRR: 117 X: 7.34277 +INDEX GOES BRRR: 231 X: 14.4844 +INDEX GOES BRRR: 95 X: 5.97168 +INDEX GOES BRRR: 154 X: 9.68164 +INDEX GOES BRRR: 58 X: 3.63379 +INDEX GOES BRRR: 214 X: 13.3779 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 4 X: 0.295898 +INDEX GOES BRRR: 214 X: 13.3818 +INDEX GOES BRRR: 120 X: 7.5293 +INDEX GOES BRRR: 3 X: 0.193359 +INDEX GOES BRRR: 172 X: 10.7803 +INDEX GOES BRRR: 101 X: 6.34473 +INDEX GOES BRRR: 238 X: 14.8984 +INDEX GOES BRRR: 811 X: -13.2666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 356 X: 22.2891 +INDEX GOES BRRR: 366 X: 22.8818 +INDEX GOES BRRR: 947 X: -4.79004 +INDEX GOES BRRR: 39 X: 2.45703 +INDEX GOES BRRR: 140 X: 8.77441 +INDEX GOES BRRR: 975 X: -3.03711 +INDEX GOES BRRR: 341 X: 21.3613 +INDEX GOES BRRR: 336 X: 21.0088 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.55273 +INDEX GOES BRRR: 152 X: 9.55176 +INDEX GOES BRRR: 431 X: 26.9629 +INDEX GOES BRRR: 77 X: 4.84863 +INDEX GOES BRRR: 270 X: 16.8994 +INDEX GOES BRRR: 273 X: 17.1055 +INDEX GOES BRRR: 1008 X: -0.961914 +INDEX GOES BRRR: 37 X: 2.37305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.7988 +INDEX GOES BRRR: 97 X: 6.08984 +INDEX GOES BRRR: 60 X: 3.75098 +INDEX GOES BRRR: 1014 X: -0.574219 +INDEX GOES BRRR: 947 X: -4.75586 +INDEX GOES BRRR: 116 X: 7.28711 +INDEX GOES BRRR: 1005 X: -1.16992 +INDEX GOES BRRR: 240 X: 15.0303 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.01172 +INDEX GOES BRRR: 161 X: 10.123 +INDEX GOES BRRR: 350 X: 21.8955 +INDEX GOES BRRR: 57 X: 3.58887 +INDEX GOES BRRR: 320 X: 20.0186 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 953 X: -4.38574 +INDEX GOES BRRR: 985 X: -2.38965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 50 X: 3.15039 +INDEX GOES BRRR: 128 X: 8.03906 +INDEX GOES BRRR: 59 X: 3.72852 +INDEX GOES BRRR: 928 X: -5.9707 +INDEX GOES BRRR: 371 X: 23.2324 +INDEX GOES BRRR: 184 X: 11.5586 +INDEX GOES BRRR: 46 X: 2.91699 +INDEX GOES BRRR: 218 X: 13.6426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.06055 +INDEX GOES BRRR: 940 X: -5.21582 +INDEX GOES BRRR: 290 X: 18.1475 +INDEX GOES BRRR: 79 X: 4.96484 +INDEX GOES BRRR: 350 X: 21.8984 +INDEX GOES BRRR: 324 X: 20.3066 +INDEX GOES BRRR: 390 X: 24.4219 +INDEX GOES BRRR: 81 X: 5.08301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 299 X: 18.7188 +INDEX GOES BRRR: 121 X: 7.59375 +INDEX GOES BRRR: 208 X: 13.0264 +INDEX GOES BRRR: 260 X: 16.2969 +INDEX GOES BRRR: 195 X: 12.2217 +INDEX GOES BRRR: 244 X: 15.2578 +INDEX GOES BRRR: 1013 X: -0.686523 +INDEX GOES BRRR: 140 X: 8.7832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 83 X: 5.19922 +INDEX GOES BRRR: 236 X: 14.7705 +INDEX GOES BRRR: 25 X: 1.59473 +INDEX GOES BRRR: 878 X: -9.10547 +INDEX GOES BRRR: 104 X: 6.5332 +INDEX GOES BRRR: 170 X: 10.6357 +INDEX GOES BRRR: 86 X: 5.37598 +INDEX GOES BRRR: 38 X: 2.4209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.0664 +INDEX GOES BRRR: 200 X: 12.5244 +INDEX GOES BRRR: 337 X: 21.1025 +INDEX GOES BRRR: 861 X: -10.1748 +INDEX GOES BRRR: 277 X: 17.3516 +INDEX GOES BRRR: 259 X: 16.2305 +INDEX GOES BRRR: 29 X: 1.81348 +INDEX GOES BRRR: 164 X: 10.2998 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.1016 +INDEX GOES BRRR: 109 X: 6.87305 +INDEX GOES BRRR: 1006 X: -1.08594 +INDEX GOES BRRR: 234 X: 14.6309 +INDEX GOES BRRR: 31 X: 1.97363 +INDEX GOES BRRR: 282 X: 17.625 +INDEX GOES BRRR: 96 X: 6.02344 +INDEX GOES BRRR: 10 X: 0.685547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 492 X: 30.7773 +INDEX GOES BRRR: 76 X: 4.76562 +INDEX GOES BRRR: 290 X: 18.1816 +INDEX GOES BRRR: 185 X: 11.5693 +INDEX GOES BRRR: 102 X: 6.41113 +INDEX GOES BRRR: 398 X: 24.9043 +INDEX GOES BRRR: 125 X: 7.86816 +INDEX GOES BRRR: 73 X: 4.61133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.54785 +INDEX GOES BRRR: 77 X: 4.84863 +INDEX GOES BRRR: 1007 X: -1.05078 +INDEX GOES BRRR: 144 X: 9.01562 +INDEX GOES BRRR: 1012 X: -0.731445 +INDEX GOES BRRR: 196 X: 12.3086 +INDEX GOES BRRR: 949 X: -4.63477 +INDEX GOES BRRR: 165 X: 10.3516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.1943 +INDEX GOES BRRR: 67 X: 4.2002 +INDEX GOES BRRR: 316 X: 19.7764 +INDEX GOES BRRR: 157 X: 9.85352 +INDEX GOES BRRR: 139 X: 8.68945 +INDEX GOES BRRR: 50 X: 3.16406 +INDEX GOES BRRR: 175 X: 10.9873 +INDEX GOES BRRR: 865 X: -9.92969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.68945 +INDEX GOES BRRR: 364 X: 22.7559 +INDEX GOES BRRR: 32 X: 2.00488 +INDEX GOES BRRR: 242 X: 15.1689 +INDEX GOES BRRR: 283 X: 17.7324 +INDEX GOES BRRR: 319 X: 19.957 +INDEX GOES BRRR: 193 X: 12.1045 +INDEX GOES BRRR: 125 X: 7.83691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 953 X: -4.39844 +INDEX GOES BRRR: 1020 X: -0.205078 +INDEX GOES BRRR: 100 X: 6.29785 +INDEX GOES BRRR: 974 X: -3.08594 +INDEX GOES BRRR: 196 X: 12.2832 +INDEX GOES BRRR: 130 X: 8.18262 +INDEX GOES BRRR: 49 X: 3.06543 +INDEX GOES BRRR: 177 X: 11.0889 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.4834 +INDEX GOES BRRR: 895 X: -8.03711 +INDEX GOES BRRR: 255 X: 15.9932 +INDEX GOES BRRR: 266 X: 16.6641 +INDEX GOES BRRR: 263 X: 16.4521 +INDEX GOES BRRR: 170 X: 10.6699 +INDEX GOES BRRR: 106 X: 6.68262 +INDEX GOES BRRR: 120 X: 7.55762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.57324 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 163 X: 10.2236 +INDEX GOES BRRR: 115 X: 7.22168 +INDEX GOES BRRR: 206 X: 12.9365 +INDEX GOES BRRR: 313 X: 19.5859 +INDEX GOES BRRR: 961 X: -3.89355 +INDEX GOES BRRR: 272 X: 17.0059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2627 +INDEX GOES BRRR: 920 X: -6.47461 +INDEX GOES BRRR: 995 X: -1.80664 +INDEX GOES BRRR: 998 X: -1.60254 +INDEX GOES BRRR: 164 X: 10.25 +INDEX GOES BRRR: 138 X: 8.63477 +INDEX GOES BRRR: 26 X: 1.66406 +INDEX GOES BRRR: 222 X: 13.9062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 990 X: -2.08105 +INDEX GOES BRRR: 164 X: 10.2959 +INDEX GOES BRRR: 193 X: 12.1113 +INDEX GOES BRRR: 3 X: 0.191406 +INDEX GOES BRRR: 899 X: -7.75879 +INDEX GOES BRRR: 273 X: 17.124 +INDEX GOES BRRR: 281 X: 17.5977 +INDEX GOES BRRR: 939 X: -5.26367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.21973 +INDEX GOES BRRR: 287 X: 17.9443 +INDEX GOES BRRR: 157 X: 9.83789 +INDEX GOES BRRR: 304 X: 19.0508 +INDEX GOES BRRR: 95 X: 5.9834 +INDEX GOES BRRR: 277 X: 17.3438 +INDEX GOES BRRR: 204 X: 12.7861 +INDEX GOES BRRR: 340 X: 21.2803 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1008 X: -0.990234 +INDEX GOES BRRR: 295 X: 18.4551 +INDEX GOES BRRR: 217 X: 13.6172 +INDEX GOES BRRR: 143 X: 8.9375 +INDEX GOES BRRR: 127 X: 7.94434 +INDEX GOES BRRR: 995 X: -1.78027 +INDEX GOES BRRR: 837 X: -11.6318 +INDEX GOES BRRR: 181 X: 11.3438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.54004 +INDEX GOES BRRR: 988 X: -2.21289 +INDEX GOES BRRR: 955 X: -4.2832 +INDEX GOES BRRR: 129 X: 8.08594 +INDEX GOES BRRR: 317 X: 19.8516 +INDEX GOES BRRR: 345 X: 21.5635 +INDEX GOES BRRR: 192 X: 12.0195 +INDEX GOES BRRR: 127 X: 7.93945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.48828 +INDEX GOES BRRR: 131 X: 8.20605 +INDEX GOES BRRR: 41 X: 2.56543 +INDEX GOES BRRR: 408 X: 25.5547 +INDEX GOES BRRR: 277 X: 17.3125 +INDEX GOES BRRR: 316 X: 19.7656 +INDEX GOES BRRR: 975 X: -3.04492 +INDEX GOES BRRR: 1015 X: -0.504883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 892 X: -8.22363 +INDEX GOES BRRR: 122 X: 7.6416 +INDEX GOES BRRR: 379 X: 23.7256 +INDEX GOES BRRR: 3 X: 0.227539 +INDEX GOES BRRR: 109 X: 6.81348 +INDEX GOES BRRR: 274 X: 17.1855 +INDEX GOES BRRR: 122 X: 7.65039 +INDEX GOES BRRR: 56 X: 3.53516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 159 X: 9.94922 +INDEX GOES BRRR: 186 X: 11.6318 +INDEX GOES BRRR: 171 X: 10.7451 +INDEX GOES BRRR: 975 X: -3.00391 +INDEX GOES BRRR: 138 X: 8.65625 +INDEX GOES BRRR: 157 X: 9.81348 +INDEX GOES BRRR: 347 X: 21.7441 +INDEX GOES BRRR: 304 X: 19.0283 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 238 X: 14.877 +INDEX GOES BRRR: 314 X: 19.6523 +INDEX GOES BRRR: 347 X: 21.6934 +INDEX GOES BRRR: 240 X: 15.0605 +INDEX GOES BRRR: 285 X: 17.8379 +INDEX GOES BRRR: 109 X: 6.83887 +INDEX GOES BRRR: 170 X: 10.6484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.873 +INDEX GOES BRRR: 59 X: 3.6875 +INDEX GOES BRRR: 206 X: 12.917 +INDEX GOES BRRR: 283 X: 17.6875 +INDEX GOES BRRR: 251 X: 15.707 +INDEX GOES BRRR: 133 X: 8.36426 +INDEX GOES BRRR: 176 X: 11.0107 +INDEX GOES BRRR: 200 X: 12.5332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 406 X: 25.4355 +INDEX GOES BRRR: 172 X: 10.7822 +INDEX GOES BRRR: 236 X: 14.7812 +INDEX GOES BRRR: 355 X: 22.2393 +INDEX GOES BRRR: 162 X: 10.166 +INDEX GOES BRRR: 120 X: 7.52051 +INDEX GOES BRRR: 83 X: 5.23926 +INDEX GOES BRRR: 94 X: 5.88379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.2061 +INDEX GOES BRRR: 948 X: -4.74609 +INDEX GOES BRRR: 272 X: 17.001 +INDEX GOES BRRR: 224 X: 14.0361 +INDEX GOES BRRR: 58 X: 3.67871 +INDEX GOES BRRR: 465 X: 29.1152 +INDEX GOES BRRR: 1017 X: -0.397461 +INDEX GOES BRRR: 274 X: 17.1562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2305 +INDEX GOES BRRR: 90 X: 5.65723 +INDEX GOES BRRR: 112 X: 7.0332 +INDEX GOES BRRR: 235 X: 14.6914 +INDEX GOES BRRR: 368 X: 23.0459 +INDEX GOES BRRR: 838 X: -11.582 +INDEX GOES BRRR: 986 X: -2.34766 +INDEX GOES BRRR: 912 X: -6.9707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 46 X: 2.8877 +INDEX GOES BRRR: 493 X: 30.8457 +INDEX GOES BRRR: 141 X: 8.86133 +INDEX GOES BRRR: 62 X: 3.88379 +INDEX GOES BRRR: 46 X: 2.93164 +INDEX GOES BRRR: 69 X: 4.37402 +INDEX GOES BRRR: 251 X: 15.7314 +INDEX GOES BRRR: 164 X: 10.3115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.8076 +INDEX GOES BRRR: 124 X: 7.75391 +INDEX GOES BRRR: 35 X: 2.24023 +INDEX GOES BRRR: 115 X: 7.2207 +INDEX GOES BRRR: 200 X: 12.5137 +INDEX GOES BRRR: 70 X: 4.43066 +INDEX GOES BRRR: 360 X: 22.5225 +INDEX GOES BRRR: 262 X: 16.4209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 385 X: 24.0967 +INDEX GOES BRRR: 987 X: -2.25391 +INDEX GOES BRRR: 896 X: -7.96777 +INDEX GOES BRRR: 135 X: 8.47559 +INDEX GOES BRRR: 197 X: 12.3281 +INDEX GOES BRRR: 279 X: 17.4775 +INDEX GOES BRRR: 320 X: 20.0322 +INDEX GOES BRRR: 223 X: 13.9785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 959 X: -4.04688 +INDEX GOES BRRR: 216 X: 13.5352 +INDEX GOES BRRR: 361 X: 22.6074 +INDEX GOES BRRR: 61 X: 3.82715 +INDEX GOES BRRR: 136 X: 8.51855 +INDEX GOES BRRR: 346 X: 21.6738 +INDEX GOES BRRR: 1010 X: -0.853516 +INDEX GOES BRRR: 24 X: 1.52051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9277 +INDEX GOES BRRR: 980 X: -2.70117 +INDEX GOES BRRR: 223 X: 13.9883 +INDEX GOES BRRR: 165 X: 10.3379 +INDEX GOES BRRR: 118 X: 7.375 +INDEX GOES BRRR: 69 X: 4.35742 +INDEX GOES BRRR: 7 X: 0.499023 +INDEX GOES BRRR: 340 X: 21.292 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.35156 +INDEX GOES BRRR: 172 X: 10.7578 +INDEX GOES BRRR: 1016 X: -0.485352 +INDEX GOES BRRR: 979 X: -2.75195 +INDEX GOES BRRR: 398 X: 24.9033 +INDEX GOES BRRR: 56 X: 3.52344 +INDEX GOES BRRR: 436 X: 27.3115 +INDEX GOES BRRR: 108 X: 6.76172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 977 X: -2.93359 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 360 X: 22.5586 +INDEX GOES BRRR: 1001 X: -1.40234 +INDEX GOES BRRR: 323 X: 20.2305 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 129 X: 8.0791 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1338 +INDEX GOES BRRR: 333 X: 20.833 +INDEX GOES BRRR: 408 X: 25.5137 +INDEX GOES BRRR: 120 X: 7.53223 +INDEX GOES BRRR: 81 X: 5.09766 +INDEX GOES BRRR: 258 X: 16.166 +INDEX GOES BRRR: 165 X: 10.3242 +INDEX GOES BRRR: 202 X: 12.6406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 215 X: 13.4424 +INDEX GOES BRRR: 149 X: 9.31738 +INDEX GOES BRRR: 983 X: -2.52051 +INDEX GOES BRRR: 361 X: 22.5918 +INDEX GOES BRRR: 768 X: -15.9863 +INDEX GOES BRRR: 298 X: 18.6699 +INDEX GOES BRRR: 144 X: 9.00684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.0908203 +INDEX GOES BRRR: 942 X: -5.08887 +INDEX GOES BRRR: 172 X: 10.7598 +INDEX GOES BRRR: 149 X: 9.37207 +INDEX GOES BRRR: 217 X: 13.5654 +INDEX GOES BRRR: 234 X: 14.6865 +INDEX GOES BRRR: 60 X: 3.78418 +INDEX GOES BRRR: 345 X: 21.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 113 X: 7.1084 +INDEX GOES BRRR: 385 X: 24.1123 +INDEX GOES BRRR: 213 X: 13.3682 +INDEX GOES BRRR: 199 X: 12.4668 +INDEX GOES BRRR: 40 X: 2.55371 +INDEX GOES BRRR: 111 X: 6.99316 +INDEX GOES BRRR: 40 X: 2.55176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.6377 +INDEX GOES BRRR: 173 X: 10.8145 +INDEX GOES BRRR: 98 X: 6.125 +INDEX GOES BRRR: 168 X: 10.5176 +INDEX GOES BRRR: 315 X: 19.7354 +INDEX GOES BRRR: 95 X: 5.94238 +INDEX GOES BRRR: 1017 X: -0.408203 +INDEX GOES BRRR: 262 X: 16.4111 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 253 X: 15.8555 +INDEX GOES BRRR: 245 X: 15.3242 +INDEX GOES BRRR: 158 X: 9.89355 +INDEX GOES BRRR: 92 X: 5.77441 +INDEX GOES BRRR: 259 X: 16.1934 +INDEX GOES BRRR: 55 X: 3.48535 +INDEX GOES BRRR: 181 X: 11.3516 +INDEX GOES BRRR: 1000 X: -1.49121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 988 X: -2.24316 +INDEX GOES BRRR: 174 X: 10.8926 +INDEX GOES BRRR: 314 X: 19.6855 +INDEX GOES BRRR: 58 X: 3.66211 +INDEX GOES BRRR: 130 X: 8.15039 +INDEX GOES BRRR: 216 X: 13.5439 +INDEX GOES BRRR: 187 X: 11.7012 +INDEX GOES BRRR: 198 X: 12.4307 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 449 X: 28.0791 +INDEX GOES BRRR: 156 X: 9.78809 +INDEX GOES BRRR: 247 X: 15.4697 +INDEX GOES BRRR: 173 X: 10.8203 +INDEX GOES BRRR: 242 X: 15.1357 +INDEX GOES BRRR: 1021 X: -0.131836 +INDEX GOES BRRR: 284 X: 17.7861 +INDEX GOES BRRR: 975 X: -3.02832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 61 X: 3.84375 +INDEX GOES BRRR: 229 X: 14.3438 +INDEX GOES BRRR: 120 X: 7.50586 +INDEX GOES BRRR: 366 X: 22.9082 +INDEX GOES BRRR: 818 X: -12.8691 +INDEX GOES BRRR: 1023 X: -0.0117188 +INDEX GOES BRRR: 1012 X: -0.696289 +INDEX GOES BRRR: 982 X: -2.5752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7168 +INDEX GOES BRRR: 90 X: 5.63574 +INDEX GOES BRRR: 129 X: 8.0752 +INDEX GOES BRRR: 142 X: 8.88867 +INDEX GOES BRRR: 352 X: 22.0088 +INDEX GOES BRRR: 296 X: 18.5205 +INDEX GOES BRRR: 109 X: 6.86035 +INDEX GOES BRRR: 247 X: 15.458 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 356 X: 22.2725 +INDEX GOES BRRR: 312 X: 19.5596 +INDEX GOES BRRR: 245 X: 15.3379 +INDEX GOES BRRR: 125 X: 7.86035 +INDEX GOES BRRR: 98 X: 6.1709 +INDEX GOES BRRR: 109 X: 6.8457 +INDEX GOES BRRR: 220 X: 13.7764 +INDEX GOES BRRR: 194 X: 12.1572 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1436 +INDEX GOES BRRR: 21 X: 1.3418 +INDEX GOES BRRR: 922 X: -6.36914 +INDEX GOES BRRR: 67 X: 4.19336 +INDEX GOES BRRR: 91 X: 5.71191 +INDEX GOES BRRR: 282 X: 17.6865 +INDEX GOES BRRR: 972 X: -3.23926 +INDEX GOES BRRR: 291 X: 18.1992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 318 X: 19.8877 +INDEX GOES BRRR: 92 X: 5.75488 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 120 X: 7.52246 +INDEX GOES BRRR: 32 X: 2.01465 +INDEX GOES BRRR: 121 X: 7.58594 +INDEX GOES BRRR: 171 X: 10.7373 +INDEX GOES BRRR: 1020 X: -0.232422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2695 +INDEX GOES BRRR: 961 X: -3.93164 +INDEX GOES BRRR: 59 X: 3.70703 +INDEX GOES BRRR: 990 X: -2.07715 +INDEX GOES BRRR: 177 X: 11.0664 +INDEX GOES BRRR: 1022 X: -0.0791016 +INDEX GOES BRRR: 240 X: 15.0254 +INDEX GOES BRRR: 283 X: 17.6963 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1846 +INDEX GOES BRRR: 57 X: 3.62109 +INDEX GOES BRRR: 146 X: 9.15527 +INDEX GOES BRRR: 476 X: 29.7764 +INDEX GOES BRRR: 135 X: 8.49512 +INDEX GOES BRRR: 371 X: 23.2217 +INDEX GOES BRRR: 126 X: 7.88184 +INDEX GOES BRRR: 899 X: -7.80176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.134766 +INDEX GOES BRRR: 94 X: 5.90332 +INDEX GOES BRRR: 245 X: 15.3125 +INDEX GOES BRRR: 258 X: 16.1426 +INDEX GOES BRRR: 850 X: -10.8633 +INDEX GOES BRRR: 1022 X: -0.110352 +INDEX GOES BRRR: 198 X: 12.4336 +INDEX GOES BRRR: 77 X: 4.83008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 915 X: -6.76172 +INDEX GOES BRRR: 852 X: -10.7158 +INDEX GOES BRRR: 232 X: 14.5527 +INDEX GOES BRRR: 215 X: 13.499 +INDEX GOES BRRR: 81 X: 5.08105 +INDEX GOES BRRR: 295 X: 18.4736 +INDEX GOES BRRR: 300 X: 18.751 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 39 X: 2.46191 +INDEX GOES BRRR: 323 X: 20.2461 +INDEX GOES BRRR: 38 X: 2.40039 +INDEX GOES BRRR: 283 X: 17.7354 +INDEX GOES BRRR: 812 X: -13.1943 +INDEX GOES BRRR: 378 X: 23.6592 +INDEX GOES BRRR: 253 X: 15.8564 +INDEX GOES BRRR: 64 X: 4.01367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.81641 +INDEX GOES BRRR: 270 X: 16.9258 +INDEX GOES BRRR: 248 X: 15.542 +INDEX GOES BRRR: 168 X: 10.5283 +INDEX GOES BRRR: 925 X: -6.1709 +INDEX GOES BRRR: 903 X: -7.5498 +INDEX GOES BRRR: 33 X: 2.10156 +INDEX GOES BRRR: 248 X: 15.5254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.79297 +INDEX GOES BRRR: 367 X: 22.9502 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 882 X: -8.81738 +INDEX GOES BRRR: 302 X: 18.9033 +INDEX GOES BRRR: 23 X: 1.44629 +INDEX GOES BRRR: 416 X: 26.0098 +INDEX GOES BRRR: 53 X: 3.32227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.3076 +INDEX GOES BRRR: 123 X: 7.7168 +INDEX GOES BRRR: 155 X: 9.73047 +INDEX GOES BRRR: 923 X: -6.30371 +INDEX GOES BRRR: 349 X: 21.8193 +INDEX GOES BRRR: 229 X: 14.3496 +INDEX GOES BRRR: 214 X: 13.4365 +INDEX GOES BRRR: 166 X: 10.4365 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 298 X: 18.6396 +INDEX GOES BRRR: 150 X: 9.4082 +INDEX GOES BRRR: 448 X: 28.002 +INDEX GOES BRRR: 128 X: 8.01855 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 423 X: 26.4492 +INDEX GOES BRRR: 250 X: 15.665 +INDEX GOES BRRR: 149 X: 9.36035 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.72852 +INDEX GOES BRRR: 133 X: 8.31348 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 51 X: 3.23145 +INDEX GOES BRRR: 149 X: 9.33789 +INDEX GOES BRRR: 924 X: -6.23242 +INDEX GOES BRRR: 14 X: 0.918945 +INDEX GOES BRRR: 195 X: 12.1973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.81934 +INDEX GOES BRRR: 1009 X: -0.884766 +INDEX GOES BRRR: 36 X: 2.31152 +INDEX GOES BRRR: 238 X: 14.8994 +INDEX GOES BRRR: 146 X: 9.125 +INDEX GOES BRRR: 920 X: -6.46094 +INDEX GOES BRRR: 374 X: 23.3906 +INDEX GOES BRRR: 997 X: -1.63965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.835 +INDEX GOES BRRR: 287 X: 17.9492 +INDEX GOES BRRR: 243 X: 15.2236 +INDEX GOES BRRR: 4 X: 0.267578 +INDEX GOES BRRR: 151 X: 9.48535 +INDEX GOES BRRR: 121 X: 7.56348 +INDEX GOES BRRR: 30 X: 1.91992 +INDEX GOES BRRR: 172 X: 10.7607 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 214 X: 13.4014 +INDEX GOES BRRR: 280 X: 17.54 +INDEX GOES BRRR: 212 X: 13.2695 +INDEX GOES BRRR: 4 X: 0.268555 +INDEX GOES BRRR: 76 X: 4.79395 +INDEX GOES BRRR: 185 X: 11.5635 +INDEX GOES BRRR: 281 X: 17.5859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.58789 +INDEX GOES BRRR: 813 X: -13.1816 +INDEX GOES BRRR: 429 X: 26.8447 +INDEX GOES BRRR: 178 X: 11.1338 +INDEX GOES BRRR: 304 X: 19.0146 +INDEX GOES BRRR: 134 X: 8.39355 +INDEX GOES BRRR: 394 X: 24.668 +INDEX GOES BRRR: 70 X: 4.43457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6846 +INDEX GOES BRRR: 85 X: 5.33398 +INDEX GOES BRRR: 52 X: 3.29297 +INDEX GOES BRRR: 382 X: 23.876 +INDEX GOES BRRR: 949 X: -4.65527 +INDEX GOES BRRR: 98 X: 6.1709 +INDEX GOES BRRR: 383 X: 23.9395 +INDEX GOES BRRR: 330 X: 20.6621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 359 X: 22.4502 +INDEX GOES BRRR: 316 X: 19.7637 +INDEX GOES BRRR: 422 X: 26.4121 +INDEX GOES BRRR: 872 X: -9.47949 +INDEX GOES BRRR: 971 X: -3.30664 +INDEX GOES BRRR: 251 X: 15.7422 +INDEX GOES BRRR: 219 X: 13.7148 +INDEX GOES BRRR: 109 X: 6.8252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.2773 +INDEX GOES BRRR: 178 X: 11.1416 +INDEX GOES BRRR: 236 X: 14.8057 +INDEX GOES BRRR: 343 X: 21.4805 +INDEX GOES BRRR: 214 X: 13.4229 +INDEX GOES BRRR: 113 X: 7.0957 +INDEX GOES BRRR: 283 X: 17.6904 +INDEX GOES BRRR: 304 X: 19.0264 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.78418 +INDEX GOES BRRR: 979 X: -2.75293 +INDEX GOES BRRR: 78 X: 4.88379 +INDEX GOES BRRR: 353 X: 22.1104 +INDEX GOES BRRR: 471 X: 29.4648 +INDEX GOES BRRR: 286 X: 17.9307 +INDEX GOES BRRR: 950 X: -4.56836 +INDEX GOES BRRR: 274 X: 17.1855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3154 +INDEX GOES BRRR: 256 X: 16.0459 +INDEX GOES BRRR: 195 X: 12.2383 +INDEX GOES BRRR: 121 X: 7.59668 +INDEX GOES BRRR: 79 X: 4.99805 +INDEX GOES BRRR: 345 X: 21.6143 +INDEX GOES BRRR: 161 X: 10.0898 +INDEX GOES BRRR: 95 X: 5.96191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.77344 +INDEX GOES BRRR: 233 X: 14.5752 +INDEX GOES BRRR: 37 X: 2.36719 +INDEX GOES BRRR: 286 X: 17.8789 +INDEX GOES BRRR: 366 X: 22.8955 +INDEX GOES BRRR: 728 X: -18.4639 +INDEX GOES BRRR: 59 X: 3.7168 +INDEX GOES BRRR: 384 X: 24.0498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7998 +INDEX GOES BRRR: 29 X: 1.83496 +INDEX GOES BRRR: 197 X: 12.3203 +INDEX GOES BRRR: 351 X: 21.9492 +INDEX GOES BRRR: 97 X: 6.08789 +INDEX GOES BRRR: 374 X: 23.3916 +INDEX GOES BRRR: 138 X: 8.66504 +INDEX GOES BRRR: 303 X: 18.9512 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.41895 +INDEX GOES BRRR: 85 X: 5.36035 +INDEX GOES BRRR: 158 X: 9.89746 +INDEX GOES BRRR: 238 X: 14.8936 +INDEX GOES BRRR: 169 X: 10.5654 +INDEX GOES BRRR: 30 X: 1.91504 +INDEX GOES BRRR: 975 X: -3.02441 +INDEX GOES BRRR: 224 X: 14.0322 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 213 X: 13.3145 +INDEX GOES BRRR: 101 X: 6.31641 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 183 X: 11.4951 +INDEX GOES BRRR: 378 X: 23.6758 +INDEX GOES BRRR: 975 X: -3.04102 +INDEX GOES BRRR: 273 X: 17.1084 +INDEX GOES BRRR: 56 X: 3.55762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.97168 +INDEX GOES BRRR: 416 X: 26.0293 +INDEX GOES BRRR: 246 X: 15.4141 +INDEX GOES BRRR: 359 X: 22.4922 +INDEX GOES BRRR: 15 X: 0.951172 +INDEX GOES BRRR: 151 X: 9.4834 +INDEX GOES BRRR: 188 X: 11.7715 +INDEX GOES BRRR: 317 X: 19.8555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3604 +INDEX GOES BRRR: 1015 X: -0.526367 +INDEX GOES BRRR: 68 X: 4.30762 +INDEX GOES BRRR: 380 X: 23.8115 +INDEX GOES BRRR: 101 X: 6.34375 +INDEX GOES BRRR: 274 X: 17.1357 +INDEX GOES BRRR: 428 X: 26.7891 +INDEX GOES BRRR: 326 X: 20.4092 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 70 X: 4.4082 +INDEX GOES BRRR: 130 X: 8.14844 +INDEX GOES BRRR: 877 X: -9.13379 +INDEX GOES BRRR: 126 X: 7.90332 +INDEX GOES BRRR: 84 X: 5.28809 +INDEX GOES BRRR: 351 X: 21.9424 +INDEX GOES BRRR: 838 X: -11.585 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 400 X: 25.001 +INDEX GOES BRRR: 190 X: 11.8877 +INDEX GOES BRRR: 68 X: 4.30762 +INDEX GOES BRRR: 161 X: 10.0732 +INDEX GOES BRRR: 170 X: 10.6865 +INDEX GOES BRRR: 105 X: 6.6123 +INDEX GOES BRRR: 848 X: -10.9453 +INDEX GOES BRRR: 41 X: 2.57617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 458 X: 28.6631 +INDEX GOES BRRR: 243 X: 15.2402 +INDEX GOES BRRR: 184 X: 11.5234 +INDEX GOES BRRR: 350 X: 21.8799 +INDEX GOES BRRR: 234 X: 14.6377 +INDEX GOES BRRR: 173 X: 10.8359 +INDEX GOES BRRR: 167 X: 10.4385 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2217 +INDEX GOES BRRR: 77 X: 4.84375 +INDEX GOES BRRR: 117 X: 7.31738 +INDEX GOES BRRR: 161 X: 10.0664 +INDEX GOES BRRR: 765 X: -16.1436 +INDEX GOES BRRR: 103 X: 6.47559 +INDEX GOES BRRR: 328 X: 20.5225 +INDEX GOES BRRR: 230 X: 14.4121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 967 X: -3.53223 +INDEX GOES BRRR: 797 X: -14.168 +INDEX GOES BRRR: 261 X: 16.3164 +INDEX GOES BRRR: 111 X: 6.94043 +INDEX GOES BRRR: 87 X: 5.43848 +INDEX GOES BRRR: 1017 X: -0.412109 +INDEX GOES BRRR: 413 X: 25.8701 +INDEX GOES BRRR: 256 X: 16.0078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 338 X: 21.1533 +INDEX GOES BRRR: 346 X: 21.6611 +INDEX GOES BRRR: 1004 X: -1.24609 +INDEX GOES BRRR: 24 X: 1.50879 +INDEX GOES BRRR: 887 X: -8.55859 +INDEX GOES BRRR: 359 X: 22.4951 +INDEX GOES BRRR: 899 X: -7.77246 +INDEX GOES BRRR: 230 X: 14.4033 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 878 X: -9.09277 +INDEX GOES BRRR: 325 X: 20.3691 +INDEX GOES BRRR: 226 X: 14.1689 +INDEX GOES BRRR: 62 X: 3.92285 +INDEX GOES BRRR: 133 X: 8.31934 +INDEX GOES BRRR: 218 X: 13.6357 +INDEX GOES BRRR: 25 X: 1.6084 +INDEX GOES BRRR: 34 X: 2.18457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2588 +INDEX GOES BRRR: 304 X: 19.0234 +INDEX GOES BRRR: 110 X: 6.91504 +INDEX GOES BRRR: 65 X: 4.07227 +INDEX GOES BRRR: 88 X: 5.55469 +INDEX GOES BRRR: 993 X: -1.88867 +INDEX GOES BRRR: 183 X: 11.4697 +INDEX GOES BRRR: 277 X: 17.3262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 358 X: 22.376 +INDEX GOES BRRR: 325 X: 20.3311 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 300 X: 18.7812 +INDEX GOES BRRR: 244 X: 15.2793 +INDEX GOES BRRR: 320 X: 20.0322 +INDEX GOES BRRR: 238 X: 14.8828 +INDEX GOES BRRR: 899 X: -7.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 927 X: -6.04297 +INDEX GOES BRRR: 36 X: 2.2793 +INDEX GOES BRRR: 919 X: -6.51855 +INDEX GOES BRRR: 1004 X: -1.24805 +INDEX GOES BRRR: 156 X: 9.76172 +INDEX GOES BRRR: 219 X: 13.709 +INDEX GOES BRRR: 896 X: -7.94141 +INDEX GOES BRRR: 894 X: -8.09082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4365 +INDEX GOES BRRR: 149 X: 9.34375 +INDEX GOES BRRR: 137 X: 8.56738 +INDEX GOES BRRR: 289 X: 18.1133 +INDEX GOES BRRR: 3 X: 0.213867 +INDEX GOES BRRR: 140 X: 8.75391 +INDEX GOES BRRR: 1019 X: -0.271484 +INDEX GOES BRRR: 47 X: 2.94336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.42676 +INDEX GOES BRRR: 194 X: 12.1611 +INDEX GOES BRRR: 1001 X: -1.37891 +INDEX GOES BRRR: 298 X: 18.6699 +INDEX GOES BRRR: 968 X: -3.44922 +INDEX GOES BRRR: 114 X: 7.18066 +INDEX GOES BRRR: 152 X: 9.52734 +INDEX GOES BRRR: 401 X: 25.1221 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.80762 +INDEX GOES BRRR: 324 X: 20.2607 +INDEX GOES BRRR: 326 X: 20.3779 +INDEX GOES BRRR: 70 X: 4.40625 +INDEX GOES BRRR: 212 X: 13.2695 +INDEX GOES BRRR: 213 X: 13.335 +INDEX GOES BRRR: 919 X: -6.52246 +INDEX GOES BRRR: 111 X: 6.98242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.6045 +INDEX GOES BRRR: 979 X: -2.80664 +INDEX GOES BRRR: 333 X: 20.8467 +INDEX GOES BRRR: 973 X: -3.12598 +INDEX GOES BRRR: 98 X: 6.18262 +INDEX GOES BRRR: 109 X: 6.83984 +INDEX GOES BRRR: 474 X: 29.6367 +INDEX GOES BRRR: 144 X: 9.02051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 48 X: 3.00293 +INDEX GOES BRRR: 10 X: 0.674805 +INDEX GOES BRRR: 1007 X: -1.00195 +INDEX GOES BRRR: 79 X: 4.96973 +INDEX GOES BRRR: 36 X: 2.28027 +INDEX GOES BRRR: 108 X: 6.78027 +INDEX GOES BRRR: 152 X: 9.55566 +INDEX GOES BRRR: 103 X: 6.44727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.8213 +INDEX GOES BRRR: 757 X: -16.6602 +INDEX GOES BRRR: 737 X: -17.9248 +INDEX GOES BRRR: 874 X: -9.35156 +INDEX GOES BRRR: 83 X: 5.21777 +INDEX GOES BRRR: 241 X: 15.0742 +INDEX GOES BRRR: 134 X: 8.38867 +INDEX GOES BRRR: 141 X: 8.81836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 0 X: 0.03125 +INDEX GOES BRRR: 13 X: 0.833008 +INDEX GOES BRRR: 4 X: 0.27832 +INDEX GOES BRRR: 972 X: -3.24023 +INDEX GOES BRRR: 106 X: 6.65234 +INDEX GOES BRRR: 153 X: 9.58105 +INDEX GOES BRRR: 275 X: 17.1982 +INDEX GOES BRRR: 159 X: 9.99121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 390 X: 24.3818 +INDEX GOES BRRR: 256 X: 16.0215 +INDEX GOES BRRR: 319 X: 19.9385 +INDEX GOES BRRR: 29 X: 1.84277 +INDEX GOES BRRR: 170 X: 10.6562 +INDEX GOES BRRR: 231 X: 14.4375 +INDEX GOES BRRR: 982 X: -2.59082 +INDEX GOES BRRR: 971 X: -3.26074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.8096 +INDEX GOES BRRR: 189 X: 11.8662 +INDEX GOES BRRR: 256 X: 16.002 +INDEX GOES BRRR: 208 X: 13.0283 +INDEX GOES BRRR: 386 X: 24.1846 +INDEX GOES BRRR: 219 X: 13.7207 +INDEX GOES BRRR: 253 X: 15.8691 +INDEX GOES BRRR: 210 X: 13.1777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.19434 +INDEX GOES BRRR: 286 X: 17.9209 +INDEX GOES BRRR: 297 X: 18.6094 +INDEX GOES BRRR: 300 X: 18.7695 +INDEX GOES BRRR: 17 X: 1.10938 +INDEX GOES BRRR: 304 X: 19.0381 +INDEX GOES BRRR: 920 X: -6.4668 +INDEX GOES BRRR: 286 X: 17.8779 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 427 X: 26.7266 +INDEX GOES BRRR: 265 X: 16.6201 +INDEX GOES BRRR: 257 X: 16.1035 +INDEX GOES BRRR: 951 X: -4.55762 +INDEX GOES BRRR: 94 X: 5.91992 +INDEX GOES BRRR: 74 X: 4.63184 +INDEX GOES BRRR: 923 X: -6.2998 +INDEX GOES BRRR: 116 X: 7.29395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3193 +INDEX GOES BRRR: 139 X: 8.73438 +INDEX GOES BRRR: 378 X: 23.6504 +INDEX GOES BRRR: 955 X: -4.27148 +INDEX GOES BRRR: 156 X: 9.77344 +INDEX GOES BRRR: 179 X: 11.2158 +INDEX GOES BRRR: 250 X: 15.6602 +INDEX GOES BRRR: 9 X: 0.606445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0537 +INDEX GOES BRRR: 97 X: 6.11523 +INDEX GOES BRRR: 52 X: 3.27734 +INDEX GOES BRRR: 413 X: 25.8701 +INDEX GOES BRRR: 60 X: 3.79785 +INDEX GOES BRRR: 1006 X: -1.10547 +INDEX GOES BRRR: 166 X: 10.415 +INDEX GOES BRRR: 111 X: 6.97559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 941 X: -5.13086 +INDEX GOES BRRR: 143 X: 8.94141 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 169 X: 10.5635 +INDEX GOES BRRR: 34 X: 2.1377 +INDEX GOES BRRR: 136 X: 8.53223 +INDEX GOES BRRR: 148 X: 9.29297 +INDEX GOES BRRR: 104 X: 6.50391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.6924 +INDEX GOES BRRR: 297 X: 18.5918 +INDEX GOES BRRR: 38 X: 2.43164 +INDEX GOES BRRR: 1011 X: -0.775391 +INDEX GOES BRRR: 205 X: 12.8555 +INDEX GOES BRRR: 157 X: 9.82129 +INDEX GOES BRRR: 975 X: -3.04297 +INDEX GOES BRRR: 32 X: 2.02734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1002 X: -1.33301 +INDEX GOES BRRR: 1019 X: -0.272461 +INDEX GOES BRRR: 126 X: 7.90234 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 223 X: 13.9795 +INDEX GOES BRRR: 376 X: 23.5186 +INDEX GOES BRRR: 102 X: 6.41113 +INDEX GOES BRRR: 275 X: 17.248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 344 X: 21.5566 +INDEX GOES BRRR: 40 X: 2.52148 +INDEX GOES BRRR: 22 X: 1.42871 +INDEX GOES BRRR: 298 X: 18.6807 +INDEX GOES BRRR: 60 X: 3.79883 +INDEX GOES BRRR: 156 X: 9.80762 +INDEX GOES BRRR: 423 X: 26.4717 +INDEX GOES BRRR: 242 X: 15.1484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 200 X: 12.5576 +INDEX GOES BRRR: 169 X: 10.5801 +INDEX GOES BRRR: 41 X: 2.62402 +INDEX GOES BRRR: 280 X: 17.5508 +INDEX GOES BRRR: 433 X: 27.1172 +INDEX GOES BRRR: 214 X: 13.3867 +INDEX GOES BRRR: 54 X: 3.43652 +INDEX GOES BRRR: 0 X: 0.0302734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.386719 +INDEX GOES BRRR: 113 X: 7.0625 +INDEX GOES BRRR: 425 X: 26.6123 +INDEX GOES BRRR: 155 X: 9.73828 +INDEX GOES BRRR: 260 X: 16.3096 +INDEX GOES BRRR: 362 X: 22.6533 +INDEX GOES BRRR: 157 X: 9.83301 +INDEX GOES BRRR: 196 X: 12.2988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.9053 +INDEX GOES BRRR: 209 X: 13.1084 +INDEX GOES BRRR: 37 X: 2.31348 +INDEX GOES BRRR: 351 X: 21.9854 +INDEX GOES BRRR: 979 X: -2.75977 +INDEX GOES BRRR: 222 X: 13.9092 +INDEX GOES BRRR: 437 X: 27.3359 +INDEX GOES BRRR: 332 X: 20.8115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 989 X: -2.13281 +INDEX GOES BRRR: 483 X: 30.1914 +INDEX GOES BRRR: 110 X: 6.93457 +INDEX GOES BRRR: 287 X: 17.9814 +INDEX GOES BRRR: 62 X: 3.93457 +INDEX GOES BRRR: 2 X: 0.145508 +INDEX GOES BRRR: 326 X: 20.3828 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.35156 +INDEX GOES BRRR: 378 X: 23.6406 +INDEX GOES BRRR: 827 X: -12.3047 +INDEX GOES BRRR: 941 X: -5.16309 +INDEX GOES BRRR: 164 X: 10.2881 +INDEX GOES BRRR: 458 X: 28.6504 +INDEX GOES BRRR: 293 X: 18.3613 +INDEX GOES BRRR: 73 X: 4.58105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2725 +INDEX GOES BRRR: 148 X: 9.30664 +INDEX GOES BRRR: 426 X: 26.6846 +INDEX GOES BRRR: 207 X: 12.958 +INDEX GOES BRRR: 30 X: 1.92285 +INDEX GOES BRRR: 337 X: 21.0713 +INDEX GOES BRRR: 861 X: -10.1807 +INDEX GOES BRRR: 202 X: 12.6699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.74023 +INDEX GOES BRRR: 47 X: 2.99902 +INDEX GOES BRRR: 27 X: 1.70117 +INDEX GOES BRRR: 960 X: -3.98535 +INDEX GOES BRRR: 221 X: 13.8447 +INDEX GOES BRRR: 169 X: 10.5781 +INDEX GOES BRRR: 33 X: 2.07031 +INDEX GOES BRRR: 1015 X: -0.518555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 664 X: -22.4961 +INDEX GOES BRRR: 126 X: 7.90527 +INDEX GOES BRRR: 946 X: -4.87402 +INDEX GOES BRRR: 888 X: -8.49805 +INDEX GOES BRRR: 994 X: -1.82324 +INDEX GOES BRRR: 179 X: 11.2383 +INDEX GOES BRRR: 154 X: 9.64258 +INDEX GOES BRRR: 110 X: 6.90039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 428 X: 26.7998 +INDEX GOES BRRR: 937 X: -5.37988 +INDEX GOES BRRR: 134 X: 8.37695 +INDEX GOES BRRR: 207 X: 12.9609 +INDEX GOES BRRR: 343 X: 21.499 +INDEX GOES BRRR: 304 X: 19.0195 +INDEX GOES BRRR: 252 X: 15.7598 +INDEX GOES BRRR: 87 X: 5.45898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.598633 +INDEX GOES BRRR: 932 X: -5.69434 +INDEX GOES BRRR: 28 X: 1.80273 +INDEX GOES BRRR: 170 X: 10.6416 +INDEX GOES BRRR: 262 X: 16.4131 +INDEX GOES BRRR: 144 X: 9.04492 +INDEX GOES BRRR: 1014 X: -0.574219 +INDEX GOES BRRR: 919 X: -6.51855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9844 +INDEX GOES BRRR: 252 X: 15.7842 +INDEX GOES BRRR: 392 X: 24.5322 +INDEX GOES BRRR: 78 X: 4.88965 +INDEX GOES BRRR: 474 X: 29.6807 +INDEX GOES BRRR: 284 X: 17.7568 +INDEX GOES BRRR: 340 X: 21.2686 +INDEX GOES BRRR: 179 X: 11.1904 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.1377 +INDEX GOES BRRR: 136 X: 8.5332 +INDEX GOES BRRR: 4 X: 0.256836 +INDEX GOES BRRR: 412 X: 25.7793 +INDEX GOES BRRR: 199 X: 12.4414 +INDEX GOES BRRR: 162 X: 10.1504 +INDEX GOES BRRR: 50 X: 3.13281 +INDEX GOES BRRR: 191 X: 11.9521 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 895 X: -8.03125 +INDEX GOES BRRR: 310 X: 19.4033 +INDEX GOES BRRR: 100 X: 6.25781 +INDEX GOES BRRR: 971 X: -3.29688 +INDEX GOES BRRR: 357 X: 22.3301 +INDEX GOES BRRR: 261 X: 16.3535 +INDEX GOES BRRR: 166 X: 10.3828 +INDEX GOES BRRR: 178 X: 11.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0615 +INDEX GOES BRRR: 152 X: 9.50488 +INDEX GOES BRRR: 199 X: 12.4863 +INDEX GOES BRRR: 180 X: 11.252 +INDEX GOES BRRR: 864 X: -9.99902 +INDEX GOES BRRR: 1004 X: -1.20703 +INDEX GOES BRRR: 996 X: -1.74023 +INDEX GOES BRRR: 1004 X: -1.24219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.31348 +INDEX GOES BRRR: 296 X: 18.5195 +INDEX GOES BRRR: 422 X: 26.4219 +INDEX GOES BRRR: 131 X: 8.19824 +INDEX GOES BRRR: 289 X: 18.0957 +INDEX GOES BRRR: 115 X: 7.20996 +INDEX GOES BRRR: 411 X: 25.7334 +INDEX GOES BRRR: 996 X: -1.70605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.50977 +INDEX GOES BRRR: 142 X: 8.87695 +INDEX GOES BRRR: 1000 X: -1.46484 +INDEX GOES BRRR: 1017 X: -0.43457 +INDEX GOES BRRR: 63 X: 3.94141 +INDEX GOES BRRR: 215 X: 13.4668 +INDEX GOES BRRR: 3 X: 0.1875 +INDEX GOES BRRR: 248 X: 15.5078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 392 X: 24.5576 +INDEX GOES BRRR: 967 X: -3.54199 +INDEX GOES BRRR: 201 X: 12.6006 +INDEX GOES BRRR: 0 X: 0.0410156 +INDEX GOES BRRR: 330 X: 20.6689 +INDEX GOES BRRR: 135 X: 8.46289 +INDEX GOES BRRR: 8 X: 0.548828 +INDEX GOES BRRR: 131 X: 8.24121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 914 X: -6.85352 +INDEX GOES BRRR: 163 X: 10.1934 +INDEX GOES BRRR: 279 X: 17.4492 +INDEX GOES BRRR: 17 X: 1.0918 +INDEX GOES BRRR: 211 X: 13.2334 +INDEX GOES BRRR: 406 X: 25.3945 +INDEX GOES BRRR: 911 X: -7.01953 +INDEX GOES BRRR: 79 X: 4.94238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 424 X: 26.5293 +INDEX GOES BRRR: 150 X: 9.3877 +INDEX GOES BRRR: 165 X: 10.3145 +INDEX GOES BRRR: 84 X: 5.29297 +INDEX GOES BRRR: 137 X: 8.58301 +INDEX GOES BRRR: 44 X: 2.75 +INDEX GOES BRRR: 96 X: 6.00293 +INDEX GOES BRRR: 205 X: 12.8447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 933 X: -5.66699 +INDEX GOES BRRR: 174 X: 10.8877 +INDEX GOES BRRR: 250 X: 15.626 +INDEX GOES BRRR: 258 X: 16.1318 +INDEX GOES BRRR: 1004 X: -1.18945 +INDEX GOES BRRR: 264 X: 16.5264 +INDEX GOES BRRR: 154 X: 9.64551 +INDEX GOES BRRR: 795 X: -14.2559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.5225 +INDEX GOES BRRR: 111 X: 6.9707 +INDEX GOES BRRR: 52 X: 3.27539 +INDEX GOES BRRR: 99 X: 6.23242 +INDEX GOES BRRR: 202 X: 12.6855 +INDEX GOES BRRR: 14 X: 0.916992 +INDEX GOES BRRR: 230 X: 14.417 +INDEX GOES BRRR: 117 X: 7.33887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5029 +INDEX GOES BRRR: 362 X: 22.6426 +INDEX GOES BRRR: 127 X: 7.96191 +INDEX GOES BRRR: 150 X: 9.41504 +INDEX GOES BRRR: 1020 X: -0.191406 +INDEX GOES BRRR: 832 X: -11.9453 +INDEX GOES BRRR: 181 X: 11.3584 +INDEX GOES BRRR: 143 X: 8.96973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.62305 +INDEX GOES BRRR: 165 X: 10.3242 +INDEX GOES BRRR: 9 X: 0.583008 +INDEX GOES BRRR: 966 X: -3.60059 +INDEX GOES BRRR: 15 X: 0.946289 +INDEX GOES BRRR: 125 X: 7.84375 +INDEX GOES BRRR: 988 X: -2.21289 +INDEX GOES BRRR: 379 X: 23.7295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9404 +INDEX GOES BRRR: 280 X: 17.5615 +INDEX GOES BRRR: 976 X: -2.96191 +INDEX GOES BRRR: 220 X: 13.7598 +INDEX GOES BRRR: 173 X: 10.8125 +INDEX GOES BRRR: 16 X: 1.00586 +INDEX GOES BRRR: 74 X: 4.64941 +INDEX GOES BRRR: 181 X: 11.3662 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7012 +INDEX GOES BRRR: 983 X: -2.52734 +INDEX GOES BRRR: 201 X: 12.5996 +INDEX GOES BRRR: 48 X: 3.01758 +INDEX GOES BRRR: 317 X: 19.8525 +INDEX GOES BRRR: 212 X: 13.2539 +INDEX GOES BRRR: 1000 X: -1.44043 +INDEX GOES BRRR: 422 X: 26.4297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.69727 +INDEX GOES BRRR: 841 X: -11.418 +INDEX GOES BRRR: 457 X: 28.624 +INDEX GOES BRRR: 117 X: 7.36426 +INDEX GOES BRRR: 142 X: 8.8916 +INDEX GOES BRRR: 911 X: -7.0459 +INDEX GOES BRRR: 163 X: 10.2305 +INDEX GOES BRRR: 115 X: 7.21582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5215 +INDEX GOES BRRR: 162 X: 10.1338 +INDEX GOES BRRR: 292 X: 18.2959 +INDEX GOES BRRR: 281 X: 17.5938 +INDEX GOES BRRR: 14 X: 0.899414 +INDEX GOES BRRR: 337 X: 21.1211 +INDEX GOES BRRR: 78 X: 4.9248 +INDEX GOES BRRR: 334 X: 20.8926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 886 X: -8.61426 +INDEX GOES BRRR: 69 X: 4.34277 +INDEX GOES BRRR: 272 X: 17.0205 +INDEX GOES BRRR: 120 X: 7.56152 +INDEX GOES BRRR: 340 X: 21.2764 +INDEX GOES BRRR: 167 X: 10.4688 +INDEX GOES BRRR: 311 X: 19.4404 +INDEX GOES BRRR: 161 X: 10.0928 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.7715 +INDEX GOES BRRR: 457 X: 28.6104 +INDEX GOES BRRR: 82 X: 5.15918 +INDEX GOES BRRR: 139 X: 8.71387 +INDEX GOES BRRR: 248 X: 15.5117 +INDEX GOES BRRR: 454 X: 28.3936 +INDEX GOES BRRR: 265 X: 16.6064 +INDEX GOES BRRR: 282 X: 17.6416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 263 X: 16.4961 +INDEX GOES BRRR: 219 X: 13.7002 +INDEX GOES BRRR: 209 X: 13.0752 +INDEX GOES BRRR: 371 X: 23.1914 +INDEX GOES BRRR: 164 X: 10.2871 +INDEX GOES BRRR: 216 X: 13.5127 +INDEX GOES BRRR: 848 X: -10.9531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 831 X: -12.0605 +INDEX GOES BRRR: 323 X: 20.1973 +INDEX GOES BRRR: 149 X: 9.35742 +INDEX GOES BRRR: 36 X: 2.30859 +INDEX GOES BRRR: 180 X: 11.2734 +INDEX GOES BRRR: 134 X: 8.38867 +INDEX GOES BRRR: 59 X: 3.71191 +INDEX GOES BRRR: 86 X: 5.37695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.9766 +INDEX GOES BRRR: 280 X: 17.5283 +INDEX GOES BRRR: 257 X: 16.1201 +INDEX GOES BRRR: 253 X: 15.833 +INDEX GOES BRRR: 416 X: 26.0117 +INDEX GOES BRRR: 679 X: -21.5127 +INDEX GOES BRRR: 118 X: 7.43359 +INDEX GOES BRRR: 205 X: 12.8301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.54199 +INDEX GOES BRRR: 310 X: 19.4365 +INDEX GOES BRRR: 198 X: 12.4033 +INDEX GOES BRRR: 336 X: 21.0332 +INDEX GOES BRRR: 248 X: 15.5537 +INDEX GOES BRRR: 313 X: 19.5674 +INDEX GOES BRRR: 134 X: 8.41406 +INDEX GOES BRRR: 176 X: 11.0371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4512 +INDEX GOES BRRR: 180 X: 11.2588 +INDEX GOES BRRR: 271 X: 16.9736 +INDEX GOES BRRR: 213 X: 13.373 +INDEX GOES BRRR: 82 X: 5.18652 +INDEX GOES BRRR: 205 X: 12.8154 +INDEX GOES BRRR: 221 X: 13.8525 +INDEX GOES BRRR: 29 X: 1.84863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 295 X: 18.4795 +INDEX GOES BRRR: 402 X: 25.1738 +INDEX GOES BRRR: 1021 X: -0.147461 +INDEX GOES BRRR: 399 X: 24.9785 +INDEX GOES BRRR: 141 X: 8.82422 +INDEX GOES BRRR: 412 X: 25.7979 +INDEX GOES BRRR: 59 X: 3.73926 +INDEX GOES BRRR: 171 X: 10.7051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 406 X: 25.3906 +INDEX GOES BRRR: 167 X: 10.4717 +INDEX GOES BRRR: 127 X: 7.99316 +INDEX GOES BRRR: 76 X: 4.75781 +INDEX GOES BRRR: 14 X: 0.899414 +INDEX GOES BRRR: 349 X: 21.8164 +INDEX GOES BRRR: 210 X: 13.1582 +INDEX GOES BRRR: 93 X: 5.83203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8369 +INDEX GOES BRRR: 133 X: 8.35547 +INDEX GOES BRRR: 37 X: 2.33301 +INDEX GOES BRRR: 213 X: 13.3447 +INDEX GOES BRRR: 169 X: 10.5918 +INDEX GOES BRRR: 58 X: 3.66016 +INDEX GOES BRRR: 59 X: 3.74707 +INDEX GOES BRRR: 197 X: 12.3447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.18066 +INDEX GOES BRRR: 277 X: 17.3672 +INDEX GOES BRRR: 200 X: 12.542 +INDEX GOES BRRR: 203 X: 12.7119 +INDEX GOES BRRR: 111 X: 6.99707 +INDEX GOES BRRR: 357 X: 22.3271 +INDEX GOES BRRR: 69 X: 4.34473 +INDEX GOES BRRR: 56 X: 3.51465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.96094 +INDEX GOES BRRR: 984 X: -2.43945 +INDEX GOES BRRR: 329 X: 20.5723 +INDEX GOES BRRR: 979 X: -2.7998 +INDEX GOES BRRR: 876 X: -9.20898 +INDEX GOES BRRR: 147 X: 9.19238 +INDEX GOES BRRR: 1009 X: -0.880859 +INDEX GOES BRRR: 843 X: -11.2988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.3076 +INDEX GOES BRRR: 384 X: 24.0107 +INDEX GOES BRRR: 153 X: 9.58887 +INDEX GOES BRRR: 423 X: 26.4756 +INDEX GOES BRRR: 294 X: 18.3887 +INDEX GOES BRRR: 791 X: -14.5078 +INDEX GOES BRRR: 156 X: 9.75293 +INDEX GOES BRRR: 106 X: 6.62988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.7607 +INDEX GOES BRRR: 916 X: -6.70312 +INDEX GOES BRRR: 430 X: 26.9316 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 932 X: -5.73047 +INDEX GOES BRRR: 170 X: 10.6504 +INDEX GOES BRRR: 10 X: 0.683594 +INDEX GOES BRRR: 130 X: 8.12891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 278 X: 17.3779 +INDEX GOES BRRR: 315 X: 19.7012 +INDEX GOES BRRR: 214 X: 13.4053 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 991 X: -2.05566 +INDEX GOES BRRR: 166 X: 10.4248 +INDEX GOES BRRR: 20 X: 1.27441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9541 +INDEX GOES BRRR: 269 X: 16.8486 +INDEX GOES BRRR: 146 X: 9.12891 +INDEX GOES BRRR: 353 X: 22.0762 +INDEX GOES BRRR: 186 X: 11.6357 +INDEX GOES BRRR: 353 X: 22.0732 +INDEX GOES BRRR: 38 X: 2.41992 +INDEX GOES BRRR: 946 X: -4.82812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.9873 +INDEX GOES BRRR: 382 X: 23.9326 +INDEX GOES BRRR: 155 X: 9.7207 +INDEX GOES BRRR: 417 X: 26.085 +INDEX GOES BRRR: 321 X: 20.0928 +INDEX GOES BRRR: 243 X: 15.2363 +INDEX GOES BRRR: 156 X: 9.80664 +INDEX GOES BRRR: 323 X: 20.2305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 398 X: 24.9092 +INDEX GOES BRRR: 992 X: -1.94043 +INDEX GOES BRRR: 83 X: 5.20508 +INDEX GOES BRRR: 189 X: 11.8125 +INDEX GOES BRRR: 231 X: 14.458 +INDEX GOES BRRR: 102 X: 6.43555 +INDEX GOES BRRR: 995 X: -1.7627 +INDEX GOES BRRR: 263 X: 16.4668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7822 +INDEX GOES BRRR: 81 X: 5.08398 +INDEX GOES BRRR: 192 X: 12.002 +INDEX GOES BRRR: 66 X: 4.18262 +INDEX GOES BRRR: 272 X: 17.0381 +INDEX GOES BRRR: 104 X: 6.54492 +INDEX GOES BRRR: 171 X: 10.7334 +INDEX GOES BRRR: 999 X: -1.5127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.74316 +INDEX GOES BRRR: 343 X: 21.4756 +INDEX GOES BRRR: 245 X: 15.333 +INDEX GOES BRRR: 246 X: 15.4209 +INDEX GOES BRRR: 111 X: 6.94922 +INDEX GOES BRRR: 218 X: 13.6846 +INDEX GOES BRRR: 114 X: 7.15332 +INDEX GOES BRRR: 118 X: 7.39941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 435 X: 27.2109 +INDEX GOES BRRR: 235 X: 14.7148 +INDEX GOES BRRR: 143 X: 8.95996 +INDEX GOES BRRR: 237 X: 14.8311 +INDEX GOES BRRR: 33 X: 2.10645 +INDEX GOES BRRR: 302 X: 18.8809 +INDEX GOES BRRR: 13 X: 0.830078 +INDEX GOES BRRR: 240 X: 15.0469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 993 X: -1.8877 +INDEX GOES BRRR: 96 X: 6.02832 +INDEX GOES BRRR: 263 X: 16.4629 +INDEX GOES BRRR: 977 X: -2.91699 +INDEX GOES BRRR: 306 X: 19.1289 +INDEX GOES BRRR: 482 X: 30.165 +INDEX GOES BRRR: 929 X: -5.92578 +INDEX GOES BRRR: 311 X: 19.4688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0537109 +INDEX GOES BRRR: 104 X: 6.52441 +INDEX GOES BRRR: 76 X: 4.77148 +INDEX GOES BRRR: 369 X: 23.0967 +INDEX GOES BRRR: 173 X: 10.8154 +INDEX GOES BRRR: 221 X: 13.8252 +INDEX GOES BRRR: 238 X: 14.8877 +INDEX GOES BRRR: 132 X: 8.2666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9668 +INDEX GOES BRRR: 268 X: 16.7988 +INDEX GOES BRRR: 1014 X: -0.581055 +INDEX GOES BRRR: 194 X: 12.1426 +INDEX GOES BRRR: 844 X: -11.2041 +INDEX GOES BRRR: 256 X: 16.0449 +INDEX GOES BRRR: 210 X: 13.1494 +INDEX GOES BRRR: 223 X: 13.9531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.6143 +INDEX GOES BRRR: 261 X: 16.3613 +INDEX GOES BRRR: 238 X: 14.9219 +INDEX GOES BRRR: 389 X: 24.3242 +INDEX GOES BRRR: 978 X: -2.8418 +INDEX GOES BRRR: 901 X: -7.6582 +INDEX GOES BRRR: 193 X: 12.0645 +INDEX GOES BRRR: 826 X: -12.3359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 994 X: -1.86426 +INDEX GOES BRRR: 298 X: 18.6465 +INDEX GOES BRRR: 451 X: 28.1934 +INDEX GOES BRRR: 191 X: 11.998 +INDEX GOES BRRR: 234 X: 14.6318 +INDEX GOES BRRR: 145 X: 9.11523 +INDEX GOES BRRR: 150 X: 9.38086 +INDEX GOES BRRR: 996 X: -1.72656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.89258 +INDEX GOES BRRR: 903 X: -7.50488 +INDEX GOES BRRR: 190 X: 11.916 +INDEX GOES BRRR: 38 X: 2.41797 +INDEX GOES BRRR: 880 X: -8.95508 +INDEX GOES BRRR: 152 X: 9.55078 +INDEX GOES BRRR: 113 X: 7.08984 +INDEX GOES BRRR: 738 X: -17.8359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5361 +INDEX GOES BRRR: 80 X: 5.04102 +INDEX GOES BRRR: 167 X: 10.4512 +INDEX GOES BRRR: 209 X: 13.0928 +INDEX GOES BRRR: 124 X: 7.7627 +INDEX GOES BRRR: 1020 X: -0.219727 +INDEX GOES BRRR: 234 X: 14.6816 +INDEX GOES BRRR: 70 X: 4.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1008 X: -0.96875 +INDEX GOES BRRR: 143 X: 8.94141 +INDEX GOES BRRR: 254 X: 15.8828 +INDEX GOES BRRR: 91 X: 5.71289 +INDEX GOES BRRR: 981 X: -2.64355 +INDEX GOES BRRR: 840 X: -11.4629 +INDEX GOES BRRR: 928 X: -5.98145 +INDEX GOES BRRR: 883 X: -8.75391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9844 +INDEX GOES BRRR: 985 X: -2.41211 +INDEX GOES BRRR: 268 X: 16.751 +INDEX GOES BRRR: 448 X: 28.0342 +INDEX GOES BRRR: 178 X: 11.1865 +INDEX GOES BRRR: 228 X: 14.2676 +INDEX GOES BRRR: 842 X: -11.374 +INDEX GOES BRRR: 123 X: 7.69434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 903 X: -7.52832 +INDEX GOES BRRR: 142 X: 8.87988 +INDEX GOES BRRR: 31 X: 1.94629 +INDEX GOES BRRR: 283 X: 17.7246 +INDEX GOES BRRR: 74 X: 4.6709 +INDEX GOES BRRR: 250 X: 15.6641 +INDEX GOES BRRR: 295 X: 18.4443 +INDEX GOES BRRR: 218 X: 13.6816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.1084 +INDEX GOES BRRR: 143 X: 8.97266 +INDEX GOES BRRR: 169 X: 10.6191 +INDEX GOES BRRR: 156 X: 9.75098 +INDEX GOES BRRR: 137 X: 8.62402 +INDEX GOES BRRR: 149 X: 9.32324 +INDEX GOES BRRR: 815 X: -13.0303 +INDEX GOES BRRR: 176 X: 11.0088 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.00098 +INDEX GOES BRRR: 200 X: 12.501 +INDEX GOES BRRR: 228 X: 14.2715 +INDEX GOES BRRR: 53 X: 3.31934 +INDEX GOES BRRR: 268 X: 16.7764 +INDEX GOES BRRR: 78 X: 4.88184 +INDEX GOES BRRR: 412 X: 25.792 +INDEX GOES BRRR: 446 X: 27.8916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.7705 +INDEX GOES BRRR: 754 X: -16.8145 +INDEX GOES BRRR: 76 X: 4.75293 +INDEX GOES BRRR: 80 X: 5.05273 +INDEX GOES BRRR: 275 X: 17.1914 +INDEX GOES BRRR: 987 X: -2.25098 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 19 X: 1.19141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9805 +INDEX GOES BRRR: 439 X: 27.4512 +INDEX GOES BRRR: 297 X: 18.5977 +INDEX GOES BRRR: 298 X: 18.6426 +INDEX GOES BRRR: 261 X: 16.3203 +INDEX GOES BRRR: 200 X: 12.5186 +INDEX GOES BRRR: 36 X: 2.26465 +INDEX GOES BRRR: 221 X: 13.8428 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.3027 +INDEX GOES BRRR: 313 X: 19.5732 +INDEX GOES BRRR: 326 X: 20.4004 +INDEX GOES BRRR: 940 X: -5.23633 +INDEX GOES BRRR: 88 X: 5.53125 +INDEX GOES BRRR: 938 X: -5.33203 +INDEX GOES BRRR: 208 X: 13.0215 +INDEX GOES BRRR: 312 X: 19.5117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.79883 +INDEX GOES BRRR: 86 X: 5.3916 +INDEX GOES BRRR: 335 X: 20.9551 +INDEX GOES BRRR: 113 X: 7.06445 +INDEX GOES BRRR: 248 X: 15.5254 +INDEX GOES BRRR: 201 X: 12.6104 +INDEX GOES BRRR: 979 X: -2.77344 +INDEX GOES BRRR: 3 X: 0.24707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 955 X: -4.25586 +INDEX GOES BRRR: 259 X: 16.1973 +INDEX GOES BRRR: 324 X: 20.2754 +INDEX GOES BRRR: 61 X: 3.86328 +INDEX GOES BRRR: 166 X: 10.3867 +INDEX GOES BRRR: 42 X: 2.68066 +INDEX GOES BRRR: 122 X: 7.63477 +INDEX GOES BRRR: 174 X: 10.9336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8291 +INDEX GOES BRRR: 270 X: 16.915 +INDEX GOES BRRR: 389 X: 24.3311 +INDEX GOES BRRR: 252 X: 15.7607 +INDEX GOES BRRR: 747 X: -17.2783 +INDEX GOES BRRR: 276 X: 17.252 +INDEX GOES BRRR: 299 X: 18.7041 +INDEX GOES BRRR: 337 X: 21.0625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4639 +INDEX GOES BRRR: 1002 X: -1.35254 +INDEX GOES BRRR: 126 X: 7.87598 +INDEX GOES BRRR: 159 X: 9.97754 +INDEX GOES BRRR: 874 X: -9.375 +INDEX GOES BRRR: 227 X: 14.2383 +INDEX GOES BRRR: 463 X: 28.9502 +INDEX GOES BRRR: 51 X: 3.22168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 446 X: 27.915 +INDEX GOES BRRR: 193 X: 12.1191 +INDEX GOES BRRR: 218 X: 13.6318 +INDEX GOES BRRR: 375 X: 23.4932 +INDEX GOES BRRR: 56 X: 3.51953 +INDEX GOES BRRR: 194 X: 12.1338 +INDEX GOES BRRR: 35 X: 2.24023 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.375 +INDEX GOES BRRR: 365 X: 22.8457 +INDEX GOES BRRR: 151 X: 9.4834 +INDEX GOES BRRR: 236 X: 14.7715 +INDEX GOES BRRR: 29 X: 1.84277 +INDEX GOES BRRR: 166 X: 10.3867 +INDEX GOES BRRR: 189 X: 11.8535 +INDEX GOES BRRR: 91 X: 5.73828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6631 +INDEX GOES BRRR: 92 X: 5.75 +INDEX GOES BRRR: 321 X: 20.0742 +INDEX GOES BRRR: 351 X: 21.9717 +INDEX GOES BRRR: 384 X: 24.0303 +INDEX GOES BRRR: 6 X: 0.43457 +INDEX GOES BRRR: 87 X: 5.49414 +INDEX GOES BRRR: 318 X: 19.877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.67285 +INDEX GOES BRRR: 27 X: 1.69043 +INDEX GOES BRRR: 30 X: 1.92871 +INDEX GOES BRRR: 360 X: 22.5264 +INDEX GOES BRRR: 90 X: 5.68359 +INDEX GOES BRRR: 322 X: 20.1377 +INDEX GOES BRRR: 150 X: 9.41895 +INDEX GOES BRRR: 333 X: 20.833 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 337 X: 21.1084 +INDEX GOES BRRR: 74 X: 4.64746 +INDEX GOES BRRR: 252 X: 15.8115 +INDEX GOES BRRR: 7 X: 0.47168 +INDEX GOES BRRR: 239 X: 14.9619 +INDEX GOES BRRR: 307 X: 19.1953 +INDEX GOES BRRR: 223 X: 13.9902 +INDEX GOES BRRR: 283 X: 17.7275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1002 X: -1.37402 +INDEX GOES BRRR: 167 X: 10.4521 +INDEX GOES BRRR: 1017 X: -0.388672 +INDEX GOES BRRR: 424 X: 26.5059 +INDEX GOES BRRR: 95 X: 5.9375 +INDEX GOES BRRR: 151 X: 9.48145 +INDEX GOES BRRR: 401 X: 25.084 +INDEX GOES BRRR: 209 X: 13.1221 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 983 X: -2.51562 +INDEX GOES BRRR: 171 X: 10.7305 +INDEX GOES BRRR: 127 X: 7.9668 +INDEX GOES BRRR: 385 X: 24.1143 +INDEX GOES BRRR: 181 X: 11.3447 +INDEX GOES BRRR: 1010 X: -0.838867 +INDEX GOES BRRR: 225 X: 14.0918 +INDEX GOES BRRR: 317 X: 19.832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 388 X: 24.29 +INDEX GOES BRRR: 962 X: -3.8623 +INDEX GOES BRRR: 39 X: 2.48828 +INDEX GOES BRRR: 31 X: 1.97168 +INDEX GOES BRRR: 339 X: 21.208 +INDEX GOES BRRR: 185 X: 11.5859 +INDEX GOES BRRR: 109 X: 6.82129 +INDEX GOES BRRR: 277 X: 17.334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 265 X: 16.6143 +INDEX GOES BRRR: 51 X: 3.21387 +INDEX GOES BRRR: 202 X: 12.6348 +INDEX GOES BRRR: 80 X: 5.01074 +INDEX GOES BRRR: 162 X: 10.1709 +INDEX GOES BRRR: 209 X: 13.1221 +INDEX GOES BRRR: 123 X: 7.69531 +INDEX GOES BRRR: 231 X: 14.4951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.6094 +INDEX GOES BRRR: 235 X: 14.7471 +INDEX GOES BRRR: 151 X: 9.48145 +INDEX GOES BRRR: 261 X: 16.3418 +INDEX GOES BRRR: 146 X: 9.16699 +INDEX GOES BRRR: 37 X: 2.3291 +INDEX GOES BRRR: 851 X: -10.8066 +INDEX GOES BRRR: 344 X: 21.5342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.787109 +INDEX GOES BRRR: 355 X: 22.1885 +INDEX GOES BRRR: 156 X: 9.76465 +INDEX GOES BRRR: 70 X: 4.38086 +INDEX GOES BRRR: 455 X: 28.4688 +INDEX GOES BRRR: 23 X: 1.44629 +INDEX GOES BRRR: 270 X: 16.877 +INDEX GOES BRRR: 472 X: 29.5156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8174 +INDEX GOES BRRR: 836 X: -11.71 +INDEX GOES BRRR: 80 X: 5.00586 +INDEX GOES BRRR: 1016 X: -0.441406 +INDEX GOES BRRR: 285 X: 17.8486 +INDEX GOES BRRR: 48 X: 3.03613 +INDEX GOES BRRR: 122 X: 7.66406 +INDEX GOES BRRR: 261 X: 16.3154 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.1094 +INDEX GOES BRRR: 189 X: 11.8232 +INDEX GOES BRRR: 214 X: 13.4102 +INDEX GOES BRRR: 186 X: 11.6738 +INDEX GOES BRRR: 26 X: 1.64355 +INDEX GOES BRRR: 174 X: 10.8975 +INDEX GOES BRRR: 110 X: 6.93066 +INDEX GOES BRRR: 54 X: 3.41602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0557 +INDEX GOES BRRR: 978 X: -2.83887 +INDEX GOES BRRR: 816 X: -12.9814 +INDEX GOES BRRR: 1011 X: -0.785156 +INDEX GOES BRRR: 349 X: 21.8438 +INDEX GOES BRRR: 131 X: 8.24316 +INDEX GOES BRRR: 106 X: 6.67871 +INDEX GOES BRRR: 1006 X: -1.11621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.8027 +INDEX GOES BRRR: 317 X: 19.8184 +INDEX GOES BRRR: 286 X: 17.8799 +INDEX GOES BRRR: 212 X: 13.2891 +INDEX GOES BRRR: 317 X: 19.8662 +INDEX GOES BRRR: 206 X: 12.8887 +INDEX GOES BRRR: 9 X: 0.564453 +INDEX GOES BRRR: 1008 X: -0.99707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 968 X: -3.4834 +INDEX GOES BRRR: 875 X: -9.29688 +INDEX GOES BRRR: 224 X: 14.0342 +INDEX GOES BRRR: 100 X: 6.27441 +INDEX GOES BRRR: 82 X: 5.17969 +INDEX GOES BRRR: 983 X: -2.51465 +INDEX GOES BRRR: 185 X: 11.5801 +INDEX GOES BRRR: 256 X: 16.0586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 736 X: -17.9561 +INDEX GOES BRRR: 198 X: 12.377 +INDEX GOES BRRR: 380 X: 23.7549 +INDEX GOES BRRR: 429 X: 26.834 +INDEX GOES BRRR: 148 X: 9.31055 +INDEX GOES BRRR: 872 X: -9.48145 +INDEX GOES BRRR: 104 X: 6.54883 +INDEX GOES BRRR: 842 X: -11.3242 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.8105 +INDEX GOES BRRR: 118 X: 7.40723 +INDEX GOES BRRR: 240 X: 15.0098 +INDEX GOES BRRR: 211 X: 13.2188 +INDEX GOES BRRR: 30 X: 1.92285 +INDEX GOES BRRR: 267 X: 16.7422 +INDEX GOES BRRR: 285 X: 17.834 +INDEX GOES BRRR: 39 X: 2.44727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2754 +INDEX GOES BRRR: 183 X: 11.4971 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 197 X: 12.3525 +INDEX GOES BRRR: 30 X: 1.90332 +INDEX GOES BRRR: 989 X: -2.16309 +INDEX GOES BRRR: 217 X: 13.6064 +INDEX GOES BRRR: 365 X: 22.8369 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 954 X: -4.32031 +INDEX GOES BRRR: 234 X: 14.626 +INDEX GOES BRRR: 24 X: 1.50098 +INDEX GOES BRRR: 232 X: 14.5137 +INDEX GOES BRRR: 43 X: 2.73828 +INDEX GOES BRRR: 164 X: 10.2812 +INDEX GOES BRRR: 896 X: -7.9668 +INDEX GOES BRRR: 1004 X: -1.24316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.7061 +INDEX GOES BRRR: 917 X: -6.68164 +INDEX GOES BRRR: 82 X: 5.1543 +INDEX GOES BRRR: 980 X: -2.73926 +INDEX GOES BRRR: 220 X: 13.7676 +INDEX GOES BRRR: 258 X: 16.1807 +INDEX GOES BRRR: 0 X: 0.0185547 +INDEX GOES BRRR: 886 X: -8.62305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 386 X: 24.165 +INDEX GOES BRRR: 281 X: 17.6074 +INDEX GOES BRRR: 366 X: 22.9307 +INDEX GOES BRRR: 1007 X: -1.01465 +INDEX GOES BRRR: 7 X: 0.442383 +INDEX GOES BRRR: 63 X: 3.98926 +INDEX GOES BRRR: 31 X: 1.96973 +INDEX GOES BRRR: 8 X: 0.536133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 889 X: -8.4248 +INDEX GOES BRRR: 373 X: 23.3438 +INDEX GOES BRRR: 39 X: 2.43848 +INDEX GOES BRRR: 450 X: 28.1543 +INDEX GOES BRRR: 258 X: 16.1816 +INDEX GOES BRRR: 248 X: 15.502 +INDEX GOES BRRR: 146 X: 9.17285 +INDEX GOES BRRR: 189 X: 11.8691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2109 +INDEX GOES BRRR: 59 X: 3.69336 +INDEX GOES BRRR: 181 X: 11.3457 +INDEX GOES BRRR: 376 X: 23.5449 +INDEX GOES BRRR: 33 X: 2.11035 +INDEX GOES BRRR: 205 X: 12.8262 +INDEX GOES BRRR: 455 X: 28.4834 +INDEX GOES BRRR: 127 X: 7.94336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1641 +INDEX GOES BRRR: 97 X: 6.11816 +INDEX GOES BRRR: 200 X: 12.5166 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 176 X: 11.0137 +INDEX GOES BRRR: 76 X: 4.76465 +INDEX GOES BRRR: 1000 X: -1.46973 +INDEX GOES BRRR: 993 X: -1.91992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.98438 +INDEX GOES BRRR: 347 X: 21.7129 +INDEX GOES BRRR: 0 X: 0.0263672 +INDEX GOES BRRR: 473 X: 29.6191 +INDEX GOES BRRR: 202 X: 12.6396 +INDEX GOES BRRR: 486 X: 30.3887 +INDEX GOES BRRR: 167 X: 10.457 +INDEX GOES BRRR: 862 X: -10.1182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.25977 +INDEX GOES BRRR: 68 X: 4.2793 +INDEX GOES BRRR: 382 X: 23.918 +INDEX GOES BRRR: 365 X: 22.833 +INDEX GOES BRRR: 467 X: 29.2041 +INDEX GOES BRRR: 237 X: 14.8242 +INDEX GOES BRRR: 186 X: 11.6582 +INDEX GOES BRRR: 1006 X: -1.06445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5439 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 187 X: 11.7471 +INDEX GOES BRRR: 80 X: 5.01465 +INDEX GOES BRRR: 980 X: -2.71094 +INDEX GOES BRRR: 306 X: 19.1729 +INDEX GOES BRRR: 227 X: 14.2227 +INDEX GOES BRRR: 402 X: 25.166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.4082 +INDEX GOES BRRR: 927 X: -6.01465 +INDEX GOES BRRR: 168 X: 10.5078 +INDEX GOES BRRR: 461 X: 28.8301 +INDEX GOES BRRR: 983 X: -2.55957 +INDEX GOES BRRR: 97 X: 6.11328 +INDEX GOES BRRR: 163 X: 10.2002 +INDEX GOES BRRR: 192 X: 12.0029 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.48242 +INDEX GOES BRRR: 252 X: 15.7764 +INDEX GOES BRRR: 123 X: 7.72363 +INDEX GOES BRRR: 125 X: 7.85547 +INDEX GOES BRRR: 971 X: -3.26758 +INDEX GOES BRRR: 386 X: 24.1572 +INDEX GOES BRRR: 276 X: 17.25 +INDEX GOES BRRR: 363 X: 22.7236 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8691 +INDEX GOES BRRR: 251 X: 15.6953 +INDEX GOES BRRR: 67 X: 4.22656 +INDEX GOES BRRR: 412 X: 25.7764 +INDEX GOES BRRR: 450 X: 28.1855 +INDEX GOES BRRR: 89 X: 5.57324 +INDEX GOES BRRR: 335 X: 20.9727 +INDEX GOES BRRR: 139 X: 8.73828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.39551 +INDEX GOES BRRR: 259 X: 16.1943 +INDEX GOES BRRR: 461 X: 28.8574 +INDEX GOES BRRR: 105 X: 6.58789 +INDEX GOES BRRR: 204 X: 12.751 +INDEX GOES BRRR: 416 X: 26.0176 +INDEX GOES BRRR: 47 X: 2.94238 +INDEX GOES BRRR: 349 X: 21.8701 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0918 +INDEX GOES BRRR: 288 X: 18.0293 +INDEX GOES BRRR: 280 X: 17.5254 +INDEX GOES BRRR: 276 X: 17.2656 +INDEX GOES BRRR: 187 X: 11.7314 +INDEX GOES BRRR: 197 X: 12.3496 +INDEX GOES BRRR: 148 X: 9.28613 +INDEX GOES BRRR: 233 X: 14.6143 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 31 X: 1.98633 +INDEX GOES BRRR: 199 X: 12.4814 +INDEX GOES BRRR: 971 X: -3.2959 +INDEX GOES BRRR: 108 X: 6.77832 +INDEX GOES BRRR: 371 X: 23.2012 +INDEX GOES BRRR: 295 X: 18.4619 +INDEX GOES BRRR: 995 X: -1.7666 +INDEX GOES BRRR: 743 X: -17.5449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 982 X: -2.58301 +INDEX GOES BRRR: 409 X: 25.6113 +INDEX GOES BRRR: 993 X: -1.87598 +INDEX GOES BRRR: 988 X: -2.20605 +INDEX GOES BRRR: 259 X: 16.2051 +INDEX GOES BRRR: 161 X: 10.0664 +INDEX GOES BRRR: 202 X: 12.6318 +INDEX GOES BRRR: 909 X: -7.17188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.03418 +INDEX GOES BRRR: 344 X: 21.5566 +INDEX GOES BRRR: 997 X: -1.63574 +INDEX GOES BRRR: 103 X: 6.44727 +INDEX GOES BRRR: 318 X: 19.8896 +INDEX GOES BRRR: 35 X: 2.22266 +INDEX GOES BRRR: 154 X: 9.68066 +INDEX GOES BRRR: 177 X: 11.0957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.898438 +INDEX GOES BRRR: 425 X: 26.5928 +INDEX GOES BRRR: 231 X: 14.4736 +INDEX GOES BRRR: 112 X: 7.06152 +INDEX GOES BRRR: 177 X: 11.0752 +INDEX GOES BRRR: 267 X: 16.707 +INDEX GOES BRRR: 128 X: 8.00586 +INDEX GOES BRRR: 133 X: 8.34766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 287 X: 17.9648 +INDEX GOES BRRR: 181 X: 11.3525 +INDEX GOES BRRR: 254 X: 15.9258 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 100 X: 6.25293 +INDEX GOES BRRR: 993 X: -1.93066 +INDEX GOES BRRR: 773 X: -15.6514 +INDEX GOES BRRR: 249 X: 15.6045 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.23535 +INDEX GOES BRRR: 73 X: 4.59277 +INDEX GOES BRRR: 154 X: 9.67773 +INDEX GOES BRRR: 1011 X: -0.786133 +INDEX GOES BRRR: 988 X: -2.24902 +INDEX GOES BRRR: 185 X: 11.5645 +INDEX GOES BRRR: 149 X: 9.34766 +INDEX GOES BRRR: 986 X: -2.375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.43066 +INDEX GOES BRRR: 262 X: 16.4131 +INDEX GOES BRRR: 237 X: 14.835 +INDEX GOES BRRR: 1019 X: -0.25293 +INDEX GOES BRRR: 62 X: 3.90039 +INDEX GOES BRRR: 138 X: 8.68652 +INDEX GOES BRRR: 174 X: 10.9102 +INDEX GOES BRRR: 299 X: 18.7178 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 172 X: 10.7549 +INDEX GOES BRRR: 986 X: -2.35547 +INDEX GOES BRRR: 165 X: 10.3447 +INDEX GOES BRRR: 170 X: 10.6553 +INDEX GOES BRRR: 195 X: 12.1992 +INDEX GOES BRRR: 350 X: 21.9326 +INDEX GOES BRRR: 118 X: 7.37695 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.02637 +INDEX GOES BRRR: 88 X: 5.53516 +INDEX GOES BRRR: 271 X: 16.9424 +INDEX GOES BRRR: 254 X: 15.8828 +INDEX GOES BRRR: 78 X: 4.89746 +INDEX GOES BRRR: 339 X: 21.249 +INDEX GOES BRRR: 325 X: 20.3281 +INDEX GOES BRRR: 182 X: 11.4033 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.6143 +INDEX GOES BRRR: 230 X: 14.4062 +INDEX GOES BRRR: 147 X: 9.19141 +INDEX GOES BRRR: 24 X: 1.5166 +INDEX GOES BRRR: 93 X: 5.82617 +INDEX GOES BRRR: 206 X: 12.9023 +INDEX GOES BRRR: 260 X: 16.2588 +INDEX GOES BRRR: 1011 X: -0.757812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2217 +INDEX GOES BRRR: 262 X: 16.3789 +INDEX GOES BRRR: 887 X: -8.51953 +INDEX GOES BRRR: 117 X: 7.33887 +INDEX GOES BRRR: 58 X: 3.65137 +INDEX GOES BRRR: 286 X: 17.9121 +INDEX GOES BRRR: 476 X: 29.8037 +INDEX GOES BRRR: 881 X: -8.93164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1005 X: -1.15527 +INDEX GOES BRRR: 298 X: 18.6377 +INDEX GOES BRRR: 160 X: 10.001 +INDEX GOES BRRR: 148 X: 9.29297 +INDEX GOES BRRR: 126 X: 7.89062 +INDEX GOES BRRR: 410 X: 25.6348 +INDEX GOES BRRR: 223 X: 13.9404 +INDEX GOES BRRR: 246 X: 15.376 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.39551 +INDEX GOES BRRR: 312 X: 19.5508 +INDEX GOES BRRR: 171 X: 10.7168 +INDEX GOES BRRR: 193 X: 12.1172 +INDEX GOES BRRR: 309 X: 19.3672 +INDEX GOES BRRR: 80 X: 5.0166 +INDEX GOES BRRR: 254 X: 15.9258 +INDEX GOES BRRR: 24 X: 1.54395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.2207 +INDEX GOES BRRR: 54 X: 3.41504 +INDEX GOES BRRR: 117 X: 7.33789 +INDEX GOES BRRR: 241 X: 15.1006 +INDEX GOES BRRR: 201 X: 12.5732 +INDEX GOES BRRR: 143 X: 8.96094 +INDEX GOES BRRR: 242 X: 15.1582 +INDEX GOES BRRR: 236 X: 14.793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9775 +INDEX GOES BRRR: 19 X: 1.23145 +INDEX GOES BRRR: 831 X: -12.002 +INDEX GOES BRRR: 145 X: 9.11328 +INDEX GOES BRRR: 86 X: 5.40527 +INDEX GOES BRRR: 108 X: 6.78711 +INDEX GOES BRRR: 202 X: 12.6689 +INDEX GOES BRRR: 995 X: -1.79883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 488 X: 30.5166 +INDEX GOES BRRR: 72 X: 4.54199 +INDEX GOES BRRR: 186 X: 11.6543 +INDEX GOES BRRR: 191 X: 11.957 +INDEX GOES BRRR: 189 X: 11.8691 +INDEX GOES BRRR: 67 X: 4.2373 +INDEX GOES BRRR: 228 X: 14.2959 +INDEX GOES BRRR: 265 X: 16.5996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.46973 +INDEX GOES BRRR: 164 X: 10.2949 +INDEX GOES BRRR: 302 X: 18.916 +INDEX GOES BRRR: 369 X: 23.0801 +INDEX GOES BRRR: 672 X: -21.9629 +INDEX GOES BRRR: 977 X: -2.8916 +INDEX GOES BRRR: 71 X: 4.43848 +INDEX GOES BRRR: 142 X: 8.91113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 76 X: 4.75391 +INDEX GOES BRRR: 760 X: -16.4746 +INDEX GOES BRRR: 251 X: 15.7354 +INDEX GOES BRRR: 361 X: 22.6133 +INDEX GOES BRRR: 239 X: 14.959 +INDEX GOES BRRR: 243 X: 15.2207 +INDEX GOES BRRR: 943 X: -5.04688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8711 +INDEX GOES BRRR: 294 X: 18.4238 +INDEX GOES BRRR: 280 X: 17.5566 +INDEX GOES BRRR: 236 X: 14.7588 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 782 X: -15.0654 +INDEX GOES BRRR: 142 X: 8.91504 +INDEX GOES BRRR: 175 X: 10.9658 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8193 +INDEX GOES BRRR: 187 X: 11.748 +INDEX GOES BRRR: 241 X: 15.0723 +INDEX GOES BRRR: 205 X: 12.8262 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 148 X: 9.28516 +INDEX GOES BRRR: 189 X: 11.8682 +INDEX GOES BRRR: 255 X: 15.9854 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.05469 +INDEX GOES BRRR: 37 X: 2.35059 +INDEX GOES BRRR: 93 X: 5.83496 +INDEX GOES BRRR: 82 X: 5.14844 +INDEX GOES BRRR: 121 X: 7.60449 +INDEX GOES BRRR: 106 X: 6.66797 +INDEX GOES BRRR: 174 X: 10.8975 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 344 X: 21.5195 +INDEX GOES BRRR: 61 X: 3.81934 +INDEX GOES BRRR: 64 X: 4.01367 +INDEX GOES BRRR: 351 X: 21.9531 +INDEX GOES BRRR: 1021 X: -0.137695 +INDEX GOES BRRR: 42 X: 2.66113 +INDEX GOES BRRR: 214 X: 13.4199 +INDEX GOES BRRR: 10 X: 0.650391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.74805 +INDEX GOES BRRR: 858 X: -10.3223 +INDEX GOES BRRR: 912 X: -6.99121 +INDEX GOES BRRR: 193 X: 12.0986 +INDEX GOES BRRR: 295 X: 18.4521 +INDEX GOES BRRR: 465 X: 29.0684 +INDEX GOES BRRR: 1023 X: -0.0117188 +INDEX GOES BRRR: 296 X: 18.5273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 176 X: 11.042 +INDEX GOES BRRR: 72 X: 4.51074 +INDEX GOES BRRR: 160 X: 10.0215 +INDEX GOES BRRR: 309 X: 19.373 +INDEX GOES BRRR: 936 X: -5.46973 +INDEX GOES BRRR: 276 X: 17.2812 +INDEX GOES BRRR: 64 X: 4.00488 +INDEX GOES BRRR: 142 X: 8.92871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 890 X: -8.31641 +INDEX GOES BRRR: 296 X: 18.5459 +INDEX GOES BRRR: 133 X: 8.34277 +INDEX GOES BRRR: 83 X: 5.24219 +INDEX GOES BRRR: 352 X: 22.0615 +INDEX GOES BRRR: 331 X: 20.7041 +INDEX GOES BRRR: 180 X: 11.2539 +INDEX GOES BRRR: 76 X: 4.80469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8477 +INDEX GOES BRRR: 95 X: 5.9668 +INDEX GOES BRRR: 211 X: 13.1973 +INDEX GOES BRRR: 994 X: -1.86035 +INDEX GOES BRRR: 135 X: 8.47852 +INDEX GOES BRRR: 132 X: 8.28906 +INDEX GOES BRRR: 261 X: 16.3643 +INDEX GOES BRRR: 889 X: -8.43066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.15137 +INDEX GOES BRRR: 293 X: 18.3672 +INDEX GOES BRRR: 8 X: 0.530273 +INDEX GOES BRRR: 118 X: 7.4082 +INDEX GOES BRRR: 174 X: 10.9092 +INDEX GOES BRRR: 914 X: -6.81836 +INDEX GOES BRRR: 231 X: 14.4639 +INDEX GOES BRRR: 317 X: 19.8164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4727 +INDEX GOES BRRR: 991 X: -2.02246 +INDEX GOES BRRR: 37 X: 2.31543 +INDEX GOES BRRR: 22 X: 1.41309 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 956 X: -4.22949 +INDEX GOES BRRR: 118 X: 7.43652 +INDEX GOES BRRR: 27 X: 1.71582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.5947 +INDEX GOES BRRR: 218 X: 13.6768 +INDEX GOES BRRR: 57 X: 3.61328 +INDEX GOES BRRR: 987 X: -2.29688 +INDEX GOES BRRR: 206 X: 12.9277 +INDEX GOES BRRR: 47 X: 2.96875 +INDEX GOES BRRR: 91 X: 5.72852 +INDEX GOES BRRR: 117 X: 7.37012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 249 X: 15.6104 +INDEX GOES BRRR: 68 X: 4.30371 +INDEX GOES BRRR: 199 X: 12.4795 +INDEX GOES BRRR: 330 X: 20.6436 +INDEX GOES BRRR: 186 X: 11.626 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 868 X: -9.69141 +INDEX GOES BRRR: 164 X: 10.2686 +INDEX GOES BRRR: 80 X: 5.04297 +INDEX GOES BRRR: 100 X: 6.30859 +INDEX GOES BRRR: 149 X: 9.32031 +INDEX GOES BRRR: 202 X: 12.6758 +INDEX GOES BRRR: 399 X: 24.9893 +INDEX GOES BRRR: 147 X: 9.20117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 427 X: 26.7266 +INDEX GOES BRRR: 74 X: 4.67676 +INDEX GOES BRRR: 187 X: 11.7002 +INDEX GOES BRRR: 250 X: 15.6357 +INDEX GOES BRRR: 178 X: 11.1562 +INDEX GOES BRRR: 250 X: 15.6641 +INDEX GOES BRRR: 200 X: 12.5518 +INDEX GOES BRRR: 996 X: -1.69434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.374 +INDEX GOES BRRR: 70 X: 4.37695 +INDEX GOES BRRR: 447 X: 27.9717 +INDEX GOES BRRR: 278 X: 17.3857 +INDEX GOES BRRR: 175 X: 10.9785 +INDEX GOES BRRR: 217 X: 13.5635 +INDEX GOES BRRR: 130 X: 8.16504 +INDEX GOES BRRR: 431 X: 26.9375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.3584 +INDEX GOES BRRR: 145 X: 9.08105 +INDEX GOES BRRR: 423 X: 26.4541 +INDEX GOES BRRR: 172 X: 10.7559 +INDEX GOES BRRR: 318 X: 19.9219 +INDEX GOES BRRR: 44 X: 2.76172 +INDEX GOES BRRR: 308 X: 19.3105 +INDEX GOES BRRR: 120 X: 7.50977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1348 +INDEX GOES BRRR: 491 X: 30.7178 +INDEX GOES BRRR: 231 X: 14.4424 +INDEX GOES BRRR: 125 X: 7.81641 +INDEX GOES BRRR: 230 X: 14.4053 +INDEX GOES BRRR: 177 X: 11.0947 +INDEX GOES BRRR: 240 X: 15.0107 +INDEX GOES BRRR: 295 X: 18.4658 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.97168 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 956 X: -4.19531 +INDEX GOES BRRR: 109 X: 6.83691 +INDEX GOES BRRR: 366 X: 22.9346 +INDEX GOES BRRR: 211 X: 13.2266 +INDEX GOES BRRR: 269 X: 16.8525 +INDEX GOES BRRR: 337 X: 21.1084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 29 X: 1.83789 +INDEX GOES BRRR: 804 X: -13.7197 +INDEX GOES BRRR: 1009 X: -0.888672 +INDEX GOES BRRR: 343 X: 21.498 +INDEX GOES BRRR: 113 X: 7.08105 +INDEX GOES BRRR: 880 X: -8.97363 +INDEX GOES BRRR: 111 X: 6.9375 +INDEX GOES BRRR: 345 X: 21.5811 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.46387 +INDEX GOES BRRR: 203 X: 12.7393 +INDEX GOES BRRR: 383 X: 23.9795 +INDEX GOES BRRR: 956 X: -4.23438 +INDEX GOES BRRR: 40 X: 2.50391 +INDEX GOES BRRR: 239 X: 14.9443 +INDEX GOES BRRR: 267 X: 16.708 +INDEX GOES BRRR: 194 X: 12.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.1094 +INDEX GOES BRRR: 311 X: 19.4639 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1014 X: -0.615234 +INDEX GOES BRRR: 225 X: 14.1035 +INDEX GOES BRRR: 86 X: 5.37988 +INDEX GOES BRRR: 200 X: 12.5557 +INDEX GOES BRRR: 479 X: 29.958 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 916 X: -6.70215 +INDEX GOES BRRR: 150 X: 9.39844 +INDEX GOES BRRR: 207 X: 12.999 +INDEX GOES BRRR: 192 X: 12.0127 +INDEX GOES BRRR: 254 X: 15.8857 +INDEX GOES BRRR: 100 X: 6.29883 +INDEX GOES BRRR: 126 X: 7.88965 +INDEX GOES BRRR: 360 X: 22.5146 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.834 +INDEX GOES BRRR: 171 X: 10.7207 +INDEX GOES BRRR: 56 X: 3.53906 +INDEX GOES BRRR: 17 X: 1.10254 +INDEX GOES BRRR: 333 X: 20.8643 +INDEX GOES BRRR: 253 X: 15.8252 +INDEX GOES BRRR: 271 X: 16.999 +INDEX GOES BRRR: 102 X: 6.38281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.97656 +INDEX GOES BRRR: 765 X: -16.1641 +INDEX GOES BRRR: 42 X: 2.66016 +INDEX GOES BRRR: 448 X: 28.04 +INDEX GOES BRRR: 198 X: 12.3857 +INDEX GOES BRRR: 383 X: 23.9668 +INDEX GOES BRRR: 912 X: -6.9834 +INDEX GOES BRRR: 170 X: 10.6641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 962 X: -3.81934 +INDEX GOES BRRR: 1021 X: -0.131836 +INDEX GOES BRRR: 243 X: 15.2021 +INDEX GOES BRRR: 848 X: -10.9854 +INDEX GOES BRRR: 310 X: 19.417 +INDEX GOES BRRR: 201 X: 12.5986 +INDEX GOES BRRR: 176 X: 11.0537 +INDEX GOES BRRR: 988 X: -2.2373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.50977 +INDEX GOES BRRR: 109 X: 6.86035 +INDEX GOES BRRR: 244 X: 15.2676 +INDEX GOES BRRR: 144 X: 9.02441 +INDEX GOES BRRR: 171 X: 10.6934 +INDEX GOES BRRR: 114 X: 7.12891 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 437 X: 27.3652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.874 +INDEX GOES BRRR: 432 X: 27.0205 +INDEX GOES BRRR: 152 X: 9.55078 +INDEX GOES BRRR: 28 X: 1.80664 +INDEX GOES BRRR: 97 X: 6.10938 +INDEX GOES BRRR: 322 X: 20.1699 +INDEX GOES BRRR: 193 X: 12.0967 +INDEX GOES BRRR: 224 X: 14.0283 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.125977 +INDEX GOES BRRR: 495 X: 30.958 +INDEX GOES BRRR: 217 X: 13.6113 +INDEX GOES BRRR: 303 X: 18.9854 +INDEX GOES BRRR: 124 X: 7.77344 +INDEX GOES BRRR: 332 X: 20.8027 +INDEX GOES BRRR: 222 X: 13.8877 +INDEX GOES BRRR: 38 X: 2.41211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0576172 +INDEX GOES BRRR: 327 X: 20.4961 +INDEX GOES BRRR: 384 X: 24.0547 +INDEX GOES BRRR: 964 X: -3.74512 +INDEX GOES BRRR: 372 X: 23.2754 +INDEX GOES BRRR: 54 X: 3.42578 +INDEX GOES BRRR: 6 X: 0.395508 +INDEX GOES BRRR: 240 X: 15.0342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2002 +INDEX GOES BRRR: 241 X: 15.0996 +INDEX GOES BRRR: 262 X: 16.4355 +INDEX GOES BRRR: 289 X: 18.0869 +INDEX GOES BRRR: 282 X: 17.6562 +INDEX GOES BRRR: 313 X: 19.5879 +INDEX GOES BRRR: 317 X: 19.8408 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.3076 +INDEX GOES BRRR: 38 X: 2.38965 +INDEX GOES BRRR: 35 X: 2.23242 +INDEX GOES BRRR: 88 X: 5.55371 +INDEX GOES BRRR: 247 X: 15.4492 +INDEX GOES BRRR: 990 X: -2.10449 +INDEX GOES BRRR: 307 X: 19.2246 +INDEX GOES BRRR: 389 X: 24.373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 942 X: -5.10156 +INDEX GOES BRRR: 976 X: -2.95898 +INDEX GOES BRRR: 1014 X: -0.607422 +INDEX GOES BRRR: 67 X: 4.22559 +INDEX GOES BRRR: 311 X: 19.4941 +INDEX GOES BRRR: 998 X: -1.60156 +INDEX GOES BRRR: 174 X: 10.8867 +INDEX GOES BRRR: 290 X: 18.166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.01172 +INDEX GOES BRRR: 135 X: 8.4668 +INDEX GOES BRRR: 184 X: 11.5264 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 274 X: 17.1826 +INDEX GOES BRRR: 83 X: 5.22168 +INDEX GOES BRRR: 253 X: 15.8125 +INDEX GOES BRRR: 123 X: 7.74316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.667 +INDEX GOES BRRR: 991 X: -2.05859 +INDEX GOES BRRR: 232 X: 14.5234 +INDEX GOES BRRR: 215 X: 13.4707 +INDEX GOES BRRR: 174 X: 10.8809 +INDEX GOES BRRR: 198 X: 12.4336 +INDEX GOES BRRR: 179 X: 11.2197 +INDEX GOES BRRR: 888 X: -8.47266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.71875 +INDEX GOES BRRR: 326 X: 20.3916 +INDEX GOES BRRR: 62 X: 3.88184 +INDEX GOES BRRR: 991 X: -2.05957 +INDEX GOES BRRR: 311 X: 19.4482 +INDEX GOES BRRR: 14 X: 0.924805 +INDEX GOES BRRR: 130 X: 8.16797 +INDEX GOES BRRR: 352 X: 22.0264 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.7031 +INDEX GOES BRRR: 340 X: 21.2617 +INDEX GOES BRRR: 197 X: 12.374 +INDEX GOES BRRR: 370 X: 23.1738 +INDEX GOES BRRR: 126 X: 7.9209 +INDEX GOES BRRR: 347 X: 21.7471 +INDEX GOES BRRR: 339 X: 21.2256 +INDEX GOES BRRR: 196 X: 12.2842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0049 +INDEX GOES BRRR: 180 X: 11.3086 +INDEX GOES BRRR: 140 X: 8.79199 +INDEX GOES BRRR: 29 X: 1.82715 +INDEX GOES BRRR: 1021 X: -0.183594 +INDEX GOES BRRR: 16 X: 1 +INDEX GOES BRRR: 97 X: 6.11426 +INDEX GOES BRRR: 179 X: 11.207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 295 X: 18.4834 +INDEX GOES BRRR: 1004 X: -1.23047 +INDEX GOES BRRR: 176 X: 11.0537 +INDEX GOES BRRR: 441 X: 27.5693 +INDEX GOES BRRR: 461 X: 28.8701 +INDEX GOES BRRR: 158 X: 9.89941 +INDEX GOES BRRR: 147 X: 9.20215 +INDEX GOES BRRR: 207 X: 12.9951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.2373 +INDEX GOES BRRR: 9 X: 0.606445 +INDEX GOES BRRR: 71 X: 4.45508 +INDEX GOES BRRR: 345 X: 21.6104 +INDEX GOES BRRR: 327 X: 20.4434 +INDEX GOES BRRR: 344 X: 21.5508 +INDEX GOES BRRR: 78 X: 4.89355 +INDEX GOES BRRR: 877 X: -9.1543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5547 +INDEX GOES BRRR: 335 X: 20.9736 +INDEX GOES BRRR: 895 X: -8.01172 +INDEX GOES BRRR: 1006 X: -1.11719 +INDEX GOES BRRR: 926 X: -6.11328 +INDEX GOES BRRR: 317 X: 19.8262 +INDEX GOES BRRR: 53 X: 3.34082 +INDEX GOES BRRR: 5 X: 0.332031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2256 +INDEX GOES BRRR: 197 X: 12.3701 +INDEX GOES BRRR: 76 X: 4.79688 +INDEX GOES BRRR: 275 X: 17.1895 +INDEX GOES BRRR: 110 X: 6.90918 +INDEX GOES BRRR: 131 X: 8.24219 +INDEX GOES BRRR: 35 X: 2.21875 +INDEX GOES BRRR: 971 X: -3.27539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 279 X: 17.4492 +INDEX GOES BRRR: 140 X: 8.76172 +INDEX GOES BRRR: 164 X: 10.2812 +INDEX GOES BRRR: 97 X: 6.11035 +INDEX GOES BRRR: 137 X: 8.59375 +INDEX GOES BRRR: 25 X: 1.57031 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 194 X: 12.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.6924 +INDEX GOES BRRR: 210 X: 13.1436 +INDEX GOES BRRR: 234 X: 14.6768 +INDEX GOES BRRR: 149 X: 9.36035 +INDEX GOES BRRR: 109 X: 6.8623 +INDEX GOES BRRR: 332 X: 20.7559 +INDEX GOES BRRR: 82 X: 5.12988 +INDEX GOES BRRR: 425 X: 26.5762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.94043 +INDEX GOES BRRR: 273 X: 17.0674 +INDEX GOES BRRR: 232 X: 14.5605 +INDEX GOES BRRR: 294 X: 18.4082 +INDEX GOES BRRR: 124 X: 7.77441 +INDEX GOES BRRR: 150 X: 9.40527 +INDEX GOES BRRR: 113 X: 7.12109 +INDEX GOES BRRR: 983 X: -2.54688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.69141 +INDEX GOES BRRR: 462 X: 28.9365 +INDEX GOES BRRR: 975 X: -3.03906 +INDEX GOES BRRR: 49 X: 3.10449 +INDEX GOES BRRR: 368 X: 23.0186 +INDEX GOES BRRR: 1021 X: -0.141602 +INDEX GOES BRRR: 159 X: 9.95703 +INDEX GOES BRRR: 84 X: 5.27344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 825 X: -12.4082 +INDEX GOES BRRR: 23 X: 1.48828 +INDEX GOES BRRR: 317 X: 19.8613 +INDEX GOES BRRR: 26 X: 1.68555 +INDEX GOES BRRR: 326 X: 20.4297 +INDEX GOES BRRR: 83 X: 5.19629 +INDEX GOES BRRR: 22 X: 1.38574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.09766 +INDEX GOES BRRR: 885 X: -8.65625 +INDEX GOES BRRR: 960 X: -3.95605 +INDEX GOES BRRR: 152 X: 9.50195 +INDEX GOES BRRR: 104 X: 6.54688 +INDEX GOES BRRR: 75 X: 4.71875 +INDEX GOES BRRR: 1014 X: -0.595703 +INDEX GOES BRRR: 71 X: 4.46191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.71777 +INDEX GOES BRRR: 32 X: 2.04883 +INDEX GOES BRRR: 95 X: 5.94824 +INDEX GOES BRRR: 86 X: 5.42188 +INDEX GOES BRRR: 963 X: -3.79883 +INDEX GOES BRRR: 1018 X: -0.348633 +INDEX GOES BRRR: 254 X: 15.8818 +INDEX GOES BRRR: 250 X: 15.6484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.49902 +INDEX GOES BRRR: 113 X: 7.11816 +INDEX GOES BRRR: 165 X: 10.3291 +INDEX GOES BRRR: 380 X: 23.7764 +INDEX GOES BRRR: 127 X: 7.97266 +INDEX GOES BRRR: 361 X: 22.623 +INDEX GOES BRRR: 82 X: 5.14648 +INDEX GOES BRRR: 325 X: 20.3252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.833 +INDEX GOES BRRR: 131 X: 8.21777 +INDEX GOES BRRR: 221 X: 13.8506 +INDEX GOES BRRR: 359 X: 22.4473 +INDEX GOES BRRR: 875 X: -9.25391 +INDEX GOES BRRR: 31 X: 1.9375 +INDEX GOES BRRR: 38 X: 2.42578 +INDEX GOES BRRR: 235 X: 14.7334 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 128 X: 8.01562 +INDEX GOES BRRR: 269 X: 16.8232 +INDEX GOES BRRR: 987 X: -2.27344 +INDEX GOES BRRR: 1002 X: -1.34082 +INDEX GOES BRRR: 142 X: 8.93262 +INDEX GOES BRRR: 16 X: 1.04004 +INDEX GOES BRRR: 947 X: -4.76465 +INDEX GOES BRRR: 849 X: -10.8828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 411 X: 25.7061 +INDEX GOES BRRR: 123 X: 7.73047 +INDEX GOES BRRR: 919 X: -6.54688 +INDEX GOES BRRR: 83 X: 5.22949 +INDEX GOES BRRR: 342 X: 21.4248 +INDEX GOES BRRR: 173 X: 10.8672 +INDEX GOES BRRR: 885 X: -8.67676 +INDEX GOES BRRR: 297 X: 18.6006 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 749 X: -17.1484 +INDEX GOES BRRR: 368 X: 23.0127 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 832 X: -11.9551 +INDEX GOES BRRR: 924 X: -6.19922 +INDEX GOES BRRR: 63 X: 3.99707 +INDEX GOES BRRR: 244 X: 15.2695 +INDEX GOES BRRR: 267 X: 16.7451 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 88 X: 5.52148 +INDEX GOES BRRR: 179 X: 11.2422 +INDEX GOES BRRR: 282 X: 17.6494 +INDEX GOES BRRR: 184 X: 11.5488 +INDEX GOES BRRR: 184 X: 11.5225 +INDEX GOES BRRR: 196 X: 12.25 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 431 X: 26.9502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 927 X: -6.05664 +INDEX GOES BRRR: 214 X: 13.4248 +INDEX GOES BRRR: 17 X: 1.08984 +INDEX GOES BRRR: 818 X: -12.8574 +INDEX GOES BRRR: 382 X: 23.8896 +INDEX GOES BRRR: 154 X: 9.65625 +INDEX GOES BRRR: 3 X: 0.239258 +INDEX GOES BRRR: 240 X: 15.0283 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 860 X: -10.25 +INDEX GOES BRRR: 137 X: 8.58887 +INDEX GOES BRRR: 309 X: 19.3369 +INDEX GOES BRRR: 384 X: 24.0107 +INDEX GOES BRRR: 966 X: -3.58008 +INDEX GOES BRRR: 359 X: 22.4658 +INDEX GOES BRRR: 60 X: 3.80957 +INDEX GOES BRRR: 915 X: -6.78125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.51367 +INDEX GOES BRRR: 250 X: 15.6377 +INDEX GOES BRRR: 207 X: 12.9971 +INDEX GOES BRRR: 88 X: 5.55078 +INDEX GOES BRRR: 311 X: 19.4648 +INDEX GOES BRRR: 336 X: 21.0049 +INDEX GOES BRRR: 231 X: 14.4512 +INDEX GOES BRRR: 895 X: -8.0332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.65332 +INDEX GOES BRRR: 338 X: 21.1582 +INDEX GOES BRRR: 426 X: 26.6719 +INDEX GOES BRRR: 180 X: 11.2891 +INDEX GOES BRRR: 217 X: 13.5938 +INDEX GOES BRRR: 274 X: 17.1465 +INDEX GOES BRRR: 142 X: 8.93164 +INDEX GOES BRRR: 228 X: 14.2646 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.8584 +INDEX GOES BRRR: 170 X: 10.6826 +INDEX GOES BRRR: 27 X: 1.7373 +INDEX GOES BRRR: 156 X: 9.75391 +INDEX GOES BRRR: 239 X: 14.9668 +INDEX GOES BRRR: 133 X: 8.37305 +INDEX GOES BRRR: 202 X: 12.6455 +INDEX GOES BRRR: 61 X: 3.8584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 976 X: -2.95703 +INDEX GOES BRRR: 162 X: 10.1748 +INDEX GOES BRRR: 130 X: 8.17676 +INDEX GOES BRRR: 234 X: 14.6689 +INDEX GOES BRRR: 371 X: 23.1924 +INDEX GOES BRRR: 231 X: 14.457 +INDEX GOES BRRR: 110 X: 6.90234 +INDEX GOES BRRR: 157 X: 9.85156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6631 +INDEX GOES BRRR: 1009 X: -0.887695 +INDEX GOES BRRR: 321 X: 20.0996 +INDEX GOES BRRR: 305 X: 19.1006 +INDEX GOES BRRR: 338 X: 21.1777 +INDEX GOES BRRR: 189 X: 11.8467 +INDEX GOES BRRR: 426 X: 26.627 +INDEX GOES BRRR: 1007 X: -1.03613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4883 +INDEX GOES BRRR: 105 X: 6.58984 +INDEX GOES BRRR: 260 X: 16.2656 +INDEX GOES BRRR: 48 X: 3.03125 +INDEX GOES BRRR: 998 X: -1.59375 +INDEX GOES BRRR: 217 X: 13.6221 +INDEX GOES BRRR: 76 X: 4.80371 +INDEX GOES BRRR: 910 X: -7.09668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5254 +INDEX GOES BRRR: 200 X: 12.5273 +INDEX GOES BRRR: 103 X: 6.48145 +INDEX GOES BRRR: 963 X: -3.75977 +INDEX GOES BRRR: 95 X: 5.96582 +INDEX GOES BRRR: 920 X: -6.46289 +INDEX GOES BRRR: 1012 X: -0.737305 +INDEX GOES BRRR: 161 X: 10.0898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.14551 +INDEX GOES BRRR: 899 X: -7.75879 +INDEX GOES BRRR: 330 X: 20.6846 +INDEX GOES BRRR: 395 X: 24.6992 +INDEX GOES BRRR: 353 X: 22.1152 +INDEX GOES BRRR: 23 X: 1.46387 +INDEX GOES BRRR: 35 X: 2.19043 +INDEX GOES BRRR: 175 X: 10.999 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.70508 +INDEX GOES BRRR: 61 X: 3.85742 +INDEX GOES BRRR: 311 X: 19.4902 +INDEX GOES BRRR: 948 X: -4.73535 +INDEX GOES BRRR: 364 X: 22.8115 +INDEX GOES BRRR: 179 X: 11.2451 +INDEX GOES BRRR: 111 X: 6.98926 +INDEX GOES BRRR: 329 X: 20.584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.84863 +INDEX GOES BRRR: 357 X: 22.3486 +INDEX GOES BRRR: 472 X: 29.5068 +INDEX GOES BRRR: 162 X: 10.1719 +INDEX GOES BRRR: 96 X: 6.0293 +INDEX GOES BRRR: 32 X: 2.03223 +INDEX GOES BRRR: 231 X: 14.4717 +INDEX GOES BRRR: 117 X: 7.33398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.79102 +INDEX GOES BRRR: 215 X: 13.4492 +INDEX GOES BRRR: 842 X: -11.3623 +INDEX GOES BRRR: 894 X: -8.08398 +INDEX GOES BRRR: 154 X: 9.63086 +INDEX GOES BRRR: 120 X: 7.54004 +INDEX GOES BRRR: 941 X: -5.18066 +INDEX GOES BRRR: 917 X: -6.63281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4824 +INDEX GOES BRRR: 47 X: 2.98047 +INDEX GOES BRRR: 1002 X: -1.34863 +INDEX GOES BRRR: 143 X: 8.94629 +INDEX GOES BRRR: 1000 X: -1.49023 +INDEX GOES BRRR: 126 X: 7.89648 +INDEX GOES BRRR: 871 X: -9.52734 +INDEX GOES BRRR: 173 X: 10.8545 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.24219 +INDEX GOES BRRR: 966 X: -3.61133 +INDEX GOES BRRR: 288 X: 18.0234 +INDEX GOES BRRR: 414 X: 25.9355 +INDEX GOES BRRR: 264 X: 16.5332 +INDEX GOES BRRR: 46 X: 2.89941 +INDEX GOES BRRR: 328 X: 20.5156 +INDEX GOES BRRR: 208 X: 13.0264 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 707 X: -19.7666 +INDEX GOES BRRR: 292 X: 18.2959 +INDEX GOES BRRR: 419 X: 26.2012 +INDEX GOES BRRR: 209 X: 13.1152 +INDEX GOES BRRR: 442 X: 27.6807 +INDEX GOES BRRR: 193 X: 12.0645 +INDEX GOES BRRR: 125 X: 7.87402 +INDEX GOES BRRR: 255 X: 15.9795 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 279 X: 17.4697 +INDEX GOES BRRR: 955 X: -4.28613 +INDEX GOES BRRR: 380 X: 23.7725 +INDEX GOES BRRR: 417 X: 26.1045 +INDEX GOES BRRR: 234 X: 14.6611 +INDEX GOES BRRR: 315 X: 19.7031 +INDEX GOES BRRR: 463 X: 28.9697 +INDEX GOES BRRR: 200 X: 12.5039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6318 +INDEX GOES BRRR: 283 X: 17.7324 +INDEX GOES BRRR: 957 X: -4.15039 +INDEX GOES BRRR: 302 X: 18.916 +INDEX GOES BRRR: 322 X: 20.1777 +INDEX GOES BRRR: 136 X: 8.51562 +INDEX GOES BRRR: 143 X: 8.9668 +INDEX GOES BRRR: 187 X: 11.7373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3262 +INDEX GOES BRRR: 71 X: 4.46484 +INDEX GOES BRRR: 14 X: 0.911133 +INDEX GOES BRRR: 227 X: 14.2334 +INDEX GOES BRRR: 207 X: 12.9961 +INDEX GOES BRRR: 187 X: 11.6992 +INDEX GOES BRRR: 1023 X: -0.0517578 +INDEX GOES BRRR: 239 X: 14.9932 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.91504 +INDEX GOES BRRR: 400 X: 25.0537 +INDEX GOES BRRR: 300 X: 18.7842 +INDEX GOES BRRR: 252 X: 15.7617 +INDEX GOES BRRR: 117 X: 7.31641 +INDEX GOES BRRR: 109 X: 6.85449 +INDEX GOES BRRR: 20 X: 1.25391 +INDEX GOES BRRR: 18 X: 1.15918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7578 +INDEX GOES BRRR: 267 X: 16.6934 +INDEX GOES BRRR: 122 X: 7.67969 +INDEX GOES BRRR: 94 X: 5.89453 +INDEX GOES BRRR: 354 X: 22.1572 +INDEX GOES BRRR: 798 X: -14.1094 +INDEX GOES BRRR: 362 X: 22.6523 +INDEX GOES BRRR: 27 X: 1.73828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 873 X: -9.40039 +INDEX GOES BRRR: 311 X: 19.46 +INDEX GOES BRRR: 187 X: 11.7324 +INDEX GOES BRRR: 322 X: 20.1533 +INDEX GOES BRRR: 883 X: -8.77246 +INDEX GOES BRRR: 44 X: 2.81152 +INDEX GOES BRRR: 396 X: 24.7627 +INDEX GOES BRRR: 229 X: 14.374 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.28516 +INDEX GOES BRRR: 84 X: 5.27734 +INDEX GOES BRRR: 5 X: 0.356445 +INDEX GOES BRRR: 1 X: 0.0820312 +INDEX GOES BRRR: 28 X: 1.81152 +INDEX GOES BRRR: 180 X: 11.2969 +INDEX GOES BRRR: 63 X: 3.94824 +INDEX GOES BRRR: 98 X: 6.13574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.39746 +INDEX GOES BRRR: 904 X: -7.47852 +INDEX GOES BRRR: 18 X: 1.13477 +INDEX GOES BRRR: 920 X: -6.4707 +INDEX GOES BRRR: 8 X: 0.557617 +INDEX GOES BRRR: 99 X: 6.18945 +INDEX GOES BRRR: 269 X: 16.8604 +INDEX GOES BRRR: 332 X: 20.752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.59766 +INDEX GOES BRRR: 98 X: 6.18652 +INDEX GOES BRRR: 188 X: 11.793 +INDEX GOES BRRR: 287 X: 17.9697 +INDEX GOES BRRR: 32 X: 2.02441 +INDEX GOES BRRR: 77 X: 4.83984 +INDEX GOES BRRR: 900 X: -7.69238 +INDEX GOES BRRR: 276 X: 17.2617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.0957 +INDEX GOES BRRR: 251 X: 15.7197 +INDEX GOES BRRR: 440 X: 27.5527 +INDEX GOES BRRR: 966 X: -3.57031 +INDEX GOES BRRR: 169 X: 10.6162 +INDEX GOES BRRR: 39 X: 2.44434 +INDEX GOES BRRR: 229 X: 14.3682 +INDEX GOES BRRR: 315 X: 19.7168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.56348 +INDEX GOES BRRR: 189 X: 11.8643 +INDEX GOES BRRR: 226 X: 14.1465 +INDEX GOES BRRR: 212 X: 13.2969 +INDEX GOES BRRR: 175 X: 10.9854 +INDEX GOES BRRR: 238 X: 14.877 +INDEX GOES BRRR: 165 X: 10.3359 +INDEX GOES BRRR: 904 X: -7.47656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.25781 +INDEX GOES BRRR: 950 X: -4.58105 +INDEX GOES BRRR: 201 X: 12.5879 +INDEX GOES BRRR: 146 X: 9.14648 +INDEX GOES BRRR: 297 X: 18.6143 +INDEX GOES BRRR: 59 X: 3.71875 +INDEX GOES BRRR: 22 X: 1.38672 +INDEX GOES BRRR: 87 X: 5.4375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.3252 +INDEX GOES BRRR: 68 X: 4.29395 +INDEX GOES BRRR: 350 X: 21.9121 +INDEX GOES BRRR: 431 X: 26.9805 +INDEX GOES BRRR: 858 X: -10.3525 +INDEX GOES BRRR: 172 X: 10.7949 +INDEX GOES BRRR: 306 X: 19.127 +INDEX GOES BRRR: 863 X: -10.0586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 411 X: 25.7207 +INDEX GOES BRRR: 337 X: 21.0732 +INDEX GOES BRRR: 938 X: -5.31934 +INDEX GOES BRRR: 23 X: 1.44238 +INDEX GOES BRRR: 345 X: 21.6211 +INDEX GOES BRRR: 224 X: 14.0293 +INDEX GOES BRRR: 175 X: 10.9805 +INDEX GOES BRRR: 61 X: 3.87109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.93848 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 226 X: 14.1777 +INDEX GOES BRRR: 1008 X: -0.986328 +INDEX GOES BRRR: 359 X: 22.4629 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 490 X: 30.6289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.99902 +INDEX GOES BRRR: 288 X: 18.0234 +INDEX GOES BRRR: 334 X: 20.9131 +INDEX GOES BRRR: 161 X: 10.0811 +INDEX GOES BRRR: 176 X: 11.0195 +INDEX GOES BRRR: 316 X: 19.792 +INDEX GOES BRRR: 108 X: 6.76953 +INDEX GOES BRRR: 345 X: 21.5928 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 323 X: 20.2451 +INDEX GOES BRRR: 65 X: 4.09863 +INDEX GOES BRRR: 166 X: 10.4092 +INDEX GOES BRRR: 30 X: 1.88965 +INDEX GOES BRRR: 329 X: 20.5771 +INDEX GOES BRRR: 185 X: 11.5986 +INDEX GOES BRRR: 977 X: -2.90137 +INDEX GOES BRRR: 224 X: 14.0459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 5 X: 0.335938 +INDEX GOES BRRR: 423 X: 26.4746 +INDEX GOES BRRR: 241 X: 15.0703 +INDEX GOES BRRR: 185 X: 11.6016 +INDEX GOES BRRR: 173 X: 10.834 +INDEX GOES BRRR: 136 X: 8.55859 +INDEX GOES BRRR: 147 X: 9.24121 +INDEX GOES BRRR: 89 X: 5.58691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.2461 +INDEX GOES BRRR: 310 X: 19.4258 +INDEX GOES BRRR: 192 X: 12.0029 +INDEX GOES BRRR: 999 X: -1.50684 +INDEX GOES BRRR: 966 X: -3.59082 +INDEX GOES BRRR: 302 X: 18.9336 +INDEX GOES BRRR: 267 X: 16.6943 +INDEX GOES BRRR: 963 X: -3.78418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.87109 +INDEX GOES BRRR: 80 X: 5.0332 +INDEX GOES BRRR: 113 X: 7.08398 +INDEX GOES BRRR: 232 X: 14.5234 +INDEX GOES BRRR: 268 X: 16.75 +INDEX GOES BRRR: 33 X: 2.07812 +INDEX GOES BRRR: 224 X: 14.0537 +INDEX GOES BRRR: 58 X: 3.66797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3711 +INDEX GOES BRRR: 131 X: 8.23926 +INDEX GOES BRRR: 130 X: 8.14062 +INDEX GOES BRRR: 53 X: 3.33008 +INDEX GOES BRRR: 974 X: -3.08594 +INDEX GOES BRRR: 75 X: 4.72168 +INDEX GOES BRRR: 195 X: 12.1963 +INDEX GOES BRRR: 990 X: -2.0918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1836 +INDEX GOES BRRR: 998 X: -1.61328 +INDEX GOES BRRR: 1008 X: -0.938477 +INDEX GOES BRRR: 92 X: 5.77637 +INDEX GOES BRRR: 150 X: 9.43652 +INDEX GOES BRRR: 276 X: 17.2646 +INDEX GOES BRRR: 182 X: 11.4082 +INDEX GOES BRRR: 141 X: 8.81934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3438 +INDEX GOES BRRR: 204 X: 12.7686 +INDEX GOES BRRR: 264 X: 16.5361 +INDEX GOES BRRR: 224 X: 14.0176 +INDEX GOES BRRR: 170 X: 10.6787 +INDEX GOES BRRR: 191 X: 11.9609 +INDEX GOES BRRR: 186 X: 11.6748 +INDEX GOES BRRR: 107 X: 6.71484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.09961 +INDEX GOES BRRR: 133 X: 8.3457 +INDEX GOES BRRR: 178 X: 11.125 +INDEX GOES BRRR: 268 X: 16.7646 +INDEX GOES BRRR: 874 X: -9.33789 +INDEX GOES BRRR: 277 X: 17.3682 +INDEX GOES BRRR: 41 X: 2.58008 +INDEX GOES BRRR: 169 X: 10.5947 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6611 +INDEX GOES BRRR: 317 X: 19.8711 +INDEX GOES BRRR: 913 X: -6.87988 +INDEX GOES BRRR: 296 X: 18.5 +INDEX GOES BRRR: 264 X: 16.5078 +INDEX GOES BRRR: 308 X: 19.2939 +INDEX GOES BRRR: 214 X: 13.4277 +INDEX GOES BRRR: 1012 X: -0.702148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.25098 +INDEX GOES BRRR: 356 X: 22.3105 +INDEX GOES BRRR: 441 X: 27.5801 +INDEX GOES BRRR: 485 X: 30.3408 +INDEX GOES BRRR: 208 X: 13.0059 +INDEX GOES BRRR: 225 X: 14.0732 +INDEX GOES BRRR: 371 X: 23.2227 +INDEX GOES BRRR: 329 X: 20.6162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 917 X: -6.62988 +INDEX GOES BRRR: 905 X: -7.41895 +INDEX GOES BRRR: 1 X: 0.110352 +INDEX GOES BRRR: 325 X: 20.3389 +INDEX GOES BRRR: 915 X: -6.80078 +INDEX GOES BRRR: 750 X: -17.1045 +INDEX GOES BRRR: 193 X: 12.0684 +INDEX GOES BRRR: 1014 X: -0.607422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.0166 +INDEX GOES BRRR: 249 X: 15.6016 +INDEX GOES BRRR: 135 X: 8.45801 +INDEX GOES BRRR: 197 X: 12.374 +INDEX GOES BRRR: 265 X: 16.582 +INDEX GOES BRRR: 238 X: 14.9141 +INDEX GOES BRRR: 113 X: 7.08398 +INDEX GOES BRRR: 901 X: -7.63867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8623 +INDEX GOES BRRR: 179 X: 11.2227 +INDEX GOES BRRR: 74 X: 4.66309 +INDEX GOES BRRR: 956 X: -4.24219 +INDEX GOES BRRR: 44 X: 2.80469 +INDEX GOES BRRR: 289 X: 18.1006 +INDEX GOES BRRR: 956 X: -4.19434 +INDEX GOES BRRR: 62 X: 3.90039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0312 +INDEX GOES BRRR: 446 X: 27.9307 +INDEX GOES BRRR: 127 X: 7.99316 +INDEX GOES BRRR: 63 X: 3.97656 +INDEX GOES BRRR: 428 X: 26.79 +INDEX GOES BRRR: 143 X: 8.99121 +INDEX GOES BRRR: 998 X: -1.59863 +INDEX GOES BRRR: 306 X: 19.1855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9082 +INDEX GOES BRRR: 301 X: 18.8516 +INDEX GOES BRRR: 122 X: 7.64453 +INDEX GOES BRRR: 175 X: 10.9385 +INDEX GOES BRRR: 317 X: 19.8486 +INDEX GOES BRRR: 73 X: 4.60254 +INDEX GOES BRRR: 234 X: 14.6416 +INDEX GOES BRRR: 35 X: 2.24805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 925 X: -6.16406 +INDEX GOES BRRR: 911 X: -7.04102 +INDEX GOES BRRR: 323 X: 20.1924 +INDEX GOES BRRR: 134 X: 8.43262 +INDEX GOES BRRR: 909 X: -7.1582 +INDEX GOES BRRR: 91 X: 5.73633 +INDEX GOES BRRR: 214 X: 13.4014 +INDEX GOES BRRR: 164 X: 10.3115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 359 X: 22.458 +INDEX GOES BRRR: 8 X: 0.526367 +INDEX GOES BRRR: 206 X: 12.9189 +INDEX GOES BRRR: 132 X: 8.28613 +INDEX GOES BRRR: 997 X: -1.63184 +INDEX GOES BRRR: 366 X: 22.9023 +INDEX GOES BRRR: 907 X: -7.25879 +INDEX GOES BRRR: 124 X: 7.75586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 896 X: -7.96582 +INDEX GOES BRRR: 986 X: -2.35742 +INDEX GOES BRRR: 143 X: 8.96191 +INDEX GOES BRRR: 11 X: 0.711914 +INDEX GOES BRRR: 435 X: 27.2021 +INDEX GOES BRRR: 107 X: 6.71191 +INDEX GOES BRRR: 218 X: 13.6377 +INDEX GOES BRRR: 251 X: 15.7021 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.709 +INDEX GOES BRRR: 21 X: 1.35645 +INDEX GOES BRRR: 50 X: 3.16797 +INDEX GOES BRRR: 190 X: 11.8926 +INDEX GOES BRRR: 7 X: 0.478516 +INDEX GOES BRRR: 946 X: -4.83301 +INDEX GOES BRRR: 74 X: 4.62988 +INDEX GOES BRRR: 109 X: 6.87305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.72168 +INDEX GOES BRRR: 956 X: -4.21777 +INDEX GOES BRRR: 260 X: 16.2793 +INDEX GOES BRRR: 184 X: 11.5498 +INDEX GOES BRRR: 264 X: 16.5508 +INDEX GOES BRRR: 143 X: 8.9375 +INDEX GOES BRRR: 410 X: 25.6279 +INDEX GOES BRRR: 117 X: 7.35156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 377 X: 23.5996 +INDEX GOES BRRR: 36 X: 2.28613 +INDEX GOES BRRR: 248 X: 15.5059 +INDEX GOES BRRR: 163 X: 10.1934 +INDEX GOES BRRR: 94 X: 5.89551 +INDEX GOES BRRR: 412 X: 25.7656 +INDEX GOES BRRR: 429 X: 26.8125 +INDEX GOES BRRR: 18 X: 1.17773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2588 +INDEX GOES BRRR: 209 X: 13.1133 +INDEX GOES BRRR: 199 X: 12.4639 +INDEX GOES BRRR: 941 X: -5.15625 +INDEX GOES BRRR: 282 X: 17.6592 +INDEX GOES BRRR: 156 X: 9.75781 +INDEX GOES BRRR: 313 X: 19.6094 +INDEX GOES BRRR: 240 X: 15.0547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.4541 +INDEX GOES BRRR: 276 X: 17.3027 +INDEX GOES BRRR: 40 X: 2.51953 +INDEX GOES BRRR: 295 X: 18.4785 +INDEX GOES BRRR: 218 X: 13.666 +INDEX GOES BRRR: 111 X: 6.94824 +INDEX GOES BRRR: 941 X: -5.12891 +INDEX GOES BRRR: 943 X: -5.0293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.6094 +INDEX GOES BRRR: 209 X: 13.1084 +INDEX GOES BRRR: 288 X: 18.0557 +INDEX GOES BRRR: 194 X: 12.1631 +INDEX GOES BRRR: 154 X: 9.67676 +INDEX GOES BRRR: 226 X: 14.1709 +INDEX GOES BRRR: 953 X: -4.42871 +INDEX GOES BRRR: 78 X: 4.92871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 992 X: -1.9541 +INDEX GOES BRRR: 9 X: 0.579102 +INDEX GOES BRRR: 326 X: 20.4229 +INDEX GOES BRRR: 320 X: 20.0557 +INDEX GOES BRRR: 275 X: 17.1875 +INDEX GOES BRRR: 158 X: 9.92969 +INDEX GOES BRRR: 196 X: 12.3047 +INDEX GOES BRRR: 143 X: 8.99219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9492 +INDEX GOES BRRR: 205 X: 12.8467 +INDEX GOES BRRR: 859 X: -10.2998 +INDEX GOES BRRR: 817 X: -12.9062 +INDEX GOES BRRR: 117 X: 7.35059 +INDEX GOES BRRR: 186 X: 11.6523 +INDEX GOES BRRR: 75 X: 4.69238 +INDEX GOES BRRR: 108 X: 6.77051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4404 +INDEX GOES BRRR: 418 X: 26.1475 +INDEX GOES BRRR: 67 X: 4.23828 +INDEX GOES BRRR: 288 X: 18.0078 +INDEX GOES BRRR: 22 X: 1.3877 +INDEX GOES BRRR: 194 X: 12.1309 +INDEX GOES BRRR: 182 X: 11.4121 +INDEX GOES BRRR: 316 X: 19.7891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5205 +INDEX GOES BRRR: 252 X: 15.7939 +INDEX GOES BRRR: 204 X: 12.7979 +INDEX GOES BRRR: 118 X: 7.38672 +INDEX GOES BRRR: 181 X: 11.374 +INDEX GOES BRRR: 826 X: -12.3398 +INDEX GOES BRRR: 107 X: 6.70801 +INDEX GOES BRRR: 89 X: 5.56836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3193 +INDEX GOES BRRR: 210 X: 13.1611 +INDEX GOES BRRR: 233 X: 14.5801 +INDEX GOES BRRR: 86 X: 5.42676 +INDEX GOES BRRR: 459 X: 28.6914 +INDEX GOES BRRR: 372 X: 23.2607 +INDEX GOES BRRR: 180 X: 11.2617 +INDEX GOES BRRR: 441 X: 27.5771 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8604 +INDEX GOES BRRR: 36 X: 2.26367 +INDEX GOES BRRR: 356 X: 22.2812 +INDEX GOES BRRR: 206 X: 12.8965 +INDEX GOES BRRR: 84 X: 5.28906 +INDEX GOES BRRR: 321 X: 20.1211 +INDEX GOES BRRR: 94 X: 5.89551 +INDEX GOES BRRR: 117 X: 7.32129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2109 +INDEX GOES BRRR: 227 X: 14.2012 +INDEX GOES BRRR: 156 X: 9.79004 +INDEX GOES BRRR: 223 X: 13.9932 +INDEX GOES BRRR: 100 X: 6.29004 +INDEX GOES BRRR: 114 X: 7.15137 +INDEX GOES BRRR: 399 X: 24.9453 +INDEX GOES BRRR: 30 X: 1.93359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 15 X: 0.961914 +INDEX GOES BRRR: 85 X: 5.32715 +INDEX GOES BRRR: 325 X: 20.333 +INDEX GOES BRRR: 142 X: 8.88281 +INDEX GOES BRRR: 186 X: 11.6621 +INDEX GOES BRRR: 461 X: 28.8252 +INDEX GOES BRRR: 225 X: 14.0713 +INDEX GOES BRRR: 98 X: 6.16504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 223 X: 13.9951 +INDEX GOES BRRR: 36 X: 2.26367 +INDEX GOES BRRR: 219 X: 13.6885 +INDEX GOES BRRR: 261 X: 16.3379 +INDEX GOES BRRR: 122 X: 7.62695 +INDEX GOES BRRR: 42 X: 2.64453 +INDEX GOES BRRR: 57 X: 3.60059 +INDEX GOES BRRR: 276 X: 17.29 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 341 X: 21.3701 +INDEX GOES BRRR: 431 X: 26.999 +INDEX GOES BRRR: 473 X: 29.5908 +INDEX GOES BRRR: 493 X: 30.8369 +INDEX GOES BRRR: 236 X: 14.7822 +INDEX GOES BRRR: 921 X: -6.39355 +INDEX GOES BRRR: 963 X: -3.78711 +INDEX GOES BRRR: 203 X: 12.7051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.75488 +INDEX GOES BRRR: 253 X: 15.8486 +INDEX GOES BRRR: 865 X: -9.93457 +INDEX GOES BRRR: 78 X: 4.91992 +INDEX GOES BRRR: 269 X: 16.8232 +INDEX GOES BRRR: 29 X: 1.82812 +INDEX GOES BRRR: 212 X: 13.2559 +INDEX GOES BRRR: 260 X: 16.2803 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 374 X: 23.3916 +INDEX GOES BRRR: 134 X: 8.38867 +INDEX GOES BRRR: 419 X: 26.2383 +INDEX GOES BRRR: 46 X: 2.90625 +INDEX GOES BRRR: 115 X: 7.20605 +INDEX GOES BRRR: 155 X: 9.70801 +INDEX GOES BRRR: 997 X: -1.67871 +INDEX GOES BRRR: 26 X: 1.68652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.62402 +INDEX GOES BRRR: 975 X: -3.05469 +INDEX GOES BRRR: 942 X: -5.07031 +INDEX GOES BRRR: 335 X: 20.9912 +INDEX GOES BRRR: 390 X: 24.3838 +INDEX GOES BRRR: 65 X: 4.08008 +INDEX GOES BRRR: 950 X: -4.62402 +INDEX GOES BRRR: 488 X: 30.541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.97559 +INDEX GOES BRRR: 291 X: 18.2021 +INDEX GOES BRRR: 307 X: 19.2061 +INDEX GOES BRRR: 131 X: 8.2041 +INDEX GOES BRRR: 27 X: 1.72461 +INDEX GOES BRRR: 77 X: 4.8125 +INDEX GOES BRRR: 321 X: 20.1201 +INDEX GOES BRRR: 195 X: 12.2422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.4326 +INDEX GOES BRRR: 335 X: 20.9727 +INDEX GOES BRRR: 207 X: 12.9902 +INDEX GOES BRRR: 51 X: 3.20801 +INDEX GOES BRRR: 192 X: 12.0156 +INDEX GOES BRRR: 64 X: 4.0498 +INDEX GOES BRRR: 333 X: 20.8672 +INDEX GOES BRRR: 292 X: 18.3047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.41406 +INDEX GOES BRRR: 303 X: 18.9961 +INDEX GOES BRRR: 80 X: 5.0293 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 72 X: 4.51953 +INDEX GOES BRRR: 239 X: 14.9668 +INDEX GOES BRRR: 316 X: 19.8066 +INDEX GOES BRRR: 206 X: 12.8848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2637 +INDEX GOES BRRR: 314 X: 19.6289 +INDEX GOES BRRR: 32 X: 2.04785 +INDEX GOES BRRR: 253 X: 15.8359 +INDEX GOES BRRR: 208 X: 13.0371 +INDEX GOES BRRR: 55 X: 3.44238 +INDEX GOES BRRR: 424 X: 26.502 +INDEX GOES BRRR: 356 X: 22.3115 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 361 X: 22.5928 +INDEX GOES BRRR: 256 X: 16.0186 +INDEX GOES BRRR: 178 X: 11.1309 +INDEX GOES BRRR: 292 X: 18.2783 +INDEX GOES BRRR: 819 X: -12.7832 +INDEX GOES BRRR: 1016 X: -0.486328 +INDEX GOES BRRR: 170 X: 10.6543 +INDEX GOES BRRR: 125 X: 7.87305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.832 +INDEX GOES BRRR: 451 X: 28.1953 +INDEX GOES BRRR: 985 X: -2.40625 +INDEX GOES BRRR: 884 X: -8.74805 +INDEX GOES BRRR: 267 X: 16.7051 +INDEX GOES BRRR: 125 X: 7.8623 +INDEX GOES BRRR: 165 X: 10.3379 +INDEX GOES BRRR: 890 X: -8.31934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 799 X: -14.0127 +INDEX GOES BRRR: 104 X: 6.51074 +INDEX GOES BRRR: 99 X: 6.24512 +INDEX GOES BRRR: 839 X: -11.5195 +INDEX GOES BRRR: 140 X: 8.80176 +INDEX GOES BRRR: 224 X: 14.0449 +INDEX GOES BRRR: 215 X: 13.4521 +INDEX GOES BRRR: 1016 X: -0.494141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 443 X: 27.7227 +INDEX GOES BRRR: 136 X: 8.52539 +INDEX GOES BRRR: 263 X: 16.4971 +INDEX GOES BRRR: 405 X: 25.3301 +INDEX GOES BRRR: 11 X: 0.704102 +INDEX GOES BRRR: 256 X: 16.0088 +INDEX GOES BRRR: 304 X: 19.0215 +INDEX GOES BRRR: 126 X: 7.88965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1318 +INDEX GOES BRRR: 317 X: 19.8379 +INDEX GOES BRRR: 76 X: 4.77441 +INDEX GOES BRRR: 188 X: 11.7939 +INDEX GOES BRRR: 202 X: 12.625 +INDEX GOES BRRR: 181 X: 11.3262 +INDEX GOES BRRR: 1016 X: -0.439453 +INDEX GOES BRRR: 203 X: 12.6982 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.01465 +INDEX GOES BRRR: 930 X: -5.81641 +INDEX GOES BRRR: 104 X: 6.53418 +INDEX GOES BRRR: 294 X: 18.4238 +INDEX GOES BRRR: 200 X: 12.5596 +INDEX GOES BRRR: 359 X: 22.4902 +INDEX GOES BRRR: 22 X: 1.41992 +INDEX GOES BRRR: 106 X: 6.66504 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.73633 +INDEX GOES BRRR: 380 X: 23.7676 +INDEX GOES BRRR: 67 X: 4.21777 +INDEX GOES BRRR: 197 X: 12.3438 +INDEX GOES BRRR: 980 X: -2.71875 +INDEX GOES BRRR: 45 X: 2.8584 +INDEX GOES BRRR: 853 X: -10.6719 +INDEX GOES BRRR: 88 X: 5.51172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.86719 +INDEX GOES BRRR: 439 X: 27.4385 +INDEX GOES BRRR: 134 X: 8.38281 +INDEX GOES BRRR: 276 X: 17.2861 +INDEX GOES BRRR: 87 X: 5.47168 +INDEX GOES BRRR: 408 X: 25.5117 +INDEX GOES BRRR: 175 X: 10.9453 +INDEX GOES BRRR: 877 X: -9.15527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.127 +INDEX GOES BRRR: 276 X: 17.2559 +INDEX GOES BRRR: 51 X: 3.2207 +INDEX GOES BRRR: 319 X: 19.9424 +INDEX GOES BRRR: 101 X: 6.31348 +INDEX GOES BRRR: 222 X: 13.8828 +INDEX GOES BRRR: 1008 X: -0.961914 +INDEX GOES BRRR: 255 X: 15.9883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.64355 +INDEX GOES BRRR: 120 X: 7.51562 +INDEX GOES BRRR: 7 X: 0.490234 +INDEX GOES BRRR: 99 X: 6.19141 +INDEX GOES BRRR: 235 X: 14.6875 +INDEX GOES BRRR: 189 X: 11.8486 +INDEX GOES BRRR: 63 X: 3.97754 +INDEX GOES BRRR: 941 X: -5.12598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 971 X: -3.3125 +INDEX GOES BRRR: 98 X: 6.18555 +INDEX GOES BRRR: 55 X: 3.46387 +INDEX GOES BRRR: 326 X: 20.4102 +INDEX GOES BRRR: 331 X: 20.7402 +INDEX GOES BRRR: 376 X: 23.5557 +INDEX GOES BRRR: 280 X: 17.5303 +INDEX GOES BRRR: 54 X: 3.40625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.70801 +INDEX GOES BRRR: 965 X: -3.67773 +INDEX GOES BRRR: 316 X: 19.8066 +INDEX GOES BRRR: 168 X: 10.502 +INDEX GOES BRRR: 12 X: 0.791016 +INDEX GOES BRRR: 1001 X: -1.39746 +INDEX GOES BRRR: 837 X: -11.6543 +INDEX GOES BRRR: 1006 X: -1.12012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.163086 +INDEX GOES BRRR: 35 X: 2.19336 +INDEX GOES BRRR: 171 X: 10.6943 +INDEX GOES BRRR: 150 X: 9.41699 +INDEX GOES BRRR: 273 X: 17.0654 +INDEX GOES BRRR: 956 X: -4.18945 +INDEX GOES BRRR: 119 X: 7.45801 +INDEX GOES BRRR: 301 X: 18.8643 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 353 X: 22.0957 +INDEX GOES BRRR: 264 X: 16.5469 +INDEX GOES BRRR: 79 X: 4.98047 +INDEX GOES BRRR: 26 X: 1.66699 +INDEX GOES BRRR: 904 X: -7.47754 +INDEX GOES BRRR: 280 X: 17.5322 +INDEX GOES BRRR: 971 X: -3.29395 +INDEX GOES BRRR: 299 X: 18.7119 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 279 X: 17.4932 +INDEX GOES BRRR: 55 X: 3.47168 +INDEX GOES BRRR: 241 X: 15.1104 +INDEX GOES BRRR: 76 X: 4.79102 +INDEX GOES BRRR: 1011 X: -0.756836 +INDEX GOES BRRR: 157 X: 9.86426 +INDEX GOES BRRR: 27 X: 1.69141 +INDEX GOES BRRR: 460 X: 28.752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1020 X: -0.19043 +INDEX GOES BRRR: 7 X: 0.467773 +INDEX GOES BRRR: 398 X: 24.9043 +INDEX GOES BRRR: 996 X: -1.70801 +INDEX GOES BRRR: 1013 X: -0.671875 +INDEX GOES BRRR: 196 X: 12.3105 +INDEX GOES BRRR: 90 X: 5.64062 +INDEX GOES BRRR: 181 X: 11.3262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.8086 +INDEX GOES BRRR: 208 X: 13.0508 +INDEX GOES BRRR: 206 X: 12.8789 +INDEX GOES BRRR: 411 X: 25.7061 +INDEX GOES BRRR: 69 X: 4.33594 +INDEX GOES BRRR: 363 X: 22.7373 +INDEX GOES BRRR: 319 X: 19.9902 +INDEX GOES BRRR: 408 X: 25.5186 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.87988 +INDEX GOES BRRR: 159 X: 9.97266 +INDEX GOES BRRR: 234 X: 14.6611 +INDEX GOES BRRR: 382 X: 23.9092 +INDEX GOES BRRR: 53 X: 3.34668 +INDEX GOES BRRR: 160 X: 10.0293 +INDEX GOES BRRR: 234 X: 14.6377 +INDEX GOES BRRR: 178 X: 11.1436 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.35742 +INDEX GOES BRRR: 997 X: -1.67578 +INDEX GOES BRRR: 100 X: 6.27246 +INDEX GOES BRRR: 221 X: 13.8242 +INDEX GOES BRRR: 7 X: 0.486328 +INDEX GOES BRRR: 1023 X: -0.0439453 +INDEX GOES BRRR: 17 X: 1.06543 +INDEX GOES BRRR: 100 X: 6.25391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 806 X: -13.6094 +INDEX GOES BRRR: 85 X: 5.34863 +INDEX GOES BRRR: 330 X: 20.6797 +INDEX GOES BRRR: 40 X: 2.53906 +INDEX GOES BRRR: 946 X: -4.84766 +INDEX GOES BRRR: 20 X: 1.29297 +INDEX GOES BRRR: 97 X: 6.11035 +INDEX GOES BRRR: 228 X: 14.3076 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 263 X: 16.4922 +INDEX GOES BRRR: 243 X: 15.1885 +INDEX GOES BRRR: 416 X: 26.0596 +INDEX GOES BRRR: 22 X: 1.40625 +INDEX GOES BRRR: 43 X: 2.74414 +INDEX GOES BRRR: 1014 X: -0.595703 +INDEX GOES BRRR: 21 X: 1.36816 +INDEX GOES BRRR: 104 X: 6.55859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.83008 +INDEX GOES BRRR: 899 X: -7.76562 +INDEX GOES BRRR: 261 X: 16.3525 +INDEX GOES BRRR: 171 X: 10.7412 +INDEX GOES BRRR: 243 X: 15.1914 +INDEX GOES BRRR: 314 X: 19.6582 +INDEX GOES BRRR: 56 X: 3.55078 +INDEX GOES BRRR: 83 X: 5.20117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 288 X: 18.0244 +INDEX GOES BRRR: 56 X: 3.50977 +INDEX GOES BRRR: 394 X: 24.6631 +INDEX GOES BRRR: 204 X: 12.793 +INDEX GOES BRRR: 34 X: 2.16406 +INDEX GOES BRRR: 972 X: -3.21777 +INDEX GOES BRRR: 31 X: 1.98047 +INDEX GOES BRRR: 339 X: 21.2383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.40234 +INDEX GOES BRRR: 71 X: 4.45215 +INDEX GOES BRRR: 119 X: 7.47461 +INDEX GOES BRRR: 152 X: 9.55078 +INDEX GOES BRRR: 307 X: 19.2119 +INDEX GOES BRRR: 20 X: 1.30859 +INDEX GOES BRRR: 293 X: 18.3438 +INDEX GOES BRRR: 288 X: 18.0234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 477 X: 29.8408 +INDEX GOES BRRR: 380 X: 23.7646 +INDEX GOES BRRR: 84 X: 5.31152 +INDEX GOES BRRR: 219 X: 13.71 +INDEX GOES BRRR: 182 X: 11.4199 +INDEX GOES BRRR: 50 X: 3.18652 +INDEX GOES BRRR: 299 X: 18.6973 +INDEX GOES BRRR: 92 X: 5.77832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 366 X: 22.8838 +INDEX GOES BRRR: 54 X: 3.38379 +INDEX GOES BRRR: 86 X: 5.37598 +INDEX GOES BRRR: 162 X: 10.1328 +INDEX GOES BRRR: 96 X: 6.02734 +INDEX GOES BRRR: 184 X: 11.5088 +INDEX GOES BRRR: 987 X: -2.28711 +INDEX GOES BRRR: 140 X: 8.75488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 453 X: 28.374 +INDEX GOES BRRR: 318 X: 19.9258 +INDEX GOES BRRR: 160 X: 10.042 +INDEX GOES BRRR: 478 X: 29.9199 +INDEX GOES BRRR: 374 X: 23.3877 +INDEX GOES BRRR: 292 X: 18.2979 +INDEX GOES BRRR: 239 X: 14.9912 +INDEX GOES BRRR: 421 X: 26.3447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2607 +INDEX GOES BRRR: 960 X: -3.98633 +INDEX GOES BRRR: 85 X: 5.36914 +INDEX GOES BRRR: 322 X: 20.1426 +INDEX GOES BRRR: 435 X: 27.2285 +INDEX GOES BRRR: 385 X: 24.1113 +INDEX GOES BRRR: 222 X: 13.8955 +INDEX GOES BRRR: 131 X: 8.22559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3545 +INDEX GOES BRRR: 167 X: 10.499 +INDEX GOES BRRR: 987 X: -2.31152 +INDEX GOES BRRR: 391 X: 24.4727 +INDEX GOES BRRR: 162 X: 10.1465 +INDEX GOES BRRR: 260 X: 16.2764 +INDEX GOES BRRR: 1018 X: -0.361328 +INDEX GOES BRRR: 160 X: 10.0234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 372 X: 23.2949 +INDEX GOES BRRR: 19 X: 1.22168 +INDEX GOES BRRR: 262 X: 16.4307 +INDEX GOES BRRR: 961 X: -3.93262 +INDEX GOES BRRR: 32 X: 2.03125 +INDEX GOES BRRR: 87 X: 5.46777 +INDEX GOES BRRR: 175 X: 10.9443 +INDEX GOES BRRR: 171 X: 10.7051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.05957 +INDEX GOES BRRR: 219 X: 13.7402 +INDEX GOES BRRR: 677 X: -21.6787 +INDEX GOES BRRR: 17 X: 1.11816 +INDEX GOES BRRR: 20 X: 1.25391 +INDEX GOES BRRR: 334 X: 20.9189 +INDEX GOES BRRR: 892 X: -8.20605 +INDEX GOES BRRR: 25 X: 1.59375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.625 +INDEX GOES BRRR: 207 X: 12.9736 +INDEX GOES BRRR: 293 X: 18.3613 +INDEX GOES BRRR: 209 X: 13.1182 +INDEX GOES BRRR: 1020 X: -0.201172 +INDEX GOES BRRR: 969 X: -3.41211 +INDEX GOES BRRR: 189 X: 11.8301 +INDEX GOES BRRR: 246 X: 15.3799 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.41992 +INDEX GOES BRRR: 299 X: 18.7285 +INDEX GOES BRRR: 277 X: 17.3311 +INDEX GOES BRRR: 945 X: -4.92969 +INDEX GOES BRRR: 900 X: -7.70508 +INDEX GOES BRRR: 231 X: 14.4795 +INDEX GOES BRRR: 65 X: 4.08301 +INDEX GOES BRRR: 96 X: 6.01172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.88574 +INDEX GOES BRRR: 350 X: 21.8906 +INDEX GOES BRRR: 71 X: 4.45508 +INDEX GOES BRRR: 254 X: 15.8799 +INDEX GOES BRRR: 927 X: -6.04492 +INDEX GOES BRRR: 217 X: 13.5723 +INDEX GOES BRRR: 23 X: 1.49707 +INDEX GOES BRRR: 180 X: 11.2891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.10059 +INDEX GOES BRRR: 179 X: 11.2256 +INDEX GOES BRRR: 421 X: 26.335 +INDEX GOES BRRR: 302 X: 18.9053 +INDEX GOES BRRR: 59 X: 3.73828 +INDEX GOES BRRR: 262 X: 16.3779 +INDEX GOES BRRR: 121 X: 7.57324 +INDEX GOES BRRR: 45 X: 2.83105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 182 X: 11.4336 +INDEX GOES BRRR: 240 X: 15.0518 +INDEX GOES BRRR: 15 X: 0.957031 +INDEX GOES BRRR: 257 X: 16.0625 +INDEX GOES BRRR: 1018 X: -0.332031 +INDEX GOES BRRR: 98 X: 6.14648 +INDEX GOES BRRR: 34 X: 2.14844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3496 +INDEX GOES BRRR: 1016 X: -0.499023 +INDEX GOES BRRR: 287 X: 17.9561 +INDEX GOES BRRR: 160 X: 10.0127 +INDEX GOES BRRR: 165 X: 10.3398 +INDEX GOES BRRR: 228 X: 14.252 +INDEX GOES BRRR: 244 X: 15.2627 +INDEX GOES BRRR: 232 X: 14.5518 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.23633 +INDEX GOES BRRR: 91 X: 5.71484 +INDEX GOES BRRR: 115 X: 7.18848 +INDEX GOES BRRR: 45 X: 2.85449 +INDEX GOES BRRR: 109 X: 6.84277 +INDEX GOES BRRR: 187 X: 11.7197 +INDEX GOES BRRR: 235 X: 14.7441 +INDEX GOES BRRR: 32 X: 2.01758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7891 +INDEX GOES BRRR: 342 X: 21.3975 +INDEX GOES BRRR: 247 X: 15.4746 +INDEX GOES BRRR: 106 X: 6.67676 +INDEX GOES BRRR: 45 X: 2.82129 +INDEX GOES BRRR: 65 X: 4.07812 +INDEX GOES BRRR: 902 X: -7.58105 +INDEX GOES BRRR: 984 X: -2.49316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 18 X: 1.16016 +INDEX GOES BRRR: 229 X: 14.3721 +INDEX GOES BRRR: 194 X: 12.166 +INDEX GOES BRRR: 182 X: 11.3965 +INDEX GOES BRRR: 237 X: 14.8301 +INDEX GOES BRRR: 168 X: 10.501 +INDEX GOES BRRR: 820 X: -12.7061 +INDEX GOES BRRR: 30 X: 1.92285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 277 X: 17.3711 +INDEX GOES BRRR: 9 X: 0.583984 +INDEX GOES BRRR: 943 X: -5.01562 +INDEX GOES BRRR: 134 X: 8.37793 +INDEX GOES BRRR: 237 X: 14.8164 +INDEX GOES BRRR: 326 X: 20.3965 +INDEX GOES BRRR: 111 X: 6.98633 +INDEX GOES BRRR: 246 X: 15.4131 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.919922 +INDEX GOES BRRR: 280 X: 17.5479 +INDEX GOES BRRR: 158 X: 9.9082 +INDEX GOES BRRR: 108 X: 6.79883 +INDEX GOES BRRR: 972 X: -3.25 +INDEX GOES BRRR: 207 X: 12.9766 +INDEX GOES BRRR: 295 X: 18.4521 +INDEX GOES BRRR: 44 X: 2.80176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.84961 +INDEX GOES BRRR: 40 X: 2.53516 +INDEX GOES BRRR: 125 X: 7.83887 +INDEX GOES BRRR: 130 X: 8.12891 +INDEX GOES BRRR: 25 X: 1.57422 +INDEX GOES BRRR: 58 X: 3.63574 +INDEX GOES BRRR: 963 X: -3.75098 +INDEX GOES BRRR: 108 X: 6.80957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 969 X: -3.42578 +INDEX GOES BRRR: 356 X: 22.2764 +INDEX GOES BRRR: 408 X: 25.5449 +INDEX GOES BRRR: 179 X: 11.2422 +INDEX GOES BRRR: 41 X: 2.60254 +INDEX GOES BRRR: 259 X: 16.2012 +INDEX GOES BRRR: 433 X: 27.0752 +INDEX GOES BRRR: 205 X: 12.8379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6377 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 267 X: 16.6914 +INDEX GOES BRRR: 402 X: 25.166 +INDEX GOES BRRR: 1020 X: -0.230469 +INDEX GOES BRRR: 232 X: 14.5 +INDEX GOES BRRR: 434 X: 27.1348 +INDEX GOES BRRR: 74 X: 4.64355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6787 +INDEX GOES BRRR: 140 X: 8.76758 +INDEX GOES BRRR: 270 X: 16.9092 +INDEX GOES BRRR: 54 X: 3.40137 +INDEX GOES BRRR: 82 X: 5.12695 +INDEX GOES BRRR: 127 X: 7.97266 +INDEX GOES BRRR: 74 X: 4.66309 +INDEX GOES BRRR: 215 X: 13.4902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.957 +INDEX GOES BRRR: 374 X: 23.4297 +INDEX GOES BRRR: 233 X: 14.6143 +INDEX GOES BRRR: 940 X: -5.20898 +INDEX GOES BRRR: 231 X: 14.46 +INDEX GOES BRRR: 998 X: -1.62012 +INDEX GOES BRRR: 217 X: 13.6221 +INDEX GOES BRRR: 50 X: 3.13574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.94336 +INDEX GOES BRRR: 24 X: 1.50293 +INDEX GOES BRRR: 74 X: 4.66309 +INDEX GOES BRRR: 318 X: 19.9004 +INDEX GOES BRRR: 248 X: 15.5215 +INDEX GOES BRRR: 977 X: -2.89941 +INDEX GOES BRRR: 165 X: 10.3213 +INDEX GOES BRRR: 272 X: 17.0215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 847 X: -11.0293 +INDEX GOES BRRR: 159 X: 9.95703 +INDEX GOES BRRR: 84 X: 5.28223 +INDEX GOES BRRR: 237 X: 14.8145 +INDEX GOES BRRR: 154 X: 9.68066 +INDEX GOES BRRR: 164 X: 10.3076 +INDEX GOES BRRR: 226 X: 14.125 +INDEX GOES BRRR: 29 X: 1.84473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.77637 +INDEX GOES BRRR: 253 X: 15.8525 +INDEX GOES BRRR: 279 X: 17.4697 +INDEX GOES BRRR: 989 X: -2.16992 +INDEX GOES BRRR: 26 X: 1.67188 +INDEX GOES BRRR: 330 X: 20.665 +INDEX GOES BRRR: 9 X: 0.620117 +INDEX GOES BRRR: 269 X: 16.8369 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.46289 +INDEX GOES BRRR: 853 X: -10.6748 +INDEX GOES BRRR: 958 X: -4.07812 +INDEX GOES BRRR: 343 X: 21.4707 +INDEX GOES BRRR: 78 X: 4.89062 +INDEX GOES BRRR: 277 X: 17.3232 +INDEX GOES BRRR: 192 X: 12.0215 +INDEX GOES BRRR: 981 X: -2.66602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.1387 +INDEX GOES BRRR: 301 X: 18.8408 +INDEX GOES BRRR: 203 X: 12.6963 +INDEX GOES BRRR: 159 X: 9.95898 +INDEX GOES BRRR: 327 X: 20.4766 +INDEX GOES BRRR: 191 X: 11.9951 +INDEX GOES BRRR: 1002 X: -1.33594 +INDEX GOES BRRR: 92 X: 5.79492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.80371 +INDEX GOES BRRR: 869 X: -9.66113 +INDEX GOES BRRR: 312 X: 19.5312 +INDEX GOES BRRR: 379 X: 23.748 +INDEX GOES BRRR: 133 X: 8.34375 +INDEX GOES BRRR: 847 X: -11.0381 +INDEX GOES BRRR: 165 X: 10.3398 +INDEX GOES BRRR: 246 X: 15.3828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.04395 +INDEX GOES BRRR: 58 X: 3.66309 +INDEX GOES BRRR: 301 X: 18.8232 +INDEX GOES BRRR: 180 X: 11.3047 +INDEX GOES BRRR: 202 X: 12.6719 +INDEX GOES BRRR: 228 X: 14.2578 +INDEX GOES BRRR: 859 X: -10.2959 +INDEX GOES BRRR: 211 X: 13.2344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.3037 +INDEX GOES BRRR: 108 X: 6.80176 +INDEX GOES BRRR: 893 X: -8.13672 +INDEX GOES BRRR: 209 X: 13.0664 +INDEX GOES BRRR: 201 X: 12.5879 +INDEX GOES BRRR: 187 X: 11.707 +INDEX GOES BRRR: 399 X: 24.959 +INDEX GOES BRRR: 43 X: 2.7041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.7588 +INDEX GOES BRRR: 141 X: 8.82031 +INDEX GOES BRRR: 71 X: 4.49316 +INDEX GOES BRRR: 129 X: 8.10645 +INDEX GOES BRRR: 168 X: 10.542 +INDEX GOES BRRR: 25 X: 1.5957 +INDEX GOES BRRR: 112 X: 7.05664 +INDEX GOES BRRR: 231 X: 14.4688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.34375 +INDEX GOES BRRR: 875 X: -9.28125 +INDEX GOES BRRR: 45 X: 2.87305 +INDEX GOES BRRR: 182 X: 11.3926 +INDEX GOES BRRR: 241 X: 15.084 +INDEX GOES BRRR: 44 X: 2.75879 +INDEX GOES BRRR: 80 X: 5.00293 +INDEX GOES BRRR: 424 X: 26.5596 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 356 X: 22.2832 +INDEX GOES BRRR: 171 X: 10.7373 +INDEX GOES BRRR: 731 X: -18.2676 +INDEX GOES BRRR: 136 X: 8.50293 +INDEX GOES BRRR: 387 X: 24.2373 +INDEX GOES BRRR: 230 X: 14.417 +INDEX GOES BRRR: 950 X: -4.58301 +INDEX GOES BRRR: 129 X: 8.07715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 287 X: 17.9443 +INDEX GOES BRRR: 137 X: 8.5752 +INDEX GOES BRRR: 841 X: -11.3848 +INDEX GOES BRRR: 1023 X: -0.0458984 +INDEX GOES BRRR: 90 X: 5.63867 +INDEX GOES BRRR: 351 X: 21.9609 +INDEX GOES BRRR: 140 X: 8.76465 +INDEX GOES BRRR: 163 X: 10.2461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.76465 +INDEX GOES BRRR: 270 X: 16.9336 +INDEX GOES BRRR: 23 X: 1.44629 +INDEX GOES BRRR: 79 X: 4.97168 +INDEX GOES BRRR: 835 X: -11.7891 +INDEX GOES BRRR: 903 X: -7.55273 +INDEX GOES BRRR: 985 X: -2.38281 +INDEX GOES BRRR: 195 X: 12.1982 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.32617 +INDEX GOES BRRR: 914 X: -6.86133 +INDEX GOES BRRR: 1023 X: -0.0146484 +INDEX GOES BRRR: 239 X: 14.9961 +INDEX GOES BRRR: 66 X: 4.17773 +INDEX GOES BRRR: 462 X: 28.8994 +INDEX GOES BRRR: 156 X: 9.77051 +INDEX GOES BRRR: 329 X: 20.6162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1514 +INDEX GOES BRRR: 361 X: 22.6035 +INDEX GOES BRRR: 226 X: 14.1729 +INDEX GOES BRRR: 281 X: 17.6221 +INDEX GOES BRRR: 227 X: 14.2305 +INDEX GOES BRRR: 144 X: 9.04492 +INDEX GOES BRRR: 276 X: 17.2852 +INDEX GOES BRRR: 13 X: 0.833008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.3037 +INDEX GOES BRRR: 403 X: 25.2051 +INDEX GOES BRRR: 980 X: -2.70508 +INDEX GOES BRRR: 1023 X: -0.00292969 +INDEX GOES BRRR: 173 X: 10.8623 +INDEX GOES BRRR: 156 X: 9.76855 +INDEX GOES BRRR: 991 X: -2.00586 +INDEX GOES BRRR: 15 X: 0.978516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.3994 +INDEX GOES BRRR: 323 X: 20.2158 +INDEX GOES BRRR: 242 X: 15.1768 +INDEX GOES BRRR: 80 X: 5.03125 +INDEX GOES BRRR: 405 X: 25.3525 +INDEX GOES BRRR: 11 X: 0.709961 +INDEX GOES BRRR: 424 X: 26.5 +INDEX GOES BRRR: 365 X: 22.8447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 15 X: 0.96875 +INDEX GOES BRRR: 1 X: 0.0751953 +INDEX GOES BRRR: 138 X: 8.67969 +INDEX GOES BRRR: 388 X: 24.2646 +INDEX GOES BRRR: 217 X: 13.5996 +INDEX GOES BRRR: 88 X: 5.55566 +INDEX GOES BRRR: 369 X: 23.0859 +INDEX GOES BRRR: 959 X: -4.01758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.31738 +INDEX GOES BRRR: 355 X: 22.2041 +INDEX GOES BRRR: 107 X: 6.74316 +INDEX GOES BRRR: 326 X: 20.4219 +INDEX GOES BRRR: 93 X: 5.84082 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 914 X: -6.86621 +INDEX GOES BRRR: 171 X: 10.7373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 287 X: 17.9707 +INDEX GOES BRRR: 204 X: 12.7695 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 219 X: 13.7041 +INDEX GOES BRRR: 1017 X: -0.431641 +INDEX GOES BRRR: 36 X: 2.29492 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 897 X: -7.93359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 46 X: 2.89551 +INDEX GOES BRRR: 309 X: 19.3564 +INDEX GOES BRRR: 209 X: 13.1211 +INDEX GOES BRRR: 112 X: 7.0459 +INDEX GOES BRRR: 173 X: 10.8506 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 984 X: -2.46777 +INDEX GOES BRRR: 973 X: -3.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 257 X: 16.0957 +INDEX GOES BRRR: 144 X: 9.06152 +INDEX GOES BRRR: 29 X: 1.83398 +INDEX GOES BRRR: 167 X: 10.4775 +INDEX GOES BRRR: 213 X: 13.3145 +INDEX GOES BRRR: 322 X: 20.1846 +INDEX GOES BRRR: 916 X: -6.71094 +INDEX GOES BRRR: 222 X: 13.8926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.40234 +INDEX GOES BRRR: 918 X: -6.58301 +INDEX GOES BRRR: 127 X: 7.99805 +INDEX GOES BRRR: 84 X: 5.28613 +INDEX GOES BRRR: 295 X: 18.4893 +INDEX GOES BRRR: 408 X: 25.5166 +INDEX GOES BRRR: 991 X: -2.06152 +INDEX GOES BRRR: 195 X: 12.2158 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.708984 +INDEX GOES BRRR: 295 X: 18.499 +INDEX GOES BRRR: 248 X: 15.542 +INDEX GOES BRRR: 15 X: 0.996094 +INDEX GOES BRRR: 302 X: 18.9033 +INDEX GOES BRRR: 291 X: 18.249 +INDEX GOES BRRR: 228 X: 14.2734 +INDEX GOES BRRR: 132 X: 8.28516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.6143 +INDEX GOES BRRR: 10 X: 0.634766 +INDEX GOES BRRR: 415 X: 25.9922 +INDEX GOES BRRR: 423 X: 26.4492 +INDEX GOES BRRR: 133 X: 8.31445 +INDEX GOES BRRR: 856 X: -10.4824 +INDEX GOES BRRR: 200 X: 12.5596 +INDEX GOES BRRR: 118 X: 7.41309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5957 +INDEX GOES BRRR: 159 X: 9.9375 +INDEX GOES BRRR: 86 X: 5.41992 +INDEX GOES BRRR: 233 X: 14.6064 +INDEX GOES BRRR: 283 X: 17.7119 +INDEX GOES BRRR: 976 X: -2.96875 +INDEX GOES BRRR: 67 X: 4.21289 +INDEX GOES BRRR: 272 X: 17.0293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.5166 +INDEX GOES BRRR: 992 X: -1.94629 +INDEX GOES BRRR: 121 X: 7.59961 +INDEX GOES BRRR: 386 X: 24.1719 +INDEX GOES BRRR: 118 X: 7.38867 +INDEX GOES BRRR: 963 X: -3.77539 +INDEX GOES BRRR: 208 X: 13.0078 +INDEX GOES BRRR: 290 X: 18.1562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 395 X: 24.7334 +INDEX GOES BRRR: 205 X: 12.8281 +INDEX GOES BRRR: 156 X: 9.78613 +INDEX GOES BRRR: 379 X: 23.6904 +INDEX GOES BRRR: 337 X: 21.1143 +INDEX GOES BRRR: 82 X: 5.13086 +INDEX GOES BRRR: 357 X: 22.3242 +INDEX GOES BRRR: 190 X: 11.9219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.60547 +INDEX GOES BRRR: 135 X: 8.4873 +INDEX GOES BRRR: 318 X: 19.9199 +INDEX GOES BRRR: 26 X: 1.6416 +INDEX GOES BRRR: 370 X: 23.1709 +INDEX GOES BRRR: 11 X: 0.741211 +INDEX GOES BRRR: 341 X: 21.3154 +INDEX GOES BRRR: 396 X: 24.7588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 318 X: 19.9111 +INDEX GOES BRRR: 113 X: 7.08008 +INDEX GOES BRRR: 242 X: 15.1377 +INDEX GOES BRRR: 454 X: 28.4033 +INDEX GOES BRRR: 92 X: 5.7627 +INDEX GOES BRRR: 24 X: 1.5 +INDEX GOES BRRR: 174 X: 10.918 +INDEX GOES BRRR: 54 X: 3.42871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5068 +INDEX GOES BRRR: 220 X: 13.8018 +INDEX GOES BRRR: 25 X: 1.62207 +INDEX GOES BRRR: 131 X: 8.1875 +INDEX GOES BRRR: 955 X: -4.29102 +INDEX GOES BRRR: 94 X: 5.90234 +INDEX GOES BRRR: 192 X: 12.0166 +INDEX GOES BRRR: 30 X: 1.89551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6387 +INDEX GOES BRRR: 341 X: 21.334 +INDEX GOES BRRR: 336 X: 21.0352 +INDEX GOES BRRR: 151 X: 9.4541 +INDEX GOES BRRR: 179 X: 11.2041 +INDEX GOES BRRR: 194 X: 12.1631 +INDEX GOES BRRR: 47 X: 2.94141 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.1992 +INDEX GOES BRRR: 978 X: -2.83398 +INDEX GOES BRRR: 60 X: 3.77246 +INDEX GOES BRRR: 195 X: 12.2266 +INDEX GOES BRRR: 1014 X: -0.604492 +INDEX GOES BRRR: 359 X: 22.4395 +INDEX GOES BRRR: 330 X: 20.6797 +INDEX GOES BRRR: 7 X: 0.483398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.2178 +INDEX GOES BRRR: 359 X: 22.4424 +INDEX GOES BRRR: 952 X: -4.4668 +INDEX GOES BRRR: 249 X: 15.6133 +INDEX GOES BRRR: 292 X: 18.2568 +INDEX GOES BRRR: 306 X: 19.165 +INDEX GOES BRRR: 1009 X: -0.925781 +INDEX GOES BRRR: 945 X: -4.89551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.50098 +INDEX GOES BRRR: 238 X: 14.8789 +INDEX GOES BRRR: 109 X: 6.8291 +INDEX GOES BRRR: 292 X: 18.2568 +INDEX GOES BRRR: 56 X: 3.53711 +INDEX GOES BRRR: 881 X: -8.90625 +INDEX GOES BRRR: 38 X: 2.39844 +INDEX GOES BRRR: 936 X: -5.46777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.66016 +INDEX GOES BRRR: 107 X: 6.73145 +INDEX GOES BRRR: 224 X: 14.0371 +INDEX GOES BRRR: 144 X: 9.04492 +INDEX GOES BRRR: 240 X: 15.0039 +INDEX GOES BRRR: 63 X: 3.98535 +INDEX GOES BRRR: 179 X: 11.2314 +INDEX GOES BRRR: 480 X: 30.0254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.01758 +INDEX GOES BRRR: 276 X: 17.2598 +INDEX GOES BRRR: 404 X: 25.2998 +INDEX GOES BRRR: 355 X: 22.2295 +INDEX GOES BRRR: 185 X: 11.5635 +INDEX GOES BRRR: 291 X: 18.208 +INDEX GOES BRRR: 191 X: 11.959 +INDEX GOES BRRR: 87 X: 5.45312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.70898 +INDEX GOES BRRR: 54 X: 3.3877 +INDEX GOES BRRR: 15 X: 0.975586 +INDEX GOES BRRR: 236 X: 14.7588 +INDEX GOES BRRR: 363 X: 22.6885 +INDEX GOES BRRR: 358 X: 22.4316 +INDEX GOES BRRR: 358 X: 22.3945 +INDEX GOES BRRR: 55 X: 3.45312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 880 X: -8.9873 +INDEX GOES BRRR: 105 X: 6.61719 +INDEX GOES BRRR: 862 X: -10.1113 +INDEX GOES BRRR: 263 X: 16.4541 +INDEX GOES BRRR: 71 X: 4.46289 +INDEX GOES BRRR: 249 X: 15.584 +INDEX GOES BRRR: 346 X: 21.6836 +INDEX GOES BRRR: 51 X: 3.23145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2783 +INDEX GOES BRRR: 240 X: 15.0605 +INDEX GOES BRRR: 121 X: 7.58301 +INDEX GOES BRRR: 369 X: 23.1055 +INDEX GOES BRRR: 81 X: 5.10254 +INDEX GOES BRRR: 320 X: 20.0518 +INDEX GOES BRRR: 83 X: 5.21387 +INDEX GOES BRRR: 152 X: 9.53125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7031 +INDEX GOES BRRR: 127 X: 7.94141 +INDEX GOES BRRR: 91 X: 5.70801 +INDEX GOES BRRR: 89 X: 5.61914 +INDEX GOES BRRR: 155 X: 9.73438 +INDEX GOES BRRR: 83 X: 5.23242 +INDEX GOES BRRR: 108 X: 6.7998 +INDEX GOES BRRR: 153 X: 9.60547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 276 X: 17.3066 +INDEX GOES BRRR: 299 X: 18.7314 +INDEX GOES BRRR: 127 X: 7.95605 +INDEX GOES BRRR: 110 X: 6.8916 +INDEX GOES BRRR: 351 X: 21.9756 +INDEX GOES BRRR: 38 X: 2.38379 +INDEX GOES BRRR: 54 X: 3.40527 +INDEX GOES BRRR: 176 X: 11.0566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 422 X: 26.3984 +INDEX GOES BRRR: 371 X: 23.2363 +INDEX GOES BRRR: 996 X: -1.72168 +INDEX GOES BRRR: 62 X: 3.93555 +INDEX GOES BRRR: 167 X: 10.457 +INDEX GOES BRRR: 224 X: 14.042 +INDEX GOES BRRR: 16 X: 1.00488 +INDEX GOES BRRR: 23 X: 1.45801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 214 X: 13.4121 +INDEX GOES BRRR: 121 X: 7.60645 +INDEX GOES BRRR: 839 X: -11.5508 +INDEX GOES BRRR: 971 X: -3.27344 +INDEX GOES BRRR: 183 X: 11.4824 +INDEX GOES BRRR: 366 X: 22.918 +INDEX GOES BRRR: 88 X: 5.53223 +INDEX GOES BRRR: 188 X: 11.7939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.0723 +INDEX GOES BRRR: 123 X: 7.74414 +INDEX GOES BRRR: 976 X: -2.98438 +INDEX GOES BRRR: 944 X: -4.95605 +INDEX GOES BRRR: 85 X: 5.3457 +INDEX GOES BRRR: 296 X: 18.5361 +INDEX GOES BRRR: 188 X: 11.791 +INDEX GOES BRRR: 935 X: -5.5498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 979 X: -2.77734 +INDEX GOES BRRR: 117 X: 7.36426 +INDEX GOES BRRR: 249 X: 15.6064 +INDEX GOES BRRR: 215 X: 13.4814 +INDEX GOES BRRR: 125 X: 7.86523 +INDEX GOES BRRR: 796 X: -14.25 +INDEX GOES BRRR: 29 X: 1.85547 +INDEX GOES BRRR: 390 X: 24.4033 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.72266 +INDEX GOES BRRR: 86 X: 5.41406 +INDEX GOES BRRR: 939 X: -5.2627 +INDEX GOES BRRR: 231 X: 14.4434 +INDEX GOES BRRR: 86 X: 5.4082 +INDEX GOES BRRR: 163 X: 10.2354 +INDEX GOES BRRR: 991 X: -2.02051 +INDEX GOES BRRR: 327 X: 20.4658 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 299 X: 18.6924 +INDEX GOES BRRR: 189 X: 11.8301 +INDEX GOES BRRR: 302 X: 18.875 +INDEX GOES BRRR: 266 X: 16.6338 +INDEX GOES BRRR: 200 X: 12.5156 +INDEX GOES BRRR: 261 X: 16.3213 +INDEX GOES BRRR: 391 X: 24.4619 +INDEX GOES BRRR: 125 X: 7.83691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.2168 +INDEX GOES BRRR: 456 X: 28.5234 +INDEX GOES BRRR: 930 X: -5.84082 +INDEX GOES BRRR: 464 X: 29.0234 +INDEX GOES BRRR: 163 X: 10.1973 +INDEX GOES BRRR: 197 X: 12.3232 +INDEX GOES BRRR: 15 X: 0.950195 +INDEX GOES BRRR: 160 X: 10.0342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 920 X: -6.45703 +INDEX GOES BRRR: 172 X: 10.7705 +INDEX GOES BRRR: 252 X: 15.7676 +INDEX GOES BRRR: 68 X: 4.29688 +INDEX GOES BRRR: 306 X: 19.165 +INDEX GOES BRRR: 203 X: 12.7236 +INDEX GOES BRRR: 221 X: 13.8359 +INDEX GOES BRRR: 4 X: 0.291992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 992 X: -1.98047 +INDEX GOES BRRR: 212 X: 13.3008 +INDEX GOES BRRR: 169 X: 10.6035 +INDEX GOES BRRR: 365 X: 22.8379 +INDEX GOES BRRR: 281 X: 17.583 +INDEX GOES BRRR: 204 X: 12.7588 +INDEX GOES BRRR: 118 X: 7.41504 +INDEX GOES BRRR: 178 X: 11.1318 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.27148 +INDEX GOES BRRR: 270 X: 16.9004 +INDEX GOES BRRR: 432 X: 27.0518 +INDEX GOES BRRR: 20 X: 1.28027 +INDEX GOES BRRR: 48 X: 3.01758 +INDEX GOES BRRR: 200 X: 12.54 +INDEX GOES BRRR: 151 X: 9.48535 +INDEX GOES BRRR: 261 X: 16.3662 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 326 X: 20.4199 +INDEX GOES BRRR: 64 X: 4.05273 +INDEX GOES BRRR: 6 X: 0.415039 +INDEX GOES BRRR: 8 X: 0.530273 +INDEX GOES BRRR: 326 X: 20.3799 +INDEX GOES BRRR: 40 X: 2.55859 +INDEX GOES BRRR: 276 X: 17.2695 +INDEX GOES BRRR: 22 X: 1.42383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 94 X: 5.93164 +INDEX GOES BRRR: 11 X: 0.749023 +INDEX GOES BRRR: 1008 X: -0.980469 +INDEX GOES BRRR: 342 X: 21.4023 +INDEX GOES BRRR: 86 X: 5.38965 +INDEX GOES BRRR: 150 X: 9.43359 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 204 X: 12.7988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.73828 +INDEX GOES BRRR: 83 X: 5.24219 +INDEX GOES BRRR: 660 X: -22.7178 +INDEX GOES BRRR: 118 X: 7.40039 +INDEX GOES BRRR: 149 X: 9.32715 +INDEX GOES BRRR: 247 X: 15.4795 +INDEX GOES BRRR: 960 X: -3.94043 +INDEX GOES BRRR: 167 X: 10.4629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.81738 +INDEX GOES BRRR: 47 X: 2.96289 +INDEX GOES BRRR: 60 X: 3.81152 +INDEX GOES BRRR: 936 X: -5.4873 +INDEX GOES BRRR: 287 X: 17.9893 +INDEX GOES BRRR: 265 X: 16.6094 +INDEX GOES BRRR: 177 X: 11.1123 +INDEX GOES BRRR: 211 X: 13.2412 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2666 +INDEX GOES BRRR: 101 X: 6.31738 +INDEX GOES BRRR: 295 X: 18.4824 +INDEX GOES BRRR: 5 X: 0.341797 +INDEX GOES BRRR: 14 X: 0.929688 +INDEX GOES BRRR: 57 X: 3.57324 +INDEX GOES BRRR: 356 X: 22.2871 +INDEX GOES BRRR: 210 X: 13.1455 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.959 +INDEX GOES BRRR: 113 X: 7.0957 +INDEX GOES BRRR: 303 X: 18.9961 +INDEX GOES BRRR: 87 X: 5.46094 +INDEX GOES BRRR: 242 X: 15.1582 +INDEX GOES BRRR: 944 X: -4.95605 +INDEX GOES BRRR: 241 X: 15.1064 +INDEX GOES BRRR: 323 X: 20.2256 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7109 +INDEX GOES BRRR: 231 X: 14.4902 +INDEX GOES BRRR: 451 X: 28.2363 +INDEX GOES BRRR: 341 X: 21.3496 +INDEX GOES BRRR: 270 X: 16.915 +INDEX GOES BRRR: 924 X: -6.2041 +INDEX GOES BRRR: 139 X: 8.71973 +INDEX GOES BRRR: 210 X: 13.1641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.7393 +INDEX GOES BRRR: 87 X: 5.44238 +INDEX GOES BRRR: 634 X: -24.3223 +INDEX GOES BRRR: 212 X: 13.2979 +INDEX GOES BRRR: 966 X: -3.62305 +INDEX GOES BRRR: 377 X: 23.5811 +INDEX GOES BRRR: 186 X: 11.6484 +INDEX GOES BRRR: 214 X: 13.3867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.0957 +INDEX GOES BRRR: 353 X: 22.0684 +INDEX GOES BRRR: 88 X: 5.55371 +INDEX GOES BRRR: 41 X: 2.56738 +INDEX GOES BRRR: 268 X: 16.7803 +INDEX GOES BRRR: 199 X: 12.4805 +INDEX GOES BRRR: 287 X: 17.9395 +INDEX GOES BRRR: 138 X: 8.63184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.06641 +INDEX GOES BRRR: 301 X: 18.8174 +INDEX GOES BRRR: 429 X: 26.8486 +INDEX GOES BRRR: 955 X: -4.28516 +INDEX GOES BRRR: 264 X: 16.5322 +INDEX GOES BRRR: 331 X: 20.6973 +INDEX GOES BRRR: 309 X: 19.373 +INDEX GOES BRRR: 441 X: 27.624 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.43359 +INDEX GOES BRRR: 901 X: -7.6582 +INDEX GOES BRRR: 181 X: 11.3691 +INDEX GOES BRRR: 432 X: 27.0303 +INDEX GOES BRRR: 132 X: 8.29492 +INDEX GOES BRRR: 51 X: 3.21289 +INDEX GOES BRRR: 855 X: -10.5156 +INDEX GOES BRRR: 159 X: 9.94043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9727 +INDEX GOES BRRR: 920 X: -6.48242 +INDEX GOES BRRR: 292 X: 18.2842 +INDEX GOES BRRR: 220 X: 13.7949 +INDEX GOES BRRR: 333 X: 20.8164 +INDEX GOES BRRR: 389 X: 24.3223 +INDEX GOES BRRR: 10 X: 0.667969 +INDEX GOES BRRR: 163 X: 10.2031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.7666 +INDEX GOES BRRR: 167 X: 10.4424 +INDEX GOES BRRR: 316 X: 19.7715 +INDEX GOES BRRR: 897 X: -7.8916 +INDEX GOES BRRR: 236 X: 14.8115 +INDEX GOES BRRR: 887 X: -8.55859 +INDEX GOES BRRR: 175 X: 10.9443 +INDEX GOES BRRR: 865 X: -9.89746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.00488 +INDEX GOES BRRR: 42 X: 2.625 +INDEX GOES BRRR: 27 X: 1.69238 +INDEX GOES BRRR: 963 X: -3.75781 +INDEX GOES BRRR: 171 X: 10.6885 +INDEX GOES BRRR: 206 X: 12.9336 +INDEX GOES BRRR: 31 X: 1.9707 +INDEX GOES BRRR: 77 X: 4.86914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 338 X: 21.1865 +INDEX GOES BRRR: 108 X: 6.76465 +INDEX GOES BRRR: 254 X: 15.9209 +INDEX GOES BRRR: 454 X: 28.3955 +INDEX GOES BRRR: 229 X: 14.3535 +INDEX GOES BRRR: 28 X: 1.81152 +INDEX GOES BRRR: 895 X: -8.04004 +INDEX GOES BRRR: 377 X: 23.6113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.7705 +INDEX GOES BRRR: 265 X: 16.6162 +INDEX GOES BRRR: 177 X: 11.1162 +INDEX GOES BRRR: 276 X: 17.2588 +INDEX GOES BRRR: 293 X: 18.3721 +INDEX GOES BRRR: 248 X: 15.5537 +INDEX GOES BRRR: 365 X: 22.8613 +INDEX GOES BRRR: 21 X: 1.32227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 432 X: 27.0547 +INDEX GOES BRRR: 969 X: -3.42578 +INDEX GOES BRRR: 113 X: 7.09766 +INDEX GOES BRRR: 493 X: 30.8203 +INDEX GOES BRRR: 315 X: 19.7227 +INDEX GOES BRRR: 217 X: 13.5967 +INDEX GOES BRRR: 481 X: 30.085 +INDEX GOES BRRR: 174 X: 10.8906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 911 X: -7.03711 +INDEX GOES BRRR: 154 X: 9.66699 +INDEX GOES BRRR: 108 X: 6.76758 +INDEX GOES BRRR: 315 X: 19.7236 +INDEX GOES BRRR: 257 X: 16.1113 +INDEX GOES BRRR: 67 X: 4.2168 +INDEX GOES BRRR: 935 X: -5.54785 +INDEX GOES BRRR: 133 X: 8.37109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 419 X: 26.2432 +INDEX GOES BRRR: 380 X: 23.7588 +INDEX GOES BRRR: 320 X: 20.0508 +INDEX GOES BRRR: 296 X: 18.5527 +INDEX GOES BRRR: 123 X: 7.71094 +INDEX GOES BRRR: 348 X: 21.7793 +INDEX GOES BRRR: 303 X: 18.958 +INDEX GOES BRRR: 361 X: 22.6182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.16797 +INDEX GOES BRRR: 294 X: 18.416 +INDEX GOES BRRR: 979 X: -2.76953 +INDEX GOES BRRR: 134 X: 8.39355 +INDEX GOES BRRR: 217 X: 13.5762 +INDEX GOES BRRR: 153 X: 9.59473 +INDEX GOES BRRR: 988 X: -2.22852 +INDEX GOES BRRR: 287 X: 17.9404 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.20703 +INDEX GOES BRRR: 149 X: 9.36035 +INDEX GOES BRRR: 349 X: 21.8584 +INDEX GOES BRRR: 189 X: 11.8594 +INDEX GOES BRRR: 88 X: 5.55762 +INDEX GOES BRRR: 77 X: 4.86816 +INDEX GOES BRRR: 142 X: 8.90918 +INDEX GOES BRRR: 214 X: 13.4121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.92969 +INDEX GOES BRRR: 278 X: 17.4336 +INDEX GOES BRRR: 386 X: 24.1416 +INDEX GOES BRRR: 427 X: 26.7344 +INDEX GOES BRRR: 175 X: 10.9443 +INDEX GOES BRRR: 96 X: 6.02148 +INDEX GOES BRRR: 162 X: 10.1592 +INDEX GOES BRRR: 382 X: 23.9043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.10742 +INDEX GOES BRRR: 45 X: 2.84863 +INDEX GOES BRRR: 222 X: 13.9355 +INDEX GOES BRRR: 40 X: 2.53223 +INDEX GOES BRRR: 178 X: 11.1709 +INDEX GOES BRRR: 79 X: 4.94727 +INDEX GOES BRRR: 347 X: 21.6895 +INDEX GOES BRRR: 904 X: -7.44531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.31836 +INDEX GOES BRRR: 88 X: 5.5459 +INDEX GOES BRRR: 231 X: 14.4658 +INDEX GOES BRRR: 141 X: 8.81738 +INDEX GOES BRRR: 474 X: 29.6367 +INDEX GOES BRRR: 276 X: 17.2686 +INDEX GOES BRRR: 153 X: 9.57812 +INDEX GOES BRRR: 206 X: 12.918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.71094 +INDEX GOES BRRR: 203 X: 12.7197 +INDEX GOES BRRR: 226 X: 14.1611 +INDEX GOES BRRR: 298 X: 18.6406 +INDEX GOES BRRR: 60 X: 3.76074 +INDEX GOES BRRR: 182 X: 11.4111 +INDEX GOES BRRR: 36 X: 2.25781 +INDEX GOES BRRR: 1014 X: -0.600586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.6982 +INDEX GOES BRRR: 981 X: -2.64062 +INDEX GOES BRRR: 151 X: 9.48047 +INDEX GOES BRRR: 175 X: 10.9521 +INDEX GOES BRRR: 66 X: 4.14355 +INDEX GOES BRRR: 280 X: 17.5439 +INDEX GOES BRRR: 185 X: 11.5771 +INDEX GOES BRRR: 422 X: 26.4092 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0947 +INDEX GOES BRRR: 283 X: 17.7197 +INDEX GOES BRRR: 75 X: 4.7041 +INDEX GOES BRRR: 236 X: 14.791 +INDEX GOES BRRR: 26 X: 1.6748 +INDEX GOES BRRR: 218 X: 13.666 +INDEX GOES BRRR: 886 X: -8.60645 +INDEX GOES BRRR: 1022 X: -0.0917969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1406 +INDEX GOES BRRR: 383 X: 23.9521 +INDEX GOES BRRR: 328 X: 20.5615 +INDEX GOES BRRR: 320 X: 20.0312 +INDEX GOES BRRR: 152 X: 9.54102 +INDEX GOES BRRR: 103 X: 6.49805 +INDEX GOES BRRR: 309 X: 19.3242 +INDEX GOES BRRR: 103 X: 6.49316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.7979 +INDEX GOES BRRR: 365 X: 22.8135 +INDEX GOES BRRR: 105 X: 6.5791 +INDEX GOES BRRR: 917 X: -6.6709 +INDEX GOES BRRR: 893 X: -8.12793 +INDEX GOES BRRR: 209 X: 13.1201 +INDEX GOES BRRR: 225 X: 14.083 +INDEX GOES BRRR: 286 X: 17.9209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1338 +INDEX GOES BRRR: 118 X: 7.40527 +INDEX GOES BRRR: 4 X: 0.277344 +INDEX GOES BRRR: 258 X: 16.1494 +INDEX GOES BRRR: 112 X: 7.02051 +INDEX GOES BRRR: 146 X: 9.15039 +INDEX GOES BRRR: 400 X: 25.0322 +INDEX GOES BRRR: 364 X: 22.7979 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3232 +INDEX GOES BRRR: 868 X: -9.73926 +INDEX GOES BRRR: 179 X: 11.2441 +INDEX GOES BRRR: 288 X: 18.042 +INDEX GOES BRRR: 20 X: 1.31055 +INDEX GOES BRRR: 65 X: 4.09863 +INDEX GOES BRRR: 370 X: 23.1729 +INDEX GOES BRRR: 214 X: 13.4199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9932 +INDEX GOES BRRR: 100 X: 6.2666 +INDEX GOES BRRR: 225 X: 14.1035 +INDEX GOES BRRR: 148 X: 9.30469 +INDEX GOES BRRR: 62 X: 3.92969 +INDEX GOES BRRR: 0 X: 0.0429688 +INDEX GOES BRRR: 130 X: 8.15625 +INDEX GOES BRRR: 79 X: 4.99902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8271 +INDEX GOES BRRR: 265 X: 16.6152 +INDEX GOES BRRR: 178 X: 11.1641 +INDEX GOES BRRR: 102 X: 6.42969 +INDEX GOES BRRR: 204 X: 12.7861 +INDEX GOES BRRR: 93 X: 5.87109 +INDEX GOES BRRR: 252 X: 15.7568 +INDEX GOES BRRR: 131 X: 8.22559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 855 X: -10.5137 +INDEX GOES BRRR: 326 X: 20.4111 +INDEX GOES BRRR: 205 X: 12.873 +INDEX GOES BRRR: 268 X: 16.7666 +INDEX GOES BRRR: 53 X: 3.32227 +INDEX GOES BRRR: 261 X: 16.3281 +INDEX GOES BRRR: 88 X: 5.55762 +INDEX GOES BRRR: 188 X: 11.7793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.80176 +INDEX GOES BRRR: 931 X: -5.76074 +INDEX GOES BRRR: 235 X: 14.7168 +INDEX GOES BRRR: 331 X: 20.7305 +INDEX GOES BRRR: 1012 X: -0.75 +INDEX GOES BRRR: 43 X: 2.70996 +INDEX GOES BRRR: 35 X: 2.20605 +INDEX GOES BRRR: 112 X: 7.04297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 731 X: -18.2539 +INDEX GOES BRRR: 16 X: 1.04492 +INDEX GOES BRRR: 210 X: 13.1543 +INDEX GOES BRRR: 82 X: 5.18066 +INDEX GOES BRRR: 219 X: 13.7168 +INDEX GOES BRRR: 301 X: 18.8711 +INDEX GOES BRRR: 371 X: 23.1943 +INDEX GOES BRRR: 282 X: 17.6699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 368 X: 23.0264 +INDEX GOES BRRR: 257 X: 16.0674 +INDEX GOES BRRR: 147 X: 9.24512 +INDEX GOES BRRR: 269 X: 16.8447 +INDEX GOES BRRR: 984 X: -2.49805 +INDEX GOES BRRR: 217 X: 13.6123 +INDEX GOES BRRR: 146 X: 9.12988 +INDEX GOES BRRR: 387 X: 24.249 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.51074 +INDEX GOES BRRR: 359 X: 22.4932 +INDEX GOES BRRR: 141 X: 8.84375 +INDEX GOES BRRR: 293 X: 18.3662 +INDEX GOES BRRR: 45 X: 2.86523 +INDEX GOES BRRR: 181 X: 11.3477 +INDEX GOES BRRR: 332 X: 20.7578 +INDEX GOES BRRR: 290 X: 18.1758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 308 X: 19.2764 +INDEX GOES BRRR: 240 X: 15.0039 +INDEX GOES BRRR: 40 X: 2.55176 +INDEX GOES BRRR: 1022 X: -0.117188 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 178 X: 11.1855 +INDEX GOES BRRR: 200 X: 12.5439 +INDEX GOES BRRR: 477 X: 29.8174 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4541 +INDEX GOES BRRR: 2 X: 0.177734 +INDEX GOES BRRR: 135 X: 8.46582 +INDEX GOES BRRR: 393 X: 24.5684 +INDEX GOES BRRR: 192 X: 12.0332 +INDEX GOES BRRR: 918 X: -6.61719 +INDEX GOES BRRR: 197 X: 12.3701 +INDEX GOES BRRR: 154 X: 9.62988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.95215 +INDEX GOES BRRR: 953 X: -4.42578 +INDEX GOES BRRR: 184 X: 11.5205 +INDEX GOES BRRR: 1020 X: -0.225586 +INDEX GOES BRRR: 934 X: -5.62305 +INDEX GOES BRRR: 180 X: 11.3027 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 333 X: 20.8623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 282 X: 17.6514 +INDEX GOES BRRR: 1005 X: -1.18164 +INDEX GOES BRRR: 126 X: 7.91504 +INDEX GOES BRRR: 52 X: 3.28223 +INDEX GOES BRRR: 99 X: 6.20898 +INDEX GOES BRRR: 185 X: 11.5918 +INDEX GOES BRRR: 87 X: 5.46387 +INDEX GOES BRRR: 21 X: 1.35547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.2168 +INDEX GOES BRRR: 347 X: 21.7314 +INDEX GOES BRRR: 244 X: 15.251 +INDEX GOES BRRR: 269 X: 16.8213 +INDEX GOES BRRR: 970 X: -3.3623 +INDEX GOES BRRR: 294 X: 18.4346 +INDEX GOES BRRR: 867 X: -9.7793 +INDEX GOES BRRR: 186 X: 11.6416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1011 X: -0.786133 +INDEX GOES BRRR: 177 X: 11.1045 +INDEX GOES BRRR: 256 X: 16.0137 +INDEX GOES BRRR: 60 X: 3.75391 +INDEX GOES BRRR: 200 X: 12.5029 +INDEX GOES BRRR: 107 X: 6.69922 +INDEX GOES BRRR: 306 X: 19.1611 +INDEX GOES BRRR: 98 X: 6.18066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8203 +INDEX GOES BRRR: 235 X: 14.709 +INDEX GOES BRRR: 371 X: 23.2012 +INDEX GOES BRRR: 177 X: 11.1084 +INDEX GOES BRRR: 869 X: -9.66113 +INDEX GOES BRRR: 417 X: 26.082 +INDEX GOES BRRR: 970 X: -3.35938 +INDEX GOES BRRR: 31 X: 1.96875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6738 +INDEX GOES BRRR: 100 X: 6.2832 +INDEX GOES BRRR: 196 X: 12.2637 +INDEX GOES BRRR: 1021 X: -0.174805 +INDEX GOES BRRR: 268 X: 16.7988 +INDEX GOES BRRR: 90 X: 5.64844 +INDEX GOES BRRR: 215 X: 13.4932 +INDEX GOES BRRR: 70 X: 4.38965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 969 X: -3.39355 +INDEX GOES BRRR: 139 X: 8.72852 +INDEX GOES BRRR: 107 X: 6.71191 +INDEX GOES BRRR: 158 X: 9.87695 +INDEX GOES BRRR: 402 X: 25.1748 +INDEX GOES BRRR: 98 X: 6.14258 +INDEX GOES BRRR: 94 X: 5.89062 +INDEX GOES BRRR: 907 X: -7.28418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0342 +INDEX GOES BRRR: 322 X: 20.1846 +INDEX GOES BRRR: 147 X: 9.21777 +INDEX GOES BRRR: 926 X: -6.11133 +INDEX GOES BRRR: 168 X: 10.5068 +INDEX GOES BRRR: 197 X: 12.3486 +INDEX GOES BRRR: 207 X: 12.9863 +INDEX GOES BRRR: 1012 X: -0.726562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 964 X: -3.75 +INDEX GOES BRRR: 274 X: 17.1279 +INDEX GOES BRRR: 958 X: -4.12402 +INDEX GOES BRRR: 68 X: 4.25879 +INDEX GOES BRRR: 141 X: 8.83398 +INDEX GOES BRRR: 125 X: 7.83398 +INDEX GOES BRRR: 24 X: 1.55176 +INDEX GOES BRRR: 203 X: 12.6914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.44629 +INDEX GOES BRRR: 932 X: -5.7002 +INDEX GOES BRRR: 188 X: 11.7998 +INDEX GOES BRRR: 479 X: 29.9629 +INDEX GOES BRRR: 38 X: 2.43652 +INDEX GOES BRRR: 223 X: 13.9863 +INDEX GOES BRRR: 60 X: 3.79883 +INDEX GOES BRRR: 96 X: 6.05371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.9316 +INDEX GOES BRRR: 348 X: 21.8037 +INDEX GOES BRRR: 394 X: 24.6279 +INDEX GOES BRRR: 189 X: 11.8555 +INDEX GOES BRRR: 128 X: 8.05273 +INDEX GOES BRRR: 82 X: 5.18164 +INDEX GOES BRRR: 223 X: 13.9668 +INDEX GOES BRRR: 87 X: 5.44043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 967 X: -3.55566 +INDEX GOES BRRR: 159 X: 9.97852 +INDEX GOES BRRR: 258 X: 16.127 +INDEX GOES BRRR: 249 X: 15.5811 +INDEX GOES BRRR: 207 X: 12.9473 +INDEX GOES BRRR: 851 X: -10.8008 +INDEX GOES BRRR: 179 X: 11.1992 +INDEX GOES BRRR: 294 X: 18.375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9678 +INDEX GOES BRRR: 184 X: 11.5166 +INDEX GOES BRRR: 281 X: 17.6201 +INDEX GOES BRRR: 191 X: 11.958 +INDEX GOES BRRR: 232 X: 14.5439 +INDEX GOES BRRR: 12 X: 0.810547 +INDEX GOES BRRR: 216 X: 13.5537 +INDEX GOES BRRR: 928 X: -5.97461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 209 X: 13.0889 +INDEX GOES BRRR: 286 X: 17.9102 +INDEX GOES BRRR: 386 X: 24.1504 +INDEX GOES BRRR: 917 X: -6.66406 +INDEX GOES BRRR: 288 X: 18.0117 +INDEX GOES BRRR: 47 X: 2.94824 +INDEX GOES BRRR: 264 X: 16.54 +INDEX GOES BRRR: 874 X: -9.33105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.106445 +INDEX GOES BRRR: 196 X: 12.2803 +INDEX GOES BRRR: 99 X: 6.22559 +INDEX GOES BRRR: 897 X: -7.93457 +INDEX GOES BRRR: 921 X: -6.42969 +INDEX GOES BRRR: 425 X: 26.6084 +INDEX GOES BRRR: 985 X: -2.39551 +INDEX GOES BRRR: 252 X: 15.7705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 34 X: 2.1748 +INDEX GOES BRRR: 284 X: 17.7549 +INDEX GOES BRRR: 385 X: 24.1104 +INDEX GOES BRRR: 1021 X: -0.172852 +INDEX GOES BRRR: 384 X: 24.0127 +INDEX GOES BRRR: 281 X: 17.6191 +INDEX GOES BRRR: 151 X: 9.44141 +INDEX GOES BRRR: 180 X: 11.291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 450 X: 28.1602 +INDEX GOES BRRR: 93 X: 5.85352 +INDEX GOES BRRR: 1022 X: -0.0947266 +INDEX GOES BRRR: 202 X: 12.6396 +INDEX GOES BRRR: 448 X: 28.0391 +INDEX GOES BRRR: 108 X: 6.80273 +INDEX GOES BRRR: 799 X: -14.0049 +INDEX GOES BRRR: 192 X: 12.043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5244 +INDEX GOES BRRR: 402 X: 25.1719 +INDEX GOES BRRR: 145 X: 9.09082 +INDEX GOES BRRR: 248 X: 15.54 +INDEX GOES BRRR: 87 X: 5.4375 +INDEX GOES BRRR: 137 X: 8.58301 +INDEX GOES BRRR: 821 X: -12.6426 +INDEX GOES BRRR: 281 X: 17.583 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0342 +INDEX GOES BRRR: 437 X: 27.3506 +INDEX GOES BRRR: 202 X: 12.6699 +INDEX GOES BRRR: 145 X: 9.12012 +INDEX GOES BRRR: 184 X: 11.5615 +INDEX GOES BRRR: 338 X: 21.1523 +INDEX GOES BRRR: 237 X: 14.8447 +INDEX GOES BRRR: 126 X: 7.89551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.50977 +INDEX GOES BRRR: 276 X: 17.3086 +INDEX GOES BRRR: 454 X: 28.4102 +INDEX GOES BRRR: 493 X: 30.8711 +INDEX GOES BRRR: 44 X: 2.76855 +INDEX GOES BRRR: 256 X: 16.0439 +INDEX GOES BRRR: 205 X: 12.8721 +INDEX GOES BRRR: 867 X: -9.79688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 22 X: 1.39453 +INDEX GOES BRRR: 100 X: 6.29004 +INDEX GOES BRRR: 143 X: 8.97754 +INDEX GOES BRRR: 363 X: 22.7344 +INDEX GOES BRRR: 191 X: 11.9775 +INDEX GOES BRRR: 934 X: -5.59082 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 431 X: 26.9492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1008 X: -0.939453 +INDEX GOES BRRR: 969 X: -3.39258 +INDEX GOES BRRR: 968 X: -3.45117 +INDEX GOES BRRR: 158 X: 9.91406 +INDEX GOES BRRR: 833 X: -11.9033 +INDEX GOES BRRR: 222 X: 13.876 +INDEX GOES BRRR: 955 X: -4.2998 +INDEX GOES BRRR: 66 X: 4.16113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.92383 +INDEX GOES BRRR: 462 X: 28.9346 +INDEX GOES BRRR: 264 X: 16.5146 +INDEX GOES BRRR: 910 X: -7.06348 +INDEX GOES BRRR: 822 X: -12.583 +INDEX GOES BRRR: 22 X: 1.37891 +INDEX GOES BRRR: 391 X: 24.4395 +INDEX GOES BRRR: 858 X: -10.3604 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.0596 +INDEX GOES BRRR: 111 X: 6.96387 +INDEX GOES BRRR: 264 X: 16.5312 +INDEX GOES BRRR: 931 X: -5.80859 +INDEX GOES BRRR: 178 X: 11.1357 +INDEX GOES BRRR: 121 X: 7.60156 +INDEX GOES BRRR: 474 X: 29.6572 +INDEX GOES BRRR: 77 X: 4.81543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.327148 +INDEX GOES BRRR: 175 X: 10.9785 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1013 X: -0.667969 +INDEX GOES BRRR: 878 X: -9.11523 +INDEX GOES BRRR: 38 X: 2.41895 +INDEX GOES BRRR: 812 X: -13.1963 +INDEX GOES BRRR: 102 X: 6.42188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 13 X: 0.870117 +INDEX GOES BRRR: 425 X: 26.6152 +INDEX GOES BRRR: 323 X: 20.2188 +INDEX GOES BRRR: 375 X: 23.4902 +INDEX GOES BRRR: 96 X: 6.05176 +INDEX GOES BRRR: 992 X: -1.96875 +INDEX GOES BRRR: 71 X: 4.45508 +INDEX GOES BRRR: 339 X: 21.2197 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6777 +INDEX GOES BRRR: 300 X: 18.7559 +INDEX GOES BRRR: 24 X: 1.52246 +INDEX GOES BRRR: 138 X: 8.66016 +INDEX GOES BRRR: 173 X: 10.8359 +INDEX GOES BRRR: 222 X: 13.8906 +INDEX GOES BRRR: 925 X: -6.13867 +INDEX GOES BRRR: 123 X: 7.73828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.1992 +INDEX GOES BRRR: 116 X: 7.25293 +INDEX GOES BRRR: 209 X: 13.1123 +INDEX GOES BRRR: 73 X: 4.58594 +INDEX GOES BRRR: 8 X: 0.554688 +INDEX GOES BRRR: 949 X: -4.62988 +INDEX GOES BRRR: 207 X: 12.9482 +INDEX GOES BRRR: 19 X: 1.19531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 348 X: 21.7734 +INDEX GOES BRRR: 968 X: -3.4707 +INDEX GOES BRRR: 157 X: 9.82129 +INDEX GOES BRRR: 225 X: 14.0918 +INDEX GOES BRRR: 48 X: 3.0293 +INDEX GOES BRRR: 209 X: 13.0664 +INDEX GOES BRRR: 174 X: 10.915 +INDEX GOES BRRR: 236 X: 14.7793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 84 X: 5.29102 +INDEX GOES BRRR: 77 X: 4.83594 +INDEX GOES BRRR: 910 X: -7.08984 +INDEX GOES BRRR: 189 X: 11.8301 +INDEX GOES BRRR: 48 X: 3.02246 +INDEX GOES BRRR: 59 X: 3.72656 +INDEX GOES BRRR: 999 X: -1.52832 +INDEX GOES BRRR: 296 X: 18.5498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1533 +INDEX GOES BRRR: 951 X: -4.50098 +INDEX GOES BRRR: 960 X: -3.93945 +INDEX GOES BRRR: 8 X: 0.512695 +INDEX GOES BRRR: 200 X: 12.5449 +INDEX GOES BRRR: 155 X: 9.69824 +INDEX GOES BRRR: 452 X: 28.2812 +INDEX GOES BRRR: 897 X: -7.88477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3477 +INDEX GOES BRRR: 165 X: 10.3164 +INDEX GOES BRRR: 95 X: 5.96289 +INDEX GOES BRRR: 56 X: 3.52344 +INDEX GOES BRRR: 156 X: 9.77637 +INDEX GOES BRRR: 968 X: -3.47461 +INDEX GOES BRRR: 1 X: 0.0644531 +INDEX GOES BRRR: 187 X: 11.7236 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 224 X: 14.001 +INDEX GOES BRRR: 387 X: 24.2197 +INDEX GOES BRRR: 318 X: 19.9287 +INDEX GOES BRRR: 222 X: 13.9209 +INDEX GOES BRRR: 271 X: 16.9434 +INDEX GOES BRRR: 379 X: 23.709 +INDEX GOES BRRR: 983 X: -2.52441 +INDEX GOES BRRR: 132 X: 8.26074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.541 +INDEX GOES BRRR: 422 X: 26.4277 +INDEX GOES BRRR: 215 X: 13.4873 +INDEX GOES BRRR: 199 X: 12.4512 +INDEX GOES BRRR: 429 X: 26.8145 +INDEX GOES BRRR: 161 X: 10.0781 +INDEX GOES BRRR: 3 X: 0.227539 +INDEX GOES BRRR: 997 X: -1.63672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 483 X: 30.2461 +INDEX GOES BRRR: 81 X: 5.08105 +INDEX GOES BRRR: 173 X: 10.8281 +INDEX GOES BRRR: 9 X: 0.564453 +INDEX GOES BRRR: 987 X: -2.28906 +INDEX GOES BRRR: 113 X: 7.06348 +INDEX GOES BRRR: 325 X: 20.3369 +INDEX GOES BRRR: 292 X: 18.2588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 97 X: 6.06543 +INDEX GOES BRRR: 195 X: 12.1973 +INDEX GOES BRRR: 30 X: 1.91602 +INDEX GOES BRRR: 143 X: 8.99609 +INDEX GOES BRRR: 66 X: 4.17285 +INDEX GOES BRRR: 144 X: 9.05371 +INDEX GOES BRRR: 973 X: -3.13867 +INDEX GOES BRRR: 148 X: 9.30371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 3 X: 0.217773 +INDEX GOES BRRR: 49 X: 3.09961 +INDEX GOES BRRR: 997 X: -1.64746 +INDEX GOES BRRR: 107 X: 6.71973 +INDEX GOES BRRR: 435 X: 27.2471 +INDEX GOES BRRR: 1019 X: -0.289062 +INDEX GOES BRRR: 982 X: -2.56641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.8633 +INDEX GOES BRRR: 884 X: -8.72656 +INDEX GOES BRRR: 303 X: 18.9775 +INDEX GOES BRRR: 978 X: -2.86719 +INDEX GOES BRRR: 249 X: 15.6055 +INDEX GOES BRRR: 284 X: 17.7793 +INDEX GOES BRRR: 1003 X: -1.26953 +INDEX GOES BRRR: 78 X: 4.88379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.32324 +INDEX GOES BRRR: 155 X: 9.70898 +INDEX GOES BRRR: 106 X: 6.67773 +INDEX GOES BRRR: 252 X: 15.7617 +INDEX GOES BRRR: 233 X: 14.6084 +INDEX GOES BRRR: 346 X: 21.6621 +INDEX GOES BRRR: 218 X: 13.6562 +INDEX GOES BRRR: 303 X: 18.9746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6455 +INDEX GOES BRRR: 181 X: 11.3525 +INDEX GOES BRRR: 856 X: -10.4922 +INDEX GOES BRRR: 941 X: -5.12695 +INDEX GOES BRRR: 486 X: 30.418 +INDEX GOES BRRR: 241 X: 15.083 +INDEX GOES BRRR: 987 X: -2.26953 +INDEX GOES BRRR: 192 X: 12.041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 19 X: 1.22949 +INDEX GOES BRRR: 376 X: 23.5127 +INDEX GOES BRRR: 69 X: 4.33008 +INDEX GOES BRRR: 174 X: 10.8936 +INDEX GOES BRRR: 7 X: 0.462891 +INDEX GOES BRRR: 252 X: 15.7959 +INDEX GOES BRRR: 182 X: 11.3936 +INDEX GOES BRRR: 341 X: 21.3691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.60254 +INDEX GOES BRRR: 426 X: 26.6611 +INDEX GOES BRRR: 147 X: 9.24316 +INDEX GOES BRRR: 336 X: 21.0586 +INDEX GOES BRRR: 130 X: 8.12695 +INDEX GOES BRRR: 918 X: -6.56543 +INDEX GOES BRRR: 975 X: -3.0498 +INDEX GOES BRRR: 356 X: 22.2744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0166 +INDEX GOES BRRR: 951 X: -4.50195 +INDEX GOES BRRR: 314 X: 19.6689 +INDEX GOES BRRR: 893 X: -8.14258 +INDEX GOES BRRR: 356 X: 22.2949 +INDEX GOES BRRR: 159 X: 9.95605 +INDEX GOES BRRR: 206 X: 12.9346 +INDEX GOES BRRR: 495 X: 30.9395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 46 X: 2.93262 +INDEX GOES BRRR: 319 X: 19.9434 +INDEX GOES BRRR: 866 X: -9.8252 +INDEX GOES BRRR: 379 X: 23.7031 +INDEX GOES BRRR: 316 X: 19.7979 +INDEX GOES BRRR: 230 X: 14.4121 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.74609 +INDEX GOES BRRR: 18 X: 1.16992 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 57 X: 3.61328 +INDEX GOES BRRR: 116 X: 7.2959 +INDEX GOES BRRR: 43 X: 2.70898 +INDEX GOES BRRR: 1020 X: -0.228516 +INDEX GOES BRRR: 834 X: -11.8701 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4658 +INDEX GOES BRRR: 175 X: 10.9424 +INDEX GOES BRRR: 274 X: 17.1475 +INDEX GOES BRRR: 312 X: 19.542 +INDEX GOES BRRR: 353 X: 22.083 +INDEX GOES BRRR: 78 X: 4.9043 +INDEX GOES BRRR: 472 X: 29.5449 +INDEX GOES BRRR: 195 X: 12.2227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1005 X: -1.17969 +INDEX GOES BRRR: 7 X: 0.487305 +INDEX GOES BRRR: 217 X: 13.5996 +INDEX GOES BRRR: 121 X: 7.56348 +INDEX GOES BRRR: 306 X: 19.1787 +INDEX GOES BRRR: 0 X: 0.0273438 +INDEX GOES BRRR: 99 X: 6.23828 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 34 X: 2.16016 +INDEX GOES BRRR: 29 X: 1.83594 +INDEX GOES BRRR: 73 X: 4.60938 +INDEX GOES BRRR: 137 X: 8.60742 +INDEX GOES BRRR: 240 X: 15.0029 +INDEX GOES BRRR: 263 X: 16.459 +INDEX GOES BRRR: 339 X: 21.2393 +INDEX GOES BRRR: 1 X: 0.117188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 332 X: 20.7539 +INDEX GOES BRRR: 69 X: 4.34375 +INDEX GOES BRRR: 270 X: 16.9297 +INDEX GOES BRRR: 132 X: 8.29785 +INDEX GOES BRRR: 389 X: 24.3447 +INDEX GOES BRRR: 73 X: 4.62402 +INDEX GOES BRRR: 301 X: 18.8467 +INDEX GOES BRRR: 365 X: 22.8467 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.99512 +INDEX GOES BRRR: 108 X: 6.77734 +INDEX GOES BRRR: 348 X: 21.8008 +INDEX GOES BRRR: 1011 X: -0.785156 +INDEX GOES BRRR: 388 X: 24.2852 +INDEX GOES BRRR: 62 X: 3.9043 +INDEX GOES BRRR: 227 X: 14.2471 +INDEX GOES BRRR: 1009 X: -0.910156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 465 X: 29.1035 +INDEX GOES BRRR: 295 X: 18.4941 +INDEX GOES BRRR: 169 X: 10.5811 +INDEX GOES BRRR: 97 X: 6.07812 +INDEX GOES BRRR: 427 X: 26.707 +INDEX GOES BRRR: 46 X: 2.87988 +INDEX GOES BRRR: 303 X: 18.9443 +INDEX GOES BRRR: 116 X: 7.2666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6299 +INDEX GOES BRRR: 196 X: 12.29 +INDEX GOES BRRR: 460 X: 28.7998 +INDEX GOES BRRR: 64 X: 4.02734 +INDEX GOES BRRR: 420 X: 26.2764 +INDEX GOES BRRR: 202 X: 12.626 +INDEX GOES BRRR: 230 X: 14.3945 +INDEX GOES BRRR: 160 X: 10.0234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.16992 +INDEX GOES BRRR: 65 X: 4.10156 +INDEX GOES BRRR: 907 X: -7.29297 +INDEX GOES BRRR: 136 X: 8.53223 +INDEX GOES BRRR: 138 X: 8.63672 +INDEX GOES BRRR: 940 X: -5.24707 +INDEX GOES BRRR: 3 X: 0.207031 +INDEX GOES BRRR: 126 X: 7.89258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.44824 +INDEX GOES BRRR: 171 X: 10.7373 +INDEX GOES BRRR: 1012 X: -0.688477 +INDEX GOES BRRR: 401 X: 25.0762 +INDEX GOES BRRR: 310 X: 19.4004 +INDEX GOES BRRR: 135 X: 8.45801 +INDEX GOES BRRR: 303 X: 18.9912 +INDEX GOES BRRR: 229 X: 14.3701 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 364 X: 22.7773 +INDEX GOES BRRR: 9 X: 0.574219 +INDEX GOES BRRR: 288 X: 18.0596 +INDEX GOES BRRR: 65 X: 4.09668 +INDEX GOES BRRR: 279 X: 17.4834 +INDEX GOES BRRR: 323 X: 20.2295 +INDEX GOES BRRR: 330 X: 20.6826 +INDEX GOES BRRR: 141 X: 8.83691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 285 X: 17.8467 +INDEX GOES BRRR: 969 X: -3.38184 +INDEX GOES BRRR: 463 X: 28.9824 +INDEX GOES BRRR: 904 X: -7.44922 +INDEX GOES BRRR: 77 X: 4.8291 +INDEX GOES BRRR: 3 X: 0.236328 +INDEX GOES BRRR: 281 X: 17.5742 +INDEX GOES BRRR: 379 X: 23.7148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.16895 +INDEX GOES BRRR: 148 X: 9.25195 +INDEX GOES BRRR: 206 X: 12.9111 +INDEX GOES BRRR: 211 X: 13.2217 +INDEX GOES BRRR: 948 X: -4.71191 +INDEX GOES BRRR: 46 X: 2.88281 +INDEX GOES BRRR: 151 X: 9.44727 +INDEX GOES BRRR: 254 X: 15.9209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 410 X: 25.6768 +INDEX GOES BRRR: 960 X: -3.96387 +INDEX GOES BRRR: 31 X: 1.98047 +INDEX GOES BRRR: 133 X: 8.33203 +INDEX GOES BRRR: 259 X: 16.2158 +INDEX GOES BRRR: 980 X: -2.74316 +INDEX GOES BRRR: 167 X: 10.4727 +INDEX GOES BRRR: 25 X: 1.58984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.3994 +INDEX GOES BRRR: 967 X: -3.56055 +INDEX GOES BRRR: 64 X: 4.03711 +INDEX GOES BRRR: 827 X: -12.292 +INDEX GOES BRRR: 308 X: 19.293 +INDEX GOES BRRR: 21 X: 1.34375 +INDEX GOES BRRR: 114 X: 7.17578 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.83301 +INDEX GOES BRRR: 315 X: 19.7314 +INDEX GOES BRRR: 1020 X: -0.206055 +INDEX GOES BRRR: 179 X: 11.207 +INDEX GOES BRRR: 15 X: 0.983398 +INDEX GOES BRRR: 38 X: 2.41016 +INDEX GOES BRRR: 316 X: 19.7871 +INDEX GOES BRRR: 62 X: 3.88184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 956 X: -4.22559 +INDEX GOES BRRR: 137 X: 8.62305 +INDEX GOES BRRR: 1009 X: -0.887695 +INDEX GOES BRRR: 322 X: 20.1445 +INDEX GOES BRRR: 74 X: 4.67383 +INDEX GOES BRRR: 93 X: 5.8252 +INDEX GOES BRRR: 26 X: 1.64355 +INDEX GOES BRRR: 259 X: 16.1885 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 176 X: 11.0361 +INDEX GOES BRRR: 83 X: 5.19238 +INDEX GOES BRRR: 191 X: 11.9795 +INDEX GOES BRRR: 71 X: 4.45605 +INDEX GOES BRRR: 984 X: -2.47754 +INDEX GOES BRRR: 206 X: 12.8848 +INDEX GOES BRRR: 174 X: 10.8955 +INDEX GOES BRRR: 13 X: 0.825195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.50391 +INDEX GOES BRRR: 143 X: 8.9668 +INDEX GOES BRRR: 242 X: 15.1826 +INDEX GOES BRRR: 42 X: 2.63672 +INDEX GOES BRRR: 307 X: 19.1953 +INDEX GOES BRRR: 1021 X: -0.149414 +INDEX GOES BRRR: 170 X: 10.6328 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.5332 +INDEX GOES BRRR: 219 X: 13.7412 +INDEX GOES BRRR: 229 X: 14.3252 +INDEX GOES BRRR: 10 X: 0.661133 +INDEX GOES BRRR: 147 X: 9.23047 +INDEX GOES BRRR: 5 X: 0.335938 +INDEX GOES BRRR: 200 X: 12.5479 +INDEX GOES BRRR: 174 X: 10.9316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5088 +INDEX GOES BRRR: 132 X: 8.26074 +INDEX GOES BRRR: 373 X: 23.3428 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 120 X: 7.54297 +INDEX GOES BRRR: 96 X: 6.05273 +INDEX GOES BRRR: 345 X: 21.5664 +INDEX GOES BRRR: 175 X: 10.9463 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.416016 +INDEX GOES BRRR: 118 X: 7.4248 +INDEX GOES BRRR: 123 X: 7.70508 +INDEX GOES BRRR: 234 X: 14.6689 +INDEX GOES BRRR: 251 X: 15.7471 +INDEX GOES BRRR: 126 X: 7.90039 +INDEX GOES BRRR: 102 X: 6.40234 +INDEX GOES BRRR: 136 X: 8.52539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 415 X: 25.9658 +INDEX GOES BRRR: 26 X: 1.65234 +INDEX GOES BRRR: 133 X: 8.32422 +INDEX GOES BRRR: 29 X: 1.83398 +INDEX GOES BRRR: 920 X: -6.47656 +INDEX GOES BRRR: 884 X: -8.71289 +INDEX GOES BRRR: 700 X: -20.2256 +INDEX GOES BRRR: 63 X: 3.97754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 892 X: -8.24512 +INDEX GOES BRRR: 970 X: -3.35254 +INDEX GOES BRRR: 271 X: 16.9463 +INDEX GOES BRRR: 717 X: -19.1309 +INDEX GOES BRRR: 224 X: 14.0605 +INDEX GOES BRRR: 439 X: 27.4912 +INDEX GOES BRRR: 2 X: 0.179688 +INDEX GOES BRRR: 403 X: 25.2441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.2559 +INDEX GOES BRRR: 311 X: 19.4785 +INDEX GOES BRRR: 43 X: 2.69238 +INDEX GOES BRRR: 213 X: 13.3311 +INDEX GOES BRRR: 1003 X: -1.27441 +INDEX GOES BRRR: 142 X: 8.91797 +INDEX GOES BRRR: 228 X: 14.2539 +INDEX GOES BRRR: 106 X: 6.67285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 290 X: 18.1514 +INDEX GOES BRRR: 369 X: 23.124 +INDEX GOES BRRR: 821 X: -12.626 +INDEX GOES BRRR: 370 X: 23.1709 +INDEX GOES BRRR: 341 X: 21.3555 +INDEX GOES BRRR: 329 X: 20.5703 +INDEX GOES BRRR: 74 X: 4.66504 +INDEX GOES BRRR: 1 X: 0.0751953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 789 X: -14.6426 +INDEX GOES BRRR: 941 X: -5.1582 +INDEX GOES BRRR: 953 X: -4.43066 +INDEX GOES BRRR: 219 X: 13.7412 +INDEX GOES BRRR: 366 X: 22.8926 +INDEX GOES BRRR: 129 X: 8.11035 +INDEX GOES BRRR: 238 X: 14.9365 +INDEX GOES BRRR: 104 X: 6.54395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.6973 +INDEX GOES BRRR: 245 X: 15.3604 +INDEX GOES BRRR: 138 X: 8.67871 +INDEX GOES BRRR: 397 X: 24.8701 +INDEX GOES BRRR: 224 X: 14.043 +INDEX GOES BRRR: 1011 X: -0.769531 +INDEX GOES BRRR: 1015 X: -0.52832 +INDEX GOES BRRR: 93 X: 5.82324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.94922 +INDEX GOES BRRR: 942 X: -5.10352 +INDEX GOES BRRR: 464 X: 29.0391 +INDEX GOES BRRR: 362 X: 22.6436 +INDEX GOES BRRR: 185 X: 11.5859 +INDEX GOES BRRR: 77 X: 4.82422 +INDEX GOES BRRR: 104 X: 6.52246 +INDEX GOES BRRR: 725 X: -18.6494 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.1143 +INDEX GOES BRRR: 239 X: 14.9922 +INDEX GOES BRRR: 144 X: 9.04883 +INDEX GOES BRRR: 238 X: 14.8926 +INDEX GOES BRRR: 168 X: 10.5264 +INDEX GOES BRRR: 170 X: 10.6299 +INDEX GOES BRRR: 975 X: -3.01367 +INDEX GOES BRRR: 320 X: 20.0312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.0977 +INDEX GOES BRRR: 233 X: 14.5957 +INDEX GOES BRRR: 182 X: 11.4053 +INDEX GOES BRRR: 386 X: 24.1504 +INDEX GOES BRRR: 1018 X: -0.375 +INDEX GOES BRRR: 163 X: 10.2412 +INDEX GOES BRRR: 406 X: 25.3779 +INDEX GOES BRRR: 124 X: 7.79883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.80566 +INDEX GOES BRRR: 335 X: 20.9941 +INDEX GOES BRRR: 32 X: 2.01953 +INDEX GOES BRRR: 282 X: 17.6318 +INDEX GOES BRRR: 432 X: 27.0371 +INDEX GOES BRRR: 244 X: 15.2637 +INDEX GOES BRRR: 134 X: 8.41602 +INDEX GOES BRRR: 46 X: 2.93066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.87695 +INDEX GOES BRRR: 979 X: -2.76367 +INDEX GOES BRRR: 962 X: -3.84277 +INDEX GOES BRRR: 298 X: 18.6797 +INDEX GOES BRRR: 229 X: 14.3584 +INDEX GOES BRRR: 261 X: 16.3145 +INDEX GOES BRRR: 145 X: 9.0625 +INDEX GOES BRRR: 162 X: 10.1348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.709 +INDEX GOES BRRR: 1022 X: -0.0996094 +INDEX GOES BRRR: 273 X: 17.0869 +INDEX GOES BRRR: 155 X: 9.72168 +INDEX GOES BRRR: 387 X: 24.2256 +INDEX GOES BRRR: 69 X: 4.3623 +INDEX GOES BRRR: 865 X: -9.90625 +INDEX GOES BRRR: 255 X: 15.9609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.86914 +INDEX GOES BRRR: 399 X: 24.9434 +INDEX GOES BRRR: 205 X: 12.8701 +INDEX GOES BRRR: 241 X: 15.0625 +INDEX GOES BRRR: 271 X: 16.9375 +INDEX GOES BRRR: 935 X: -5.51367 +INDEX GOES BRRR: 320 X: 20.0049 +INDEX GOES BRRR: 237 X: 14.8721 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.85645 +INDEX GOES BRRR: 40 X: 2.55957 +INDEX GOES BRRR: 45 X: 2.8291 +INDEX GOES BRRR: 399 X: 24.9502 +INDEX GOES BRRR: 188 X: 11.8047 +INDEX GOES BRRR: 459 X: 28.7344 +INDEX GOES BRRR: 222 X: 13.918 +INDEX GOES BRRR: 360 X: 22.5049 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 969 X: -3.41602 +INDEX GOES BRRR: 183 X: 11.4561 +INDEX GOES BRRR: 458 X: 28.6279 +INDEX GOES BRRR: 26 X: 1.66016 +INDEX GOES BRRR: 168 X: 10.5117 +INDEX GOES BRRR: 0 X: 0.00585938 +INDEX GOES BRRR: 379 X: 23.7422 +INDEX GOES BRRR: 134 X: 8.40527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.28223 +INDEX GOES BRRR: 385 X: 24.1055 +INDEX GOES BRRR: 92 X: 5.76758 +INDEX GOES BRRR: 56 X: 3.50879 +INDEX GOES BRRR: 1010 X: -0.836914 +INDEX GOES BRRR: 170 X: 10.6758 +INDEX GOES BRRR: 889 X: -8.37598 +INDEX GOES BRRR: 186 X: 11.665 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1011 X: -0.771484 +INDEX GOES BRRR: 75 X: 4.7334 +INDEX GOES BRRR: 257 X: 16.0771 +INDEX GOES BRRR: 234 X: 14.6768 +INDEX GOES BRRR: 245 X: 15.3262 +INDEX GOES BRRR: 128 X: 8.03027 +INDEX GOES BRRR: 159 X: 9.99805 +INDEX GOES BRRR: 121 X: 7.56641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.74707 +INDEX GOES BRRR: 1016 X: -0.452148 +INDEX GOES BRRR: 14 X: 0.915039 +INDEX GOES BRRR: 958 X: -4.11816 +INDEX GOES BRRR: 80 X: 5.03711 +INDEX GOES BRRR: 322 X: 20.1836 +INDEX GOES BRRR: 108 X: 6.7832 +INDEX GOES BRRR: 169 X: 10.6055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4492 +INDEX GOES BRRR: 315 X: 19.7021 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 471 X: 29.4971 +INDEX GOES BRRR: 3 X: 0.207031 +INDEX GOES BRRR: 852 X: -10.6895 +INDEX GOES BRRR: 824 X: -12.4512 +INDEX GOES BRRR: 87 X: 5.49316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 423 X: 26.4893 +INDEX GOES BRRR: 387 X: 24.2148 +INDEX GOES BRRR: 158 X: 9.90039 +INDEX GOES BRRR: 37 X: 2.34863 +INDEX GOES BRRR: 224 X: 14.001 +INDEX GOES BRRR: 126 X: 7.88379 +INDEX GOES BRRR: 351 X: 21.9561 +INDEX GOES BRRR: 913 X: -6.90918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 406 X: 25.4297 +INDEX GOES BRRR: 66 X: 4.13379 +INDEX GOES BRRR: 989 X: -2.17383 +INDEX GOES BRRR: 116 X: 7.28516 +INDEX GOES BRRR: 72 X: 4.54785 +INDEX GOES BRRR: 280 X: 17.5293 +INDEX GOES BRRR: 409 X: 25.6104 +INDEX GOES BRRR: 54 X: 3.39844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0488 +INDEX GOES BRRR: 490 X: 30.666 +INDEX GOES BRRR: 251 X: 15.6943 +INDEX GOES BRRR: 17 X: 1.0752 +INDEX GOES BRRR: 946 X: -4.82129 +INDEX GOES BRRR: 91 X: 5.6875 +INDEX GOES BRRR: 134 X: 8.38477 +INDEX GOES BRRR: 990 X: -2.06836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.6924 +INDEX GOES BRRR: 50 X: 3.12891 +INDEX GOES BRRR: 78 X: 4.91992 +INDEX GOES BRRR: 103 X: 6.45801 +INDEX GOES BRRR: 311 X: 19.4512 +INDEX GOES BRRR: 341 X: 21.3164 +INDEX GOES BRRR: 19 X: 1.24414 +INDEX GOES BRRR: 298 X: 18.6865 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9619 +INDEX GOES BRRR: 170 X: 10.6299 +INDEX GOES BRRR: 80 X: 5.00391 +INDEX GOES BRRR: 281 X: 17.5762 +INDEX GOES BRRR: 420 X: 26.2959 +INDEX GOES BRRR: 255 X: 15.999 +INDEX GOES BRRR: 231 X: 14.4443 +INDEX GOES BRRR: 916 X: -6.74609 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.55273 +INDEX GOES BRRR: 64 X: 4.05469 +INDEX GOES BRRR: 193 X: 12.0986 +INDEX GOES BRRR: 940 X: -5.22363 +INDEX GOES BRRR: 25 X: 1.56348 +INDEX GOES BRRR: 879 X: -9.01953 +INDEX GOES BRRR: 275 X: 17.2402 +INDEX GOES BRRR: 278 X: 17.3965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.79395 +INDEX GOES BRRR: 253 X: 15.8584 +INDEX GOES BRRR: 169 X: 10.6055 +INDEX GOES BRRR: 66 X: 4.16504 +INDEX GOES BRRR: 856 X: -10.4688 +INDEX GOES BRRR: 445 X: 27.8164 +INDEX GOES BRRR: 935 X: -5.53027 +INDEX GOES BRRR: 161 X: 10.1055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.7002 +INDEX GOES BRRR: 157 X: 9.84668 +INDEX GOES BRRR: 989 X: -2.15625 +INDEX GOES BRRR: 134 X: 8.41406 +INDEX GOES BRRR: 102 X: 6.42285 +INDEX GOES BRRR: 176 X: 11.0107 +INDEX GOES BRRR: 113 X: 7.09961 +INDEX GOES BRRR: 118 X: 7.38281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 13 X: 0.836914 +INDEX GOES BRRR: 182 X: 11.3916 +INDEX GOES BRRR: 476 X: 29.7607 +INDEX GOES BRRR: 140 X: 8.77246 +INDEX GOES BRRR: 108 X: 6.76465 +INDEX GOES BRRR: 330 X: 20.6436 +INDEX GOES BRRR: 222 X: 13.8789 +INDEX GOES BRRR: 228 X: 14.2588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 973 X: -3.13574 +INDEX GOES BRRR: 102 X: 6.42871 +INDEX GOES BRRR: 266 X: 16.6777 +INDEX GOES BRRR: 105 X: 6.57031 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 226 X: 14.1777 +INDEX GOES BRRR: 179 X: 11.2051 +INDEX GOES BRRR: 37 X: 2.33789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 388 X: 24.2646 +INDEX GOES BRRR: 213 X: 13.3457 +INDEX GOES BRRR: 1 X: 0.105469 +INDEX GOES BRRR: 313 X: 19.624 +INDEX GOES BRRR: 170 X: 10.626 +INDEX GOES BRRR: 109 X: 6.81738 +INDEX GOES BRRR: 191 X: 11.9668 +INDEX GOES BRRR: 322 X: 20.1396 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.3867 +INDEX GOES BRRR: 397 X: 24.8691 +INDEX GOES BRRR: 80 X: 5.01953 +INDEX GOES BRRR: 121 X: 7.60938 +INDEX GOES BRRR: 65 X: 4.10645 +INDEX GOES BRRR: 23 X: 1.45215 +INDEX GOES BRRR: 372 X: 23.2744 +INDEX GOES BRRR: 274 X: 17.1758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5371 +INDEX GOES BRRR: 436 X: 27.25 +INDEX GOES BRRR: 74 X: 4.68555 +INDEX GOES BRRR: 472 X: 29.5469 +INDEX GOES BRRR: 120 X: 7.53418 +INDEX GOES BRRR: 283 X: 17.6982 +INDEX GOES BRRR: 96 X: 6.0293 +INDEX GOES BRRR: 979 X: -2.79395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.748 +INDEX GOES BRRR: 167 X: 10.498 +INDEX GOES BRRR: 146 X: 9.17676 +INDEX GOES BRRR: 342 X: 21.3975 +INDEX GOES BRRR: 191 X: 11.9932 +INDEX GOES BRRR: 364 X: 22.7627 +INDEX GOES BRRR: 55 X: 3.46387 +INDEX GOES BRRR: 979 X: -2.79883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1553 +INDEX GOES BRRR: 194 X: 12.1465 +INDEX GOES BRRR: 831 X: -12.0156 +INDEX GOES BRRR: 255 X: 15.9521 +INDEX GOES BRRR: 214 X: 13.415 +INDEX GOES BRRR: 39 X: 2.45117 +INDEX GOES BRRR: 9 X: 0.589844 +INDEX GOES BRRR: 398 X: 24.9297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6758 +INDEX GOES BRRR: 1006 X: -1.0957 +INDEX GOES BRRR: 38 X: 2.4082 +INDEX GOES BRRR: 165 X: 10.3369 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 117 X: 7.35449 +INDEX GOES BRRR: 1 X: 0.0888672 +INDEX GOES BRRR: 381 X: 23.8496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.3926 +INDEX GOES BRRR: 260 X: 16.2695 +INDEX GOES BRRR: 228 X: 14.2822 +INDEX GOES BRRR: 43 X: 2.70508 +INDEX GOES BRRR: 228 X: 14.2754 +INDEX GOES BRRR: 252 X: 15.7617 +INDEX GOES BRRR: 954 X: -4.3584 +INDEX GOES BRRR: 151 X: 9.46387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 331 X: 20.6924 +INDEX GOES BRRR: 19 X: 1.19824 +INDEX GOES BRRR: 147 X: 9.20215 +INDEX GOES BRRR: 283 X: 17.707 +INDEX GOES BRRR: 53 X: 3.3291 +INDEX GOES BRRR: 295 X: 18.457 +INDEX GOES BRRR: 991 X: -2.05273 +INDEX GOES BRRR: 322 X: 20.168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.14941 +INDEX GOES BRRR: 39 X: 2.47656 +INDEX GOES BRRR: 116 X: 7.30762 +INDEX GOES BRRR: 224 X: 14.0176 +INDEX GOES BRRR: 222 X: 13.9082 +INDEX GOES BRRR: 94 X: 5.89551 +INDEX GOES BRRR: 278 X: 17.4053 +INDEX GOES BRRR: 293 X: 18.3564 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 452 X: 28.292 +INDEX GOES BRRR: 276 X: 17.3076 +INDEX GOES BRRR: 192 X: 12.0156 +INDEX GOES BRRR: 103 X: 6.47656 +INDEX GOES BRRR: 112 X: 7.0498 +INDEX GOES BRRR: 309 X: 19.3164 +INDEX GOES BRRR: 4 X: 0.27832 +INDEX GOES BRRR: 390 X: 24.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 883 X: -8.77832 +INDEX GOES BRRR: 210 X: 13.1455 +INDEX GOES BRRR: 27 X: 1.68848 +INDEX GOES BRRR: 12 X: 0.75293 +INDEX GOES BRRR: 452 X: 28.2764 +INDEX GOES BRRR: 262 X: 16.418 +INDEX GOES BRRR: 316 X: 19.7754 +INDEX GOES BRRR: 176 X: 11.0391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 414 X: 25.8818 +INDEX GOES BRRR: 87 X: 5.44336 +INDEX GOES BRRR: 1009 X: -0.879883 +INDEX GOES BRRR: 160 X: 10.0117 +INDEX GOES BRRR: 200 X: 12.5059 +INDEX GOES BRRR: 82 X: 5.14648 +INDEX GOES BRRR: 94 X: 5.87891 +INDEX GOES BRRR: 210 X: 13.1514 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 422 X: 26.4268 +INDEX GOES BRRR: 292 X: 18.3037 +INDEX GOES BRRR: 107 X: 6.70117 +INDEX GOES BRRR: 224 X: 14.0059 +INDEX GOES BRRR: 924 X: -6.23633 +INDEX GOES BRRR: 20 X: 1.26758 +INDEX GOES BRRR: 263 X: 16.4863 +INDEX GOES BRRR: 1016 X: -0.49707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.7207 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 903 X: -7.55566 +INDEX GOES BRRR: 239 X: 14.9785 +INDEX GOES BRRR: 77 X: 4.85547 +INDEX GOES BRRR: 118 X: 7.40527 +INDEX GOES BRRR: 144 X: 9.02734 +INDEX GOES BRRR: 389 X: 24.3633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 152 X: 9.54004 +INDEX GOES BRRR: 1004 X: -1.2002 +INDEX GOES BRRR: 240 X: 15.0488 +INDEX GOES BRRR: 323 X: 20.2334 +INDEX GOES BRRR: 204 X: 12.7646 +INDEX GOES BRRR: 213 X: 13.3203 +INDEX GOES BRRR: 891 X: -8.31152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 358 X: 22.4033 +INDEX GOES BRRR: 1007 X: -1.00684 +INDEX GOES BRRR: 177 X: 11.1211 +INDEX GOES BRRR: 146 X: 9.1709 +INDEX GOES BRRR: 289 X: 18.1191 +INDEX GOES BRRR: 209 X: 13.0771 +INDEX GOES BRRR: 148 X: 9.27051 +INDEX GOES BRRR: 274 X: 17.165 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4824 +INDEX GOES BRRR: 189 X: 11.832 +INDEX GOES BRRR: 402 X: 25.127 +INDEX GOES BRRR: 718 X: -19.0996 +INDEX GOES BRRR: 473 X: 29.5771 +INDEX GOES BRRR: 394 X: 24.6387 +INDEX GOES BRRR: 70 X: 4.3877 +INDEX GOES BRRR: 273 X: 17.1055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.876953 +INDEX GOES BRRR: 256 X: 16.002 +INDEX GOES BRRR: 202 X: 12.6846 +INDEX GOES BRRR: 211 X: 13.2188 +INDEX GOES BRRR: 193 X: 12.0771 +INDEX GOES BRRR: 30 X: 1.91602 +INDEX GOES BRRR: 146 X: 9.14062 +INDEX GOES BRRR: 294 X: 18.3789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.08594 +INDEX GOES BRRR: 993 X: -1.93652 +INDEX GOES BRRR: 403 X: 25.1943 +INDEX GOES BRRR: 99 X: 6.23633 +INDEX GOES BRRR: 183 X: 11.4512 +INDEX GOES BRRR: 79 X: 4.96094 +INDEX GOES BRRR: 29 X: 1.81445 +INDEX GOES BRRR: 178 X: 11.1484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.94238 +INDEX GOES BRRR: 992 X: -1.97949 +INDEX GOES BRRR: 210 X: 13.1396 +INDEX GOES BRRR: 205 X: 12.8281 +INDEX GOES BRRR: 82 X: 5.16309 +INDEX GOES BRRR: 331 X: 20.7275 +INDEX GOES BRRR: 234 X: 14.6494 +INDEX GOES BRRR: 278 X: 17.3818 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.62207 +INDEX GOES BRRR: 227 X: 14.2109 +INDEX GOES BRRR: 305 X: 19.0684 +INDEX GOES BRRR: 202 X: 12.6484 +INDEX GOES BRRR: 939 X: -5.27539 +INDEX GOES BRRR: 199 X: 12.4902 +INDEX GOES BRRR: 175 X: 10.9697 +INDEX GOES BRRR: 782 X: -15.084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.28125 +INDEX GOES BRRR: 94 X: 5.90527 +INDEX GOES BRRR: 173 X: 10.8213 +INDEX GOES BRRR: 94 X: 5.88672 +INDEX GOES BRRR: 85 X: 5.36426 +INDEX GOES BRRR: 155 X: 9.7002 +INDEX GOES BRRR: 275 X: 17.2334 +INDEX GOES BRRR: 91 X: 5.72168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 416 X: 26.0166 +INDEX GOES BRRR: 469 X: 29.3701 +INDEX GOES BRRR: 217 X: 13.5791 +INDEX GOES BRRR: 276 X: 17.2656 +INDEX GOES BRRR: 918 X: -6.60254 +INDEX GOES BRRR: 400 X: 25.0039 +INDEX GOES BRRR: 131 X: 8.23047 +INDEX GOES BRRR: 272 X: 17.0615 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 407 X: 25.4902 +INDEX GOES BRRR: 996 X: -1.69824 +INDEX GOES BRRR: 968 X: -3.46875 +INDEX GOES BRRR: 122 X: 7.66406 +INDEX GOES BRRR: 71 X: 4.48047 +INDEX GOES BRRR: 237 X: 14.8545 +INDEX GOES BRRR: 41 X: 2.5791 +INDEX GOES BRRR: 14 X: 0.908203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8594 +INDEX GOES BRRR: 71 X: 4.47461 +INDEX GOES BRRR: 171 X: 10.7168 +INDEX GOES BRRR: 326 X: 20.4307 +INDEX GOES BRRR: 1022 X: -0.0947266 +INDEX GOES BRRR: 233 X: 14.6084 +INDEX GOES BRRR: 74 X: 4.63672 +INDEX GOES BRRR: 229 X: 14.3408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5547 +INDEX GOES BRRR: 130 X: 8.12988 +INDEX GOES BRRR: 142 X: 8.87793 +INDEX GOES BRRR: 424 X: 26.5352 +INDEX GOES BRRR: 227 X: 14.1885 +INDEX GOES BRRR: 34 X: 2.13867 +INDEX GOES BRRR: 142 X: 8.91699 +INDEX GOES BRRR: 853 X: -10.6709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.3047 +INDEX GOES BRRR: 461 X: 28.8535 +INDEX GOES BRRR: 904 X: -7.4541 +INDEX GOES BRRR: 174 X: 10.9229 +INDEX GOES BRRR: 293 X: 18.3369 +INDEX GOES BRRR: 139 X: 8.7168 +INDEX GOES BRRR: 252 X: 15.7979 +INDEX GOES BRRR: 158 X: 9.89355 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5938 +INDEX GOES BRRR: 148 X: 9.25781 +INDEX GOES BRRR: 1023 X: -0.0136719 +INDEX GOES BRRR: 207 X: 12.9775 +INDEX GOES BRRR: 452 X: 28.2969 +INDEX GOES BRRR: 275 X: 17.1895 +INDEX GOES BRRR: 223 X: 13.9922 +INDEX GOES BRRR: 286 X: 17.8926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.5625 +INDEX GOES BRRR: 100 X: 6.26465 +INDEX GOES BRRR: 350 X: 21.8906 +INDEX GOES BRRR: 284 X: 17.7881 +INDEX GOES BRRR: 146 X: 9.1582 +INDEX GOES BRRR: 28 X: 1.75684 +INDEX GOES BRRR: 25 X: 1.60156 +INDEX GOES BRRR: 162 X: 10.1836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0371 +INDEX GOES BRRR: 303 X: 18.9736 +INDEX GOES BRRR: 178 X: 11.1592 +INDEX GOES BRRR: 180 X: 11.2588 +INDEX GOES BRRR: 1008 X: -0.941406 +INDEX GOES BRRR: 173 X: 10.8721 +INDEX GOES BRRR: 128 X: 8.02246 +INDEX GOES BRRR: 148 X: 9.27441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.3057 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 133 X: 8.36914 +INDEX GOES BRRR: 27 X: 1.72656 +INDEX GOES BRRR: 155 X: 9.69141 +INDEX GOES BRRR: 258 X: 16.1758 +INDEX GOES BRRR: 103 X: 6.45117 +INDEX GOES BRRR: 379 X: 23.7246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3662 +INDEX GOES BRRR: 143 X: 8.97754 +INDEX GOES BRRR: 97 X: 6.10254 +INDEX GOES BRRR: 264 X: 16.5322 +INDEX GOES BRRR: 213 X: 13.3447 +INDEX GOES BRRR: 84 X: 5.25586 +INDEX GOES BRRR: 923 X: -6.25488 +INDEX GOES BRRR: 150 X: 9.3916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.98047 +INDEX GOES BRRR: 31 X: 1.97949 +INDEX GOES BRRR: 19 X: 1.23438 +INDEX GOES BRRR: 103 X: 6.47852 +INDEX GOES BRRR: 989 X: -2.13965 +INDEX GOES BRRR: 72 X: 4.54492 +INDEX GOES BRRR: 310 X: 19.4336 +INDEX GOES BRRR: 203 X: 12.7002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 452 X: 28.2764 +INDEX GOES BRRR: 86 X: 5.40039 +INDEX GOES BRRR: 407 X: 25.4521 +INDEX GOES BRRR: 103 X: 6.47656 +INDEX GOES BRRR: 378 X: 23.6865 +INDEX GOES BRRR: 57 X: 3.57422 +INDEX GOES BRRR: 178 X: 11.1494 +INDEX GOES BRRR: 192 X: 12.0596 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 252 X: 15.8018 +INDEX GOES BRRR: 332 X: 20.7842 +INDEX GOES BRRR: 298 X: 18.6348 +INDEX GOES BRRR: 119 X: 7.44238 +INDEX GOES BRRR: 366 X: 22.9121 +INDEX GOES BRRR: 57 X: 3.59473 +INDEX GOES BRRR: 186 X: 11.6514 +INDEX GOES BRRR: 10 X: 0.642578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3379 +INDEX GOES BRRR: 258 X: 16.1445 +INDEX GOES BRRR: 206 X: 12.8828 +INDEX GOES BRRR: 245 X: 15.3379 +INDEX GOES BRRR: 94 X: 5.91699 +INDEX GOES BRRR: 947 X: -4.7998 +INDEX GOES BRRR: 272 X: 17.0205 +INDEX GOES BRRR: 212 X: 13.2598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.316406 +INDEX GOES BRRR: 189 X: 11.873 +INDEX GOES BRRR: 298 X: 18.6855 +INDEX GOES BRRR: 62 X: 3.9082 +INDEX GOES BRRR: 400 X: 25.0098 +INDEX GOES BRRR: 802 X: -13.8291 +INDEX GOES BRRR: 1018 X: -0.348633 +INDEX GOES BRRR: 156 X: 9.78516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 266 X: 16.6309 +INDEX GOES BRRR: 184 X: 11.5459 +INDEX GOES BRRR: 265 X: 16.5859 +INDEX GOES BRRR: 166 X: 10.3867 +INDEX GOES BRRR: 11 X: 0.695312 +INDEX GOES BRRR: 954 X: -4.36426 +INDEX GOES BRRR: 211 X: 13.2354 +INDEX GOES BRRR: 67 X: 4.19629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 994 X: -1.83887 +INDEX GOES BRRR: 864 X: -9.97168 +INDEX GOES BRRR: 1007 X: -1.04395 +INDEX GOES BRRR: 1008 X: -0.987305 +INDEX GOES BRRR: 58 X: 3.65039 +INDEX GOES BRRR: 900 X: -7.71973 +INDEX GOES BRRR: 68 X: 4.30762 +INDEX GOES BRRR: 126 X: 7.88867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 191 X: 11.9395 +INDEX GOES BRRR: 108 X: 6.77441 +INDEX GOES BRRR: 341 X: 21.3516 +INDEX GOES BRRR: 206 X: 12.9092 +INDEX GOES BRRR: 69 X: 4.31934 +INDEX GOES BRRR: 115 X: 7.21582 +INDEX GOES BRRR: 170 X: 10.6504 +INDEX GOES BRRR: 59 X: 3.74707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 383 X: 23.9746 +INDEX GOES BRRR: 175 X: 10.9756 +INDEX GOES BRRR: 305 X: 19.1191 +INDEX GOES BRRR: 182 X: 11.4062 +INDEX GOES BRRR: 359 X: 22.46 +INDEX GOES BRRR: 24 X: 1.56152 +INDEX GOES BRRR: 863 X: -10.0498 +INDEX GOES BRRR: 973 X: -3.16992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.14648 +INDEX GOES BRRR: 19 X: 1.19434 +INDEX GOES BRRR: 43 X: 2.70215 +INDEX GOES BRRR: 276 X: 17.2529 +INDEX GOES BRRR: 12 X: 0.750977 +INDEX GOES BRRR: 887 X: -8.53711 +INDEX GOES BRRR: 330 X: 20.6572 +INDEX GOES BRRR: 54 X: 3.38086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4336 +INDEX GOES BRRR: 67 X: 4.22461 +INDEX GOES BRRR: 395 X: 24.7305 +INDEX GOES BRRR: 86 X: 5.42578 +INDEX GOES BRRR: 288 X: 18.0391 +INDEX GOES BRRR: 393 X: 24.5635 +INDEX GOES BRRR: 11 X: 0.734375 +INDEX GOES BRRR: 374 X: 23.415 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.04395 +INDEX GOES BRRR: 262 X: 16.4297 +INDEX GOES BRRR: 41 X: 2.57227 +INDEX GOES BRRR: 93 X: 5.84277 +INDEX GOES BRRR: 315 X: 19.7012 +INDEX GOES BRRR: 346 X: 21.6338 +INDEX GOES BRRR: 57 X: 3.5918 +INDEX GOES BRRR: 366 X: 22.9316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6865 +INDEX GOES BRRR: 135 X: 8.46094 +INDEX GOES BRRR: 177 X: 11.0742 +INDEX GOES BRRR: 258 X: 16.1514 +INDEX GOES BRRR: 251 X: 15.7041 +INDEX GOES BRRR: 259 X: 16.2227 +INDEX GOES BRRR: 198 X: 12.4199 +INDEX GOES BRRR: 357 X: 22.3438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3545 +INDEX GOES BRRR: 73 X: 4.56738 +INDEX GOES BRRR: 105 X: 6.62207 +INDEX GOES BRRR: 995 X: -1.7666 +INDEX GOES BRRR: 476 X: 29.7842 +INDEX GOES BRRR: 120 X: 7.56055 +INDEX GOES BRRR: 354 X: 22.1357 +INDEX GOES BRRR: 236 X: 14.7744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.36816 +INDEX GOES BRRR: 101 X: 6.3252 +INDEX GOES BRRR: 5 X: 0.367188 +INDEX GOES BRRR: 235 X: 14.6963 +INDEX GOES BRRR: 320 X: 20 +INDEX GOES BRRR: 397 X: 24.8535 +INDEX GOES BRRR: 173 X: 10.8672 +INDEX GOES BRRR: 968 X: -3.44238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.73926 +INDEX GOES BRRR: 85 X: 5.3291 +INDEX GOES BRRR: 293 X: 18.374 +INDEX GOES BRRR: 16 X: 1.00488 +INDEX GOES BRRR: 139 X: 8.73633 +INDEX GOES BRRR: 107 X: 6.70215 +INDEX GOES BRRR: 141 X: 8.81836 +INDEX GOES BRRR: 207 X: 12.9736 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1357 +INDEX GOES BRRR: 239 X: 14.9844 +INDEX GOES BRRR: 1001 X: -1.38086 +INDEX GOES BRRR: 6 X: 0.401367 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 330 X: 20.6338 +INDEX GOES BRRR: 37 X: 2.33594 +INDEX GOES BRRR: 332 X: 20.7676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.77734 +INDEX GOES BRRR: 150 X: 9.38672 +INDEX GOES BRRR: 307 X: 19.2178 +INDEX GOES BRRR: 133 X: 8.36133 +INDEX GOES BRRR: 3 X: 0.216797 +INDEX GOES BRRR: 935 X: -5.51953 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 300 X: 18.8066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 446 X: 27.8809 +INDEX GOES BRRR: 405 X: 25.3271 +INDEX GOES BRRR: 107 X: 6.71777 +INDEX GOES BRRR: 255 X: 15.9619 +INDEX GOES BRRR: 919 X: -6.52148 +INDEX GOES BRRR: 243 X: 15.2305 +INDEX GOES BRRR: 248 X: 15.542 +INDEX GOES BRRR: 36 X: 2.27344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 15 X: 0.958008 +INDEX GOES BRRR: 384 X: 24.0215 +INDEX GOES BRRR: 219 X: 13.7295 +INDEX GOES BRRR: 39 X: 2.46387 +INDEX GOES BRRR: 1013 X: -0.65918 +INDEX GOES BRRR: 111 X: 6.97461 +INDEX GOES BRRR: 129 X: 8.08105 +INDEX GOES BRRR: 114 X: 7.15039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 268 X: 16.7637 +INDEX GOES BRRR: 208 X: 13.0508 +INDEX GOES BRRR: 946 X: -4.82617 +INDEX GOES BRRR: 39 X: 2.48145 +INDEX GOES BRRR: 953 X: -4.41016 +INDEX GOES BRRR: 440 X: 27.5586 +INDEX GOES BRRR: 58 X: 3.66211 +INDEX GOES BRRR: 369 X: 23.0996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.45508 +INDEX GOES BRRR: 329 X: 20.5869 +INDEX GOES BRRR: 75 X: 4.71094 +INDEX GOES BRRR: 206 X: 12.9209 +INDEX GOES BRRR: 92 X: 5.80078 +INDEX GOES BRRR: 67 X: 4.19531 +INDEX GOES BRRR: 451 X: 28.209 +INDEX GOES BRRR: 434 X: 27.1533 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.91602 +INDEX GOES BRRR: 153 X: 9.56543 +INDEX GOES BRRR: 356 X: 22.2646 +INDEX GOES BRRR: 974 X: -3.1084 +INDEX GOES BRRR: 61 X: 3.82129 +INDEX GOES BRRR: 864 X: -9.95117 +INDEX GOES BRRR: 152 X: 9.55273 +INDEX GOES BRRR: 395 X: 24.7266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.321289 +INDEX GOES BRRR: 206 X: 12.9121 +INDEX GOES BRRR: 84 X: 5.27832 +INDEX GOES BRRR: 363 X: 22.6875 +INDEX GOES BRRR: 262 X: 16.3975 +INDEX GOES BRRR: 70 X: 4.40234 +INDEX GOES BRRR: 60 X: 3.75586 +INDEX GOES BRRR: 199 X: 12.4424 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 965 X: -3.67188 +INDEX GOES BRRR: 181 X: 11.3447 +INDEX GOES BRRR: 220 X: 13.7715 +INDEX GOES BRRR: 477 X: 29.8555 +INDEX GOES BRRR: 111 X: 6.94824 +INDEX GOES BRRR: 100 X: 6.29004 +INDEX GOES BRRR: 1020 X: -0.216797 +INDEX GOES BRRR: 898 X: -7.8418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.25977 +INDEX GOES BRRR: 981 X: -2.67285 +INDEX GOES BRRR: 930 X: -5.81445 +INDEX GOES BRRR: 64 X: 4.04492 +INDEX GOES BRRR: 116 X: 7.27051 +INDEX GOES BRRR: 262 X: 16.4199 +INDEX GOES BRRR: 803 X: -13.7715 +INDEX GOES BRRR: 976 X: -2.94531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 876 X: -9.24316 +INDEX GOES BRRR: 969 X: -3.39746 +INDEX GOES BRRR: 124 X: 7.77441 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 92 X: 5.80469 +INDEX GOES BRRR: 283 X: 17.7168 +INDEX GOES BRRR: 76 X: 4.7998 +INDEX GOES BRRR: 266 X: 16.6729 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7822 +INDEX GOES BRRR: 176 X: 11.0508 +INDEX GOES BRRR: 114 X: 7.16211 +INDEX GOES BRRR: 123 X: 7.70898 +INDEX GOES BRRR: 106 X: 6.62695 +INDEX GOES BRRR: 14 X: 0.894531 +INDEX GOES BRRR: 870 X: -9.57129 +INDEX GOES BRRR: 118 X: 7.38867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.99414 +INDEX GOES BRRR: 257 X: 16.0967 +INDEX GOES BRRR: 97 X: 6.12402 +INDEX GOES BRRR: 215 X: 13.4775 +INDEX GOES BRRR: 100 X: 6.29199 +INDEX GOES BRRR: 186 X: 11.6611 +INDEX GOES BRRR: 67 X: 4.19629 +INDEX GOES BRRR: 489 X: 30.5693 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.35547 +INDEX GOES BRRR: 1001 X: -1.38379 +INDEX GOES BRRR: 979 X: -2.76074 +INDEX GOES BRRR: 207 X: 12.9434 +INDEX GOES BRRR: 947 X: -4.77832 +INDEX GOES BRRR: 67 X: 4.21289 +INDEX GOES BRRR: 465 X: 29.1113 +INDEX GOES BRRR: 87 X: 5.49512 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.07129 +INDEX GOES BRRR: 236 X: 14.8047 +INDEX GOES BRRR: 184 X: 11.5156 +INDEX GOES BRRR: 122 X: 7.625 +INDEX GOES BRRR: 30 X: 1.92676 +INDEX GOES BRRR: 180 X: 11.2822 +INDEX GOES BRRR: 328 X: 20.5537 +INDEX GOES BRRR: 80 X: 5.00488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 933 X: -5.68066 +INDEX GOES BRRR: 298 X: 18.6309 +INDEX GOES BRRR: 155 X: 9.74707 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 185 X: 11.5752 +INDEX GOES BRRR: 914 X: -6.83887 +INDEX GOES BRRR: 313 X: 19.6016 +INDEX GOES BRRR: 954 X: -4.35449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2412 +INDEX GOES BRRR: 393 X: 24.5996 +INDEX GOES BRRR: 363 X: 22.7354 +INDEX GOES BRRR: 185 X: 11.5713 +INDEX GOES BRRR: 284 X: 17.7842 +INDEX GOES BRRR: 850 X: -10.8174 +INDEX GOES BRRR: 77 X: 4.83105 +INDEX GOES BRRR: 383 X: 23.9932 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8389 +INDEX GOES BRRR: 271 X: 16.9443 +INDEX GOES BRRR: 343 X: 21.4541 +INDEX GOES BRRR: 1 X: 0.107422 +INDEX GOES BRRR: 911 X: -7.03711 +INDEX GOES BRRR: 138 X: 8.63477 +INDEX GOES BRRR: 171 X: 10.7412 +INDEX GOES BRRR: 182 X: 11.3984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.627 +INDEX GOES BRRR: 1010 X: -0.875 +INDEX GOES BRRR: 180 X: 11.2988 +INDEX GOES BRRR: 25 X: 1.56445 +INDEX GOES BRRR: 130 X: 8.14844 +INDEX GOES BRRR: 23 X: 1.4668 +INDEX GOES BRRR: 270 X: 16.9043 +INDEX GOES BRRR: 989 X: -2.17188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.0996 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 70 X: 4.40332 +INDEX GOES BRRR: 943 X: -5.03516 +INDEX GOES BRRR: 21 X: 1.3252 +INDEX GOES BRRR: 177 X: 11.0957 +INDEX GOES BRRR: 85 X: 5.33887 +INDEX GOES BRRR: 417 X: 26.1074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 77 X: 4.83691 +INDEX GOES BRRR: 313 X: 19.6006 +INDEX GOES BRRR: 262 X: 16.4209 +INDEX GOES BRRR: 83 X: 5.19141 +INDEX GOES BRRR: 350 X: 21.9004 +INDEX GOES BRRR: 51 X: 3.24805 +INDEX GOES BRRR: 78 X: 4.93457 +INDEX GOES BRRR: 358 X: 22.3867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.39062 +INDEX GOES BRRR: 114 X: 7.15039 +INDEX GOES BRRR: 49 X: 3.11719 +INDEX GOES BRRR: 59 X: 3.74805 +INDEX GOES BRRR: 371 X: 23.21 +INDEX GOES BRRR: 352 X: 22.0312 +INDEX GOES BRRR: 126 X: 7.92969 +INDEX GOES BRRR: 183 X: 11.4922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0527 +INDEX GOES BRRR: 137 X: 8.56543 +INDEX GOES BRRR: 153 X: 9.62109 +INDEX GOES BRRR: 332 X: 20.7852 +INDEX GOES BRRR: 210 X: 13.1602 +INDEX GOES BRRR: 121 X: 7.5957 +INDEX GOES BRRR: 56 X: 3.5293 +INDEX GOES BRRR: 357 X: 22.3311 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.6895 +INDEX GOES BRRR: 89 X: 5.60938 +INDEX GOES BRRR: 172 X: 10.7939 +INDEX GOES BRRR: 19 X: 1.2041 +INDEX GOES BRRR: 192 X: 12.0098 +INDEX GOES BRRR: 261 X: 16.3584 +INDEX GOES BRRR: 78 X: 4.90723 +INDEX GOES BRRR: 164 X: 10.3105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.38086 +INDEX GOES BRRR: 360 X: 22.541 +INDEX GOES BRRR: 217 X: 13.5947 +INDEX GOES BRRR: 957 X: -4.16016 +INDEX GOES BRRR: 140 X: 8.77832 +INDEX GOES BRRR: 116 X: 7.26465 +INDEX GOES BRRR: 846 X: -11.0771 +INDEX GOES BRRR: 100 X: 6.30664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6475 +INDEX GOES BRRR: 379 X: 23.7334 +INDEX GOES BRRR: 937 X: -5.40039 +INDEX GOES BRRR: 293 X: 18.3203 +INDEX GOES BRRR: 180 X: 11.3105 +INDEX GOES BRRR: 354 X: 22.1641 +INDEX GOES BRRR: 197 X: 12.3135 +INDEX GOES BRRR: 192 X: 12.0176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 34 X: 2.13477 +INDEX GOES BRRR: 324 X: 20.2666 +INDEX GOES BRRR: 258 X: 16.168 +INDEX GOES BRRR: 344 X: 21.5498 +INDEX GOES BRRR: 448 X: 28.0615 +INDEX GOES BRRR: 262 X: 16.3916 +INDEX GOES BRRR: 990 X: -2.09375 +INDEX GOES BRRR: 217 X: 13.5723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 782 X: -15.1162 +INDEX GOES BRRR: 354 X: 22.1396 +INDEX GOES BRRR: 197 X: 12.3174 +INDEX GOES BRRR: 67 X: 4.19336 +INDEX GOES BRRR: 65 X: 4.0791 +INDEX GOES BRRR: 392 X: 24.5039 +INDEX GOES BRRR: 105 X: 6.5918 +INDEX GOES BRRR: 45 X: 2.8457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.1934 +INDEX GOES BRRR: 58 X: 3.6416 +INDEX GOES BRRR: 69 X: 4.32812 +INDEX GOES BRRR: 315 X: 19.709 +INDEX GOES BRRR: 45 X: 2.85352 +INDEX GOES BRRR: 88 X: 5.52051 +INDEX GOES BRRR: 308 X: 19.2715 +INDEX GOES BRRR: 121 X: 7.6123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.33496 +INDEX GOES BRRR: 442 X: 27.6299 +INDEX GOES BRRR: 228 X: 14.2617 +INDEX GOES BRRR: 127 X: 7.96387 +INDEX GOES BRRR: 94 X: 5.92285 +INDEX GOES BRRR: 191 X: 11.9551 +INDEX GOES BRRR: 224 X: 14.0254 +INDEX GOES BRRR: 175 X: 10.9443 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 733 X: -18.1562 +INDEX GOES BRRR: 209 X: 13.1152 +INDEX GOES BRRR: 1 X: 0.105469 +INDEX GOES BRRR: 29 X: 1.83203 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 255 X: 15.9658 +INDEX GOES BRRR: 52 X: 3.25977 +INDEX GOES BRRR: 302 X: 18.8818 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 276 X: 17.3018 +INDEX GOES BRRR: 141 X: 8.81836 +INDEX GOES BRRR: 376 X: 23.5449 +INDEX GOES BRRR: 199 X: 12.4873 +INDEX GOES BRRR: 70 X: 4.42383 +INDEX GOES BRRR: 88 X: 5.51758 +INDEX GOES BRRR: 144 X: 9.03906 +INDEX GOES BRRR: 949 X: -4.67578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2783 +INDEX GOES BRRR: 304 X: 19.0596 +INDEX GOES BRRR: 121 X: 7.58691 +INDEX GOES BRRR: 99 X: 6.1875 +INDEX GOES BRRR: 1011 X: -0.751953 +INDEX GOES BRRR: 199 X: 12.4609 +INDEX GOES BRRR: 196 X: 12.2852 +INDEX GOES BRRR: 823 X: -12.5615 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 682 X: -21.3438 +INDEX GOES BRRR: 121 X: 7.58496 +INDEX GOES BRRR: 116 X: 7.28223 +INDEX GOES BRRR: 91 X: 5.6875 +INDEX GOES BRRR: 217 X: 13.5869 +INDEX GOES BRRR: 235 X: 14.7393 +INDEX GOES BRRR: 968 X: -3.4541 +INDEX GOES BRRR: 101 X: 6.34766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9854 +INDEX GOES BRRR: 321 X: 20.0938 +INDEX GOES BRRR: 180 X: 11.293 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 113 X: 7.11816 +INDEX GOES BRRR: 335 X: 20.9814 +INDEX GOES BRRR: 239 X: 14.9463 +INDEX GOES BRRR: 163 X: 10.1875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.0996 +INDEX GOES BRRR: 26 X: 1.67676 +INDEX GOES BRRR: 124 X: 7.7998 +INDEX GOES BRRR: 368 X: 23.0127 +INDEX GOES BRRR: 373 X: 23.3555 +INDEX GOES BRRR: 304 X: 19.002 +INDEX GOES BRRR: 418 X: 26.1328 +INDEX GOES BRRR: 230 X: 14.3887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.20117 +INDEX GOES BRRR: 233 X: 14.5752 +INDEX GOES BRRR: 403 X: 25.1914 +INDEX GOES BRRR: 268 X: 16.7754 +INDEX GOES BRRR: 54 X: 3.39355 +INDEX GOES BRRR: 30 X: 1.91406 +INDEX GOES BRRR: 177 X: 11.0742 +INDEX GOES BRRR: 326 X: 20.3984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 429 X: 26.8652 +INDEX GOES BRRR: 289 X: 18.0898 +INDEX GOES BRRR: 252 X: 15.7852 +INDEX GOES BRRR: 70 X: 4.37793 +INDEX GOES BRRR: 145 X: 9.0957 +INDEX GOES BRRR: 212 X: 13.3047 +INDEX GOES BRRR: 306 X: 19.1455 +INDEX GOES BRRR: 179 X: 11.2031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.73535 +INDEX GOES BRRR: 294 X: 18.377 +INDEX GOES BRRR: 162 X: 10.167 +INDEX GOES BRRR: 146 X: 9.14648 +INDEX GOES BRRR: 75 X: 4.72754 +INDEX GOES BRRR: 481 X: 30.082 +INDEX GOES BRRR: 66 X: 4.12598 +INDEX GOES BRRR: 72 X: 4.50684 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4512 +INDEX GOES BRRR: 882 X: -8.84961 +INDEX GOES BRRR: 326 X: 20.3887 +INDEX GOES BRRR: 365 X: 22.8213 +INDEX GOES BRRR: 40 X: 2.50391 +INDEX GOES BRRR: 109 X: 6.85449 +INDEX GOES BRRR: 183 X: 11.4824 +INDEX GOES BRRR: 146 X: 9.13477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 98 X: 6.14844 +INDEX GOES BRRR: 208 X: 13.0449 +INDEX GOES BRRR: 215 X: 13.4746 +INDEX GOES BRRR: 362 X: 22.6416 +INDEX GOES BRRR: 966 X: -3.56934 +INDEX GOES BRRR: 91 X: 5.74707 +INDEX GOES BRRR: 212 X: 13.2725 +INDEX GOES BRRR: 56 X: 3.52441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 941 X: -5.17871 +INDEX GOES BRRR: 182 X: 11.4346 +INDEX GOES BRRR: 231 X: 14.4395 +INDEX GOES BRRR: 102 X: 6.41113 +INDEX GOES BRRR: 234 X: 14.6318 +INDEX GOES BRRR: 65 X: 4.09473 +INDEX GOES BRRR: 55 X: 3.45703 +INDEX GOES BRRR: 156 X: 9.76758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6377 +INDEX GOES BRRR: 90 X: 5.66211 +INDEX GOES BRRR: 903 X: -7.53418 +INDEX GOES BRRR: 934 X: -5.59766 +INDEX GOES BRRR: 81 X: 5.10742 +INDEX GOES BRRR: 239 X: 14.9873 +INDEX GOES BRRR: 114 X: 7.18457 +INDEX GOES BRRR: 392 X: 24.5049 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 370 X: 23.1377 +INDEX GOES BRRR: 887 X: -8.55957 +INDEX GOES BRRR: 5 X: 0.327148 +INDEX GOES BRRR: 235 X: 14.7119 +INDEX GOES BRRR: 149 X: 9.33203 +INDEX GOES BRRR: 227 X: 14.209 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 90 X: 5.66406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.51562 +INDEX GOES BRRR: 812 X: -13.2227 +INDEX GOES BRRR: 124 X: 7.7793 +INDEX GOES BRRR: 164 X: 10.2715 +INDEX GOES BRRR: 29 X: 1.86914 +INDEX GOES BRRR: 997 X: -1.67871 +INDEX GOES BRRR: 1013 X: -0.651367 +INDEX GOES BRRR: 251 X: 15.7383 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.589844 +INDEX GOES BRRR: 11 X: 0.725586 +INDEX GOES BRRR: 228 X: 14.293 +INDEX GOES BRRR: 187 X: 11.7285 +INDEX GOES BRRR: 198 X: 12.4307 +INDEX GOES BRRR: 315 X: 19.7041 +INDEX GOES BRRR: 271 X: 16.9463 +INDEX GOES BRRR: 105 X: 6.58105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.9707 +INDEX GOES BRRR: 456 X: 28.5381 +INDEX GOES BRRR: 138 X: 8.63477 +INDEX GOES BRRR: 53 X: 3.35742 +INDEX GOES BRRR: 278 X: 17.3916 +INDEX GOES BRRR: 961 X: -3.93555 +INDEX GOES BRRR: 175 X: 10.9893 +INDEX GOES BRRR: 237 X: 14.8613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.208 +INDEX GOES BRRR: 2 X: 0.18457 +INDEX GOES BRRR: 285 X: 17.835 +INDEX GOES BRRR: 288 X: 18.0244 +INDEX GOES BRRR: 248 X: 15.5293 +INDEX GOES BRRR: 904 X: -7.48145 +INDEX GOES BRRR: 788 X: -14.6904 +INDEX GOES BRRR: 278 X: 17.417 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.33691 +INDEX GOES BRRR: 92 X: 5.76855 +INDEX GOES BRRR: 204 X: 12.751 +INDEX GOES BRRR: 27 X: 1.74219 +INDEX GOES BRRR: 1017 X: -0.398438 +INDEX GOES BRRR: 105 X: 6.62109 +INDEX GOES BRRR: 184 X: 11.5576 +INDEX GOES BRRR: 261 X: 16.374 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.7148 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 342 X: 21.3799 +INDEX GOES BRRR: 954 X: -4.32324 +INDEX GOES BRRR: 946 X: -4.8252 +INDEX GOES BRRR: 31 X: 1.99023 +INDEX GOES BRRR: 960 X: -3.94531 +INDEX GOES BRRR: 238 X: 14.9082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 924 X: -6.24219 +INDEX GOES BRRR: 935 X: -5.52344 +INDEX GOES BRRR: 127 X: 7.94141 +INDEX GOES BRRR: 284 X: 17.7764 +INDEX GOES BRRR: 73 X: 4.57715 +INDEX GOES BRRR: 936 X: -5.45508 +INDEX GOES BRRR: 218 X: 13.6328 +INDEX GOES BRRR: 69 X: 4.34473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.44824 +INDEX GOES BRRR: 147 X: 9.19043 +INDEX GOES BRRR: 97 X: 6.11035 +INDEX GOES BRRR: 68 X: 4.2627 +INDEX GOES BRRR: 127 X: 7.98828 +INDEX GOES BRRR: 256 X: 16.0508 +INDEX GOES BRRR: 890 X: -8.34961 +INDEX GOES BRRR: 207 X: 12.9434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3242 +INDEX GOES BRRR: 968 X: -3.49707 +INDEX GOES BRRR: 55 X: 3.46973 +INDEX GOES BRRR: 295 X: 18.4609 +INDEX GOES BRRR: 960 X: -3.94922 +INDEX GOES BRRR: 322 X: 20.1592 +INDEX GOES BRRR: 131 X: 8.24316 +INDEX GOES BRRR: 75 X: 4.73926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1807 +INDEX GOES BRRR: 217 X: 13.6035 +INDEX GOES BRRR: 183 X: 11.4795 +INDEX GOES BRRR: 162 X: 10.1504 +INDEX GOES BRRR: 355 X: 22.2295 +INDEX GOES BRRR: 93 X: 5.84961 +INDEX GOES BRRR: 394 X: 24.6641 +INDEX GOES BRRR: 996 X: -1.70312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 337 X: 21.1016 +INDEX GOES BRRR: 280 X: 17.5508 +INDEX GOES BRRR: 945 X: -4.91016 +INDEX GOES BRRR: 972 X: -3.21875 +INDEX GOES BRRR: 118 X: 7.42578 +INDEX GOES BRRR: 156 X: 9.75684 +INDEX GOES BRRR: 407 X: 25.458 +INDEX GOES BRRR: 46 X: 2.93457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.5703 +INDEX GOES BRRR: 278 X: 17.4023 +INDEX GOES BRRR: 361 X: 22.5703 +INDEX GOES BRRR: 1001 X: -1.37988 +INDEX GOES BRRR: 259 X: 16.2148 +INDEX GOES BRRR: 132 X: 8.26465 +INDEX GOES BRRR: 454 X: 28.4141 +INDEX GOES BRRR: 45 X: 2.86426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.3125 +INDEX GOES BRRR: 254 X: 15.9004 +INDEX GOES BRRR: 951 X: -4.53809 +INDEX GOES BRRR: 264 X: 16.5039 +INDEX GOES BRRR: 145 X: 9.1123 +INDEX GOES BRRR: 332 X: 20.7656 +INDEX GOES BRRR: 42 X: 2.66113 +INDEX GOES BRRR: 187 X: 11.6982 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 971 X: -3.31055 +INDEX GOES BRRR: 291 X: 18.2188 +INDEX GOES BRRR: 1021 X: -0.130859 +INDEX GOES BRRR: 203 X: 12.7432 +INDEX GOES BRRR: 178 X: 11.1377 +INDEX GOES BRRR: 928 X: -5.93945 +INDEX GOES BRRR: 249 X: 15.5879 +INDEX GOES BRRR: 947 X: -4.78613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 30 X: 1.91797 +INDEX GOES BRRR: 241 X: 15.0625 +INDEX GOES BRRR: 192 X: 12.0215 +INDEX GOES BRRR: 67 X: 4.20703 +INDEX GOES BRRR: 91 X: 5.71387 +INDEX GOES BRRR: 54 X: 3.43555 +INDEX GOES BRRR: 53 X: 3.31934 +INDEX GOES BRRR: 315 X: 19.708 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.0771484 +INDEX GOES BRRR: 87 X: 5.44238 +INDEX GOES BRRR: 124 X: 7.7998 +INDEX GOES BRRR: 727 X: -18.5625 +INDEX GOES BRRR: 338 X: 21.127 +INDEX GOES BRRR: 345 X: 21.5771 +INDEX GOES BRRR: 189 X: 11.8652 +INDEX GOES BRRR: 295 X: 18.4395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 323 X: 20.2197 +INDEX GOES BRRR: 142 X: 8.91504 +INDEX GOES BRRR: 326 X: 20.3926 +INDEX GOES BRRR: 1011 X: -0.807617 +INDEX GOES BRRR: 376 X: 23.5205 +INDEX GOES BRRR: 68 X: 4.28125 +INDEX GOES BRRR: 118 X: 7.43457 +INDEX GOES BRRR: 190 X: 11.9072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.6523 +INDEX GOES BRRR: 187 X: 11.7285 +INDEX GOES BRRR: 837 X: -11.6553 +INDEX GOES BRRR: 57 X: 3.58789 +INDEX GOES BRRR: 327 X: 20.4971 +INDEX GOES BRRR: 950 X: -4.60254 +INDEX GOES BRRR: 400 X: 25.0469 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.73242 +INDEX GOES BRRR: 430 X: 26.9072 +INDEX GOES BRRR: 177 X: 11.1006 +INDEX GOES BRRR: 206 X: 12.8779 +INDEX GOES BRRR: 1011 X: -0.793945 +INDEX GOES BRRR: 214 X: 13.3975 +INDEX GOES BRRR: 171 X: 10.6992 +INDEX GOES BRRR: 992 X: -1.98535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 841 X: -11.3965 +INDEX GOES BRRR: 68 X: 4.30176 +INDEX GOES BRRR: 1023 X: -0.0507812 +INDEX GOES BRRR: 962 X: -3.87012 +INDEX GOES BRRR: 189 X: 11.8164 +INDEX GOES BRRR: 226 X: 14.1553 +INDEX GOES BRRR: 411 X: 25.7334 +INDEX GOES BRRR: 958 X: -4.10254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.47363 +INDEX GOES BRRR: 794 X: -14.3584 +INDEX GOES BRRR: 310 X: 19.3799 +INDEX GOES BRRR: 463 X: 28.9531 +INDEX GOES BRRR: 222 X: 13.8818 +INDEX GOES BRRR: 291 X: 18.2344 +INDEX GOES BRRR: 335 X: 20.9883 +INDEX GOES BRRR: 65 X: 4.1123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1016 X: -0.480469 +INDEX GOES BRRR: 793 X: -14.4307 +INDEX GOES BRRR: 87 X: 5.47266 +INDEX GOES BRRR: 224 X: 14.0537 +INDEX GOES BRRR: 862 X: -10.0645 +INDEX GOES BRRR: 1018 X: -0.363281 +INDEX GOES BRRR: 122 X: 7.62891 +INDEX GOES BRRR: 24 X: 1.52344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.152344 +INDEX GOES BRRR: 151 X: 9.4834 +INDEX GOES BRRR: 276 X: 17.2969 +INDEX GOES BRRR: 292 X: 18.2646 +INDEX GOES BRRR: 237 X: 14.833 +INDEX GOES BRRR: 149 X: 9.36035 +INDEX GOES BRRR: 333 X: 20.8213 +INDEX GOES BRRR: 46 X: 2.89746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 141 X: 8.85645 +INDEX GOES BRRR: 120 X: 7.52637 +INDEX GOES BRRR: 257 X: 16.1084 +INDEX GOES BRRR: 158 X: 9.91699 +INDEX GOES BRRR: 129 X: 8.10742 +INDEX GOES BRRR: 360 X: 22.5596 +INDEX GOES BRRR: 449 X: 28.1045 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.56055 +INDEX GOES BRRR: 188 X: 11.7578 +INDEX GOES BRRR: 213 X: 13.3135 +INDEX GOES BRRR: 181 X: 11.3145 +INDEX GOES BRRR: 84 X: 5.29395 +INDEX GOES BRRR: 242 X: 15.1846 +INDEX GOES BRRR: 78 X: 4.88379 +INDEX GOES BRRR: 20 X: 1.31152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 84 X: 5.28418 +INDEX GOES BRRR: 427 X: 26.6992 +INDEX GOES BRRR: 331 X: 20.7305 +INDEX GOES BRRR: 948 X: -4.73242 +INDEX GOES BRRR: 108 X: 6.78125 +INDEX GOES BRRR: 342 X: 21.4326 +INDEX GOES BRRR: 194 X: 12.1436 +INDEX GOES BRRR: 913 X: -6.87891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 353 X: 22.124 +INDEX GOES BRRR: 899 X: -7.79004 +INDEX GOES BRRR: 895 X: -8.04883 +INDEX GOES BRRR: 332 X: 20.7988 +INDEX GOES BRRR: 96 X: 6.0293 +INDEX GOES BRRR: 103 X: 6.44531 +INDEX GOES BRRR: 334 X: 20.8848 +INDEX GOES BRRR: 57 X: 3.58008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 877 X: -9.18555 +INDEX GOES BRRR: 190 X: 11.9033 +INDEX GOES BRRR: 21 X: 1.35645 +INDEX GOES BRRR: 220 X: 13.752 +INDEX GOES BRRR: 1020 X: -0.220703 +INDEX GOES BRRR: 209 X: 13.1064 +INDEX GOES BRRR: 345 X: 21.6201 +INDEX GOES BRRR: 165 X: 10.3145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.2959 +INDEX GOES BRRR: 357 X: 22.3467 +INDEX GOES BRRR: 290 X: 18.165 +INDEX GOES BRRR: 72 X: 4.52734 +INDEX GOES BRRR: 426 X: 26.6533 +INDEX GOES BRRR: 332 X: 20.7598 +INDEX GOES BRRR: 966 X: -3.6123 +INDEX GOES BRRR: 357 X: 22.3652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.62207 +INDEX GOES BRRR: 20 X: 1.2832 +INDEX GOES BRRR: 161 X: 10.0869 +INDEX GOES BRRR: 276 X: 17.2666 +INDEX GOES BRRR: 869 X: -9.68457 +INDEX GOES BRRR: 68 X: 4.31055 +INDEX GOES BRRR: 18 X: 1.12988 +INDEX GOES BRRR: 1007 X: -1.04688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.873 +INDEX GOES BRRR: 128 X: 8.03906 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 141 X: 8.8125 +INDEX GOES BRRR: 222 X: 13.9043 +INDEX GOES BRRR: 771 X: -15.7676 +INDEX GOES BRRR: 358 X: 22.3877 +INDEX GOES BRRR: 226 X: 14.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 967 X: -3.54883 +INDEX GOES BRRR: 108 X: 6.77539 +INDEX GOES BRRR: 406 X: 25.3867 +INDEX GOES BRRR: 153 X: 9.60156 +INDEX GOES BRRR: 39 X: 2.45117 +INDEX GOES BRRR: 300 X: 18.7812 +INDEX GOES BRRR: 1005 X: -1.17969 +INDEX GOES BRRR: 203 X: 12.7461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.00293 +INDEX GOES BRRR: 19 X: 1.23438 +INDEX GOES BRRR: 294 X: 18.417 +INDEX GOES BRRR: 57 X: 3.61035 +INDEX GOES BRRR: 185 X: 11.5635 +INDEX GOES BRRR: 952 X: -4.47559 +INDEX GOES BRRR: 473 X: 29.5713 +INDEX GOES BRRR: 75 X: 4.7373 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 43 X: 2.73633 +INDEX GOES BRRR: 7 X: 0.456055 +INDEX GOES BRRR: 84 X: 5.26562 +INDEX GOES BRRR: 90 X: 5.64551 +INDEX GOES BRRR: 222 X: 13.9189 +INDEX GOES BRRR: 184 X: 11.5371 +INDEX GOES BRRR: 276 X: 17.2891 +INDEX GOES BRRR: 987 X: -2.31152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.11621 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 284 X: 17.7725 +INDEX GOES BRRR: 891 X: -8.29199 +INDEX GOES BRRR: 288 X: 18.0371 +INDEX GOES BRRR: 290 X: 18.1777 +INDEX GOES BRRR: 921 X: -6.39648 +INDEX GOES BRRR: 221 X: 13.8389 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3555 +INDEX GOES BRRR: 58 X: 3.65332 +INDEX GOES BRRR: 345 X: 21.5625 +INDEX GOES BRRR: 389 X: 24.3311 +INDEX GOES BRRR: 236 X: 14.7656 +INDEX GOES BRRR: 944 X: -4.96387 +INDEX GOES BRRR: 370 X: 23.1602 +INDEX GOES BRRR: 151 X: 9.48145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.6074 +INDEX GOES BRRR: 953 X: -4.37793 +INDEX GOES BRRR: 305 X: 19.1016 +INDEX GOES BRRR: 94 X: 5.91113 +INDEX GOES BRRR: 39 X: 2.47461 +INDEX GOES BRRR: 232 X: 14.5283 +INDEX GOES BRRR: 324 X: 20.293 +INDEX GOES BRRR: 300 X: 18.7559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3193 +INDEX GOES BRRR: 414 X: 25.916 +INDEX GOES BRRR: 984 X: -2.49414 +INDEX GOES BRRR: 3 X: 0.244141 +INDEX GOES BRRR: 360 X: 22.5518 +INDEX GOES BRRR: 145 X: 9.07324 +INDEX GOES BRRR: 60 X: 3.75293 +INDEX GOES BRRR: 830 X: -12.1221 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9131 +INDEX GOES BRRR: 191 X: 11.9473 +INDEX GOES BRRR: 358 X: 22.3896 +INDEX GOES BRRR: 106 X: 6.64746 +INDEX GOES BRRR: 210 X: 13.1602 +INDEX GOES BRRR: 214 X: 13.4102 +INDEX GOES BRRR: 958 X: -4.125 +INDEX GOES BRRR: 251 X: 15.6914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.02637 +INDEX GOES BRRR: 227 X: 14.1943 +INDEX GOES BRRR: 416 X: 26.0049 +INDEX GOES BRRR: 201 X: 12.6201 +INDEX GOES BRRR: 249 X: 15.6143 +INDEX GOES BRRR: 485 X: 30.3135 +INDEX GOES BRRR: 273 X: 17.0645 +INDEX GOES BRRR: 145 X: 9.09082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 200 X: 12.5566 +INDEX GOES BRRR: 932 X: -5.69043 +INDEX GOES BRRR: 97 X: 6.10254 +INDEX GOES BRRR: 989 X: -2.17578 +INDEX GOES BRRR: 1016 X: -0.438477 +INDEX GOES BRRR: 305 X: 19.1045 +INDEX GOES BRRR: 134 X: 8.41602 +INDEX GOES BRRR: 993 X: -1.88086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 234 X: 14.6514 +INDEX GOES BRRR: 966 X: -3.5918 +INDEX GOES BRRR: 333 X: 20.8145 +INDEX GOES BRRR: 443 X: 27.7266 +INDEX GOES BRRR: 67 X: 4.2041 +INDEX GOES BRRR: 1016 X: -0.449219 +INDEX GOES BRRR: 200 X: 12.5215 +INDEX GOES BRRR: 174 X: 10.9102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 424 X: 26.5059 +INDEX GOES BRRR: 1014 X: -0.616211 +INDEX GOES BRRR: 950 X: -4.5957 +INDEX GOES BRRR: 249 X: 15.6162 +INDEX GOES BRRR: 347 X: 21.6963 +INDEX GOES BRRR: 1006 X: -1.09277 +INDEX GOES BRRR: 229 X: 14.3672 +INDEX GOES BRRR: 29 X: 1.81934 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.82227 +INDEX GOES BRRR: 179 X: 11.2432 +INDEX GOES BRRR: 320 X: 20.0547 +INDEX GOES BRRR: 54 X: 3.41211 +INDEX GOES BRRR: 91 X: 5.72754 +INDEX GOES BRRR: 985 X: -2.43555 +INDEX GOES BRRR: 66 X: 4.18457 +INDEX GOES BRRR: 1003 X: -1.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.09277 +INDEX GOES BRRR: 324 X: 20.2686 +INDEX GOES BRRR: 7 X: 0.451172 +INDEX GOES BRRR: 93 X: 5.8584 +INDEX GOES BRRR: 234 X: 14.665 +INDEX GOES BRRR: 302 X: 18.8926 +INDEX GOES BRRR: 123 X: 7.72461 +INDEX GOES BRRR: 911 X: -7.0459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1008 X: -0.96875 +INDEX GOES BRRR: 326 X: 20.4189 +INDEX GOES BRRR: 327 X: 20.4785 +INDEX GOES BRRR: 760 X: -16.458 +INDEX GOES BRRR: 296 X: 18.5596 +INDEX GOES BRRR: 8 X: 0.5 +INDEX GOES BRRR: 211 X: 13.2109 +INDEX GOES BRRR: 136 X: 8.50781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.8623 +INDEX GOES BRRR: 1019 X: -0.291016 +INDEX GOES BRRR: 56 X: 3.5 +INDEX GOES BRRR: 137 X: 8.57617 +INDEX GOES BRRR: 183 X: 11.4717 +INDEX GOES BRRR: 115 X: 7.21484 +INDEX GOES BRRR: 277 X: 17.3262 +INDEX GOES BRRR: 54 X: 3.43164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5908 +INDEX GOES BRRR: 111 X: 6.95605 +INDEX GOES BRRR: 972 X: -3.24023 +INDEX GOES BRRR: 74 X: 4.66797 +INDEX GOES BRRR: 105 X: 6.62207 +INDEX GOES BRRR: 180 X: 11.292 +INDEX GOES BRRR: 58 X: 3.63086 +INDEX GOES BRRR: 282 X: 17.6367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0557 +INDEX GOES BRRR: 142 X: 8.90332 +INDEX GOES BRRR: 230 X: 14.4043 +INDEX GOES BRRR: 266 X: 16.6846 +INDEX GOES BRRR: 214 X: 13.3975 +INDEX GOES BRRR: 202 X: 12.6299 +INDEX GOES BRRR: 375 X: 23.498 +INDEX GOES BRRR: 88 X: 5.50781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 886 X: -8.57617 +INDEX GOES BRRR: 908 X: -7.2168 +INDEX GOES BRRR: 922 X: -6.36035 +INDEX GOES BRRR: 924 X: -6.19824 +INDEX GOES BRRR: 43 X: 2.7168 +INDEX GOES BRRR: 68 X: 4.25684 +INDEX GOES BRRR: 256 X: 16.0127 +INDEX GOES BRRR: 146 X: 9.17188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1014 X: -0.598633 +INDEX GOES BRRR: 149 X: 9.31641 +INDEX GOES BRRR: 35 X: 2.19141 +INDEX GOES BRRR: 281 X: 17.5742 +INDEX GOES BRRR: 942 X: -5.08301 +INDEX GOES BRRR: 10 X: 0.65625 +INDEX GOES BRRR: 759 X: -16.5283 +INDEX GOES BRRR: 252 X: 15.7822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0605 +INDEX GOES BRRR: 152 X: 9.5127 +INDEX GOES BRRR: 914 X: -6.85742 +INDEX GOES BRRR: 933 X: -5.64844 +INDEX GOES BRRR: 260 X: 16.2686 +INDEX GOES BRRR: 1017 X: -0.399414 +INDEX GOES BRRR: 449 X: 28.1113 +INDEX GOES BRRR: 1012 X: -0.709961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.09668 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 316 X: 19.7744 +INDEX GOES BRRR: 192 X: 12.0596 +INDEX GOES BRRR: 21 X: 1.35156 +INDEX GOES BRRR: 969 X: -3.3916 +INDEX GOES BRRR: 158 X: 9.89648 +INDEX GOES BRRR: 383 X: 23.9893 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 989 X: -2.14648 +INDEX GOES BRRR: 987 X: -2.30859 +INDEX GOES BRRR: 1022 X: -0.119141 +INDEX GOES BRRR: 978 X: -2.85645 +INDEX GOES BRRR: 229 X: 14.3584 +INDEX GOES BRRR: 12 X: 0.763672 +INDEX GOES BRRR: 423 X: 26.4639 +INDEX GOES BRRR: 315 X: 19.7324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.14062 +INDEX GOES BRRR: 66 X: 4.17578 +INDEX GOES BRRR: 305 X: 19.0771 +INDEX GOES BRRR: 250 X: 15.6416 +INDEX GOES BRRR: 169 X: 10.6074 +INDEX GOES BRRR: 110 X: 6.89453 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 222 X: 13.9219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 950 X: -4.58691 +INDEX GOES BRRR: 1020 X: -0.245117 +INDEX GOES BRRR: 235 X: 14.7168 +INDEX GOES BRRR: 12 X: 0.771484 +INDEX GOES BRRR: 876 X: -9.24805 +INDEX GOES BRRR: 413 X: 25.8467 +INDEX GOES BRRR: 350 X: 21.9238 +INDEX GOES BRRR: 215 X: 13.4971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.63672 +INDEX GOES BRRR: 220 X: 13.8096 +INDEX GOES BRRR: 123 X: 7.74121 +INDEX GOES BRRR: 69 X: 4.36914 +INDEX GOES BRRR: 111 X: 6.97852 +INDEX GOES BRRR: 129 X: 8.12109 +INDEX GOES BRRR: 144 X: 9.01074 +INDEX GOES BRRR: 304 X: 19.0078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 343 X: 21.4609 +INDEX GOES BRRR: 769 X: -15.9072 +INDEX GOES BRRR: 222 X: 13.9258 +INDEX GOES BRRR: 817 X: -12.8828 +INDEX GOES BRRR: 173 X: 10.873 +INDEX GOES BRRR: 242 X: 15.1318 +INDEX GOES BRRR: 312 X: 19.5371 +INDEX GOES BRRR: 32 X: 2.03613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9521 +INDEX GOES BRRR: 224 X: 14.0127 +INDEX GOES BRRR: 997 X: -1.64355 +INDEX GOES BRRR: 177 X: 11.0625 +INDEX GOES BRRR: 213 X: 13.3672 +INDEX GOES BRRR: 921 X: -6.38965 +INDEX GOES BRRR: 252 X: 15.7979 +INDEX GOES BRRR: 70 X: 4.38477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.27539 +INDEX GOES BRRR: 241 X: 15.1035 +INDEX GOES BRRR: 21 X: 1.34668 +INDEX GOES BRRR: 39 X: 2.44141 +INDEX GOES BRRR: 277 X: 17.3496 +INDEX GOES BRRR: 199 X: 12.4521 +INDEX GOES BRRR: 159 X: 9.98926 +INDEX GOES BRRR: 291 X: 18.1904 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.06445 +INDEX GOES BRRR: 189 X: 11.8662 +INDEX GOES BRRR: 242 X: 15.1592 +INDEX GOES BRRR: 24 X: 1.51172 +INDEX GOES BRRR: 182 X: 11.3838 +INDEX GOES BRRR: 804 X: -13.7002 +INDEX GOES BRRR: 173 X: 10.873 +INDEX GOES BRRR: 30 X: 1.8877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 909 X: -7.12891 +INDEX GOES BRRR: 162 X: 10.1641 +INDEX GOES BRRR: 322 X: 20.1787 +INDEX GOES BRRR: 182 X: 11.4355 +INDEX GOES BRRR: 243 X: 15.2285 +INDEX GOES BRRR: 104 X: 6.53418 +INDEX GOES BRRR: 888 X: -8.47754 +INDEX GOES BRRR: 199 X: 12.4863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.1084 +INDEX GOES BRRR: 845 X: -11.1367 +INDEX GOES BRRR: 994 X: -1.87207 +INDEX GOES BRRR: 56 X: 3.52441 +INDEX GOES BRRR: 258 X: 16.1396 +INDEX GOES BRRR: 94 X: 5.91309 +INDEX GOES BRRR: 27 X: 1.73633 +INDEX GOES BRRR: 162 X: 10.1279 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0508 +INDEX GOES BRRR: 137 X: 8.5957 +INDEX GOES BRRR: 69 X: 4.3252 +INDEX GOES BRRR: 221 X: 13.8311 +INDEX GOES BRRR: 36 X: 2.30469 +INDEX GOES BRRR: 48 X: 3.03613 +INDEX GOES BRRR: 210 X: 13.1357 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 810 X: -13.3594 +INDEX GOES BRRR: 227 X: 14.2383 +INDEX GOES BRRR: 917 X: -6.6748 +INDEX GOES BRRR: 148 X: 9.26758 +INDEX GOES BRRR: 242 X: 15.1768 +INDEX GOES BRRR: 77 X: 4.84863 +INDEX GOES BRRR: 991 X: -2.01562 +INDEX GOES BRRR: 101 X: 6.3291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 425 X: 26.5957 +INDEX GOES BRRR: 170 X: 10.667 +INDEX GOES BRRR: 108 X: 6.7998 +INDEX GOES BRRR: 14 X: 0.925781 +INDEX GOES BRRR: 247 X: 15.4893 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 387 X: 24.2227 +INDEX GOES BRRR: 155 X: 9.74805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 957 X: -4.13281 +INDEX GOES BRRR: 165 X: 10.3506 +INDEX GOES BRRR: 124 X: 7.79883 +INDEX GOES BRRR: 372 X: 23.2998 +INDEX GOES BRRR: 168 X: 10.5322 +INDEX GOES BRRR: 124 X: 7.7666 +INDEX GOES BRRR: 264 X: 16.5264 +INDEX GOES BRRR: 263 X: 16.4697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 997 X: -1.68066 +INDEX GOES BRRR: 23 X: 1.48145 +INDEX GOES BRRR: 119 X: 7.47852 +INDEX GOES BRRR: 86 X: 5.39648 +INDEX GOES BRRR: 202 X: 12.6562 +INDEX GOES BRRR: 428 X: 26.7871 +INDEX GOES BRRR: 44 X: 2.79688 +INDEX GOES BRRR: 271 X: 16.9434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4082 +INDEX GOES BRRR: 945 X: -4.91504 +INDEX GOES BRRR: 58 X: 3.64453 +INDEX GOES BRRR: 390 X: 24.417 +INDEX GOES BRRR: 1013 X: -0.660156 +INDEX GOES BRRR: 181 X: 11.3389 +INDEX GOES BRRR: 33 X: 2.07617 +INDEX GOES BRRR: 163 X: 10.2188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.708 +INDEX GOES BRRR: 284 X: 17.75 +INDEX GOES BRRR: 274 X: 17.1719 +INDEX GOES BRRR: 957 X: -4.13086 +INDEX GOES BRRR: 185 X: 11.6182 +INDEX GOES BRRR: 229 X: 14.3164 +INDEX GOES BRRR: 194 X: 12.1465 +INDEX GOES BRRR: 66 X: 4.14746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 219 X: 13.7061 +INDEX GOES BRRR: 165 X: 10.3555 +INDEX GOES BRRR: 872 X: -9.45215 +INDEX GOES BRRR: 127 X: 7.94824 +INDEX GOES BRRR: 248 X: 15.5195 +INDEX GOES BRRR: 252 X: 15.7578 +INDEX GOES BRRR: 914 X: -6.87207 +INDEX GOES BRRR: 52 X: 3.30273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 67 X: 4.24609 +INDEX GOES BRRR: 188 X: 11.75 +INDEX GOES BRRR: 44 X: 2.78516 +INDEX GOES BRRR: 443 X: 27.7412 +INDEX GOES BRRR: 75 X: 4.68848 +INDEX GOES BRRR: 982 X: -2.58594 +INDEX GOES BRRR: 45 X: 2.83301 +INDEX GOES BRRR: 924 X: -6.22559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1002 X: -1.32715 +INDEX GOES BRRR: 220 X: 13.7959 +INDEX GOES BRRR: 118 X: 7.41309 +INDEX GOES BRRR: 223 X: 13.9473 +INDEX GOES BRRR: 345 X: 21.5723 +INDEX GOES BRRR: 311 X: 19.4678 +INDEX GOES BRRR: 67 X: 4.22363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 477 X: 29.8643 +INDEX GOES BRRR: 214 X: 13.415 +INDEX GOES BRRR: 218 X: 13.6699 +INDEX GOES BRRR: 1018 X: -0.348633 +INDEX GOES BRRR: 130 X: 8.18262 +INDEX GOES BRRR: 173 X: 10.8125 +INDEX GOES BRRR: 246 X: 15.375 +INDEX GOES BRRR: 18 X: 1.16406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 2 X: 0.139648 +INDEX GOES BRRR: 372 X: 23.2676 +INDEX GOES BRRR: 427 X: 26.707 +INDEX GOES BRRR: 96 X: 6.00781 +INDEX GOES BRRR: 201 X: 12.5869 +INDEX GOES BRRR: 276 X: 17.2959 +INDEX GOES BRRR: 106 X: 6.64453 +INDEX GOES BRRR: 59 X: 3.72363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.46777 +INDEX GOES BRRR: 74 X: 4.66309 +INDEX GOES BRRR: 202 X: 12.6738 +INDEX GOES BRRR: 207 X: 12.9639 +INDEX GOES BRRR: 211 X: 13.1924 +INDEX GOES BRRR: 87 X: 5.48535 +INDEX GOES BRRR: 280 X: 17.5371 +INDEX GOES BRRR: 969 X: -3.41797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 300 X: 18.793 +INDEX GOES BRRR: 86 X: 5.42773 +INDEX GOES BRRR: 255 X: 15.9541 +INDEX GOES BRRR: 137 X: 8.56445 +INDEX GOES BRRR: 69 X: 4.33203 +INDEX GOES BRRR: 67 X: 4.19141 +INDEX GOES BRRR: 178 X: 11.1641 +INDEX GOES BRRR: 228 X: 14.2725 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.4639 +INDEX GOES BRRR: 147 X: 9.2373 +INDEX GOES BRRR: 57 X: 3.56641 +INDEX GOES BRRR: 202 X: 12.6855 +INDEX GOES BRRR: 378 X: 23.6484 +INDEX GOES BRRR: 136 X: 8.55176 +INDEX GOES BRRR: 113 X: 7.06836 +INDEX GOES BRRR: 131 X: 8.22949 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.74316 +INDEX GOES BRRR: 42 X: 2.68262 +INDEX GOES BRRR: 292 X: 18.2783 +INDEX GOES BRRR: 264 X: 16.5576 +INDEX GOES BRRR: 156 X: 9.75879 +INDEX GOES BRRR: 29 X: 1.86719 +INDEX GOES BRRR: 164 X: 10.2773 +INDEX GOES BRRR: 140 X: 8.76855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4365 +INDEX GOES BRRR: 231 X: 14.4512 +INDEX GOES BRRR: 106 X: 6.66016 +INDEX GOES BRRR: 980 X: -2.68945 +INDEX GOES BRRR: 190 X: 11.9141 +INDEX GOES BRRR: 56 X: 3.50195 +INDEX GOES BRRR: 161 X: 10.0908 +INDEX GOES BRRR: 109 X: 6.81836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 54 X: 3.39746 +INDEX GOES BRRR: 26 X: 1.65234 +INDEX GOES BRRR: 84 X: 5.25098 +INDEX GOES BRRR: 1022 X: -0.108398 +INDEX GOES BRRR: 346 X: 21.666 +INDEX GOES BRRR: 780 X: -15.2354 +INDEX GOES BRRR: 380 X: 23.8018 +INDEX GOES BRRR: 15 X: 0.96875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 292 X: 18.2549 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 427 X: 26.7061 +INDEX GOES BRRR: 232 X: 14.5488 +INDEX GOES BRRR: 40 X: 2.53516 +INDEX GOES BRRR: 182 X: 11.3906 +INDEX GOES BRRR: 952 X: -4.48633 +INDEX GOES BRRR: 185 X: 11.5723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.3799 +INDEX GOES BRRR: 154 X: 9.62695 +INDEX GOES BRRR: 186 X: 11.6836 +INDEX GOES BRRR: 138 X: 8.64355 +INDEX GOES BRRR: 241 X: 15.1074 +INDEX GOES BRRR: 452 X: 28.2715 +INDEX GOES BRRR: 316 X: 19.8008 +INDEX GOES BRRR: 155 X: 9.71875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.1748 +INDEX GOES BRRR: 372 X: 23.2861 +INDEX GOES BRRR: 279 X: 17.4482 +INDEX GOES BRRR: 275 X: 17.21 +INDEX GOES BRRR: 935 X: -5.50684 +INDEX GOES BRRR: 69 X: 4.33984 +INDEX GOES BRRR: 73 X: 4.61719 +INDEX GOES BRRR: 296 X: 18.5547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.2773 +INDEX GOES BRRR: 33 X: 2.06641 +INDEX GOES BRRR: 359 X: 22.4648 +INDEX GOES BRRR: 434 X: 27.1357 +INDEX GOES BRRR: 940 X: -5.19922 +INDEX GOES BRRR: 885 X: -8.63965 +INDEX GOES BRRR: 359 X: 22.4541 +INDEX GOES BRRR: 265 X: 16.5977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 848 X: -10.9639 +INDEX GOES BRRR: 195 X: 12.2441 +INDEX GOES BRRR: 99 X: 6.19727 +INDEX GOES BRRR: 226 X: 14.1729 +INDEX GOES BRRR: 63 X: 3.98828 +INDEX GOES BRRR: 264 X: 16.5068 +INDEX GOES BRRR: 332 X: 20.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.1982 +INDEX GOES BRRR: 321 X: 20.082 +INDEX GOES BRRR: 194 X: 12.1748 +INDEX GOES BRRR: 97 X: 6.0791 +INDEX GOES BRRR: 191 X: 11.9648 +INDEX GOES BRRR: 242 X: 15.1406 +INDEX GOES BRRR: 147 X: 9.24707 +INDEX GOES BRRR: 335 X: 20.9766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.76367 +INDEX GOES BRRR: 120 X: 7.53125 +INDEX GOES BRRR: 156 X: 9.78027 +INDEX GOES BRRR: 440 X: 27.5117 +INDEX GOES BRRR: 220 X: 13.79 +INDEX GOES BRRR: 186 X: 11.6768 +INDEX GOES BRRR: 273 X: 17.0889 +INDEX GOES BRRR: 311 X: 19.4795 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 83 X: 5.24512 +INDEX GOES BRRR: 292 X: 18.2676 +INDEX GOES BRRR: 266 X: 16.6709 +INDEX GOES BRRR: 40 X: 2.50781 +INDEX GOES BRRR: 95 X: 5.98242 +INDEX GOES BRRR: 222 X: 13.8828 +INDEX GOES BRRR: 866 X: -9.85352 +INDEX GOES BRRR: 26 X: 1.67871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.043 +INDEX GOES BRRR: 385 X: 24.0977 +INDEX GOES BRRR: 260 X: 16.2969 +INDEX GOES BRRR: 167 X: 10.4688 +INDEX GOES BRRR: 53 X: 3.35156 +INDEX GOES BRRR: 355 X: 22.1875 +INDEX GOES BRRR: 384 X: 24.0039 +INDEX GOES BRRR: 21 X: 1.34766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5449 +INDEX GOES BRRR: 447 X: 27.9385 +INDEX GOES BRRR: 317 X: 19.8184 +INDEX GOES BRRR: 1000 X: -1.44141 +INDEX GOES BRRR: 202 X: 12.6621 +INDEX GOES BRRR: 953 X: -4.41895 +INDEX GOES BRRR: 992 X: -1.9707 +INDEX GOES BRRR: 126 X: 7.91309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 819 X: -12.79 +INDEX GOES BRRR: 177 X: 11.1094 +INDEX GOES BRRR: 198 X: 12.4033 +INDEX GOES BRRR: 983 X: -2.55664 +INDEX GOES BRRR: 125 X: 7.8252 +INDEX GOES BRRR: 102 X: 6.41699 +INDEX GOES BRRR: 288 X: 18.0029 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7773 +INDEX GOES BRRR: 185 X: 11.5908 +INDEX GOES BRRR: 883 X: -8.75586 +INDEX GOES BRRR: 956 X: -4.24414 +INDEX GOES BRRR: 936 X: -5.47852 +INDEX GOES BRRR: 11 X: 0.739258 +INDEX GOES BRRR: 1001 X: -1.39648 +INDEX GOES BRRR: 230 X: 14.4023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 421 X: 26.3281 +INDEX GOES BRRR: 948 X: -4.71875 +INDEX GOES BRRR: 6 X: 0.390625 +INDEX GOES BRRR: 128 X: 8.02246 +INDEX GOES BRRR: 87 X: 5.48926 +INDEX GOES BRRR: 786 X: -14.8164 +INDEX GOES BRRR: 289 X: 18.0781 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5938 +INDEX GOES BRRR: 884 X: -8.74805 +INDEX GOES BRRR: 207 X: 12.9814 +INDEX GOES BRRR: 992 X: -1.98633 +INDEX GOES BRRR: 133 X: 8.32422 +INDEX GOES BRRR: 217 X: 13.5654 +INDEX GOES BRRR: 287 X: 17.998 +INDEX GOES BRRR: 294 X: 18.416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0322 +INDEX GOES BRRR: 330 X: 20.6523 +INDEX GOES BRRR: 852 X: -10.7148 +INDEX GOES BRRR: 122 X: 7.65332 +INDEX GOES BRRR: 400 X: 25.0322 +INDEX GOES BRRR: 132 X: 8.28613 +INDEX GOES BRRR: 449 X: 28.0977 +INDEX GOES BRRR: 136 X: 8.54883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 442 X: 27.6289 +INDEX GOES BRRR: 147 X: 9.21582 +INDEX GOES BRRR: 1000 X: -1.45312 +INDEX GOES BRRR: 996 X: -1.71387 +INDEX GOES BRRR: 154 X: 9.63672 +INDEX GOES BRRR: 829 X: -12.1299 +INDEX GOES BRRR: 465 X: 29.0791 +INDEX GOES BRRR: 17 X: 1.1084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.40723 +INDEX GOES BRRR: 147 X: 9.23438 +INDEX GOES BRRR: 95 X: 5.95605 +INDEX GOES BRRR: 0 X: 0.0478516 +INDEX GOES BRRR: 11 X: 0.71875 +INDEX GOES BRRR: 59 X: 3.72656 +INDEX GOES BRRR: 1001 X: -1.42578 +INDEX GOES BRRR: 887 X: -8.50586 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.65918 +INDEX GOES BRRR: 168 X: 10.5107 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 266 X: 16.6748 +INDEX GOES BRRR: 1 X: 0.0878906 +INDEX GOES BRRR: 82 X: 5.13867 +INDEX GOES BRRR: 100 X: 6.26172 +INDEX GOES BRRR: 280 X: 17.5322 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.874 +INDEX GOES BRRR: 173 X: 10.874 +INDEX GOES BRRR: 162 X: 10.1299 +INDEX GOES BRRR: 213 X: 13.3701 +INDEX GOES BRRR: 123 X: 7.7041 +INDEX GOES BRRR: 18 X: 1.1709 +INDEX GOES BRRR: 175 X: 10.9824 +INDEX GOES BRRR: 37 X: 2.35156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2373 +INDEX GOES BRRR: 492 X: 30.7793 +INDEX GOES BRRR: 72 X: 4.52441 +INDEX GOES BRRR: 277 X: 17.3711 +INDEX GOES BRRR: 62 X: 3.91309 +INDEX GOES BRRR: 119 X: 7.46582 +INDEX GOES BRRR: 950 X: -4.5957 +INDEX GOES BRRR: 128 X: 8.04883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.75977 +INDEX GOES BRRR: 210 X: 13.1777 +INDEX GOES BRRR: 914 X: -6.83691 +INDEX GOES BRRR: 1017 X: -0.412109 +INDEX GOES BRRR: 236 X: 14.8027 +INDEX GOES BRRR: 218 X: 13.6445 +INDEX GOES BRRR: 467 X: 29.2002 +INDEX GOES BRRR: 213 X: 13.3584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 981 X: -2.66113 +INDEX GOES BRRR: 320 X: 20.0303 +INDEX GOES BRRR: 821 X: -12.627 +INDEX GOES BRRR: 136 X: 8.52734 +INDEX GOES BRRR: 301 X: 18.8428 +INDEX GOES BRRR: 402 X: 25.1562 +INDEX GOES BRRR: 25 X: 1.61133 +INDEX GOES BRRR: 82 X: 5.13086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.333 +INDEX GOES BRRR: 419 X: 26.2334 +INDEX GOES BRRR: 29 X: 1.8457 +INDEX GOES BRRR: 129 X: 8.08594 +INDEX GOES BRRR: 245 X: 15.3232 +INDEX GOES BRRR: 8 X: 0.544922 +INDEX GOES BRRR: 61 X: 3.87402 +INDEX GOES BRRR: 261 X: 16.3145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.20996 +INDEX GOES BRRR: 244 X: 15.2676 +INDEX GOES BRRR: 222 X: 13.8887 +INDEX GOES BRRR: 882 X: -8.86133 +INDEX GOES BRRR: 84 X: 5.29785 +INDEX GOES BRRR: 94 X: 5.89648 +INDEX GOES BRRR: 140 X: 8.77734 +INDEX GOES BRRR: 474 X: 29.6807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2246 +INDEX GOES BRRR: 240 X: 15.0518 +INDEX GOES BRRR: 146 X: 9.16211 +INDEX GOES BRRR: 437 X: 27.333 +INDEX GOES BRRR: 134 X: 8.4248 +INDEX GOES BRRR: 148 X: 9.29688 +INDEX GOES BRRR: 170 X: 10.665 +INDEX GOES BRRR: 809 X: -13.4102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 190 X: 11.9092 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 26 X: 1.68164 +INDEX GOES BRRR: 124 X: 7.79395 +INDEX GOES BRRR: 110 X: 6.88574 +INDEX GOES BRRR: 93 X: 5.84961 +INDEX GOES BRRR: 235 X: 14.6982 +INDEX GOES BRRR: 426 X: 26.6621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.99512 +INDEX GOES BRRR: 346 X: 21.668 +INDEX GOES BRRR: 977 X: -2.93652 +INDEX GOES BRRR: 997 X: -1.68652 +INDEX GOES BRRR: 458 X: 28.6328 +INDEX GOES BRRR: 445 X: 27.8301 +INDEX GOES BRRR: 382 X: 23.9102 +INDEX GOES BRRR: 275 X: 17.2402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.66699 +INDEX GOES BRRR: 336 X: 21.0537 +INDEX GOES BRRR: 233 X: 14.5947 +INDEX GOES BRRR: 90 X: 5.62695 +INDEX GOES BRRR: 11 X: 0.742188 +INDEX GOES BRRR: 233 X: 14.5635 +INDEX GOES BRRR: 85 X: 5.36523 +INDEX GOES BRRR: 243 X: 15.2168 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 736 X: -17.9473 +INDEX GOES BRRR: 177 X: 11.0635 +INDEX GOES BRRR: 838 X: -11.5947 +INDEX GOES BRRR: 156 X: 9.75 +INDEX GOES BRRR: 920 X: -6.43848 +INDEX GOES BRRR: 99 X: 6.21875 +INDEX GOES BRRR: 218 X: 13.6709 +INDEX GOES BRRR: 233 X: 14.6133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 401 X: 25.1064 +INDEX GOES BRRR: 362 X: 22.6426 +INDEX GOES BRRR: 205 X: 12.8301 +INDEX GOES BRRR: 341 X: 21.3145 +INDEX GOES BRRR: 180 X: 11.252 +INDEX GOES BRRR: 869 X: -9.65234 +INDEX GOES BRRR: 291 X: 18.2207 +INDEX GOES BRRR: 252 X: 15.792 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.33691 +INDEX GOES BRRR: 265 X: 16.5898 +INDEX GOES BRRR: 906 X: -7.34766 +INDEX GOES BRRR: 1019 X: -0.282227 +INDEX GOES BRRR: 148 X: 9.2959 +INDEX GOES BRRR: 41 X: 2.56543 +INDEX GOES BRRR: 277 X: 17.3613 +INDEX GOES BRRR: 313 X: 19.5986 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5488 +INDEX GOES BRRR: 108 X: 6.75293 +INDEX GOES BRRR: 150 X: 9.40625 +INDEX GOES BRRR: 374 X: 23.3818 +INDEX GOES BRRR: 282 X: 17.6289 +INDEX GOES BRRR: 359 X: 22.4531 +INDEX GOES BRRR: 364 X: 22.7588 +INDEX GOES BRRR: 105 X: 6.58984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 146 X: 9.13281 +INDEX GOES BRRR: 182 X: 11.3828 +INDEX GOES BRRR: 418 X: 26.1514 +INDEX GOES BRRR: 48 X: 3.06152 +INDEX GOES BRRR: 196 X: 12.2549 +INDEX GOES BRRR: 336 X: 21.0293 +INDEX GOES BRRR: 265 X: 16.6221 +INDEX GOES BRRR: 281 X: 17.6133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0596 +INDEX GOES BRRR: 1013 X: -0.644531 +INDEX GOES BRRR: 184 X: 11.5039 +INDEX GOES BRRR: 177 X: 11.1016 +INDEX GOES BRRR: 990 X: -2.11133 +INDEX GOES BRRR: 195 X: 12.2246 +INDEX GOES BRRR: 119 X: 7.48047 +INDEX GOES BRRR: 124 X: 7.78613 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.291016 +INDEX GOES BRRR: 35 X: 2.19531 +INDEX GOES BRRR: 262 X: 16.4287 +INDEX GOES BRRR: 84 X: 5.29688 +INDEX GOES BRRR: 821 X: -12.6426 +INDEX GOES BRRR: 125 X: 7.83008 +INDEX GOES BRRR: 314 X: 19.627 +INDEX GOES BRRR: 876 X: -9.18945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.0732 +INDEX GOES BRRR: 62 X: 3.92676 +INDEX GOES BRRR: 914 X: -6.83789 +INDEX GOES BRRR: 181 X: 11.3301 +INDEX GOES BRRR: 29 X: 1.8418 +INDEX GOES BRRR: 222 X: 13.9258 +INDEX GOES BRRR: 202 X: 12.6719 +INDEX GOES BRRR: 338 X: 21.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 392 X: 24.541 +INDEX GOES BRRR: 243 X: 15.2012 +INDEX GOES BRRR: 400 X: 25.0498 +INDEX GOES BRRR: 299 X: 18.7256 +INDEX GOES BRRR: 75 X: 4.70215 +INDEX GOES BRRR: 909 X: -7.16797 +INDEX GOES BRRR: 417 X: 26.0713 +INDEX GOES BRRR: 147 X: 9.2207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 337 X: 21.083 +INDEX GOES BRRR: 24 X: 1.54297 +INDEX GOES BRRR: 151 X: 9.47266 +INDEX GOES BRRR: 158 X: 9.93457 +INDEX GOES BRRR: 908 X: -7.20312 +INDEX GOES BRRR: 202 X: 12.6426 +INDEX GOES BRRR: 973 X: -3.17773 +INDEX GOES BRRR: 206 X: 12.9072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1020 X: -0.220703 +INDEX GOES BRRR: 250 X: 15.6309 +INDEX GOES BRRR: 256 X: 16.0586 +INDEX GOES BRRR: 189 X: 11.8721 +INDEX GOES BRRR: 146 X: 9.18652 +INDEX GOES BRRR: 424 X: 26.5498 +INDEX GOES BRRR: 324 X: 20.2812 +INDEX GOES BRRR: 65 X: 4.09863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 280 X: 17.5254 +INDEX GOES BRRR: 237 X: 14.832 +INDEX GOES BRRR: 935 X: -5.53418 +INDEX GOES BRRR: 92 X: 5.78613 +INDEX GOES BRRR: 34 X: 2.14844 +INDEX GOES BRRR: 489 X: 30.6064 +INDEX GOES BRRR: 284 X: 17.7656 +INDEX GOES BRRR: 1 X: 0.0996094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.22266 +INDEX GOES BRRR: 260 X: 16.3018 +INDEX GOES BRRR: 191 X: 11.9609 +INDEX GOES BRRR: 30 X: 1.90137 +INDEX GOES BRRR: 17 X: 1.06641 +INDEX GOES BRRR: 282 X: 17.6289 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 894 X: -8.10156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.65625 +INDEX GOES BRRR: 1019 X: -0.264648 +INDEX GOES BRRR: 133 X: 8.36719 +INDEX GOES BRRR: 1007 X: -1.0625 +INDEX GOES BRRR: 63 X: 3.96484 +INDEX GOES BRRR: 116 X: 7.2832 +INDEX GOES BRRR: 244 X: 15.2676 +INDEX GOES BRRR: 280 X: 17.541 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0234 +INDEX GOES BRRR: 487 X: 30.4619 +INDEX GOES BRRR: 1 X: 0.112305 +INDEX GOES BRRR: 38 X: 2.39258 +INDEX GOES BRRR: 287 X: 17.9473 +INDEX GOES BRRR: 244 X: 15.2949 +INDEX GOES BRRR: 148 X: 9.26465 +INDEX GOES BRRR: 61 X: 3.85938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.23047 +INDEX GOES BRRR: 78 X: 4.87598 +INDEX GOES BRRR: 70 X: 4.39355 +INDEX GOES BRRR: 286 X: 17.9238 +INDEX GOES BRRR: 97 X: 6.09961 +INDEX GOES BRRR: 23 X: 1.48145 +INDEX GOES BRRR: 936 X: -5.45605 +INDEX GOES BRRR: 226 X: 14.1816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 4 X: 0.255859 +INDEX GOES BRRR: 83 X: 5.23145 +INDEX GOES BRRR: 135 X: 8.48438 +INDEX GOES BRRR: 101 X: 6.35254 +INDEX GOES BRRR: 387 X: 24.2012 +INDEX GOES BRRR: 1001 X: -1.39844 +INDEX GOES BRRR: 167 X: 10.4453 +INDEX GOES BRRR: 54 X: 3.3877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.43262 +INDEX GOES BRRR: 61 X: 3.84863 +INDEX GOES BRRR: 402 X: 25.1387 +INDEX GOES BRRR: 278 X: 17.3828 +INDEX GOES BRRR: 364 X: 22.8037 +INDEX GOES BRRR: 430 X: 26.9043 +INDEX GOES BRRR: 182 X: 11.4346 +INDEX GOES BRRR: 9 X: 0.584961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 440 X: 27.5 +INDEX GOES BRRR: 136 X: 8.54297 +INDEX GOES BRRR: 157 X: 9.84668 +INDEX GOES BRRR: 197 X: 12.3223 +INDEX GOES BRRR: 960 X: -3.95605 +INDEX GOES BRRR: 959 X: -4.01953 +INDEX GOES BRRR: 749 X: -17.1455 +INDEX GOES BRRR: 405 X: 25.3271 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.84277 +INDEX GOES BRRR: 361 X: 22.5996 +INDEX GOES BRRR: 164 X: 10.3086 +INDEX GOES BRRR: 239 X: 14.9609 +INDEX GOES BRRR: 210 X: 13.1445 +INDEX GOES BRRR: 806 X: -13.585 +INDEX GOES BRRR: 929 X: -5.88672 +INDEX GOES BRRR: 471 X: 29.4521 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.54102 +INDEX GOES BRRR: 1010 X: -0.830078 +INDEX GOES BRRR: 238 X: 14.9111 +INDEX GOES BRRR: 350 X: 21.8926 +INDEX GOES BRRR: 59 X: 3.69727 +INDEX GOES BRRR: 949 X: -4.68359 +INDEX GOES BRRR: 376 X: 23.5293 +INDEX GOES BRRR: 976 X: -2.93945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.1123 +INDEX GOES BRRR: 202 X: 12.6387 +INDEX GOES BRRR: 279 X: 17.4961 +INDEX GOES BRRR: 989 X: -2.1416 +INDEX GOES BRRR: 143 X: 8.98633 +INDEX GOES BRRR: 217 X: 13.6191 +INDEX GOES BRRR: 386 X: 24.1357 +INDEX GOES BRRR: 196 X: 12.2695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.805664 +INDEX GOES BRRR: 63 X: 3.96484 +INDEX GOES BRRR: 999 X: -1.55859 +INDEX GOES BRRR: 269 X: 16.8672 +INDEX GOES BRRR: 102 X: 6.43359 +INDEX GOES BRRR: 167 X: 10.4883 +INDEX GOES BRRR: 87 X: 5.46191 +INDEX GOES BRRR: 948 X: -4.73145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.66797 +INDEX GOES BRRR: 310 X: 19.3789 +INDEX GOES BRRR: 1012 X: -0.713867 +INDEX GOES BRRR: 332 X: 20.7539 +INDEX GOES BRRR: 868 X: -9.70312 +INDEX GOES BRRR: 0 X: 0.0136719 +INDEX GOES BRRR: 925 X: -6.12891 +INDEX GOES BRRR: 397 X: 24.8164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 14 X: 0.87793 +INDEX GOES BRRR: 242 X: 15.1299 +INDEX GOES BRRR: 997 X: -1.68066 +INDEX GOES BRRR: 968 X: -3.47656 +INDEX GOES BRRR: 120 X: 7.56152 +INDEX GOES BRRR: 224 X: 14.002 +INDEX GOES BRRR: 308 X: 19.2617 +INDEX GOES BRRR: 424 X: 26.542 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.0918 +INDEX GOES BRRR: 261 X: 16.3691 +INDEX GOES BRRR: 149 X: 9.32129 +INDEX GOES BRRR: 399 X: 24.9717 +INDEX GOES BRRR: 810 X: -13.3447 +INDEX GOES BRRR: 103 X: 6.44824 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 123 X: 7.70801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 263 X: 16.459 +INDEX GOES BRRR: 227 X: 14.2139 +INDEX GOES BRRR: 274 X: 17.1494 +INDEX GOES BRRR: 7 X: 0.484375 +INDEX GOES BRRR: 146 X: 9.17188 +INDEX GOES BRRR: 387 X: 24.2256 +INDEX GOES BRRR: 356 X: 22.3057 +INDEX GOES BRRR: 45 X: 2.86816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 245 X: 15.3623 +INDEX GOES BRRR: 7 X: 0.443359 +INDEX GOES BRRR: 375 X: 23.4707 +INDEX GOES BRRR: 121 X: 7.59668 +INDEX GOES BRRR: 924 X: -6.19238 +INDEX GOES BRRR: 281 X: 17.5957 +INDEX GOES BRRR: 224 X: 14.0488 +INDEX GOES BRRR: 470 X: 29.4014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6309 +INDEX GOES BRRR: 909 X: -7.17969 +INDEX GOES BRRR: 370 X: 23.1436 +INDEX GOES BRRR: 158 X: 9.92285 +INDEX GOES BRRR: 293 X: 18.3721 +INDEX GOES BRRR: 48 X: 3.00684 +INDEX GOES BRRR: 95 X: 5.98828 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 452 X: 28.2666 +INDEX GOES BRRR: 240 X: 15.0078 +INDEX GOES BRRR: 313 X: 19.6152 +INDEX GOES BRRR: 360 X: 22.5479 +INDEX GOES BRRR: 175 X: 10.9893 +INDEX GOES BRRR: 256 X: 16.042 +INDEX GOES BRRR: 9 X: 0.577148 +INDEX GOES BRRR: 949 X: -4.62598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9619 +INDEX GOES BRRR: 306 X: 19.1709 +INDEX GOES BRRR: 448 X: 28.0557 +INDEX GOES BRRR: 85 X: 5.34375 +INDEX GOES BRRR: 320 X: 20.0459 +INDEX GOES BRRR: 975 X: -3.05371 +INDEX GOES BRRR: 51 X: 3.21582 +INDEX GOES BRRR: 280 X: 17.5547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1009 X: -0.884766 +INDEX GOES BRRR: 313 X: 19.5996 +INDEX GOES BRRR: 48 X: 3.05078 +INDEX GOES BRRR: 267 X: 16.7236 +INDEX GOES BRRR: 763 X: -16.2607 +INDEX GOES BRRR: 1014 X: -0.563477 +INDEX GOES BRRR: 229 X: 14.374 +INDEX GOES BRRR: 984 X: -2.43945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.43359 +INDEX GOES BRRR: 80 X: 5.02637 +INDEX GOES BRRR: 271 X: 16.9609 +INDEX GOES BRRR: 214 X: 13.4102 +INDEX GOES BRRR: 288 X: 18.0312 +INDEX GOES BRRR: 949 X: -4.66211 +INDEX GOES BRRR: 313 X: 19.582 +INDEX GOES BRRR: 143 X: 8.96289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 407 X: 25.4502 +INDEX GOES BRRR: 357 X: 22.3438 +INDEX GOES BRRR: 143 X: 8.93848 +INDEX GOES BRRR: 233 X: 14.5693 +INDEX GOES BRRR: 106 X: 6.66895 +INDEX GOES BRRR: 298 X: 18.6797 +INDEX GOES BRRR: 219 X: 13.6924 +INDEX GOES BRRR: 230 X: 14.375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.19824 +INDEX GOES BRRR: 338 X: 21.126 +INDEX GOES BRRR: 265 X: 16.5957 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 374 X: 23.3945 +INDEX GOES BRRR: 130 X: 8.18457 +INDEX GOES BRRR: 956 X: -4.19922 +INDEX GOES BRRR: 315 X: 19.7158 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 963 X: -3.78223 +INDEX GOES BRRR: 958 X: -4.08008 +INDEX GOES BRRR: 977 X: -2.9043 +INDEX GOES BRRR: 474 X: 29.6758 +INDEX GOES BRRR: 268 X: 16.7578 +INDEX GOES BRRR: 38 X: 2.43262 +INDEX GOES BRRR: 792 X: -14.5 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 335 X: 20.958 +INDEX GOES BRRR: 338 X: 21.1602 +INDEX GOES BRRR: 971 X: -3.28711 +INDEX GOES BRRR: 152 X: 9.52734 +INDEX GOES BRRR: 24 X: 1.50391 +INDEX GOES BRRR: 115 X: 7.19141 +INDEX GOES BRRR: 275 X: 17.2041 +INDEX GOES BRRR: 970 X: -3.36523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 484 X: 30.2539 +INDEX GOES BRRR: 137 X: 8.62109 +INDEX GOES BRRR: 796 X: -14.2031 +INDEX GOES BRRR: 171 X: 10.7227 +INDEX GOES BRRR: 425 X: 26.5898 +INDEX GOES BRRR: 183 X: 11.4473 +INDEX GOES BRRR: 301 X: 18.8428 +INDEX GOES BRRR: 366 X: 22.9062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.77441 +INDEX GOES BRRR: 36 X: 2.31055 +INDEX GOES BRRR: 111 X: 6.99609 +INDEX GOES BRRR: 263 X: 16.4541 +INDEX GOES BRRR: 108 X: 6.75098 +INDEX GOES BRRR: 144 X: 9.02637 +INDEX GOES BRRR: 412 X: 25.79 +INDEX GOES BRRR: 20 X: 1.27441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.832 +INDEX GOES BRRR: 165 X: 10.3574 +INDEX GOES BRRR: 199 X: 12.4873 +INDEX GOES BRRR: 324 X: 20.2822 +INDEX GOES BRRR: 372 X: 23.2939 +INDEX GOES BRRR: 989 X: -2.1709 +INDEX GOES BRRR: 330 X: 20.665 +INDEX GOES BRRR: 34 X: 2.1416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 337 X: 21.1084 +INDEX GOES BRRR: 307 X: 19.2041 +INDEX GOES BRRR: 1021 X: -0.150391 +INDEX GOES BRRR: 99 X: 6.19336 +INDEX GOES BRRR: 128 X: 8.05273 +INDEX GOES BRRR: 280 X: 17.5596 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 444 X: 27.793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.3037 +INDEX GOES BRRR: 135 X: 8.4541 +INDEX GOES BRRR: 205 X: 12.8203 +INDEX GOES BRRR: 156 X: 9.77051 +INDEX GOES BRRR: 185 X: 11.6035 +INDEX GOES BRRR: 300 X: 18.8057 +INDEX GOES BRRR: 252 X: 15.8096 +INDEX GOES BRRR: 74 X: 4.68359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 156 X: 9.76367 +INDEX GOES BRRR: 443 X: 27.7373 +INDEX GOES BRRR: 167 X: 10.4961 +INDEX GOES BRRR: 800 X: -13.9434 +INDEX GOES BRRR: 367 X: 22.9531 +INDEX GOES BRRR: 11 X: 0.691406 +INDEX GOES BRRR: 1018 X: -0.338867 +INDEX GOES BRRR: 1023 X: -0.00195312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.96973 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 296 X: 18.5381 +INDEX GOES BRRR: 113 X: 7.12109 +INDEX GOES BRRR: 1004 X: -1.22559 +INDEX GOES BRRR: 201 X: 12.6094 +INDEX GOES BRRR: 965 X: -3.64453 +INDEX GOES BRRR: 976 X: -2.9668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.6133 +INDEX GOES BRRR: 161 X: 10.1182 +INDEX GOES BRRR: 289 X: 18.1113 +INDEX GOES BRRR: 2 X: 0.125977 +INDEX GOES BRRR: 98 X: 6.1416 +INDEX GOES BRRR: 325 X: 20.3145 +INDEX GOES BRRR: 40 X: 2.50488 +INDEX GOES BRRR: 91 X: 5.74023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 902 X: -7.62109 +INDEX GOES BRRR: 448 X: 28.0127 +INDEX GOES BRRR: 336 X: 21.0381 +INDEX GOES BRRR: 214 X: 13.3887 +INDEX GOES BRRR: 42 X: 2.67676 +INDEX GOES BRRR: 96 X: 6.04199 +INDEX GOES BRRR: 39 X: 2.48438 +INDEX GOES BRRR: 864 X: -9.95996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 259 X: 16.1963 +INDEX GOES BRRR: 252 X: 15.7646 +INDEX GOES BRRR: 217 X: 13.5879 +INDEX GOES BRRR: 261 X: 16.3564 +INDEX GOES BRRR: 209 X: 13.085 +INDEX GOES BRRR: 174 X: 10.9082 +INDEX GOES BRRR: 118 X: 7.40137 +INDEX GOES BRRR: 212 X: 13.2754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 257 X: 16.1006 +INDEX GOES BRRR: 81 X: 5.0625 +INDEX GOES BRRR: 215 X: 13.4551 +INDEX GOES BRRR: 300 X: 18.7598 +INDEX GOES BRRR: 956 X: -4.18848 +INDEX GOES BRRR: 402 X: 25.1562 +INDEX GOES BRRR: 105 X: 6.62305 +INDEX GOES BRRR: 215 X: 13.4785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1367 +INDEX GOES BRRR: 218 X: 13.6777 +INDEX GOES BRRR: 466 X: 29.1748 +INDEX GOES BRRR: 341 X: 21.3643 +INDEX GOES BRRR: 84 X: 5.29492 +INDEX GOES BRRR: 129 X: 8.10742 +INDEX GOES BRRR: 395 X: 24.7197 +INDEX GOES BRRR: 109 X: 6.8291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.64551 +INDEX GOES BRRR: 93 X: 5.83887 +INDEX GOES BRRR: 259 X: 16.1885 +INDEX GOES BRRR: 286 X: 17.8945 +INDEX GOES BRRR: 97 X: 6.11816 +INDEX GOES BRRR: 1014 X: -0.595703 +INDEX GOES BRRR: 215 X: 13.4609 +INDEX GOES BRRR: 441 X: 27.6211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 274 X: 17.1279 +INDEX GOES BRRR: 272 X: 17.0439 +INDEX GOES BRRR: 125 X: 7.85156 +INDEX GOES BRRR: 130 X: 8.16992 +INDEX GOES BRRR: 1003 X: -1.27539 +INDEX GOES BRRR: 368 X: 23.0068 +INDEX GOES BRRR: 446 X: 27.877 +INDEX GOES BRRR: 843 X: -11.2754 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 63 X: 3.99121 +INDEX GOES BRRR: 339 X: 21.2354 +INDEX GOES BRRR: 1002 X: -1.3291 +INDEX GOES BRRR: 115 X: 7.19531 +INDEX GOES BRRR: 957 X: -4.15723 +INDEX GOES BRRR: 8 X: 0.501953 +INDEX GOES BRRR: 383 X: 23.9492 +INDEX GOES BRRR: 186 X: 11.6562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 932 X: -5.72266 +INDEX GOES BRRR: 214 X: 13.4326 +INDEX GOES BRRR: 171 X: 10.7051 +INDEX GOES BRRR: 1008 X: -0.980469 +INDEX GOES BRRR: 215 X: 13.4697 +INDEX GOES BRRR: 396 X: 24.7588 +INDEX GOES BRRR: 254 X: 15.9082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.25098 +INDEX GOES BRRR: 311 X: 19.4707 +INDEX GOES BRRR: 46 X: 2.90039 +INDEX GOES BRRR: 946 X: -4.85449 +INDEX GOES BRRR: 63 X: 3.96191 +INDEX GOES BRRR: 1004 X: -1.24219 +INDEX GOES BRRR: 245 X: 15.3457 +INDEX GOES BRRR: 220 X: 13.7969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.04395 +INDEX GOES BRRR: 53 X: 3.32617 +INDEX GOES BRRR: 190 X: 11.9062 +INDEX GOES BRRR: 912 X: -6.98633 +INDEX GOES BRRR: 999 X: -1.55957 +INDEX GOES BRRR: 20 X: 1.29102 +INDEX GOES BRRR: 108 X: 6.78516 +INDEX GOES BRRR: 89 X: 5.61816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 67 X: 4.24902 +INDEX GOES BRRR: 162 X: 10.166 +INDEX GOES BRRR: 161 X: 10.0674 +INDEX GOES BRRR: 1018 X: -0.374023 +INDEX GOES BRRR: 352 X: 22.0605 +INDEX GOES BRRR: 432 X: 27.0605 +INDEX GOES BRRR: 183 X: 11.4434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 121 X: 7.5791 +INDEX GOES BRRR: 383 X: 23.9951 +INDEX GOES BRRR: 898 X: -7.86816 +INDEX GOES BRRR: 136 X: 8.5332 +INDEX GOES BRRR: 98 X: 6.16602 +INDEX GOES BRRR: 204 X: 12.7939 +INDEX GOES BRRR: 937 X: -5.42773 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 328 X: 20.5518 +INDEX GOES BRRR: 220 X: 13.7539 +INDEX GOES BRRR: 58 X: 3.64746 +INDEX GOES BRRR: 266 X: 16.6709 +INDEX GOES BRRR: 25 X: 1.6123 +INDEX GOES BRRR: 238 X: 14.9062 +INDEX GOES BRRR: 310 X: 19.4062 +INDEX GOES BRRR: 366 X: 22.8936 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.2168 +INDEX GOES BRRR: 903 X: -7.5459 +INDEX GOES BRRR: 136 X: 8.53125 +INDEX GOES BRRR: 1004 X: -1.19141 +INDEX GOES BRRR: 182 X: 11.4072 +INDEX GOES BRRR: 117 X: 7.36426 +INDEX GOES BRRR: 320 X: 20.0596 +INDEX GOES BRRR: 133 X: 8.37402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.3975 +INDEX GOES BRRR: 429 X: 26.8613 +INDEX GOES BRRR: 81 X: 5.12012 +INDEX GOES BRRR: 7 X: 0.453125 +INDEX GOES BRRR: 187 X: 11.7471 +INDEX GOES BRRR: 428 X: 26.7627 +INDEX GOES BRRR: 352 X: 22.0508 +INDEX GOES BRRR: 346 X: 21.626 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8145 +INDEX GOES BRRR: 9 X: 0.580078 +INDEX GOES BRRR: 436 X: 27.3047 +INDEX GOES BRRR: 980 X: -2.7207 +INDEX GOES BRRR: 217 X: 13.5713 +INDEX GOES BRRR: 242 X: 15.1445 +INDEX GOES BRRR: 174 X: 10.8818 +INDEX GOES BRRR: 277 X: 17.3545 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.83203 +INDEX GOES BRRR: 37 X: 2.3623 +INDEX GOES BRRR: 392 X: 24.5508 +INDEX GOES BRRR: 213 X: 13.3516 +INDEX GOES BRRR: 166 X: 10.4326 +INDEX GOES BRRR: 141 X: 8.86035 +INDEX GOES BRRR: 341 X: 21.3271 +INDEX GOES BRRR: 67 X: 4.20801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4482 +INDEX GOES BRRR: 119 X: 7.49219 +INDEX GOES BRRR: 284 X: 17.7686 +INDEX GOES BRRR: 306 X: 19.168 +INDEX GOES BRRR: 234 X: 14.666 +INDEX GOES BRRR: 84 X: 5.26953 +INDEX GOES BRRR: 51 X: 3.21289 +INDEX GOES BRRR: 176 X: 11.0547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 69 X: 4.35742 +INDEX GOES BRRR: 961 X: -3.88086 +INDEX GOES BRRR: 134 X: 8.4043 +INDEX GOES BRRR: 225 X: 14.0625 +INDEX GOES BRRR: 203 X: 12.7031 +INDEX GOES BRRR: 196 X: 12.2969 +INDEX GOES BRRR: 387 X: 24.1973 +INDEX GOES BRRR: 166 X: 10.3799 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 300 X: 18.7793 +INDEX GOES BRRR: 1000 X: -1.46191 +INDEX GOES BRRR: 995 X: -1.79688 +INDEX GOES BRRR: 936 X: -5.46973 +INDEX GOES BRRR: 895 X: -8.0332 +INDEX GOES BRRR: 205 X: 12.8477 +INDEX GOES BRRR: 319 X: 19.9707 +INDEX GOES BRRR: 168 X: 10.5498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 993 X: -1.91211 +INDEX GOES BRRR: 417 X: 26.0996 +INDEX GOES BRRR: 154 X: 9.63672 +INDEX GOES BRRR: 78 X: 4.92871 +INDEX GOES BRRR: 213 X: 13.3125 +INDEX GOES BRRR: 1012 X: -0.733398 +INDEX GOES BRRR: 279 X: 17.4766 +INDEX GOES BRRR: 1000 X: -1.45801 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8672 +INDEX GOES BRRR: 253 X: 15.8184 +INDEX GOES BRRR: 921 X: -6.38477 +INDEX GOES BRRR: 386 X: 24.1455 +INDEX GOES BRRR: 64 X: 4.05273 +INDEX GOES BRRR: 77 X: 4.81543 +INDEX GOES BRRR: 210 X: 13.1846 +INDEX GOES BRRR: 910 X: -7.10547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.2568 +INDEX GOES BRRR: 267 X: 16.7021 +INDEX GOES BRRR: 292 X: 18.2676 +INDEX GOES BRRR: 47 X: 2.94141 +INDEX GOES BRRR: 146 X: 9.16992 +INDEX GOES BRRR: 426 X: 26.6807 +INDEX GOES BRRR: 93 X: 5.86133 +INDEX GOES BRRR: 107 X: 6.72656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.0742 +INDEX GOES BRRR: 20 X: 1.30859 +INDEX GOES BRRR: 904 X: -7.45312 +INDEX GOES BRRR: 158 X: 9.89258 +INDEX GOES BRRR: 66 X: 4.15039 +INDEX GOES BRRR: 88 X: 5.54102 +INDEX GOES BRRR: 994 X: -1.83594 +INDEX GOES BRRR: 120 X: 7.55176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.9248 +INDEX GOES BRRR: 11 X: 0.737305 +INDEX GOES BRRR: 849 X: -10.9258 +INDEX GOES BRRR: 13 X: 0.852539 +INDEX GOES BRRR: 132 X: 8.29297 +INDEX GOES BRRR: 129 X: 8.08789 +INDEX GOES BRRR: 220 X: 13.7832 +INDEX GOES BRRR: 288 X: 18.043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.07715 +INDEX GOES BRRR: 123 X: 7.73145 +INDEX GOES BRRR: 208 X: 13.0029 +INDEX GOES BRRR: 895 X: -8.04688 +INDEX GOES BRRR: 257 X: 16.1084 +INDEX GOES BRRR: 117 X: 7.34277 +INDEX GOES BRRR: 1010 X: -0.860352 +INDEX GOES BRRR: 82 X: 5.14746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8184 +INDEX GOES BRRR: 115 X: 7.19238 +INDEX GOES BRRR: 262 X: 16.4082 +INDEX GOES BRRR: 257 X: 16.1211 +INDEX GOES BRRR: 149 X: 9.32812 +INDEX GOES BRRR: 377 X: 23.5664 +INDEX GOES BRRR: 389 X: 24.3711 +INDEX GOES BRRR: 265 X: 16.5898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.2676 +INDEX GOES BRRR: 120 X: 7.54102 +INDEX GOES BRRR: 48 X: 3.00781 +INDEX GOES BRRR: 264 X: 16.5283 +INDEX GOES BRRR: 74 X: 4.64551 +INDEX GOES BRRR: 220 X: 13.79 +INDEX GOES BRRR: 124 X: 7.75391 +INDEX GOES BRRR: 216 X: 13.5537 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 66 X: 4.14746 +INDEX GOES BRRR: 251 X: 15.7344 +INDEX GOES BRRR: 201 X: 12.5938 +INDEX GOES BRRR: 257 X: 16.123 +INDEX GOES BRRR: 1017 X: -0.417969 +INDEX GOES BRRR: 11 X: 0.689453 +INDEX GOES BRRR: 207 X: 12.9473 +INDEX GOES BRRR: 48 X: 3.03516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8564 +INDEX GOES BRRR: 850 X: -10.8477 +INDEX GOES BRRR: 87 X: 5.49805 +INDEX GOES BRRR: 131 X: 8.23535 +INDEX GOES BRRR: 197 X: 12.3467 +INDEX GOES BRRR: 355 X: 22.1904 +INDEX GOES BRRR: 907 X: -7.30078 +INDEX GOES BRRR: 970 X: -3.34082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.166 +INDEX GOES BRRR: 335 X: 20.9502 +INDEX GOES BRRR: 885 X: -8.64062 +INDEX GOES BRRR: 165 X: 10.3496 +INDEX GOES BRRR: 360 X: 22.5605 +INDEX GOES BRRR: 356 X: 22.2568 +INDEX GOES BRRR: 108 X: 6.79004 +INDEX GOES BRRR: 59 X: 3.74512 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 101 X: 6.32812 +INDEX GOES BRRR: 316 X: 19.7646 +INDEX GOES BRRR: 243 X: 15.2119 +INDEX GOES BRRR: 246 X: 15.3809 +INDEX GOES BRRR: 359 X: 22.4873 +INDEX GOES BRRR: 300 X: 18.7832 +INDEX GOES BRRR: 201 X: 12.5664 +INDEX GOES BRRR: 307 X: 19.2002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 391 X: 24.4453 +INDEX GOES BRRR: 52 X: 3.28418 +INDEX GOES BRRR: 821 X: -12.6416 +INDEX GOES BRRR: 42 X: 2.68359 +INDEX GOES BRRR: 976 X: -2.94629 +INDEX GOES BRRR: 157 X: 9.81543 +INDEX GOES BRRR: 235 X: 14.71 +INDEX GOES BRRR: 294 X: 18.4238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.3418 +INDEX GOES BRRR: 216 X: 13.5068 +INDEX GOES BRRR: 936 X: -5.44629 +INDEX GOES BRRR: 295 X: 18.457 +INDEX GOES BRRR: 2 X: 0.152344 +INDEX GOES BRRR: 12 X: 0.761719 +INDEX GOES BRRR: 243 X: 15.2295 +INDEX GOES BRRR: 775 X: -15.5234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2178 +INDEX GOES BRRR: 236 X: 14.7734 +INDEX GOES BRRR: 458 X: 28.6348 +INDEX GOES BRRR: 165 X: 10.3594 +INDEX GOES BRRR: 227 X: 14.1934 +INDEX GOES BRRR: 124 X: 7.77832 +INDEX GOES BRRR: 842 X: -11.333 +INDEX GOES BRRR: 1008 X: -0.964844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 366 X: 22.8818 +INDEX GOES BRRR: 828 X: -12.2305 +INDEX GOES BRRR: 145 X: 9.12109 +INDEX GOES BRRR: 138 X: 8.68262 +INDEX GOES BRRR: 393 X: 24.5752 +INDEX GOES BRRR: 56 X: 3.50195 +INDEX GOES BRRR: 1013 X: -0.638672 +INDEX GOES BRRR: 994 X: -1.84766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 980 X: -2.72168 +INDEX GOES BRRR: 979 X: -2.78418 +INDEX GOES BRRR: 974 X: -3.08789 +INDEX GOES BRRR: 87 X: 5.48828 +INDEX GOES BRRR: 287 X: 17.9404 +INDEX GOES BRRR: 67 X: 4.22949 +INDEX GOES BRRR: 259 X: 16.2324 +INDEX GOES BRRR: 441 X: 27.5977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.64258 +INDEX GOES BRRR: 304 X: 19.002 +INDEX GOES BRRR: 381 X: 23.8555 +INDEX GOES BRRR: 53 X: 3.33398 +INDEX GOES BRRR: 1001 X: -1.37695 +INDEX GOES BRRR: 71 X: 4.4502 +INDEX GOES BRRR: 41 X: 2.57812 +INDEX GOES BRRR: 102 X: 6.39551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.39453 +INDEX GOES BRRR: 141 X: 8.82617 +INDEX GOES BRRR: 169 X: 10.624 +INDEX GOES BRRR: 154 X: 9.65625 +INDEX GOES BRRR: 121 X: 7.5752 +INDEX GOES BRRR: 93 X: 5.84766 +INDEX GOES BRRR: 22 X: 1.39941 +INDEX GOES BRRR: 10 X: 0.68457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 294 X: 18.4033 +INDEX GOES BRRR: 315 X: 19.7295 +INDEX GOES BRRR: 187 X: 11.7148 +INDEX GOES BRRR: 888 X: -8.49609 +INDEX GOES BRRR: 229 X: 14.3594 +INDEX GOES BRRR: 176 X: 11.0557 +INDEX GOES BRRR: 495 X: 30.9541 +INDEX GOES BRRR: 159 X: 9.9873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9727 +INDEX GOES BRRR: 217 X: 13.6064 +INDEX GOES BRRR: 286 X: 17.9082 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 219 X: 13.7344 +INDEX GOES BRRR: 141 X: 8.85059 +INDEX GOES BRRR: 32 X: 2.05957 +INDEX GOES BRRR: 80 X: 5.01074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.69824 +INDEX GOES BRRR: 94 X: 5.88477 +INDEX GOES BRRR: 168 X: 10.5332 +INDEX GOES BRRR: 751 X: -17.041 +INDEX GOES BRRR: 119 X: 7.44629 +INDEX GOES BRRR: 269 X: 16.8154 +INDEX GOES BRRR: 30 X: 1.88867 +INDEX GOES BRRR: 53 X: 3.36621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8604 +INDEX GOES BRRR: 112 X: 7.04102 +INDEX GOES BRRR: 184 X: 11.5117 +INDEX GOES BRRR: 91 X: 5.72168 +INDEX GOES BRRR: 1022 X: -0.111328 +INDEX GOES BRRR: 419 X: 26.2402 +INDEX GOES BRRR: 1011 X: -0.801758 +INDEX GOES BRRR: 217 X: 13.5781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 956 X: -4.20801 +INDEX GOES BRRR: 52 X: 3.25879 +INDEX GOES BRRR: 7 X: 0.47168 +INDEX GOES BRRR: 67 X: 4.20996 +INDEX GOES BRRR: 153 X: 9.61133 +INDEX GOES BRRR: 62 X: 3.88379 +INDEX GOES BRRR: 25 X: 1.58887 +INDEX GOES BRRR: 48 X: 3.05176 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8652 +INDEX GOES BRRR: 176 X: 11.0449 +INDEX GOES BRRR: 304 X: 19.0078 +INDEX GOES BRRR: 105 X: 6.59277 +INDEX GOES BRRR: 419 X: 26.248 +INDEX GOES BRRR: 18 X: 1.17773 +INDEX GOES BRRR: 367 X: 22.959 +INDEX GOES BRRR: 29 X: 1.84375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.240234 +INDEX GOES BRRR: 352 X: 22.0615 +INDEX GOES BRRR: 337 X: 21.0654 +INDEX GOES BRRR: 142 X: 8.89746 +INDEX GOES BRRR: 991 X: -2.05371 +INDEX GOES BRRR: 1 X: 0.119141 +INDEX GOES BRRR: 163 X: 10.2314 +INDEX GOES BRRR: 252 X: 15.7939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 114 X: 7.125 +INDEX GOES BRRR: 268 X: 16.7891 +INDEX GOES BRRR: 248 X: 15.5596 +INDEX GOES BRRR: 222 X: 13.9092 +INDEX GOES BRRR: 75 X: 4.71387 +INDEX GOES BRRR: 153 X: 9.62402 +INDEX GOES BRRR: 59 X: 3.71582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 326 X: 20.4238 +INDEX GOES BRRR: 105 X: 6.58789 +INDEX GOES BRRR: 403 X: 25.21 +INDEX GOES BRRR: 142 X: 8.90039 +INDEX GOES BRRR: 51 X: 3.22363 +INDEX GOES BRRR: 163 X: 10.2334 +INDEX GOES BRRR: 445 X: 27.8545 +INDEX GOES BRRR: 126 X: 7.90137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1826 +INDEX GOES BRRR: 252 X: 15.8066 +INDEX GOES BRRR: 153 X: 9.59473 +INDEX GOES BRRR: 369 X: 23.0684 +INDEX GOES BRRR: 358 X: 22.4219 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 140 X: 8.75684 +INDEX GOES BRRR: 251 X: 15.7109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 44 X: 2.75391 +INDEX GOES BRRR: 880 X: -8.97461 +INDEX GOES BRRR: 302 X: 18.9326 +INDEX GOES BRRR: 167 X: 10.4863 +INDEX GOES BRRR: 27 X: 1.71094 +INDEX GOES BRRR: 195 X: 12.2061 +INDEX GOES BRRR: 182 X: 11.3965 +INDEX GOES BRRR: 252 X: 15.7529 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.2207 +INDEX GOES BRRR: 131 X: 8.24707 +INDEX GOES BRRR: 58 X: 3.65723 +INDEX GOES BRRR: 1021 X: -0.136719 +INDEX GOES BRRR: 946 X: -4.84277 +INDEX GOES BRRR: 876 X: -9.2207 +INDEX GOES BRRR: 367 X: 22.9482 +INDEX GOES BRRR: 193 X: 12.0908 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2646 +INDEX GOES BRRR: 941 X: -5.15625 +INDEX GOES BRRR: 125 X: 7.84082 +INDEX GOES BRRR: 74 X: 4.68457 +INDEX GOES BRRR: 308 X: 19.3086 +INDEX GOES BRRR: 144 X: 9.02148 +INDEX GOES BRRR: 223 X: 13.9395 +INDEX GOES BRRR: 79 X: 4.99121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.6211 +INDEX GOES BRRR: 881 X: -8.91992 +INDEX GOES BRRR: 148 X: 9.28027 +INDEX GOES BRRR: 235 X: 14.6973 +INDEX GOES BRRR: 111 X: 6.95117 +INDEX GOES BRRR: 355 X: 22.209 +INDEX GOES BRRR: 213 X: 13.3652 +INDEX GOES BRRR: 86 X: 5.38184 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.6084 +INDEX GOES BRRR: 21 X: 1.35156 +INDEX GOES BRRR: 328 X: 20.5381 +INDEX GOES BRRR: 960 X: -3.98926 +INDEX GOES BRRR: 145 X: 9.10254 +INDEX GOES BRRR: 92 X: 5.78906 +INDEX GOES BRRR: 121 X: 7.6123 +INDEX GOES BRRR: 187 X: 11.7197 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 997 X: -1.64648 +INDEX GOES BRRR: 52 X: 3.25977 +INDEX GOES BRRR: 286 X: 17.9062 +INDEX GOES BRRR: 202 X: 12.6465 +INDEX GOES BRRR: 281 X: 17.584 +INDEX GOES BRRR: 109 X: 6.86816 +INDEX GOES BRRR: 304 X: 19 +INDEX GOES BRRR: 121 X: 7.56738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.72266 +INDEX GOES BRRR: 104 X: 6.53418 +INDEX GOES BRRR: 292 X: 18.3018 +INDEX GOES BRRR: 75 X: 4.74805 +INDEX GOES BRRR: 78 X: 4.91699 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 216 X: 13.5049 +INDEX GOES BRRR: 281 X: 17.5723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 977 X: -2.93164 +INDEX GOES BRRR: 326 X: 20.4053 +INDEX GOES BRRR: 887 X: -8.52344 +INDEX GOES BRRR: 203 X: 12.7256 +INDEX GOES BRRR: 938 X: -5.36816 +INDEX GOES BRRR: 1014 X: -0.597656 +INDEX GOES BRRR: 367 X: 22.958 +INDEX GOES BRRR: 266 X: 16.6436 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.61035 +INDEX GOES BRRR: 112 X: 7.06152 +INDEX GOES BRRR: 320 X: 20.0127 +INDEX GOES BRRR: 982 X: -2.59766 +INDEX GOES BRRR: 129 X: 8.06445 +INDEX GOES BRRR: 242 X: 15.1748 +INDEX GOES BRRR: 184 X: 11.5557 +INDEX GOES BRRR: 267 X: 16.7012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6729 +INDEX GOES BRRR: 27 X: 1.72461 +INDEX GOES BRRR: 876 X: -9.19531 +INDEX GOES BRRR: 410 X: 25.6621 +INDEX GOES BRRR: 128 X: 8.05957 +INDEX GOES BRRR: 372 X: 23.2656 +INDEX GOES BRRR: 212 X: 13.2832 +INDEX GOES BRRR: 13 X: 0.841797 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 225 X: 14.1064 +INDEX GOES BRRR: 284 X: 17.7695 +INDEX GOES BRRR: 137 X: 8.60449 +INDEX GOES BRRR: 203 X: 12.7207 +INDEX GOES BRRR: 22 X: 1.41309 +INDEX GOES BRRR: 180 X: 11.252 +INDEX GOES BRRR: 896 X: -7.96289 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.6875 +INDEX GOES BRRR: 207 X: 12.9688 +INDEX GOES BRRR: 81 X: 5.10645 +INDEX GOES BRRR: 71 X: 4.49609 +INDEX GOES BRRR: 20 X: 1.27734 +INDEX GOES BRRR: 23 X: 1.44434 +INDEX GOES BRRR: 63 X: 3.98828 +INDEX GOES BRRR: 303 X: 18.9902 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 985 X: -2.39355 +INDEX GOES BRRR: 128 X: 8.00879 +INDEX GOES BRRR: 93 X: 5.83789 +INDEX GOES BRRR: 125 X: 7.86914 +INDEX GOES BRRR: 1015 X: -0.504883 +INDEX GOES BRRR: 52 X: 3.27246 +INDEX GOES BRRR: 52 X: 3.27148 +INDEX GOES BRRR: 75 X: 4.73438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.11914 +INDEX GOES BRRR: 334 X: 20.8779 +INDEX GOES BRRR: 69 X: 4.34473 +INDEX GOES BRRR: 129 X: 8.0957 +INDEX GOES BRRR: 242 X: 15.1758 +INDEX GOES BRRR: 366 X: 22.9336 +INDEX GOES BRRR: 986 X: -2.32812 +INDEX GOES BRRR: 1007 X: -1.04688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 437 X: 27.3486 +INDEX GOES BRRR: 14 X: 0.904297 +INDEX GOES BRRR: 309 X: 19.3311 +INDEX GOES BRRR: 429 X: 26.8379 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 173 X: 10.8408 +INDEX GOES BRRR: 88 X: 5.5 +INDEX GOES BRRR: 66 X: 4.17773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 36 X: 2.25 +INDEX GOES BRRR: 48 X: 3.00293 +INDEX GOES BRRR: 320 X: 20.0049 +INDEX GOES BRRR: 271 X: 16.9463 +INDEX GOES BRRR: 161 X: 10.0908 +INDEX GOES BRRR: 240 X: 15.0361 +INDEX GOES BRRR: 8 X: 0.506836 +INDEX GOES BRRR: 290 X: 18.1387 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 996 X: -1.71973 +INDEX GOES BRRR: 126 X: 7.9209 +INDEX GOES BRRR: 783 X: -15.04 +INDEX GOES BRRR: 395 X: 24.749 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 12 X: 0.760742 +INDEX GOES BRRR: 314 X: 19.6338 +INDEX GOES BRRR: 270 X: 16.8955 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.578125 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 229 X: 14.3721 +INDEX GOES BRRR: 193 X: 12.0762 +INDEX GOES BRRR: 332 X: 20.7744 +INDEX GOES BRRR: 920 X: -6.45996 +INDEX GOES BRRR: 950 X: -4.60547 +INDEX GOES BRRR: 187 X: 11.7314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8418 +INDEX GOES BRRR: 245 X: 15.334 +INDEX GOES BRRR: 271 X: 16.9648 +INDEX GOES BRRR: 273 X: 17.0859 +INDEX GOES BRRR: 258 X: 16.1299 +INDEX GOES BRRR: 989 X: -2.12891 +INDEX GOES BRRR: 280 X: 17.5479 +INDEX GOES BRRR: 110 X: 6.92773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.93555 +INDEX GOES BRRR: 922 X: -6.3418 +INDEX GOES BRRR: 960 X: -3.98242 +INDEX GOES BRRR: 10 X: 0.643555 +INDEX GOES BRRR: 107 X: 6.69629 +INDEX GOES BRRR: 77 X: 4.84766 +INDEX GOES BRRR: 266 X: 16.6777 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.27832 +INDEX GOES BRRR: 941 X: -5.14258 +INDEX GOES BRRR: 70 X: 4.37695 +INDEX GOES BRRR: 156 X: 9.77539 +INDEX GOES BRRR: 34 X: 2.16797 +INDEX GOES BRRR: 334 X: 20.9062 +INDEX GOES BRRR: 127 X: 7.97363 +INDEX GOES BRRR: 167 X: 10.4863 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 974 X: -3.07031 +INDEX GOES BRRR: 33 X: 2.11328 +INDEX GOES BRRR: 192 X: 12.0156 +INDEX GOES BRRR: 229 X: 14.3438 +INDEX GOES BRRR: 299 X: 18.7236 +INDEX GOES BRRR: 196 X: 12.2646 +INDEX GOES BRRR: 153 X: 9.56543 +INDEX GOES BRRR: 3 X: 0.235352 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 150 X: 9.38281 +INDEX GOES BRRR: 202 X: 12.6846 +INDEX GOES BRRR: 441 X: 27.5762 +INDEX GOES BRRR: 842 X: -11.3213 +INDEX GOES BRRR: 906 X: -7.3457 +INDEX GOES BRRR: 52 X: 3.29688 +INDEX GOES BRRR: 919 X: -6.52734 +INDEX GOES BRRR: 472 X: 29.5029 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 948 X: -4.69922 +INDEX GOES BRRR: 284 X: 17.7578 +INDEX GOES BRRR: 106 X: 6.625 +INDEX GOES BRRR: 1007 X: -1.04004 +INDEX GOES BRRR: 96 X: 6.05957 +INDEX GOES BRRR: 372 X: 23.2969 +INDEX GOES BRRR: 998 X: -1.61621 +INDEX GOES BRRR: 188 X: 11.7988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3535 +INDEX GOES BRRR: 29 X: 1.87207 +INDEX GOES BRRR: 200 X: 12.5488 +INDEX GOES BRRR: 378 X: 23.6396 +INDEX GOES BRRR: 211 X: 13.2021 +INDEX GOES BRRR: 108 X: 6.76562 +INDEX GOES BRRR: 352 X: 22.0439 +INDEX GOES BRRR: 973 X: -3.18652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.58203 +INDEX GOES BRRR: 373 X: 23.3711 +INDEX GOES BRRR: 382 X: 23.9268 +INDEX GOES BRRR: 197 X: 12.3477 +INDEX GOES BRRR: 891 X: -8.29297 +INDEX GOES BRRR: 931 X: -5.76465 +INDEX GOES BRRR: 373 X: 23.374 +INDEX GOES BRRR: 160 X: 10.0596 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9961 +INDEX GOES BRRR: 5 X: 0.313477 +INDEX GOES BRRR: 91 X: 5.71973 +INDEX GOES BRRR: 828 X: -12.2129 +INDEX GOES BRRR: 0 X: 0.00390625 +INDEX GOES BRRR: 289 X: 18.1162 +INDEX GOES BRRR: 328 X: 20.5488 +INDEX GOES BRRR: 468 X: 29.2539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 456 X: 28.5215 +INDEX GOES BRRR: 316 X: 19.7666 +INDEX GOES BRRR: 102 X: 6.37891 +INDEX GOES BRRR: 131 X: 8.2373 +INDEX GOES BRRR: 309 X: 19.374 +INDEX GOES BRRR: 148 X: 9.25 +INDEX GOES BRRR: 156 X: 9.80371 +INDEX GOES BRRR: 454 X: 28.3867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 916 X: -6.69531 +INDEX GOES BRRR: 890 X: -8.36035 +INDEX GOES BRRR: 41 X: 2.57129 +INDEX GOES BRRR: 143 X: 8.96777 +INDEX GOES BRRR: 150 X: 9.39648 +INDEX GOES BRRR: 109 X: 6.82812 +INDEX GOES BRRR: 233 X: 14.6045 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.2256 +INDEX GOES BRRR: 154 X: 9.66309 +INDEX GOES BRRR: 29 X: 1.85156 +INDEX GOES BRRR: 1011 X: -0.783203 +INDEX GOES BRRR: 205 X: 12.8369 +INDEX GOES BRRR: 298 X: 18.6484 +INDEX GOES BRRR: 284 X: 17.79 +INDEX GOES BRRR: 81 X: 5.09961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.84863 +INDEX GOES BRRR: 441 X: 27.5791 +INDEX GOES BRRR: 322 X: 20.1543 +INDEX GOES BRRR: 997 X: -1.62891 +INDEX GOES BRRR: 888 X: -8.5 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 162 X: 10.1699 +INDEX GOES BRRR: 326 X: 20.4209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.64453 +INDEX GOES BRRR: 100 X: 6.27637 +INDEX GOES BRRR: 183 X: 11.4668 +INDEX GOES BRRR: 970 X: -3.31445 +INDEX GOES BRRR: 134 X: 8.41113 +INDEX GOES BRRR: 292 X: 18.2705 +INDEX GOES BRRR: 930 X: -5.83105 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7109 +INDEX GOES BRRR: 173 X: 10.8301 +INDEX GOES BRRR: 229 X: 14.3486 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 263 X: 16.4844 +INDEX GOES BRRR: 346 X: 21.6797 +INDEX GOES BRRR: 861 X: -10.1787 +INDEX GOES BRRR: 306 X: 19.1699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 944 X: -4.96191 +INDEX GOES BRRR: 78 X: 4.87891 +INDEX GOES BRRR: 55 X: 3.47266 +INDEX GOES BRRR: 242 X: 15.1689 +INDEX GOES BRRR: 166 X: 10.4258 +INDEX GOES BRRR: 107 X: 6.69629 +INDEX GOES BRRR: 377 X: 23.5684 +INDEX GOES BRRR: 222 X: 13.8955 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 422 X: 26.3945 +INDEX GOES BRRR: 159 X: 9.96484 +INDEX GOES BRRR: 320 X: 20.043 +INDEX GOES BRRR: 85 X: 5.3418 +INDEX GOES BRRR: 42 X: 2.62598 +INDEX GOES BRRR: 111 X: 6.95703 +INDEX GOES BRRR: 429 X: 26.8486 +INDEX GOES BRRR: 141 X: 8.85059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7871 +INDEX GOES BRRR: 167 X: 10.4727 +INDEX GOES BRRR: 77 X: 4.82129 +INDEX GOES BRRR: 34 X: 2.12598 +INDEX GOES BRRR: 58 X: 3.65723 +INDEX GOES BRRR: 992 X: -1.94043 +INDEX GOES BRRR: 854 X: -10.623 +INDEX GOES BRRR: 191 X: 11.9385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.3057 +INDEX GOES BRRR: 78 X: 4.8916 +INDEX GOES BRRR: 131 X: 8.23242 +INDEX GOES BRRR: 16 X: 1.02539 +INDEX GOES BRRR: 205 X: 12.8555 +INDEX GOES BRRR: 858 X: -10.3721 +INDEX GOES BRRR: 971 X: -3.30762 +INDEX GOES BRRR: 77 X: 4.8252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 928 X: -5.96387 +INDEX GOES BRRR: 935 X: -5.54004 +INDEX GOES BRRR: 310 X: 19.4238 +INDEX GOES BRRR: 348 X: 21.7529 +INDEX GOES BRRR: 142 X: 8.93359 +INDEX GOES BRRR: 204 X: 12.791 +INDEX GOES BRRR: 138 X: 8.68164 +INDEX GOES BRRR: 282 X: 17.666 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 32 X: 2.05664 +INDEX GOES BRRR: 150 X: 9.38672 +INDEX GOES BRRR: 922 X: -6.3457 +INDEX GOES BRRR: 791 X: -14.5615 +INDEX GOES BRRR: 169 X: 10.5898 +INDEX GOES BRRR: 33 X: 2.0752 +INDEX GOES BRRR: 352 X: 22.0264 +INDEX GOES BRRR: 230 X: 14.4307 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7256 +INDEX GOES BRRR: 297 X: 18.5654 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 73 X: 4.59863 +INDEX GOES BRRR: 87 X: 5.46484 +INDEX GOES BRRR: 299 X: 18.7119 +INDEX GOES BRRR: 245 X: 15.3584 +INDEX GOES BRRR: 142 X: 8.93066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 3 X: 0.199219 +INDEX GOES BRRR: 18 X: 1.14551 +INDEX GOES BRRR: 752 X: -16.9863 +INDEX GOES BRRR: 260 X: 16.251 +INDEX GOES BRRR: 266 X: 16.6797 +INDEX GOES BRRR: 219 X: 13.7119 +INDEX GOES BRRR: 25 X: 1.58984 +INDEX GOES BRRR: 308 X: 19.2627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.78613 +INDEX GOES BRRR: 12 X: 0.793945 +INDEX GOES BRRR: 157 X: 9.85059 +INDEX GOES BRRR: 92 X: 5.80273 +INDEX GOES BRRR: 305 X: 19.1084 +INDEX GOES BRRR: 266 X: 16.6494 +INDEX GOES BRRR: 94 X: 5.88867 +INDEX GOES BRRR: 226 X: 14.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 70 X: 4.41113 +INDEX GOES BRRR: 359 X: 22.4697 +INDEX GOES BRRR: 23 X: 1.49902 +INDEX GOES BRRR: 973 X: -3.14746 +INDEX GOES BRRR: 115 X: 7.19824 +INDEX GOES BRRR: 109 X: 6.85156 +INDEX GOES BRRR: 151 X: 9.44043 +INDEX GOES BRRR: 299 X: 18.6914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1572 +INDEX GOES BRRR: 361 X: 22.6172 +INDEX GOES BRRR: 325 X: 20.3311 +INDEX GOES BRRR: 150 X: 9.39355 +INDEX GOES BRRR: 38 X: 2.42285 +INDEX GOES BRRR: 100 X: 6.25 +INDEX GOES BRRR: 370 X: 23.1826 +INDEX GOES BRRR: 31 X: 1.9707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.50391 +INDEX GOES BRRR: 250 X: 15.6504 +INDEX GOES BRRR: 267 X: 16.7207 +INDEX GOES BRRR: 945 X: -4.89648 +INDEX GOES BRRR: 272 X: 17.0498 +INDEX GOES BRRR: 92 X: 5.7666 +INDEX GOES BRRR: 986 X: -2.36621 +INDEX GOES BRRR: 339 X: 21.1992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 428 X: 26.7617 +INDEX GOES BRRR: 149 X: 9.32715 +INDEX GOES BRRR: 302 X: 18.8818 +INDEX GOES BRRR: 154 X: 9.67285 +INDEX GOES BRRR: 64 X: 4.03906 +INDEX GOES BRRR: 341 X: 21.3379 +INDEX GOES BRRR: 132 X: 8.2666 +INDEX GOES BRRR: 811 X: -13.2695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 295 X: 18.4453 +INDEX GOES BRRR: 896 X: -7.96777 +INDEX GOES BRRR: 162 X: 10.1426 +INDEX GOES BRRR: 116 X: 7.27637 +INDEX GOES BRRR: 976 X: -2.99805 +INDEX GOES BRRR: 65 X: 4.09473 +INDEX GOES BRRR: 161 X: 10.0645 +INDEX GOES BRRR: 206 X: 12.915 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.8896 +INDEX GOES BRRR: 247 X: 15.4883 +INDEX GOES BRRR: 414 X: 25.8818 +INDEX GOES BRRR: 963 X: -3.76562 +INDEX GOES BRRR: 143 X: 8.99805 +INDEX GOES BRRR: 185 X: 11.5752 +INDEX GOES BRRR: 220 X: 13.7793 +INDEX GOES BRRR: 254 X: 15.9092 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 310 X: 19.4121 +INDEX GOES BRRR: 155 X: 9.70215 +INDEX GOES BRRR: 9 X: 0.623047 +INDEX GOES BRRR: 304 X: 19.0439 +INDEX GOES BRRR: 332 X: 20.8115 +INDEX GOES BRRR: 979 X: -2.78125 +INDEX GOES BRRR: 23 X: 1.46875 +INDEX GOES BRRR: 141 X: 8.84961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 353 X: 22.0645 +INDEX GOES BRRR: 149 X: 9.34277 +INDEX GOES BRRR: 267 X: 16.6924 +INDEX GOES BRRR: 96 X: 6.03711 +INDEX GOES BRRR: 329 X: 20.5977 +INDEX GOES BRRR: 393 X: 24.6025 +INDEX GOES BRRR: 319 X: 19.9941 +INDEX GOES BRRR: 150 X: 9.43262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.56738 +INDEX GOES BRRR: 944 X: -4.97168 +INDEX GOES BRRR: 195 X: 12.2422 +INDEX GOES BRRR: 435 X: 27.2471 +INDEX GOES BRRR: 217 X: 13.6025 +INDEX GOES BRRR: 295 X: 18.4795 +INDEX GOES BRRR: 72 X: 4.52344 +INDEX GOES BRRR: 344 X: 21.5293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 319 X: 19.9854 +INDEX GOES BRRR: 243 X: 15.2305 +INDEX GOES BRRR: 200 X: 12.5459 +INDEX GOES BRRR: 113 X: 7.10352 +INDEX GOES BRRR: 746 X: -17.3467 +INDEX GOES BRRR: 303 X: 18.9521 +INDEX GOES BRRR: 302 X: 18.9277 +INDEX GOES BRRR: 293 X: 18.3467 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.0332 +INDEX GOES BRRR: 300 X: 18.8115 +INDEX GOES BRRR: 258 X: 16.1777 +INDEX GOES BRRR: 314 X: 19.6836 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 47 X: 2.94727 +INDEX GOES BRRR: 464 X: 29.0342 +INDEX GOES BRRR: 309 X: 19.3447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.1094 +INDEX GOES BRRR: 1001 X: -1.38184 +INDEX GOES BRRR: 1013 X: -0.678711 +INDEX GOES BRRR: 3 X: 0.210938 +INDEX GOES BRRR: 180 X: 11.3047 +INDEX GOES BRRR: 65 X: 4.08691 +INDEX GOES BRRR: 236 X: 14.7871 +INDEX GOES BRRR: 421 X: 26.3223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.398438 +INDEX GOES BRRR: 278 X: 17.4014 +INDEX GOES BRRR: 197 X: 12.3604 +INDEX GOES BRRR: 139 X: 8.69629 +INDEX GOES BRRR: 108 X: 6.7666 +INDEX GOES BRRR: 973 X: -3.17578 +INDEX GOES BRRR: 134 X: 8.37891 +INDEX GOES BRRR: 67 X: 4.19824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.6436 +INDEX GOES BRRR: 246 X: 15.4053 +INDEX GOES BRRR: 125 X: 7.81348 +INDEX GOES BRRR: 200 X: 12.5518 +INDEX GOES BRRR: 316 X: 19.8037 +INDEX GOES BRRR: 144 X: 9.02441 +INDEX GOES BRRR: 797 X: -14.1367 +INDEX GOES BRRR: 146 X: 9.14453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.41309 +INDEX GOES BRRR: 101 X: 6.3291 +INDEX GOES BRRR: 107 X: 6.70508 +INDEX GOES BRRR: 156 X: 9.79688 +INDEX GOES BRRR: 185 X: 11.5879 +INDEX GOES BRRR: 118 X: 7.43457 +INDEX GOES BRRR: 263 X: 16.4658 +INDEX GOES BRRR: 320 X: 20.0498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9023 +INDEX GOES BRRR: 83 X: 5.23242 +INDEX GOES BRRR: 97 X: 6.08984 +INDEX GOES BRRR: 134 X: 8.40527 +INDEX GOES BRRR: 177 X: 11.1113 +INDEX GOES BRRR: 31 X: 1.97656 +INDEX GOES BRRR: 239 X: 14.9648 +INDEX GOES BRRR: 95 X: 5.99414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 342 X: 21.3994 +INDEX GOES BRRR: 140 X: 8.78613 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 108 X: 6.77344 +INDEX GOES BRRR: 125 X: 7.83789 +INDEX GOES BRRR: 1010 X: -0.836914 +INDEX GOES BRRR: 224 X: 14.0488 +INDEX GOES BRRR: 1007 X: -1.02637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 432 X: 27.0293 +INDEX GOES BRRR: 312 X: 19.5312 +INDEX GOES BRRR: 487 X: 30.4521 +INDEX GOES BRRR: 72 X: 4.52441 +INDEX GOES BRRR: 290 X: 18.1709 +INDEX GOES BRRR: 128 X: 8.01367 +INDEX GOES BRRR: 11 X: 0.71875 +INDEX GOES BRRR: 325 X: 20.3145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 226 X: 14.1758 +INDEX GOES BRRR: 232 X: 14.5273 +INDEX GOES BRRR: 104 X: 6.51562 +INDEX GOES BRRR: 390 X: 24.4082 +INDEX GOES BRRR: 243 X: 15.1875 +INDEX GOES BRRR: 350 X: 21.9307 +INDEX GOES BRRR: 146 X: 9.14258 +INDEX GOES BRRR: 265 X: 16.623 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.651367 +INDEX GOES BRRR: 156 X: 9.79102 +INDEX GOES BRRR: 35 X: 2.18848 +INDEX GOES BRRR: 359 X: 22.4951 +INDEX GOES BRRR: 130 X: 8.12793 +INDEX GOES BRRR: 103 X: 6.44531 +INDEX GOES BRRR: 928 X: -5.96973 +INDEX GOES BRRR: 187 X: 11.7285 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 64 X: 4.04297 +INDEX GOES BRRR: 237 X: 14.8145 +INDEX GOES BRRR: 57 X: 3.5957 +INDEX GOES BRRR: 342 X: 21.3779 +INDEX GOES BRRR: 122 X: 7.63574 +INDEX GOES BRRR: 122 X: 7.62695 +INDEX GOES BRRR: 59 X: 3.74023 +INDEX GOES BRRR: 213 X: 13.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8408 +INDEX GOES BRRR: 117 X: 7.3125 +INDEX GOES BRRR: 132 X: 8.25586 +INDEX GOES BRRR: 57 X: 3.60156 +INDEX GOES BRRR: 237 X: 14.8193 +INDEX GOES BRRR: 225 X: 14.1133 +INDEX GOES BRRR: 217 X: 13.6025 +INDEX GOES BRRR: 208 X: 13.0107 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 4 X: 0.258789 +INDEX GOES BRRR: 288 X: 18.0029 +INDEX GOES BRRR: 150 X: 9.41797 +INDEX GOES BRRR: 212 X: 13.2988 +INDEX GOES BRRR: 126 X: 7.87793 +INDEX GOES BRRR: 194 X: 12.1543 +INDEX GOES BRRR: 258 X: 16.1494 +INDEX GOES BRRR: 35 X: 2.24023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 31 X: 1.94531 +INDEX GOES BRRR: 114 X: 7.16016 +INDEX GOES BRRR: 201 X: 12.6182 +INDEX GOES BRRR: 961 X: -3.92383 +INDEX GOES BRRR: 400 X: 25.0078 +INDEX GOES BRRR: 119 X: 7.44043 +INDEX GOES BRRR: 454 X: 28.4141 +INDEX GOES BRRR: 495 X: 30.9814 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1768 +INDEX GOES BRRR: 114 X: 7.14258 +INDEX GOES BRRR: 324 X: 20.3018 +INDEX GOES BRRR: 869 X: -9.67773 +INDEX GOES BRRR: 102 X: 6.39551 +INDEX GOES BRRR: 33 X: 2.10645 +INDEX GOES BRRR: 357 X: 22.3652 +INDEX GOES BRRR: 148 X: 9.30762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1338 +INDEX GOES BRRR: 266 X: 16.6748 +INDEX GOES BRRR: 867 X: -9.77148 +INDEX GOES BRRR: 38 X: 2.37891 +INDEX GOES BRRR: 924 X: -6.2168 +INDEX GOES BRRR: 336 X: 21.0488 +INDEX GOES BRRR: 153 X: 9.57227 +INDEX GOES BRRR: 165 X: 10.3291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 472 X: 29.5 +INDEX GOES BRRR: 330 X: 20.626 +INDEX GOES BRRR: 9 X: 0.568359 +INDEX GOES BRRR: 74 X: 4.64941 +INDEX GOES BRRR: 933 X: -5.6416 +INDEX GOES BRRR: 190 X: 11.8906 +INDEX GOES BRRR: 159 X: 9.97949 +INDEX GOES BRRR: 112 X: 7.05957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2305 +INDEX GOES BRRR: 61 X: 3.85059 +INDEX GOES BRRR: 174 X: 10.9111 +INDEX GOES BRRR: 162 X: 10.1426 +INDEX GOES BRRR: 894 X: -8.09375 +INDEX GOES BRRR: 135 X: 8.47852 +INDEX GOES BRRR: 956 X: -4.21289 +INDEX GOES BRRR: 1022 X: -0.108398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 964 X: -3.73438 +INDEX GOES BRRR: 50 X: 3.14746 +INDEX GOES BRRR: 299 X: 18.7373 +INDEX GOES BRRR: 439 X: 27.4785 +INDEX GOES BRRR: 21 X: 1.33398 +INDEX GOES BRRR: 161 X: 10.0771 +INDEX GOES BRRR: 244 X: 15.3105 +INDEX GOES BRRR: 193 X: 12.0732 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.22949 +INDEX GOES BRRR: 30 X: 1.89941 +INDEX GOES BRRR: 141 X: 8.8418 +INDEX GOES BRRR: 51 X: 3.2207 +INDEX GOES BRRR: 68 X: 4.25977 +INDEX GOES BRRR: 169 X: 10.624 +INDEX GOES BRRR: 251 X: 15.7002 +INDEX GOES BRRR: 269 X: 16.8496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.2998 +INDEX GOES BRRR: 303 X: 18.999 +INDEX GOES BRRR: 164 X: 10.2529 +INDEX GOES BRRR: 164 X: 10.2666 +INDEX GOES BRRR: 969 X: -3.37891 +INDEX GOES BRRR: 141 X: 8.82129 +INDEX GOES BRRR: 176 X: 11.0176 +INDEX GOES BRRR: 1004 X: -1.24219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 91 X: 5.72559 +INDEX GOES BRRR: 62 X: 3.87793 +INDEX GOES BRRR: 279 X: 17.4463 +INDEX GOES BRRR: 138 X: 8.66602 +INDEX GOES BRRR: 177 X: 11.0986 +INDEX GOES BRRR: 94 X: 5.93164 +INDEX GOES BRRR: 163 X: 10.1943 +INDEX GOES BRRR: 223 X: 13.9844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.742188 +INDEX GOES BRRR: 172 X: 10.7627 +INDEX GOES BRRR: 40 X: 2.5166 +INDEX GOES BRRR: 100 X: 6.29395 +INDEX GOES BRRR: 993 X: -1.87891 +INDEX GOES BRRR: 305 X: 19.0781 +INDEX GOES BRRR: 934 X: -5.5918 +INDEX GOES BRRR: 138 X: 8.65527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.35645 +INDEX GOES BRRR: 176 X: 11.0303 +INDEX GOES BRRR: 247 X: 15.4883 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 91 X: 5.73535 +INDEX GOES BRRR: 949 X: -4.6709 +INDEX GOES BRRR: 166 X: 10.4209 +INDEX GOES BRRR: 188 X: 11.7842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 212 X: 13.3027 +INDEX GOES BRRR: 89 X: 5.58691 +INDEX GOES BRRR: 38 X: 2.38086 +INDEX GOES BRRR: 936 X: -5.46777 +INDEX GOES BRRR: 3 X: 0.208008 +INDEX GOES BRRR: 300 X: 18.7871 +INDEX GOES BRRR: 1010 X: -0.834961 +INDEX GOES BRRR: 101 X: 6.35449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5029 +INDEX GOES BRRR: 151 X: 9.49414 +INDEX GOES BRRR: 193 X: 12.0654 +INDEX GOES BRRR: 198 X: 12.3916 +INDEX GOES BRRR: 108 X: 6.78223 +INDEX GOES BRRR: 37 X: 2.31543 +INDEX GOES BRRR: 110 X: 6.91016 +INDEX GOES BRRR: 311 X: 19.4941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5.04199 +INDEX GOES BRRR: 169 X: 10.5664 +INDEX GOES BRRR: 173 X: 10.8418 +INDEX GOES BRRR: 80 X: 5.03027 +INDEX GOES BRRR: 874 X: -9.33105 +INDEX GOES BRRR: 229 X: 14.3184 +INDEX GOES BRRR: 61 X: 3.86035 +INDEX GOES BRRR: 183 X: 11.4736 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 285 X: 17.8301 +INDEX GOES BRRR: 328 X: 20.5527 +INDEX GOES BRRR: 130 X: 8.17676 +INDEX GOES BRRR: 228 X: 14.2676 +INDEX GOES BRRR: 917 X: -6.63867 +INDEX GOES BRRR: 277 X: 17.3125 +INDEX GOES BRRR: 179 X: 11.2334 +INDEX GOES BRRR: 94 X: 5.90332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7842 +INDEX GOES BRRR: 469 X: 29.3691 +INDEX GOES BRRR: 39 X: 2.44824 +INDEX GOES BRRR: 87 X: 5.49316 +INDEX GOES BRRR: 73 X: 4.61816 +INDEX GOES BRRR: 945 X: -4.88867 +INDEX GOES BRRR: 168 X: 10.5352 +INDEX GOES BRRR: 157 X: 9.83203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0615 +INDEX GOES BRRR: 23 X: 1.44824 +INDEX GOES BRRR: 294 X: 18.4141 +INDEX GOES BRRR: 198 X: 12.4346 +INDEX GOES BRRR: 129 X: 8.08398 +INDEX GOES BRRR: 412 X: 25.75 +INDEX GOES BRRR: 49 X: 3.11719 +INDEX GOES BRRR: 62 X: 3.89746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.94336 +INDEX GOES BRRR: 146 X: 9.1709 +INDEX GOES BRRR: 100 X: 6.30859 +INDEX GOES BRRR: 129 X: 8.07324 +INDEX GOES BRRR: 184 X: 11.5273 +INDEX GOES BRRR: 258 X: 16.1406 +INDEX GOES BRRR: 241 X: 15.1152 +INDEX GOES BRRR: 145 X: 9.06641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.63281 +INDEX GOES BRRR: 150 X: 9.39551 +INDEX GOES BRRR: 133 X: 8.32031 +INDEX GOES BRRR: 57 X: 3.61426 +INDEX GOES BRRR: 1008 X: -0.973633 +INDEX GOES BRRR: 194 X: 12.167 +INDEX GOES BRRR: 960 X: -3.97363 +INDEX GOES BRRR: 979 X: -2.79004 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.5498 +INDEX GOES BRRR: 356 X: 22.2725 +INDEX GOES BRRR: 52 X: 3.26465 +INDEX GOES BRRR: 50 X: 3.12988 +INDEX GOES BRRR: 26 X: 1.66406 +INDEX GOES BRRR: 238 X: 14.8799 +INDEX GOES BRRR: 25 X: 1.61914 +INDEX GOES BRRR: 57 X: 3.58594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1019 X: -0.286133 +INDEX GOES BRRR: 349 X: 21.8457 +INDEX GOES BRRR: 198 X: 12.4277 +INDEX GOES BRRR: 233 X: 14.6074 +INDEX GOES BRRR: 131 X: 8.24316 +INDEX GOES BRRR: 200 X: 12.5146 +INDEX GOES BRRR: 163 X: 10.2393 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 179 X: 11.2383 +INDEX GOES BRRR: 818 X: -12.8271 +INDEX GOES BRRR: 91 X: 5.70312 +INDEX GOES BRRR: 219 X: 13.7031 +INDEX GOES BRRR: 163 X: 10.2256 +INDEX GOES BRRR: 270 X: 16.9141 +INDEX GOES BRRR: 164 X: 10.2783 +INDEX GOES BRRR: 993 X: -1.91113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4688 +INDEX GOES BRRR: 161 X: 10.1035 +INDEX GOES BRRR: 276 X: 17.3018 +INDEX GOES BRRR: 870 X: -9.60645 +INDEX GOES BRRR: 221 X: 13.8555 +INDEX GOES BRRR: 231 X: 14.459 +INDEX GOES BRRR: 239 X: 14.9707 +INDEX GOES BRRR: 184 X: 11.5254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 52 X: 3.25879 +INDEX GOES BRRR: 318 X: 19.8984 +INDEX GOES BRRR: 443 X: 27.7393 +INDEX GOES BRRR: 81 X: 5.08496 +INDEX GOES BRRR: 936 X: -5.45312 +INDEX GOES BRRR: 982 X: -2.61523 +INDEX GOES BRRR: 844 X: -11.2236 +INDEX GOES BRRR: 88 X: 5.54883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 978 X: -2.86914 +INDEX GOES BRRR: 42 X: 2.64258 +INDEX GOES BRRR: 945 X: -4.88477 +INDEX GOES BRRR: 167 X: 10.4375 +INDEX GOES BRRR: 51 X: 3.20898 +INDEX GOES BRRR: 77 X: 4.86328 +INDEX GOES BRRR: 387 X: 24.1904 +INDEX GOES BRRR: 1008 X: -0.952148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.5742 +INDEX GOES BRRR: 1015 X: -0.550781 +INDEX GOES BRRR: 295 X: 18.4619 +INDEX GOES BRRR: 198 X: 12.4014 +INDEX GOES BRRR: 218 X: 13.6621 +INDEX GOES BRRR: 96 X: 6.02441 +INDEX GOES BRRR: 391 X: 24.4873 +INDEX GOES BRRR: 285 X: 17.8418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.8955 +INDEX GOES BRRR: 154 X: 9.65137 +INDEX GOES BRRR: 1018 X: -0.364258 +INDEX GOES BRRR: 146 X: 9.13281 +INDEX GOES BRRR: 218 X: 13.6748 +INDEX GOES BRRR: 313 X: 19.5625 +INDEX GOES BRRR: 393 X: 24.6035 +INDEX GOES BRRR: 145 X: 9.07617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5283 +INDEX GOES BRRR: 91 X: 5.72949 +INDEX GOES BRRR: 131 X: 8.21387 +INDEX GOES BRRR: 198 X: 12.3936 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 0 X: 0.0576172 +INDEX GOES BRRR: 68 X: 4.2627 +INDEX GOES BRRR: 22 X: 1.37988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 210 X: 13.1758 +INDEX GOES BRRR: 1002 X: -1.36719 +INDEX GOES BRRR: 192 X: 12.0293 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 76 X: 4.79785 +INDEX GOES BRRR: 261 X: 16.333 +INDEX GOES BRRR: 931 X: -5.78613 +INDEX GOES BRRR: 316 X: 19.7939 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 408 X: 25.5225 +INDEX GOES BRRR: 183 X: 11.499 +INDEX GOES BRRR: 211 X: 13.2148 +INDEX GOES BRRR: 196 X: 12.25 +INDEX GOES BRRR: 321 X: 20.0645 +INDEX GOES BRRR: 70 X: 4.39746 +INDEX GOES BRRR: 145 X: 9.08691 +INDEX GOES BRRR: 156 X: 9.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.77148 +INDEX GOES BRRR: 183 X: 11.4756 +INDEX GOES BRRR: 264 X: 16.5615 +INDEX GOES BRRR: 91 X: 5.72852 +INDEX GOES BRRR: 102 X: 6.43457 +INDEX GOES BRRR: 382 X: 23.9238 +INDEX GOES BRRR: 35 X: 2.21191 +INDEX GOES BRRR: 182 X: 11.3877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.52344 +INDEX GOES BRRR: 399 X: 24.9902 +INDEX GOES BRRR: 301 X: 18.8525 +INDEX GOES BRRR: 186 X: 11.667 +INDEX GOES BRRR: 188 X: 11.7695 +INDEX GOES BRRR: 231 X: 14.498 +INDEX GOES BRRR: 160 X: 10.0127 +INDEX GOES BRRR: 243 X: 15.1885 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.7217 +INDEX GOES BRRR: 268 X: 16.8057 +INDEX GOES BRRR: 987 X: -2.26465 +INDEX GOES BRRR: 962 X: -3.82422 +INDEX GOES BRRR: 934 X: -5.61719 +INDEX GOES BRRR: 320 X: 20.041 +INDEX GOES BRRR: 188 X: 11.7969 +INDEX GOES BRRR: 1019 X: -0.273438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.55371 +INDEX GOES BRRR: 326 X: 20.4277 +INDEX GOES BRRR: 173 X: 10.8711 +INDEX GOES BRRR: 217 X: 13.5908 +INDEX GOES BRRR: 283 X: 17.7334 +INDEX GOES BRRR: 929 X: -5.87988 +INDEX GOES BRRR: 846 X: -11.0938 +INDEX GOES BRRR: 70 X: 4.38477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 453 X: 28.3457 +INDEX GOES BRRR: 114 X: 7.14941 +INDEX GOES BRRR: 493 X: 30.8389 +INDEX GOES BRRR: 280 X: 17.5293 +INDEX GOES BRRR: 176 X: 11.04 +INDEX GOES BRRR: 903 X: -7.5332 +INDEX GOES BRRR: 27 X: 1.72266 +INDEX GOES BRRR: 200 X: 12.5361 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 957 X: -4.15625 +INDEX GOES BRRR: 234 X: 14.6426 +INDEX GOES BRRR: 59 X: 3.72656 +INDEX GOES BRRR: 338 X: 21.1836 +INDEX GOES BRRR: 73 X: 4.58984 +INDEX GOES BRRR: 104 X: 6.52832 +INDEX GOES BRRR: 1007 X: -1.05664 +INDEX GOES BRRR: 132 X: 8.26367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1592 +INDEX GOES BRRR: 135 X: 8.4873 +INDEX GOES BRRR: 347 X: 21.7461 +INDEX GOES BRRR: 438 X: 27.4326 +INDEX GOES BRRR: 131 X: 8.22559 +INDEX GOES BRRR: 268 X: 16.7559 +INDEX GOES BRRR: 134 X: 8.4248 +INDEX GOES BRRR: 136 X: 8.55859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 372 X: 23.2588 +INDEX GOES BRRR: 269 X: 16.8467 +INDEX GOES BRRR: 184 X: 11.5361 +INDEX GOES BRRR: 117 X: 7.3584 +INDEX GOES BRRR: 942 X: -5.12402 +INDEX GOES BRRR: 179 X: 11.2266 +INDEX GOES BRRR: 897 X: -7.9248 +INDEX GOES BRRR: 81 X: 5.09961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 950 X: -4.56738 +INDEX GOES BRRR: 230 X: 14.3994 +INDEX GOES BRRR: 211 X: 13.1885 +INDEX GOES BRRR: 968 X: -3.47559 +INDEX GOES BRRR: 316 X: 19.7842 +INDEX GOES BRRR: 146 X: 9.16895 +INDEX GOES BRRR: 44 X: 2.77441 +INDEX GOES BRRR: 204 X: 12.7539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 337 X: 21.0801 +INDEX GOES BRRR: 88 X: 5.52051 +INDEX GOES BRRR: 87 X: 5.48828 +INDEX GOES BRRR: 831 X: -12.0254 +INDEX GOES BRRR: 10 X: 0.658203 +INDEX GOES BRRR: 126 X: 7.89941 +INDEX GOES BRRR: 303 X: 18.9688 +INDEX GOES BRRR: 114 X: 7.15137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.16797 +INDEX GOES BRRR: 187 X: 11.7148 +INDEX GOES BRRR: 1020 X: -0.194336 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 130 X: 8.15332 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 423 X: 26.4424 +INDEX GOES BRRR: 273 X: 17.1074 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3418 +INDEX GOES BRRR: 64 X: 4 +INDEX GOES BRRR: 11 X: 0.695312 +INDEX GOES BRRR: 269 X: 16.8438 +INDEX GOES BRRR: 900 X: -7.7041 +INDEX GOES BRRR: 905 X: -7.38672 +INDEX GOES BRRR: 43 X: 2.71387 +INDEX GOES BRRR: 944 X: -4.96777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5684 +INDEX GOES BRRR: 279 X: 17.4902 +INDEX GOES BRRR: 233 X: 14.6211 +INDEX GOES BRRR: 136 X: 8.52344 +INDEX GOES BRRR: 291 X: 18.1973 +INDEX GOES BRRR: 123 X: 7.68945 +INDEX GOES BRRR: 214 X: 13.4023 +INDEX GOES BRRR: 458 X: 28.6338 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 360 X: 22.5254 +INDEX GOES BRRR: 175 X: 10.9443 +INDEX GOES BRRR: 265 X: 16.5625 +INDEX GOES BRRR: 345 X: 21.5947 +INDEX GOES BRRR: 232 X: 14.5244 +INDEX GOES BRRR: 117 X: 7.32812 +INDEX GOES BRRR: 967 X: -3.55859 +INDEX GOES BRRR: 85 X: 5.32227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 482 X: 30.1611 +INDEX GOES BRRR: 198 X: 12.3857 +INDEX GOES BRRR: 258 X: 16.1787 +INDEX GOES BRRR: 45 X: 2.87012 +INDEX GOES BRRR: 901 X: -7.64062 +INDEX GOES BRRR: 30 X: 1.89648 +INDEX GOES BRRR: 220 X: 13.7803 +INDEX GOES BRRR: 197 X: 12.3486 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.7373 +INDEX GOES BRRR: 1011 X: -0.77832 +INDEX GOES BRRR: 18 X: 1.12598 +INDEX GOES BRRR: 130 X: 8.12695 +INDEX GOES BRRR: 383 X: 23.9971 +INDEX GOES BRRR: 285 X: 17.8379 +INDEX GOES BRRR: 912 X: -6.96582 +INDEX GOES BRRR: 2 X: 0.170898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 992 X: -1.97949 +INDEX GOES BRRR: 101 X: 6.3125 +INDEX GOES BRRR: 951 X: -4.55176 +INDEX GOES BRRR: 187 X: 11.7314 +INDEX GOES BRRR: 365 X: 22.8359 +INDEX GOES BRRR: 220 X: 13.75 +INDEX GOES BRRR: 7 X: 0.444336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.3838 +INDEX GOES BRRR: 214 X: 13.4258 +INDEX GOES BRRR: 100 X: 6.2627 +INDEX GOES BRRR: 89 X: 5.62402 +INDEX GOES BRRR: 300 X: 18.7891 +INDEX GOES BRRR: 180 X: 11.2539 +INDEX GOES BRRR: 214 X: 13.4053 +INDEX GOES BRRR: 141 X: 8.82324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.557617 +INDEX GOES BRRR: 218 X: 13.6543 +INDEX GOES BRRR: 109 X: 6.82031 +INDEX GOES BRRR: 236 X: 14.7871 +INDEX GOES BRRR: 297 X: 18.582 +INDEX GOES BRRR: 243 X: 15.2246 +INDEX GOES BRRR: 402 X: 25.1797 +INDEX GOES BRRR: 214 X: 13.4053 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.96777 +INDEX GOES BRRR: 142 X: 8.92383 +INDEX GOES BRRR: 35 X: 2.2002 +INDEX GOES BRRR: 294 X: 18.4229 +INDEX GOES BRRR: 71 X: 4.48145 +INDEX GOES BRRR: 343 X: 21.4492 +INDEX GOES BRRR: 324 X: 20.2568 +INDEX GOES BRRR: 194 X: 12.1426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 16 X: 1.03516 +INDEX GOES BRRR: 119 X: 7.43945 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 105 X: 6.6084 +INDEX GOES BRRR: 204 X: 12.8076 +INDEX GOES BRRR: 295 X: 18.4844 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 380 X: 23.7959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.389648 +INDEX GOES BRRR: 2 X: 0.15332 +INDEX GOES BRRR: 232 X: 14.5029 +INDEX GOES BRRR: 198 X: 12.375 +INDEX GOES BRRR: 307 X: 19.207 +INDEX GOES BRRR: 414 X: 25.8809 +INDEX GOES BRRR: 151 X: 9.45605 +INDEX GOES BRRR: 932 X: -5.69922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.125 +INDEX GOES BRRR: 281 X: 17.5771 +INDEX GOES BRRR: 49 X: 3.08984 +INDEX GOES BRRR: 904 X: -7.49316 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 131 X: 8.2334 +INDEX GOES BRRR: 157 X: 9.83301 +INDEX GOES BRRR: 920 X: -6.45996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 326 X: 20.3936 +INDEX GOES BRRR: 271 X: 16.9912 +INDEX GOES BRRR: 1022 X: -0.0908203 +INDEX GOES BRRR: 958 X: -4.07812 +INDEX GOES BRRR: 130 X: 8.17285 +INDEX GOES BRRR: 52 X: 3.28223 +INDEX GOES BRRR: 258 X: 16.1426 +INDEX GOES BRRR: 1018 X: -0.342773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.9365 +INDEX GOES BRRR: 192 X: 12.0303 +INDEX GOES BRRR: 241 X: 15.1016 +INDEX GOES BRRR: 185 X: 11.6025 +INDEX GOES BRRR: 110 X: 6.90332 +INDEX GOES BRRR: 966 X: -3.56348 +INDEX GOES BRRR: 225 X: 14.1133 +INDEX GOES BRRR: 46 X: 2.91113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 330 X: 20.6406 +INDEX GOES BRRR: 190 X: 11.8877 +INDEX GOES BRRR: 350 X: 21.9189 +INDEX GOES BRRR: 23 X: 1.4541 +INDEX GOES BRRR: 314 X: 19.625 +INDEX GOES BRRR: 292 X: 18.2637 +INDEX GOES BRRR: 937 X: -5.42676 +INDEX GOES BRRR: 267 X: 16.7227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.498 +INDEX GOES BRRR: 84 X: 5.27051 +INDEX GOES BRRR: 245 X: 15.373 +INDEX GOES BRRR: 202 X: 12.665 +INDEX GOES BRRR: 878 X: -9.0918 +INDEX GOES BRRR: 921 X: -6.37598 +INDEX GOES BRRR: 84 X: 5.28613 +INDEX GOES BRRR: 343 X: 21.4385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 909 X: -7.16406 +INDEX GOES BRRR: 235 X: 14.7324 +INDEX GOES BRRR: 177 X: 11.1133 +INDEX GOES BRRR: 852 X: -10.7119 +INDEX GOES BRRR: 45 X: 2.85156 +INDEX GOES BRRR: 994 X: -1.87402 +INDEX GOES BRRR: 944 X: -4.98438 +INDEX GOES BRRR: 244 X: 15.3096 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.544922 +INDEX GOES BRRR: 255 X: 15.9971 +INDEX GOES BRRR: 348 X: 21.7959 +INDEX GOES BRRR: 306 X: 19.1289 +INDEX GOES BRRR: 112 X: 7.05566 +INDEX GOES BRRR: 942 X: -5.07227 +INDEX GOES BRRR: 299 X: 18.7324 +INDEX GOES BRRR: 363 X: 22.749 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0439 +INDEX GOES BRRR: 213 X: 13.3359 +INDEX GOES BRRR: 106 X: 6.63867 +INDEX GOES BRRR: 192 X: 12.0049 +INDEX GOES BRRR: 954 X: -4.3252 +INDEX GOES BRRR: 393 X: 24.5859 +INDEX GOES BRRR: 120 X: 7.54688 +INDEX GOES BRRR: 378 X: 23.6719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 998 X: -1.57812 +INDEX GOES BRRR: 26 X: 1.65039 +INDEX GOES BRRR: 122 X: 7.64551 +INDEX GOES BRRR: 413 X: 25.8477 +INDEX GOES BRRR: 15 X: 0.984375 +INDEX GOES BRRR: 93 X: 5.8418 +INDEX GOES BRRR: 363 X: 22.7412 +INDEX GOES BRRR: 347 X: 21.7158 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1357 +INDEX GOES BRRR: 304 X: 19.0615 +INDEX GOES BRRR: 123 X: 7.7207 +INDEX GOES BRRR: 162 X: 10.1865 +INDEX GOES BRRR: 146 X: 9.14453 +INDEX GOES BRRR: 381 X: 23.8447 +INDEX GOES BRRR: 227 X: 14.248 +INDEX GOES BRRR: 133 X: 8.32129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 354 X: 22.167 +INDEX GOES BRRR: 348 X: 21.7773 +INDEX GOES BRRR: 343 X: 21.4502 +INDEX GOES BRRR: 314 X: 19.6309 +INDEX GOES BRRR: 414 X: 25.9326 +INDEX GOES BRRR: 371 X: 23.2041 +INDEX GOES BRRR: 148 X: 9.30371 +INDEX GOES BRRR: 148 X: 9.2627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.08008 +INDEX GOES BRRR: 52 X: 3.27734 +INDEX GOES BRRR: 220 X: 13.7666 +INDEX GOES BRRR: 64 X: 4.00684 +INDEX GOES BRRR: 119 X: 7.46582 +INDEX GOES BRRR: 243 X: 15.249 +INDEX GOES BRRR: 249 X: 15.6152 +INDEX GOES BRRR: 149 X: 9.35254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.29102 +INDEX GOES BRRR: 145 X: 9.10059 +INDEX GOES BRRR: 80 X: 5.0127 +INDEX GOES BRRR: 410 X: 25.6279 +INDEX GOES BRRR: 714 X: -19.3164 +INDEX GOES BRRR: 245 X: 15.3164 +INDEX GOES BRRR: 59 X: 3.71875 +INDEX GOES BRRR: 945 X: -4.89453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 935 X: -5.50684 +INDEX GOES BRRR: 390 X: 24.4277 +INDEX GOES BRRR: 869 X: -9.6875 +INDEX GOES BRRR: 357 X: 22.3281 +INDEX GOES BRRR: 88 X: 5.55957 +INDEX GOES BRRR: 833 X: -11.918 +INDEX GOES BRRR: 247 X: 15.4375 +INDEX GOES BRRR: 415 X: 25.9912 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 975 X: -3.02148 +INDEX GOES BRRR: 352 X: 22.042 +INDEX GOES BRRR: 382 X: 23.8789 +INDEX GOES BRRR: 236 X: 14.8096 +INDEX GOES BRRR: 181 X: 11.3252 +INDEX GOES BRRR: 218 X: 13.6768 +INDEX GOES BRRR: 984 X: -2.48926 +INDEX GOES BRRR: 994 X: -1.8291 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 253 X: 15.8623 +INDEX GOES BRRR: 386 X: 24.1582 +INDEX GOES BRRR: 995 X: -1.75488 +INDEX GOES BRRR: 281 X: 17.5781 +INDEX GOES BRRR: 295 X: 18.4805 +INDEX GOES BRRR: 303 X: 18.9629 +INDEX GOES BRRR: 315 X: 19.7275 +INDEX GOES BRRR: 36 X: 2.28125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 876 X: -9.19434 +INDEX GOES BRRR: 16 X: 1.02051 +INDEX GOES BRRR: 32 X: 2.05957 +INDEX GOES BRRR: 178 X: 11.1338 +INDEX GOES BRRR: 915 X: -6.76758 +INDEX GOES BRRR: 300 X: 18.7959 +INDEX GOES BRRR: 972 X: -3.23828 +INDEX GOES BRRR: 1013 X: -0.651367 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.25293 +INDEX GOES BRRR: 998 X: -1.58691 +INDEX GOES BRRR: 259 X: 16.1924 +INDEX GOES BRRR: 962 X: -3.83496 +INDEX GOES BRRR: 19 X: 1.22461 +INDEX GOES BRRR: 12 X: 0.799805 +INDEX GOES BRRR: 301 X: 18.8711 +INDEX GOES BRRR: 24 X: 1.5166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0449 +INDEX GOES BRRR: 140 X: 8.79688 +INDEX GOES BRRR: 481 X: 30.0645 +INDEX GOES BRRR: 170 X: 10.6748 +INDEX GOES BRRR: 426 X: 26.6455 +INDEX GOES BRRR: 141 X: 8.8291 +INDEX GOES BRRR: 935 X: -5.50195 +INDEX GOES BRRR: 4 X: 0.273438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.35645 +INDEX GOES BRRR: 202 X: 12.6533 +INDEX GOES BRRR: 259 X: 16.2344 +INDEX GOES BRRR: 218 X: 13.6396 +INDEX GOES BRRR: 5 X: 0.329102 +INDEX GOES BRRR: 187 X: 11.7051 +INDEX GOES BRRR: 842 X: -11.3525 +INDEX GOES BRRR: 69 X: 4.3584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 192 X: 12.0264 +INDEX GOES BRRR: 77 X: 4.84473 +INDEX GOES BRRR: 180 X: 11.2871 +INDEX GOES BRRR: 118 X: 7.375 +INDEX GOES BRRR: 12 X: 0.796875 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 249 X: 15.5879 +INDEX GOES BRRR: 59 X: 3.7207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.575195 +INDEX GOES BRRR: 357 X: 22.3682 +INDEX GOES BRRR: 177 X: 11.0801 +INDEX GOES BRRR: 82 X: 5.16797 +INDEX GOES BRRR: 1018 X: -0.323242 +INDEX GOES BRRR: 1004 X: -1.19238 +INDEX GOES BRRR: 382 X: 23.8994 +INDEX GOES BRRR: 787 X: -14.7891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.89062 +INDEX GOES BRRR: 293 X: 18.3555 +INDEX GOES BRRR: 134 X: 8.37598 +INDEX GOES BRRR: 370 X: 23.1748 +INDEX GOES BRRR: 920 X: -6.4707 +INDEX GOES BRRR: 293 X: 18.3623 +INDEX GOES BRRR: 114 X: 7.15918 +INDEX GOES BRRR: 965 X: -3.67969 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.9199 +INDEX GOES BRRR: 266 X: 16.6602 +INDEX GOES BRRR: 995 X: -1.79785 +INDEX GOES BRRR: 336 X: 21.042 +INDEX GOES BRRR: 31 X: 1.97852 +INDEX GOES BRRR: 991 X: -2.02441 +INDEX GOES BRRR: 254 X: 15.9131 +INDEX GOES BRRR: 5 X: 0.34668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 110 X: 6.87988 +INDEX GOES BRRR: 187 X: 11.7471 +INDEX GOES BRRR: 93 X: 5.86035 +INDEX GOES BRRR: 58 X: 3.66992 +INDEX GOES BRRR: 205 X: 12.8438 +INDEX GOES BRRR: 260 X: 16.2969 +INDEX GOES BRRR: 111 X: 6.9541 +INDEX GOES BRRR: 138 X: 8.66602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 360 X: 22.5273 +INDEX GOES BRRR: 967 X: -3.52441 +INDEX GOES BRRR: 106 X: 6.6709 +INDEX GOES BRRR: 111 X: 6.97363 +INDEX GOES BRRR: 51 X: 3.20996 +INDEX GOES BRRR: 327 X: 20.459 +INDEX GOES BRRR: 279 X: 17.4678 +INDEX GOES BRRR: 157 X: 9.85742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.832 +INDEX GOES BRRR: 742 X: -17.6133 +INDEX GOES BRRR: 1011 X: -0.78418 +INDEX GOES BRRR: 350 X: 21.9209 +INDEX GOES BRRR: 276 X: 17.2676 +INDEX GOES BRRR: 278 X: 17.4355 +INDEX GOES BRRR: 70 X: 4.41309 +INDEX GOES BRRR: 187 X: 11.6953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.998 +INDEX GOES BRRR: 947 X: -4.76367 +INDEX GOES BRRR: 185 X: 11.6201 +INDEX GOES BRRR: 69 X: 4.33008 +INDEX GOES BRRR: 273 X: 17.0713 +INDEX GOES BRRR: 55 X: 3.46289 +INDEX GOES BRRR: 121 X: 7.59473 +INDEX GOES BRRR: 32 X: 2.04688 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.72266 +INDEX GOES BRRR: 120 X: 7.55566 +INDEX GOES BRRR: 287 X: 17.9717 +INDEX GOES BRRR: 389 X: 24.3564 +INDEX GOES BRRR: 237 X: 14.8721 +INDEX GOES BRRR: 410 X: 25.6348 +INDEX GOES BRRR: 59 X: 3.74316 +INDEX GOES BRRR: 319 X: 19.9453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.47266 +INDEX GOES BRRR: 29 X: 1.87402 +INDEX GOES BRRR: 148 X: 9.27734 +INDEX GOES BRRR: 938 X: -5.35254 +INDEX GOES BRRR: 188 X: 11.7969 +INDEX GOES BRRR: 118 X: 7.43066 +INDEX GOES BRRR: 107 X: 6.68848 +INDEX GOES BRRR: 351 X: 21.9893 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 636 X: -24.2227 +INDEX GOES BRRR: 67 X: 4.19629 +INDEX GOES BRRR: 67 X: 4.22852 +INDEX GOES BRRR: 303 X: 18.9463 +INDEX GOES BRRR: 190 X: 11.8867 +INDEX GOES BRRR: 357 X: 22.3496 +INDEX GOES BRRR: 121 X: 7.57031 +INDEX GOES BRRR: 113 X: 7.11133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.26367 +INDEX GOES BRRR: 296 X: 18.5498 +INDEX GOES BRRR: 397 X: 24.8438 +INDEX GOES BRRR: 108 X: 6.7832 +INDEX GOES BRRR: 172 X: 10.7998 +INDEX GOES BRRR: 20 X: 1.28027 +INDEX GOES BRRR: 263 X: 16.4697 +INDEX GOES BRRR: 205 X: 12.8574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 428 X: 26.7812 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 69 X: 4.35449 +INDEX GOES BRRR: 996 X: -1.73535 +INDEX GOES BRRR: 262 X: 16.4062 +INDEX GOES BRRR: 1002 X: -1.34863 +INDEX GOES BRRR: 1019 X: -0.272461 +INDEX GOES BRRR: 407 X: 25.4717 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 378 X: 23.6758 +INDEX GOES BRRR: 325 X: 20.3613 +INDEX GOES BRRR: 105 X: 6.60645 +INDEX GOES BRRR: 190 X: 11.9238 +INDEX GOES BRRR: 205 X: 12.8369 +INDEX GOES BRRR: 293 X: 18.3301 +INDEX GOES BRRR: 285 X: 17.8418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 41 X: 2.57031 +INDEX GOES BRRR: 212 X: 13.2734 +INDEX GOES BRRR: 77 X: 4.87012 +INDEX GOES BRRR: 207 X: 12.9551 +INDEX GOES BRRR: 223 X: 13.999 +INDEX GOES BRRR: 331 X: 20.7344 +INDEX GOES BRRR: 259 X: 16.2168 +INDEX GOES BRRR: 9 X: 0.586914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 413 X: 25.8506 +INDEX GOES BRRR: 43 X: 2.74316 +INDEX GOES BRRR: 894 X: -8.11426 +INDEX GOES BRRR: 169 X: 10.6016 +INDEX GOES BRRR: 330 X: 20.6309 +INDEX GOES BRRR: 248 X: 15.5527 +INDEX GOES BRRR: 903 X: -7.51758 +INDEX GOES BRRR: 96 X: 6.0166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 284 X: 17.8066 +INDEX GOES BRRR: 238 X: 14.8916 +INDEX GOES BRRR: 937 X: -5.42188 +INDEX GOES BRRR: 151 X: 9.44336 +INDEX GOES BRRR: 319 X: 19.9854 +INDEX GOES BRRR: 1013 X: -0.65332 +INDEX GOES BRRR: 287 X: 17.9551 +INDEX GOES BRRR: 282 X: 17.6289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 28 X: 1.75586 +INDEX GOES BRRR: 997 X: -1.64062 +INDEX GOES BRRR: 158 X: 9.89062 +INDEX GOES BRRR: 398 X: 24.917 +INDEX GOES BRRR: 356 X: 22.2559 +INDEX GOES BRRR: 190 X: 11.9219 +INDEX GOES BRRR: 57 X: 3.56543 +INDEX GOES BRRR: 375 X: 23.4434 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.44629 +INDEX GOES BRRR: 24 X: 1.55176 +INDEX GOES BRRR: 981 X: -2.65234 +INDEX GOES BRRR: 24 X: 1.52051 +INDEX GOES BRRR: 208 X: 13.0098 +INDEX GOES BRRR: 56 X: 3.5127 +INDEX GOES BRRR: 112 X: 7.02148 +INDEX GOES BRRR: 992 X: -1.93945 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.9268 +INDEX GOES BRRR: 940 X: -5.23047 +INDEX GOES BRRR: 124 X: 7.77051 +INDEX GOES BRRR: 133 X: 8.34961 +INDEX GOES BRRR: 93 X: 5.82129 +INDEX GOES BRRR: 317 X: 19.8584 +INDEX GOES BRRR: 473 X: 29.6143 +INDEX GOES BRRR: 1005 X: -1.14062 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 312 X: 19.5352 +INDEX GOES BRRR: 121 X: 7.5957 +INDEX GOES BRRR: 95 X: 5.97852 +INDEX GOES BRRR: 221 X: 13.8633 +INDEX GOES BRRR: 183 X: 11.4688 +INDEX GOES BRRR: 20 X: 1.2627 +INDEX GOES BRRR: 212 X: 13.2891 +INDEX GOES BRRR: 155 X: 9.74219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.69434 +INDEX GOES BRRR: 248 X: 15.5098 +INDEX GOES BRRR: 9 X: 0.594727 +INDEX GOES BRRR: 993 X: -1.8877 +INDEX GOES BRRR: 108 X: 6.75293 +INDEX GOES BRRR: 27 X: 1.74609 +INDEX GOES BRRR: 221 X: 13.874 +INDEX GOES BRRR: 53 X: 3.36426 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 107 X: 6.72266 +INDEX GOES BRRR: 144 X: 9.00781 +INDEX GOES BRRR: 373 X: 23.3623 +INDEX GOES BRRR: 256 X: 16.0244 +INDEX GOES BRRR: 206 X: 12.9336 +INDEX GOES BRRR: 131 X: 8.23242 +INDEX GOES BRRR: 72 X: 4.5498 +INDEX GOES BRRR: 249 X: 15.5771 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.44727 +INDEX GOES BRRR: 996 X: -1.72266 +INDEX GOES BRRR: 342 X: 21.3818 +INDEX GOES BRRR: 881 X: -8.93066 +INDEX GOES BRRR: 113 X: 7.10156 +INDEX GOES BRRR: 142 X: 8.93164 +INDEX GOES BRRR: 47 X: 2.94531 +INDEX GOES BRRR: 154 X: 9.66699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3535 +INDEX GOES BRRR: 957 X: -4.1875 +INDEX GOES BRRR: 372 X: 23.2588 +INDEX GOES BRRR: 36 X: 2.29492 +INDEX GOES BRRR: 375 X: 23.4756 +INDEX GOES BRRR: 310 X: 19.3994 +INDEX GOES BRRR: 341 X: 21.3301 +INDEX GOES BRRR: 91 X: 5.70117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.0283 +INDEX GOES BRRR: 165 X: 10.3604 +INDEX GOES BRRR: 138 X: 8.6709 +INDEX GOES BRRR: 48 X: 3 +INDEX GOES BRRR: 38 X: 2.41406 +INDEX GOES BRRR: 339 X: 21.2451 +INDEX GOES BRRR: 263 X: 16.4902 +INDEX GOES BRRR: 788 X: -14.7041 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 360 X: 22.5186 +INDEX GOES BRRR: 175 X: 10.9766 +INDEX GOES BRRR: 277 X: 17.3652 +INDEX GOES BRRR: 187 X: 11.6943 +INDEX GOES BRRR: 36 X: 2.30078 +INDEX GOES BRRR: 883 X: -8.76758 +INDEX GOES BRRR: 972 X: -3.19043 +INDEX GOES BRRR: 29 X: 1.84668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 299 X: 18.7021 +INDEX GOES BRRR: 213 X: 13.3135 +INDEX GOES BRRR: 120 X: 7.53125 +INDEX GOES BRRR: 327 X: 20.4922 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 161 X: 10.123 +INDEX GOES BRRR: 193 X: 12.0869 +INDEX GOES BRRR: 279 X: 17.457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 283 X: 17.7168 +INDEX GOES BRRR: 378 X: 23.6602 +INDEX GOES BRRR: 130 X: 8.17871 +INDEX GOES BRRR: 36 X: 2.25098 +INDEX GOES BRRR: 986 X: -2.36035 +INDEX GOES BRRR: 52 X: 3.31152 +INDEX GOES BRRR: 29 X: 1.85254 +INDEX GOES BRRR: 318 X: 19.9014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.375977 +INDEX GOES BRRR: 1012 X: -0.688477 +INDEX GOES BRRR: 491 X: 30.7188 +INDEX GOES BRRR: 911 X: -7.04199 +INDEX GOES BRRR: 130 X: 8.13379 +INDEX GOES BRRR: 242 X: 15.1582 +INDEX GOES BRRR: 229 X: 14.3555 +INDEX GOES BRRR: 103 X: 6.45312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8291 +INDEX GOES BRRR: 146 X: 9.14062 +INDEX GOES BRRR: 441 X: 27.5703 +INDEX GOES BRRR: 16 X: 1.00586 +INDEX GOES BRRR: 140 X: 8.78516 +INDEX GOES BRRR: 111 X: 6.9502 +INDEX GOES BRRR: 430 X: 26.9043 +INDEX GOES BRRR: 137 X: 8.61719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 8 X: 0.518555 +INDEX GOES BRRR: 234 X: 14.6406 +INDEX GOES BRRR: 274 X: 17.1797 +INDEX GOES BRRR: 189 X: 11.8516 +INDEX GOES BRRR: 254 X: 15.8936 +INDEX GOES BRRR: 271 X: 16.9863 +INDEX GOES BRRR: 334 X: 20.9326 +INDEX GOES BRRR: 988 X: -2.20312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.65137 +INDEX GOES BRRR: 26 X: 1.64844 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 82 X: 5.14551 +INDEX GOES BRRR: 376 X: 23.5273 +INDEX GOES BRRR: 156 X: 9.75098 +INDEX GOES BRRR: 173 X: 10.8623 +INDEX GOES BRRR: 276 X: 17.2959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 233 X: 14.6045 +INDEX GOES BRRR: 164 X: 10.3057 +INDEX GOES BRRR: 936 X: -5.4707 +INDEX GOES BRRR: 174 X: 10.9209 +INDEX GOES BRRR: 255 X: 15.9561 +INDEX GOES BRRR: 433 X: 27.1055 +INDEX GOES BRRR: 300 X: 18.7588 +INDEX GOES BRRR: 919 X: -6.50195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 49 X: 3.08105 +INDEX GOES BRRR: 836 X: -11.7217 +INDEX GOES BRRR: 256 X: 16.0234 +INDEX GOES BRRR: 157 X: 9.85742 +INDEX GOES BRRR: 59 X: 3.7207 +INDEX GOES BRRR: 932 X: -5.71973 +INDEX GOES BRRR: 241 X: 15.0859 +INDEX GOES BRRR: 216 X: 13.5537 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 377 X: 23.5801 +INDEX GOES BRRR: 226 X: 14.1816 +INDEX GOES BRRR: 975 X: -3.0332 +INDEX GOES BRRR: 202 X: 12.6865 +INDEX GOES BRRR: 190 X: 11.9277 +INDEX GOES BRRR: 955 X: -4.26953 +INDEX GOES BRRR: 203 X: 12.7471 +INDEX GOES BRRR: 153 X: 9.58984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.9209 +INDEX GOES BRRR: 234 X: 14.6514 +INDEX GOES BRRR: 121 X: 7.57227 +INDEX GOES BRRR: 308 X: 19.293 +INDEX GOES BRRR: 109 X: 6.83301 +INDEX GOES BRRR: 131 X: 8.19824 +INDEX GOES BRRR: 845 X: -11.165 +INDEX GOES BRRR: 370 X: 23.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1406 +INDEX GOES BRRR: 65 X: 4.10352 +INDEX GOES BRRR: 335 X: 20.9502 +INDEX GOES BRRR: 92 X: 5.79199 +INDEX GOES BRRR: 5 X: 0.327148 +INDEX GOES BRRR: 199 X: 12.4609 +INDEX GOES BRRR: 983 X: -2.54395 +INDEX GOES BRRR: 269 X: 16.8232 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.16016 +INDEX GOES BRRR: 969 X: -3.39551 +INDEX GOES BRRR: 323 X: 20.2432 +INDEX GOES BRRR: 54 X: 3.41992 +INDEX GOES BRRR: 48 X: 3.0498 +INDEX GOES BRRR: 819 X: -12.7627 +INDEX GOES BRRR: 217 X: 13.5654 +INDEX GOES BRRR: 1001 X: -1.38281 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 945 X: -4.92676 +INDEX GOES BRRR: 948 X: -4.74512 +INDEX GOES BRRR: 77 X: 4.87109 +INDEX GOES BRRR: 108 X: 6.81152 +INDEX GOES BRRR: 159 X: 9.95508 +INDEX GOES BRRR: 838 X: -11.5752 +INDEX GOES BRRR: 264 X: 16.5225 +INDEX GOES BRRR: 365 X: 22.8652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.45996 +INDEX GOES BRRR: 44 X: 2.77734 +INDEX GOES BRRR: 154 X: 9.65918 +INDEX GOES BRRR: 58 X: 3.6416 +INDEX GOES BRRR: 402 X: 25.1846 +INDEX GOES BRRR: 213 X: 13.3203 +INDEX GOES BRRR: 61 X: 3.87305 +INDEX GOES BRRR: 166 X: 10.3896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.49609 +INDEX GOES BRRR: 139 X: 8.69824 +INDEX GOES BRRR: 242 X: 15.1348 +INDEX GOES BRRR: 398 X: 24.9316 +INDEX GOES BRRR: 251 X: 15.748 +INDEX GOES BRRR: 207 X: 12.9463 +INDEX GOES BRRR: 315 X: 19.7412 +INDEX GOES BRRR: 2 X: 0.138672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 895 X: -8.03809 +INDEX GOES BRRR: 19 X: 1.20508 +INDEX GOES BRRR: 159 X: 9.95703 +INDEX GOES BRRR: 423 X: 26.4404 +INDEX GOES BRRR: 1002 X: -1.33496 +INDEX GOES BRRR: 65 X: 4.11914 +INDEX GOES BRRR: 207 X: 12.9443 +INDEX GOES BRRR: 85 X: 5.31348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 326 X: 20.417 +INDEX GOES BRRR: 102 X: 6.40918 +INDEX GOES BRRR: 60 X: 3.76562 +INDEX GOES BRRR: 1006 X: -1.09961 +INDEX GOES BRRR: 374 X: 23.3779 +INDEX GOES BRRR: 372 X: 23.2793 +INDEX GOES BRRR: 79 X: 4.95508 +INDEX GOES BRRR: 72 X: 4.52148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.2207 +INDEX GOES BRRR: 53 X: 3.35742 +INDEX GOES BRRR: 256 X: 16.002 +INDEX GOES BRRR: 112 X: 7.0127 +INDEX GOES BRRR: 128 X: 8.03516 +INDEX GOES BRRR: 312 X: 19.5156 +INDEX GOES BRRR: 71 X: 4.46387 +INDEX GOES BRRR: 124 X: 7.79199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 157 X: 9.86523 +INDEX GOES BRRR: 262 X: 16.416 +INDEX GOES BRRR: 13 X: 0.850586 +INDEX GOES BRRR: 922 X: -6.31445 +INDEX GOES BRRR: 1023 X: -0.0458984 +INDEX GOES BRRR: 1011 X: -0.754883 +INDEX GOES BRRR: 57 X: 3.5957 +INDEX GOES BRRR: 166 X: 10.3965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.0771 +INDEX GOES BRRR: 291 X: 18.209 +INDEX GOES BRRR: 236 X: 14.7969 +INDEX GOES BRRR: 320 X: 20.0254 +INDEX GOES BRRR: 999 X: -1.55078 +INDEX GOES BRRR: 306 X: 19.1729 +INDEX GOES BRRR: 277 X: 17.3486 +INDEX GOES BRRR: 195 X: 12.2471 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.29785 +INDEX GOES BRRR: 366 X: 22.8809 +INDEX GOES BRRR: 844 X: -11.2363 +INDEX GOES BRRR: 291 X: 18.2246 +INDEX GOES BRRR: 291 X: 18.2061 +INDEX GOES BRRR: 287 X: 17.9453 +INDEX GOES BRRR: 91 X: 5.70215 +INDEX GOES BRRR: 98 X: 6.14453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.67578 +INDEX GOES BRRR: 1 X: 0.100586 +INDEX GOES BRRR: 60 X: 3.75684 +INDEX GOES BRRR: 114 X: 7.1709 +INDEX GOES BRRR: 966 X: -3.61816 +INDEX GOES BRRR: 484 X: 30.3018 +INDEX GOES BRRR: 38 X: 2.40527 +INDEX GOES BRRR: 305 X: 19.0703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1003 X: -1.2998 +INDEX GOES BRRR: 192 X: 12.0615 +INDEX GOES BRRR: 825 X: -12.3916 +INDEX GOES BRRR: 223 X: 13.9424 +INDEX GOES BRRR: 332 X: 20.8096 +INDEX GOES BRRR: 996 X: -1.73535 +INDEX GOES BRRR: 252 X: 15.8105 +INDEX GOES BRRR: 144 X: 9.0293 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 739 X: -17.7559 +INDEX GOES BRRR: 69 X: 4.36816 +INDEX GOES BRRR: 132 X: 8.30566 +INDEX GOES BRRR: 45 X: 2.85938 +INDEX GOES BRRR: 301 X: 18.8643 +INDEX GOES BRRR: 928 X: -5.96289 +INDEX GOES BRRR: 133 X: 8.34277 +INDEX GOES BRRR: 133 X: 8.3418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.10156 +INDEX GOES BRRR: 135 X: 8.44824 +INDEX GOES BRRR: 294 X: 18.4268 +INDEX GOES BRRR: 142 X: 8.93555 +INDEX GOES BRRR: 969 X: -3.3877 +INDEX GOES BRRR: 244 X: 15.2979 +INDEX GOES BRRR: 786 X: -14.8604 +INDEX GOES BRRR: 207 X: 12.999 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 331 X: 20.7109 +INDEX GOES BRRR: 223 X: 13.9941 +INDEX GOES BRRR: 160 X: 10.0391 +INDEX GOES BRRR: 103 X: 6.45703 +INDEX GOES BRRR: 250 X: 15.6787 +INDEX GOES BRRR: 941 X: -5.18555 +INDEX GOES BRRR: 938 X: -5.32129 +INDEX GOES BRRR: 302 X: 18.8799 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.374 +INDEX GOES BRRR: 980 X: -2.72363 +INDEX GOES BRRR: 129 X: 8.08398 +INDEX GOES BRRR: 409 X: 25.5801 +INDEX GOES BRRR: 961 X: -3.91602 +INDEX GOES BRRR: 137 X: 8.56348 +INDEX GOES BRRR: 359 X: 22.4561 +INDEX GOES BRRR: 209 X: 13.1016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.28711 +INDEX GOES BRRR: 231 X: 14.4922 +INDEX GOES BRRR: 247 X: 15.4619 +INDEX GOES BRRR: 188 X: 11.7666 +INDEX GOES BRRR: 245 X: 15.3369 +INDEX GOES BRRR: 91 X: 5.7207 +INDEX GOES BRRR: 258 X: 16.1689 +INDEX GOES BRRR: 398 X: 24.9365 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 78 X: 4.92773 +INDEX GOES BRRR: 274 X: 17.1289 +INDEX GOES BRRR: 960 X: -3.94043 +INDEX GOES BRRR: 159 X: 9.96289 +INDEX GOES BRRR: 1001 X: -1.41699 +INDEX GOES BRRR: 152 X: 9.5332 +INDEX GOES BRRR: 39 X: 2.46484 +INDEX GOES BRRR: 369 X: 23.1025 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.166 +INDEX GOES BRRR: 331 X: 20.7197 +INDEX GOES BRRR: 248 X: 15.541 +INDEX GOES BRRR: 960 X: -3.96289 +INDEX GOES BRRR: 143 X: 8.99805 +INDEX GOES BRRR: 96 X: 6.01855 +INDEX GOES BRRR: 47 X: 2.99219 +INDEX GOES BRRR: 150 X: 9.40234 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 760 X: -16.4629 +INDEX GOES BRRR: 87 X: 5.49121 +INDEX GOES BRRR: 940 X: -5.24707 +INDEX GOES BRRR: 59 X: 3.70508 +INDEX GOES BRRR: 139 X: 8.70898 +INDEX GOES BRRR: 462 X: 28.9199 +INDEX GOES BRRR: 132 X: 8.28125 +INDEX GOES BRRR: 143 X: 8.95898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 322 X: 20.1289 +INDEX GOES BRRR: 153 X: 9.5752 +INDEX GOES BRRR: 805 X: -13.6406 +INDEX GOES BRRR: 1013 X: -0.625977 +INDEX GOES BRRR: 62 X: 3.91309 +INDEX GOES BRRR: 137 X: 8.60254 +INDEX GOES BRRR: 923 X: -6.29199 +INDEX GOES BRRR: 71 X: 4.47461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.55566 +INDEX GOES BRRR: 7 X: 0.493164 +INDEX GOES BRRR: 317 X: 19.8184 +INDEX GOES BRRR: 289 X: 18.083 +INDEX GOES BRRR: 21 X: 1.31348 +INDEX GOES BRRR: 421 X: 26.3291 +INDEX GOES BRRR: 336 X: 21.042 +INDEX GOES BRRR: 191 X: 11.9697 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.13477 +INDEX GOES BRRR: 177 X: 11.1094 +INDEX GOES BRRR: 247 X: 15.4629 +INDEX GOES BRRR: 137 X: 8.58887 +INDEX GOES BRRR: 284 X: 17.7588 +INDEX GOES BRRR: 950 X: -4.59082 +INDEX GOES BRRR: 269 X: 16.8359 +INDEX GOES BRRR: 355 X: 22.1943 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.0712891 +INDEX GOES BRRR: 1010 X: -0.821289 +INDEX GOES BRRR: 982 X: -2.5791 +INDEX GOES BRRR: 271 X: 16.9951 +INDEX GOES BRRR: 157 X: 9.8291 +INDEX GOES BRRR: 1017 X: -0.423828 +INDEX GOES BRRR: 20 X: 1.28809 +INDEX GOES BRRR: 240 X: 15.0576 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 870 X: -9.5752 +INDEX GOES BRRR: 829 X: -12.168 +INDEX GOES BRRR: 164 X: 10.25 +INDEX GOES BRRR: 274 X: 17.1318 +INDEX GOES BRRR: 999 X: -1.50195 +INDEX GOES BRRR: 286 X: 17.8779 +INDEX GOES BRRR: 449 X: 28.085 +INDEX GOES BRRR: 169 X: 10.6172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 425 X: 26.5859 +INDEX GOES BRRR: 48 X: 3.00586 +INDEX GOES BRRR: 241 X: 15.1182 +INDEX GOES BRRR: 220 X: 13.7939 +INDEX GOES BRRR: 335 X: 20.9668 +INDEX GOES BRRR: 198 X: 12.4072 +INDEX GOES BRRR: 110 X: 6.93066 +INDEX GOES BRRR: 183 X: 11.4375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6533 +INDEX GOES BRRR: 129 X: 8.11523 +INDEX GOES BRRR: 49 X: 3.06543 +INDEX GOES BRRR: 141 X: 8.83984 +INDEX GOES BRRR: 67 X: 4.21582 +INDEX GOES BRRR: 95 X: 5.95605 +INDEX GOES BRRR: 47 X: 2.94922 +INDEX GOES BRRR: 293 X: 18.3203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.52832 +INDEX GOES BRRR: 78 X: 4.91699 +INDEX GOES BRRR: 963 X: -3.75586 +INDEX GOES BRRR: 235 X: 14.7119 +INDEX GOES BRRR: 323 X: 20.2314 +INDEX GOES BRRR: 213 X: 13.3125 +INDEX GOES BRRR: 173 X: 10.8613 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1553 +INDEX GOES BRRR: 113 X: 7.08691 +INDEX GOES BRRR: 134 X: 8.41797 +INDEX GOES BRRR: 176 X: 11.0117 +INDEX GOES BRRR: 419 X: 26.2266 +INDEX GOES BRRR: 0 X: 0.0136719 +INDEX GOES BRRR: 37 X: 2.35059 +INDEX GOES BRRR: 325 X: 20.3633 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.10938 +INDEX GOES BRRR: 292 X: 18.2783 +INDEX GOES BRRR: 125 X: 7.86523 +INDEX GOES BRRR: 174 X: 10.9092 +INDEX GOES BRRR: 42 X: 2.6377 +INDEX GOES BRRR: 987 X: -2.29004 +INDEX GOES BRRR: 21 X: 1.32617 +INDEX GOES BRRR: 111 X: 6.98047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.8994 +INDEX GOES BRRR: 965 X: -3.66406 +INDEX GOES BRRR: 81 X: 5.0918 +INDEX GOES BRRR: 369 X: 23.1113 +INDEX GOES BRRR: 258 X: 16.1855 +INDEX GOES BRRR: 66 X: 4.18652 +INDEX GOES BRRR: 147 X: 9.23047 +INDEX GOES BRRR: 961 X: -3.89258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.06641 +INDEX GOES BRRR: 1010 X: -0.838867 +INDEX GOES BRRR: 142 X: 8.87988 +INDEX GOES BRRR: 317 X: 19.8604 +INDEX GOES BRRR: 266 X: 16.6855 +INDEX GOES BRRR: 182 X: 11.3867 +INDEX GOES BRRR: 276 X: 17.251 +INDEX GOES BRRR: 823 X: -12.5049 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.49805 +INDEX GOES BRRR: 208 X: 13.0479 +INDEX GOES BRRR: 344 X: 21.5615 +INDEX GOES BRRR: 225 X: 14.1191 +INDEX GOES BRRR: 21 X: 1.33301 +INDEX GOES BRRR: 440 X: 27.5293 +INDEX GOES BRRR: 161 X: 10.0918 +INDEX GOES BRRR: 304 X: 19.0488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.144531 +INDEX GOES BRRR: 233 X: 14.5967 +INDEX GOES BRRR: 903 X: -7.53711 +INDEX GOES BRRR: 187 X: 11.7021 +INDEX GOES BRRR: 173 X: 10.8516 +INDEX GOES BRRR: 127 X: 7.9541 +INDEX GOES BRRR: 928 X: -5.96973 +INDEX GOES BRRR: 166 X: 10.3809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 922 X: -6.36426 +INDEX GOES BRRR: 835 X: -11.7959 +INDEX GOES BRRR: 44 X: 2.78906 +INDEX GOES BRRR: 226 X: 14.126 +INDEX GOES BRRR: 166 X: 10.4033 +INDEX GOES BRRR: 83 X: 5.22656 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 65 X: 4.09668 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.4502 +INDEX GOES BRRR: 232 X: 14.5059 +INDEX GOES BRRR: 215 X: 13.4404 +INDEX GOES BRRR: 201 X: 12.5752 +INDEX GOES BRRR: 125 X: 7.85059 +INDEX GOES BRRR: 1010 X: -0.863281 +INDEX GOES BRRR: 148 X: 9.27637 +INDEX GOES BRRR: 221 X: 13.8408 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5498 +INDEX GOES BRRR: 272 X: 17.0596 +INDEX GOES BRRR: 407 X: 25.4697 +INDEX GOES BRRR: 167 X: 10.4961 +INDEX GOES BRRR: 88 X: 5.52344 +INDEX GOES BRRR: 158 X: 9.89355 +INDEX GOES BRRR: 182 X: 11.376 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.6055 +INDEX GOES BRRR: 953 X: -4.37793 +INDEX GOES BRRR: 411 X: 25.7266 +INDEX GOES BRRR: 423 X: 26.4658 +INDEX GOES BRRR: 963 X: -3.7832 +INDEX GOES BRRR: 106 X: 6.62598 +INDEX GOES BRRR: 165 X: 10.3691 +INDEX GOES BRRR: 296 X: 18.5469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 0 X: 0.00488281 +INDEX GOES BRRR: 342 X: 21.3975 +INDEX GOES BRRR: 164 X: 10.2881 +INDEX GOES BRRR: 167 X: 10.4434 +INDEX GOES BRRR: 384 X: 24.0264 +INDEX GOES BRRR: 312 X: 19.5215 +INDEX GOES BRRR: 195 X: 12.2139 +INDEX GOES BRRR: 152 X: 9.5166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 86 X: 5.39355 +INDEX GOES BRRR: 925 X: -6.17773 +INDEX GOES BRRR: 415 X: 25.9736 +INDEX GOES BRRR: 76 X: 4.7832 +INDEX GOES BRRR: 246 X: 15.3867 +INDEX GOES BRRR: 932 X: -5.74609 +INDEX GOES BRRR: 147 X: 9.21191 +INDEX GOES BRRR: 70 X: 4.37598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 277 X: 17.3545 +INDEX GOES BRRR: 199 X: 12.4922 +INDEX GOES BRRR: 253 X: 15.833 +INDEX GOES BRRR: 347 X: 21.7256 +INDEX GOES BRRR: 211 X: 13.1924 +INDEX GOES BRRR: 171 X: 10.7285 +INDEX GOES BRRR: 98 X: 6.16992 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 339 X: 21.2207 +INDEX GOES BRRR: 129 X: 8.06641 +INDEX GOES BRRR: 272 X: 17.043 +INDEX GOES BRRR: 200 X: 12.5068 +INDEX GOES BRRR: 278 X: 17.4043 +INDEX GOES BRRR: 205 X: 12.8174 +INDEX GOES BRRR: 204 X: 12.7988 +INDEX GOES BRRR: 209 X: 13.1201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9541 +INDEX GOES BRRR: 796 X: -14.2334 +INDEX GOES BRRR: 474 X: 29.6484 +INDEX GOES BRRR: 183 X: 11.4619 +INDEX GOES BRRR: 0 X: 0.03125 +INDEX GOES BRRR: 999 X: -1.50586 +INDEX GOES BRRR: 84 X: 5.2832 +INDEX GOES BRRR: 60 X: 3.77832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.4199 +INDEX GOES BRRR: 167 X: 10.4707 +INDEX GOES BRRR: 247 X: 15.4424 +INDEX GOES BRRR: 140 X: 8.80566 +INDEX GOES BRRR: 1004 X: -1.23535 +INDEX GOES BRRR: 881 X: -8.91016 +INDEX GOES BRRR: 986 X: -2.34473 +INDEX GOES BRRR: 365 X: 22.8213 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.1133 +INDEX GOES BRRR: 387 X: 24.2461 +INDEX GOES BRRR: 159 X: 9.9502 +INDEX GOES BRRR: 86 X: 5.38477 +INDEX GOES BRRR: 154 X: 9.625 +INDEX GOES BRRR: 921 X: -6.42383 +INDEX GOES BRRR: 13 X: 0.816406 +INDEX GOES BRRR: 487 X: 30.499 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.55371 +INDEX GOES BRRR: 246 X: 15.4229 +INDEX GOES BRRR: 1 X: 0.123047 +INDEX GOES BRRR: 133 X: 8.35645 +INDEX GOES BRRR: 171 X: 10.7363 +INDEX GOES BRRR: 363 X: 22.749 +INDEX GOES BRRR: 99 X: 6.19629 +INDEX GOES BRRR: 368 X: 23.0615 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.5166 +INDEX GOES BRRR: 153 X: 9.6084 +INDEX GOES BRRR: 217 X: 13.6104 +INDEX GOES BRRR: 475 X: 29.6895 +INDEX GOES BRRR: 217 X: 13.5645 +INDEX GOES BRRR: 143 X: 8.95215 +INDEX GOES BRRR: 401 X: 25.0996 +INDEX GOES BRRR: 313 X: 19.5664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0508 +INDEX GOES BRRR: 266 X: 16.627 +INDEX GOES BRRR: 975 X: -3.03711 +INDEX GOES BRRR: 159 X: 9.98047 +INDEX GOES BRRR: 367 X: 22.9707 +INDEX GOES BRRR: 82 X: 5.12793 +INDEX GOES BRRR: 435 X: 27.1963 +INDEX GOES BRRR: 253 X: 15.8535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 971 X: -3.27441 +INDEX GOES BRRR: 126 X: 7.8916 +INDEX GOES BRRR: 234 X: 14.6768 +INDEX GOES BRRR: 153 X: 9.59082 +INDEX GOES BRRR: 994 X: -1.8252 +INDEX GOES BRRR: 146 X: 9.15234 +INDEX GOES BRRR: 210 X: 13.1504 +INDEX GOES BRRR: 870 X: -9.57812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.615234 +INDEX GOES BRRR: 165 X: 10.3408 +INDEX GOES BRRR: 326 X: 20.3926 +INDEX GOES BRRR: 256 X: 16.0254 +INDEX GOES BRRR: 986 X: -2.37109 +INDEX GOES BRRR: 136 X: 8.55273 +INDEX GOES BRRR: 320 X: 20.0381 +INDEX GOES BRRR: 68 X: 4.27051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5986 +INDEX GOES BRRR: 320 X: 20.0615 +INDEX GOES BRRR: 298 X: 18.6611 +INDEX GOES BRRR: 126 X: 7.91895 +INDEX GOES BRRR: 326 X: 20.3906 +INDEX GOES BRRR: 282 X: 17.6758 +INDEX GOES BRRR: 97 X: 6.10449 +INDEX GOES BRRR: 273 X: 17.1221 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.63184 +INDEX GOES BRRR: 441 X: 27.5889 +INDEX GOES BRRR: 9 X: 0.579102 +INDEX GOES BRRR: 131 X: 8.24609 +INDEX GOES BRRR: 206 X: 12.9316 +INDEX GOES BRRR: 31 X: 1.96289 +INDEX GOES BRRR: 378 X: 23.6377 +INDEX GOES BRRR: 288 X: 18.0195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.51172 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 177 X: 11.0869 +INDEX GOES BRRR: 48 X: 3.01758 +INDEX GOES BRRR: 264 X: 16.5205 +INDEX GOES BRRR: 257 X: 16.1221 +INDEX GOES BRRR: 221 X: 13.8564 +INDEX GOES BRRR: 129 X: 8.11816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7256 +INDEX GOES BRRR: 921 X: -6.39453 +INDEX GOES BRRR: 118 X: 7.4248 +INDEX GOES BRRR: 378 X: 23.6807 +INDEX GOES BRRR: 235 X: 14.7158 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 295 X: 18.459 +INDEX GOES BRRR: 157 X: 9.83984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.36719 +INDEX GOES BRRR: 1018 X: -0.349609 +INDEX GOES BRRR: 917 X: -6.65234 +INDEX GOES BRRR: 123 X: 7.72461 +INDEX GOES BRRR: 44 X: 2.77148 +INDEX GOES BRRR: 272 X: 17.0381 +INDEX GOES BRRR: 226 X: 14.1611 +INDEX GOES BRRR: 1017 X: -0.421875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.8916 +INDEX GOES BRRR: 231 X: 14.4541 +INDEX GOES BRRR: 130 X: 8.12598 +INDEX GOES BRRR: 129 X: 8.12207 +INDEX GOES BRRR: 80 X: 5.02246 +INDEX GOES BRRR: 135 X: 8.48535 +INDEX GOES BRRR: 339 X: 21.1904 +INDEX GOES BRRR: 1005 X: -1.18066 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.333 +INDEX GOES BRRR: 135 X: 8.45312 +INDEX GOES BRRR: 994 X: -1.87402 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 43 X: 2.72363 +INDEX GOES BRRR: 272 X: 17.0186 +INDEX GOES BRRR: 92 X: 5.78027 +INDEX GOES BRRR: 933 X: -5.66016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 922 X: -6.31641 +INDEX GOES BRRR: 117 X: 7.31445 +INDEX GOES BRRR: 359 X: 22.4463 +INDEX GOES BRRR: 177 X: 11.0947 +INDEX GOES BRRR: 140 X: 8.80176 +INDEX GOES BRRR: 334 X: 20.8799 +INDEX GOES BRRR: 214 X: 13.3926 +INDEX GOES BRRR: 266 X: 16.627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3193 +INDEX GOES BRRR: 128 X: 8.03809 +INDEX GOES BRRR: 406 X: 25.4033 +INDEX GOES BRRR: 1003 X: -1.2959 +INDEX GOES BRRR: 31 X: 1.97949 +INDEX GOES BRRR: 118 X: 7.39648 +INDEX GOES BRRR: 345 X: 21.583 +INDEX GOES BRRR: 388 X: 24.2705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.2324 +INDEX GOES BRRR: 131 X: 8.23926 +INDEX GOES BRRR: 97 X: 6.08984 +INDEX GOES BRRR: 324 X: 20.2568 +INDEX GOES BRRR: 931 X: -5.79785 +INDEX GOES BRRR: 991 X: -2.0166 +INDEX GOES BRRR: 978 X: -2.86133 +INDEX GOES BRRR: 142 X: 8.92871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.163086 +INDEX GOES BRRR: 16 X: 1.03418 +INDEX GOES BRRR: 213 X: 13.3506 +INDEX GOES BRRR: 348 X: 21.7578 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 400 X: 25.0596 +INDEX GOES BRRR: 271 X: 16.9434 +INDEX GOES BRRR: 271 X: 16.9453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5107 +INDEX GOES BRRR: 69 X: 4.31445 +INDEX GOES BRRR: 482 X: 30.1758 +INDEX GOES BRRR: 309 X: 19.3379 +INDEX GOES BRRR: 78 X: 4.88477 +INDEX GOES BRRR: 217 X: 13.6055 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 16 X: 1.0498 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.96191 +INDEX GOES BRRR: 152 X: 9.56055 +INDEX GOES BRRR: 116 X: 7.25684 +INDEX GOES BRRR: 260 X: 16.2637 +INDEX GOES BRRR: 9 X: 0.578125 +INDEX GOES BRRR: 198 X: 12.4287 +INDEX GOES BRRR: 148 X: 9.27051 +INDEX GOES BRRR: 204 X: 12.7695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.69922 +INDEX GOES BRRR: 267 X: 16.6875 +INDEX GOES BRRR: 271 X: 16.9697 +INDEX GOES BRRR: 174 X: 10.916 +INDEX GOES BRRR: 91 X: 5.6875 +INDEX GOES BRRR: 180 X: 11.252 +INDEX GOES BRRR: 181 X: 11.335 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9434 +INDEX GOES BRRR: 291 X: 18.248 +INDEX GOES BRRR: 199 X: 12.4541 +INDEX GOES BRRR: 1014 X: -0.599609 +INDEX GOES BRRR: 229 X: 14.3545 +INDEX GOES BRRR: 236 X: 14.7832 +INDEX GOES BRRR: 489 X: 30.5732 +INDEX GOES BRRR: 275 X: 17.2422 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 951 X: -4.54785 +INDEX GOES BRRR: 277 X: 17.373 +INDEX GOES BRRR: 73 X: 4.58398 +INDEX GOES BRRR: 192 X: 12.0156 +INDEX GOES BRRR: 94 X: 5.93457 +INDEX GOES BRRR: 857 X: -10.4082 +INDEX GOES BRRR: 117 X: 7.36621 +INDEX GOES BRRR: 382 X: 23.9131 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 203 X: 12.7393 +INDEX GOES BRRR: 188 X: 11.7842 +INDEX GOES BRRR: 858 X: -10.3564 +INDEX GOES BRRR: 151 X: 9.46484 +INDEX GOES BRRR: 64 X: 4.02051 +INDEX GOES BRRR: 420 X: 26.3076 +INDEX GOES BRRR: 4 X: 0.253906 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.32715 +INDEX GOES BRRR: 93 X: 5.83594 +INDEX GOES BRRR: 317 X: 19.8652 +INDEX GOES BRRR: 138 X: 8.66309 +INDEX GOES BRRR: 242 X: 15.1416 +INDEX GOES BRRR: 81 X: 5.10449 +INDEX GOES BRRR: 81 X: 5.10449 +INDEX GOES BRRR: 992 X: -1.94238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2803 +INDEX GOES BRRR: 179 X: 11.2178 +INDEX GOES BRRR: 17 X: 1.07422 +INDEX GOES BRRR: 153 X: 9.58301 +INDEX GOES BRRR: 422 X: 26.3916 +INDEX GOES BRRR: 98 X: 6.13574 +INDEX GOES BRRR: 261 X: 16.3574 +INDEX GOES BRRR: 946 X: -4.85742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.11719 +INDEX GOES BRRR: 121 X: 7.58496 +INDEX GOES BRRR: 295 X: 18.4697 +INDEX GOES BRRR: 475 X: 29.7373 +INDEX GOES BRRR: 107 X: 6.69238 +INDEX GOES BRRR: 6 X: 0.416992 +INDEX GOES BRRR: 296 X: 18.5127 +INDEX GOES BRRR: 232 X: 14.5127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.999 +INDEX GOES BRRR: 29 X: 1.83398 +INDEX GOES BRRR: 821 X: -12.6523 +INDEX GOES BRRR: 204 X: 12.7949 +INDEX GOES BRRR: 187 X: 11.6924 +INDEX GOES BRRR: 111 X: 6.94922 +INDEX GOES BRRR: 24 X: 1.54297 +INDEX GOES BRRR: 211 X: 13.2314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 421 X: 26.3291 +INDEX GOES BRRR: 133 X: 8.31738 +INDEX GOES BRRR: 147 X: 9.18848 +INDEX GOES BRRR: 90 X: 5.65234 +INDEX GOES BRRR: 270 X: 16.9307 +INDEX GOES BRRR: 325 X: 20.334 +INDEX GOES BRRR: 309 X: 19.3213 +INDEX GOES BRRR: 123 X: 7.70508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 371 X: 23.2148 +INDEX GOES BRRR: 199 X: 12.4883 +INDEX GOES BRRR: 174 X: 10.8975 +INDEX GOES BRRR: 965 X: -3.64355 +INDEX GOES BRRR: 151 X: 9.4502 +INDEX GOES BRRR: 207 X: 12.9854 +INDEX GOES BRRR: 234 X: 14.665 +INDEX GOES BRRR: 142 X: 8.88379 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 42 X: 2.66309 +INDEX GOES BRRR: 989 X: -2.18652 +INDEX GOES BRRR: 216 X: 13.5225 +INDEX GOES BRRR: 271 X: 16.9932 +INDEX GOES BRRR: 154 X: 9.63281 +INDEX GOES BRRR: 267 X: 16.7266 +INDEX GOES BRRR: 164 X: 10.3066 +INDEX GOES BRRR: 176 X: 11.0225 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 443 X: 27.7344 +INDEX GOES BRRR: 955 X: -4.26855 +INDEX GOES BRRR: 416 X: 26.0332 +INDEX GOES BRRR: 147 X: 9.2041 +INDEX GOES BRRR: 991 X: -2.00977 +INDEX GOES BRRR: 59 X: 3.70605 +INDEX GOES BRRR: 126 X: 7.91406 +INDEX GOES BRRR: 390 X: 24.3809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.91992 +INDEX GOES BRRR: 167 X: 10.4453 +INDEX GOES BRRR: 710 X: -19.5684 +INDEX GOES BRRR: 203 X: 12.7334 +INDEX GOES BRRR: 231 X: 14.4434 +INDEX GOES BRRR: 164 X: 10.2979 +INDEX GOES BRRR: 1004 X: -1.24805 +INDEX GOES BRRR: 967 X: -3.54395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 4 X: 0.279297 +INDEX GOES BRRR: 145 X: 9.10547 +INDEX GOES BRRR: 103 X: 6.44336 +INDEX GOES BRRR: 16 X: 1.05078 +INDEX GOES BRRR: 1022 X: -0.0888672 +INDEX GOES BRRR: 169 X: 10.5947 +INDEX GOES BRRR: 21 X: 1.32422 +INDEX GOES BRRR: 143 X: 8.95703 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 480 X: 30.002 +INDEX GOES BRRR: 134 X: 8.41016 +INDEX GOES BRRR: 52 X: 3.29883 +INDEX GOES BRRR: 131 X: 8.24121 +INDEX GOES BRRR: 60 X: 3.75098 +INDEX GOES BRRR: 8 X: 0.544922 +INDEX GOES BRRR: 310 X: 19.3799 +INDEX GOES BRRR: 142 X: 8.91113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 705 X: -19.8779 +INDEX GOES BRRR: 236 X: 14.7725 +INDEX GOES BRRR: 780 X: -15.2266 +INDEX GOES BRRR: 920 X: -6.48047 +INDEX GOES BRRR: 1009 X: -0.905273 +INDEX GOES BRRR: 191 X: 11.959 +INDEX GOES BRRR: 927 X: -6.02344 +INDEX GOES BRRR: 153 X: 9.58887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 320 X: 20.0312 +INDEX GOES BRRR: 952 X: -4.44336 +INDEX GOES BRRR: 256 X: 16.0303 +INDEX GOES BRRR: 171 X: 10.7285 +INDEX GOES BRRR: 899 X: -7.80664 +INDEX GOES BRRR: 44 X: 2.80273 +INDEX GOES BRRR: 222 X: 13.9326 +INDEX GOES BRRR: 365 X: 22.8301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.5811 +INDEX GOES BRRR: 374 X: 23.3779 +INDEX GOES BRRR: 54 X: 3.40723 +INDEX GOES BRRR: 342 X: 21.3896 +INDEX GOES BRRR: 901 X: -7.67871 +INDEX GOES BRRR: 107 X: 6.7002 +INDEX GOES BRRR: 284 X: 17.751 +INDEX GOES BRRR: 367 X: 22.9395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.9834 +INDEX GOES BRRR: 937 X: -5.41699 +INDEX GOES BRRR: 239 X: 14.9941 +INDEX GOES BRRR: 178 X: 11.1367 +INDEX GOES BRRR: 14 X: 0.901367 +INDEX GOES BRRR: 481 X: 30.1113 +INDEX GOES BRRR: 1015 X: -0.522461 +INDEX GOES BRRR: 954 X: -4.36523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 193 X: 12.1025 +INDEX GOES BRRR: 196 X: 12.2822 +INDEX GOES BRRR: 322 X: 20.1729 +INDEX GOES BRRR: 141 X: 8.8623 +INDEX GOES BRRR: 319 X: 19.9697 +INDEX GOES BRRR: 47 X: 2.94238 +INDEX GOES BRRR: 276 X: 17.2656 +INDEX GOES BRRR: 967 X: -3.54785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 361 X: 22.5791 +INDEX GOES BRRR: 55 X: 3.49805 +INDEX GOES BRRR: 178 X: 11.1826 +INDEX GOES BRRR: 234 X: 14.6416 +INDEX GOES BRRR: 950 X: -4.57715 +INDEX GOES BRRR: 235 X: 14.7041 +INDEX GOES BRRR: 994 X: -1.86133 +INDEX GOES BRRR: 875 X: -9.30273 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 109 X: 6.82324 +INDEX GOES BRRR: 67 X: 4.24512 +INDEX GOES BRRR: 249 X: 15.6172 +INDEX GOES BRRR: 95 X: 5.97754 +INDEX GOES BRRR: 216 X: 13.5547 +INDEX GOES BRRR: 131 X: 8.24609 +INDEX GOES BRRR: 942 X: -5.10059 +INDEX GOES BRRR: 296 X: 18.5518 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9629 +INDEX GOES BRRR: 145 X: 9.0918 +INDEX GOES BRRR: 927 X: -6.01953 +INDEX GOES BRRR: 305 X: 19.1055 +INDEX GOES BRRR: 95 X: 5.98047 +INDEX GOES BRRR: 492 X: 30.7617 +INDEX GOES BRRR: 130 X: 8.14648 +INDEX GOES BRRR: 92 X: 5.80469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3496 +INDEX GOES BRRR: 772 X: -15.7363 +INDEX GOES BRRR: 209 X: 13.0645 +INDEX GOES BRRR: 459 X: 28.7393 +INDEX GOES BRRR: 981 X: -2.64258 +INDEX GOES BRRR: 284 X: 17.7734 +INDEX GOES BRRR: 1002 X: -1.31738 +INDEX GOES BRRR: 198 X: 12.375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.4971 +INDEX GOES BRRR: 102 X: 6.39941 +INDEX GOES BRRR: 981 X: -2.65527 +INDEX GOES BRRR: 218 X: 13.6592 +INDEX GOES BRRR: 203 X: 12.7158 +INDEX GOES BRRR: 1023 X: -0.0341797 +INDEX GOES BRRR: 242 X: 15.1289 +INDEX GOES BRRR: 468 X: 29.292 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.9209 +INDEX GOES BRRR: 182 X: 11.4307 +INDEX GOES BRRR: 187 X: 11.7002 +INDEX GOES BRRR: 299 X: 18.6943 +INDEX GOES BRRR: 434 X: 27.1699 +INDEX GOES BRRR: 42 X: 2.62988 +INDEX GOES BRRR: 153 X: 9.59961 +INDEX GOES BRRR: 970 X: -3.33105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.19824 +INDEX GOES BRRR: 191 X: 11.9824 +INDEX GOES BRRR: 182 X: 11.4053 +INDEX GOES BRRR: 253 X: 15.8574 +INDEX GOES BRRR: 277 X: 17.3359 +INDEX GOES BRRR: 202 X: 12.6348 +INDEX GOES BRRR: 231 X: 14.4629 +INDEX GOES BRRR: 5 X: 0.358398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.4668 +INDEX GOES BRRR: 4 X: 0.270508 +INDEX GOES BRRR: 337 X: 21.0977 +INDEX GOES BRRR: 1015 X: -0.545898 +INDEX GOES BRRR: 314 X: 19.627 +INDEX GOES BRRR: 167 X: 10.4541 +INDEX GOES BRRR: 944 X: -4.99805 +INDEX GOES BRRR: 212 X: 13.2812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 344 X: 21.5596 +INDEX GOES BRRR: 246 X: 15.4072 +INDEX GOES BRRR: 177 X: 11.1094 +INDEX GOES BRRR: 976 X: -2.9668 +INDEX GOES BRRR: 243 X: 15.1982 +INDEX GOES BRRR: 403 X: 25.2012 +INDEX GOES BRRR: 21 X: 1.33008 +INDEX GOES BRRR: 161 X: 10.1162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.53613 +INDEX GOES BRRR: 881 X: -8.91992 +INDEX GOES BRRR: 331 X: 20.749 +INDEX GOES BRRR: 858 X: -10.3584 +INDEX GOES BRRR: 288 X: 18.0225 +INDEX GOES BRRR: 362 X: 22.6738 +INDEX GOES BRRR: 70 X: 4.38867 +INDEX GOES BRRR: 166 X: 10.3896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1016 X: -0.462891 +INDEX GOES BRRR: 59 X: 3.72363 +INDEX GOES BRRR: 239 X: 14.9443 +INDEX GOES BRRR: 111 X: 6.97266 +INDEX GOES BRRR: 24 X: 1.50586 +INDEX GOES BRRR: 27 X: 1.72266 +INDEX GOES BRRR: 277 X: 17.3447 +INDEX GOES BRRR: 57 X: 3.58496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 148 X: 9.29688 +INDEX GOES BRRR: 1012 X: -0.69043 +INDEX GOES BRRR: 131 X: 8.2373 +INDEX GOES BRRR: 248 X: 15.5 +INDEX GOES BRRR: 76 X: 4.78711 +INDEX GOES BRRR: 121 X: 7.62402 +INDEX GOES BRRR: 79 X: 4.94043 +INDEX GOES BRRR: 324 X: 20.2793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 369 X: 23.0869 +INDEX GOES BRRR: 136 X: 8.52734 +INDEX GOES BRRR: 387 X: 24.2256 +INDEX GOES BRRR: 59 X: 3.74707 +INDEX GOES BRRR: 31 X: 1.94629 +INDEX GOES BRRR: 254 X: 15.8789 +INDEX GOES BRRR: 1015 X: -0.538086 +INDEX GOES BRRR: 153 X: 9.62109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.19824 +INDEX GOES BRRR: 66 X: 4.16797 +INDEX GOES BRRR: 152 X: 9.52539 +INDEX GOES BRRR: 733 X: -18.1396 +INDEX GOES BRRR: 990 X: -2.11133 +INDEX GOES BRRR: 48 X: 3.04102 +INDEX GOES BRRR: 1014 X: -0.589844 +INDEX GOES BRRR: 271 X: 16.9727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.50098 +INDEX GOES BRRR: 348 X: 21.7979 +INDEX GOES BRRR: 23 X: 1.4668 +INDEX GOES BRRR: 142 X: 8.93066 +INDEX GOES BRRR: 230 X: 14.3828 +INDEX GOES BRRR: 187 X: 11.7383 +INDEX GOES BRRR: 125 X: 7.85742 +INDEX GOES BRRR: 800 X: -13.9678 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 134 X: 8.3916 +INDEX GOES BRRR: 211 X: 13.2197 +INDEX GOES BRRR: 56 X: 3.55859 +INDEX GOES BRRR: 228 X: 14.2666 +INDEX GOES BRRR: 163 X: 10.248 +INDEX GOES BRRR: 200 X: 12.501 +INDEX GOES BRRR: 101 X: 6.3125 +INDEX GOES BRRR: 125 X: 7.86719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 216 X: 13.5303 +INDEX GOES BRRR: 990 X: -2.07129 +INDEX GOES BRRR: 137 X: 8.6123 +INDEX GOES BRRR: 202 X: 12.6328 +INDEX GOES BRRR: 208 X: 13.0293 +INDEX GOES BRRR: 979 X: -2.7666 +INDEX GOES BRRR: 131 X: 8.20703 +INDEX GOES BRRR: 129 X: 8.09082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.2207 +INDEX GOES BRRR: 263 X: 16.4883 +INDEX GOES BRRR: 207 X: 12.9961 +INDEX GOES BRRR: 358 X: 22.4219 +INDEX GOES BRRR: 170 X: 10.6445 +INDEX GOES BRRR: 89 X: 5.60254 +INDEX GOES BRRR: 91 X: 5.72949 +INDEX GOES BRRR: 68 X: 4.30762 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 385 X: 24.0752 +INDEX GOES BRRR: 30 X: 1.93359 +INDEX GOES BRRR: 449 X: 28.0742 +INDEX GOES BRRR: 93 X: 5.86328 +INDEX GOES BRRR: 148 X: 9.25488 +INDEX GOES BRRR: 401 X: 25.0674 +INDEX GOES BRRR: 958 X: -4.12305 +INDEX GOES BRRR: 227 X: 14.1992 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.0889 +INDEX GOES BRRR: 994 X: -1.83691 +INDEX GOES BRRR: 245 X: 15.3721 +INDEX GOES BRRR: 249 X: 15.5654 +INDEX GOES BRRR: 142 X: 8.92383 +INDEX GOES BRRR: 988 X: -2.21973 +INDEX GOES BRRR: 55 X: 3.4668 +INDEX GOES BRRR: 109 X: 6.87012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4717 +INDEX GOES BRRR: 114 X: 7.17285 +INDEX GOES BRRR: 292 X: 18.2959 +INDEX GOES BRRR: 211 X: 13.2246 +INDEX GOES BRRR: 963 X: -3.77344 +INDEX GOES BRRR: 187 X: 11.6953 +INDEX GOES BRRR: 291 X: 18.2188 +INDEX GOES BRRR: 25 X: 1.60938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0254 +INDEX GOES BRRR: 321 X: 20.1123 +INDEX GOES BRRR: 268 X: 16.8066 +INDEX GOES BRRR: 153 X: 9.5625 +INDEX GOES BRRR: 276 X: 17.252 +INDEX GOES BRRR: 904 X: -7.46094 +INDEX GOES BRRR: 936 X: -5.47949 +INDEX GOES BRRR: 281 X: 17.5645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.46777 +INDEX GOES BRRR: 362 X: 22.6855 +INDEX GOES BRRR: 119 X: 7.49902 +INDEX GOES BRRR: 142 X: 8.91406 +INDEX GOES BRRR: 978 X: -2.85059 +INDEX GOES BRRR: 227 X: 14.2002 +INDEX GOES BRRR: 186 X: 11.6699 +INDEX GOES BRRR: 288 X: 18.0215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 349 X: 21.8535 +INDEX GOES BRRR: 344 X: 21.5605 +INDEX GOES BRRR: 12 X: 0.773438 +INDEX GOES BRRR: 267 X: 16.7188 +INDEX GOES BRRR: 57 X: 3.59668 +INDEX GOES BRRR: 162 X: 10.166 +INDEX GOES BRRR: 401 X: 25.1064 +INDEX GOES BRRR: 389 X: 24.3457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.58398 +INDEX GOES BRRR: 237 X: 14.8389 +INDEX GOES BRRR: 95 X: 5.99023 +INDEX GOES BRRR: 202 X: 12.6689 +INDEX GOES BRRR: 985 X: -2.39258 +INDEX GOES BRRR: 285 X: 17.8477 +INDEX GOES BRRR: 949 X: -4.66992 +INDEX GOES BRRR: 167 X: 10.4824 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 316 X: 19.8076 +INDEX GOES BRRR: 900 X: -7.74219 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 73 X: 4.5625 +INDEX GOES BRRR: 8 X: 0.529297 +INDEX GOES BRRR: 381 X: 23.8467 +INDEX GOES BRRR: 207 X: 12.9922 +INDEX GOES BRRR: 807 X: -13.502 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 985 X: -2.42285 +INDEX GOES BRRR: 102 X: 6.38281 +INDEX GOES BRRR: 238 X: 14.8848 +INDEX GOES BRRR: 999 X: -1.50781 +INDEX GOES BRRR: 813 X: -13.1553 +INDEX GOES BRRR: 153 X: 9.59863 +INDEX GOES BRRR: 106 X: 6.67285 +INDEX GOES BRRR: 272 X: 17.0195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 306 X: 19.1699 +INDEX GOES BRRR: 479 X: 29.9697 +INDEX GOES BRRR: 315 X: 19.7158 +INDEX GOES BRRR: 338 X: 21.1445 +INDEX GOES BRRR: 207 X: 12.9785 +INDEX GOES BRRR: 369 X: 23.0762 +INDEX GOES BRRR: 102 X: 6.39648 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.2695 +INDEX GOES BRRR: 339 X: 21.1914 +INDEX GOES BRRR: 282 X: 17.6699 +INDEX GOES BRRR: 982 X: -2.58496 +INDEX GOES BRRR: 242 X: 15.1357 +INDEX GOES BRRR: 171 X: 10.7119 +INDEX GOES BRRR: 327 X: 20.4541 +INDEX GOES BRRR: 146 X: 9.16211 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 426 X: 26.6426 +INDEX GOES BRRR: 84 X: 5.26758 +INDEX GOES BRRR: 200 X: 12.542 +INDEX GOES BRRR: 167 X: 10.4512 +INDEX GOES BRRR: 124 X: 7.77832 +INDEX GOES BRRR: 45 X: 2.8125 +INDEX GOES BRRR: 208 X: 13.0293 +INDEX GOES BRRR: 25 X: 1.58105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1015 X: -0.506836 +INDEX GOES BRRR: 63 X: 3.98242 +INDEX GOES BRRR: 45 X: 2.81738 +INDEX GOES BRRR: 176 X: 11.04 +INDEX GOES BRRR: 324 X: 20.2812 +INDEX GOES BRRR: 324 X: 20.2793 +INDEX GOES BRRR: 17 X: 1.08008 +INDEX GOES BRRR: 948 X: -4.69727 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 248 X: 15.5195 +INDEX GOES BRRR: 1023 X: -0.0507812 +INDEX GOES BRRR: 261 X: 16.3633 +INDEX GOES BRRR: 139 X: 8.73926 +INDEX GOES BRRR: 35 X: 2.22949 +INDEX GOES BRRR: 893 X: -8.17871 +INDEX GOES BRRR: 940 X: -5.21191 +INDEX GOES BRRR: 756 X: -16.7275 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.51855 +INDEX GOES BRRR: 162 X: 10.1631 +INDEX GOES BRRR: 983 X: -2.54004 +INDEX GOES BRRR: 350 X: 21.9199 +INDEX GOES BRRR: 99 X: 6.19922 +INDEX GOES BRRR: 863 X: -10.0059 +INDEX GOES BRRR: 184 X: 11.5029 +INDEX GOES BRRR: 333 X: 20.8672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.07129 +INDEX GOES BRRR: 277 X: 17.3184 +INDEX GOES BRRR: 43 X: 2.69043 +INDEX GOES BRRR: 18 X: 1.16016 +INDEX GOES BRRR: 120 X: 7.54785 +INDEX GOES BRRR: 57 X: 3.5625 +INDEX GOES BRRR: 327 X: 20.498 +INDEX GOES BRRR: 235 X: 14.7295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.33594 +INDEX GOES BRRR: 16 X: 1.01074 +INDEX GOES BRRR: 34 X: 2.16016 +INDEX GOES BRRR: 143 X: 8.94043 +INDEX GOES BRRR: 1011 X: -0.788086 +INDEX GOES BRRR: 231 X: 14.4902 +INDEX GOES BRRR: 216 X: 13.5469 +INDEX GOES BRRR: 73 X: 4.59961 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.50488 +INDEX GOES BRRR: 366 X: 22.9053 +INDEX GOES BRRR: 111 X: 6.97559 +INDEX GOES BRRR: 135 X: 8.45996 +INDEX GOES BRRR: 223 X: 13.9912 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 260 X: 16.2676 +INDEX GOES BRRR: 30 X: 1.91016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3428 +INDEX GOES BRRR: 353 X: 22.1016 +INDEX GOES BRRR: 272 X: 17.0352 +INDEX GOES BRRR: 1007 X: -1.0625 +INDEX GOES BRRR: 398 X: 24.9219 +INDEX GOES BRRR: 334 X: 20.9297 +INDEX GOES BRRR: 180 X: 11.3008 +INDEX GOES BRRR: 925 X: -6.12598 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 296 X: 18.5459 +INDEX GOES BRRR: 28 X: 1.79492 +INDEX GOES BRRR: 416 X: 26.0361 +INDEX GOES BRRR: 372 X: 23.2783 +INDEX GOES BRRR: 195 X: 12.2119 +INDEX GOES BRRR: 332 X: 20.7607 +INDEX GOES BRRR: 271 X: 16.9453 +INDEX GOES BRRR: 136 X: 8.53125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 253 X: 15.873 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 130 X: 8.16406 +INDEX GOES BRRR: 1011 X: -0.789062 +INDEX GOES BRRR: 329 X: 20.582 +INDEX GOES BRRR: 22 X: 1.43359 +INDEX GOES BRRR: 421 X: 26.3145 +INDEX GOES BRRR: 91 X: 5.6875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.58398 +INDEX GOES BRRR: 211 X: 13.2012 +INDEX GOES BRRR: 62 X: 3.92578 +INDEX GOES BRRR: 381 X: 23.8672 +INDEX GOES BRRR: 250 X: 15.6436 +INDEX GOES BRRR: 1021 X: -0.177734 +INDEX GOES BRRR: 156 X: 9.77734 +INDEX GOES BRRR: 951 X: -4.50391 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1416 +INDEX GOES BRRR: 246 X: 15.4258 +INDEX GOES BRRR: 164 X: 10.2881 +INDEX GOES BRRR: 347 X: 21.6885 +INDEX GOES BRRR: 897 X: -7.9082 +INDEX GOES BRRR: 34 X: 2.14062 +INDEX GOES BRRR: 103 X: 6.46582 +INDEX GOES BRRR: 211 X: 13.249 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 920 X: -6.47754 +INDEX GOES BRRR: 427 X: 26.7197 +INDEX GOES BRRR: 406 X: 25.4062 +INDEX GOES BRRR: 1000 X: -1.45703 +INDEX GOES BRRR: 95 X: 5.99609 +INDEX GOES BRRR: 190 X: 11.9092 +INDEX GOES BRRR: 297 X: 18.623 +INDEX GOES BRRR: 8 X: 0.552734 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 269 X: 16.8291 +INDEX GOES BRRR: 425 X: 26.6211 +INDEX GOES BRRR: 122 X: 7.65918 +INDEX GOES BRRR: 46 X: 2.89258 +INDEX GOES BRRR: 27 X: 1.72852 +INDEX GOES BRRR: 920 X: -6.48242 +INDEX GOES BRRR: 19 X: 1.24219 +INDEX GOES BRRR: 312 X: 19.5322 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 892 X: -8.24512 +INDEX GOES BRRR: 104 X: 6.52637 +INDEX GOES BRRR: 113 X: 7.12207 +INDEX GOES BRRR: 343 X: 21.4551 +INDEX GOES BRRR: 262 X: 16.4014 +INDEX GOES BRRR: 128 X: 8.05176 +INDEX GOES BRRR: 241 X: 15.0762 +INDEX GOES BRRR: 993 X: -1.92773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.543 +INDEX GOES BRRR: 285 X: 17.8125 +INDEX GOES BRRR: 257 X: 16.1104 +INDEX GOES BRRR: 3 X: 0.228516 +INDEX GOES BRRR: 477 X: 29.8525 +INDEX GOES BRRR: 222 X: 13.9268 +INDEX GOES BRRR: 997 X: -1.63867 +INDEX GOES BRRR: 182 X: 11.3936 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.5928 +INDEX GOES BRRR: 209 X: 13.0645 +INDEX GOES BRRR: 310 X: 19.4062 +INDEX GOES BRRR: 162 X: 10.1758 +INDEX GOES BRRR: 374 X: 23.416 +INDEX GOES BRRR: 276 X: 17.2676 +INDEX GOES BRRR: 209 X: 13.0654 +INDEX GOES BRRR: 168 X: 10.5527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 398 X: 24.8906 +INDEX GOES BRRR: 56 X: 3.55664 +INDEX GOES BRRR: 52 X: 3.27051 +INDEX GOES BRRR: 757 X: -16.6455 +INDEX GOES BRRR: 191 X: 11.9414 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 349 X: 21.8574 +INDEX GOES BRRR: 347 X: 21.6924 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6465 +INDEX GOES BRRR: 947 X: -4.79102 +INDEX GOES BRRR: 96 X: 6.0332 +INDEX GOES BRRR: 170 X: 10.6416 +INDEX GOES BRRR: 230 X: 14.4141 +INDEX GOES BRRR: 384 X: 24.0137 +INDEX GOES BRRR: 1002 X: -1.37207 +INDEX GOES BRRR: 956 X: -4.24316 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 970 X: -3.37402 +INDEX GOES BRRR: 205 X: 12.835 +INDEX GOES BRRR: 165 X: 10.3438 +INDEX GOES BRRR: 240 X: 15.042 +INDEX GOES BRRR: 79 X: 4.94922 +INDEX GOES BRRR: 894 X: -8.07324 +INDEX GOES BRRR: 344 X: 21.5107 +INDEX GOES BRRR: 214 X: 13.4189 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5771 +INDEX GOES BRRR: 355 X: 22.2168 +INDEX GOES BRRR: 295 X: 18.4443 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 895 X: -8.0166 +INDEX GOES BRRR: 51 X: 3.24023 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 924 X: -6.19922 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5186 +INDEX GOES BRRR: 270 X: 16.9062 +INDEX GOES BRRR: 128 X: 8.04785 +INDEX GOES BRRR: 870 X: -9.56543 +INDEX GOES BRRR: 92 X: 5.76562 +INDEX GOES BRRR: 73 X: 4.61621 +INDEX GOES BRRR: 940 X: -5.21875 +INDEX GOES BRRR: 983 X: -2.52148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.96 +INDEX GOES BRRR: 343 X: 21.4785 +INDEX GOES BRRR: 1017 X: -0.387695 +INDEX GOES BRRR: 149 X: 9.32227 +INDEX GOES BRRR: 149 X: 9.32617 +INDEX GOES BRRR: 173 X: 10.8535 +INDEX GOES BRRR: 1021 X: -0.18457 +INDEX GOES BRRR: 1022 X: -0.0986328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 993 X: -1.89258 +INDEX GOES BRRR: 149 X: 9.36523 +INDEX GOES BRRR: 109 X: 6.85352 +INDEX GOES BRRR: 190 X: 11.8779 +INDEX GOES BRRR: 80 X: 5.01562 +INDEX GOES BRRR: 4 X: 0.251953 +INDEX GOES BRRR: 1003 X: -1.30176 +INDEX GOES BRRR: 230 X: 14.4307 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 368 X: 23.0352 +INDEX GOES BRRR: 107 X: 6.74219 +INDEX GOES BRRR: 180 X: 11.2939 +INDEX GOES BRRR: 111 X: 6.94238 +INDEX GOES BRRR: 963 X: -3.78906 +INDEX GOES BRRR: 125 X: 7.81738 +INDEX GOES BRRR: 30 X: 1.91309 +INDEX GOES BRRR: 231 X: 14.4551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0908 +INDEX GOES BRRR: 92 X: 5.77148 +INDEX GOES BRRR: 205 X: 12.8457 +INDEX GOES BRRR: 141 X: 8.86523 +INDEX GOES BRRR: 1007 X: -1.02148 +INDEX GOES BRRR: 126 X: 7.8916 +INDEX GOES BRRR: 445 X: 27.835 +INDEX GOES BRRR: 31 X: 1.94336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 972 X: -3.21191 +INDEX GOES BRRR: 148 X: 9.30762 +INDEX GOES BRRR: 345 X: 21.5918 +INDEX GOES BRRR: 282 X: 17.667 +INDEX GOES BRRR: 26 X: 1.63379 +INDEX GOES BRRR: 30 X: 1.90234 +INDEX GOES BRRR: 915 X: -6.79297 +INDEX GOES BRRR: 914 X: -6.875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.29199 +INDEX GOES BRRR: 222 X: 13.9043 +INDEX GOES BRRR: 343 X: 21.4395 +INDEX GOES BRRR: 80 X: 5.03223 +INDEX GOES BRRR: 5 X: 0.357422 +INDEX GOES BRRR: 217 X: 13.6123 +INDEX GOES BRRR: 156 X: 9.79688 +INDEX GOES BRRR: 184 X: 11.5078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 413 X: 25.8252 +INDEX GOES BRRR: 190 X: 11.917 +INDEX GOES BRRR: 796 X: -14.2168 +INDEX GOES BRRR: 241 X: 15.1143 +INDEX GOES BRRR: 182 X: 11.4131 +INDEX GOES BRRR: 287 X: 17.9883 +INDEX GOES BRRR: 427 X: 26.7441 +INDEX GOES BRRR: 57 X: 3.61523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.7686 +INDEX GOES BRRR: 168 X: 10.5449 +INDEX GOES BRRR: 52 X: 3.28613 +INDEX GOES BRRR: 268 X: 16.7891 +INDEX GOES BRRR: 30 X: 1.88477 +INDEX GOES BRRR: 1002 X: -1.34277 +INDEX GOES BRRR: 1020 X: -0.25 +INDEX GOES BRRR: 327 X: 20.458 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7031 +INDEX GOES BRRR: 211 X: 13.1895 +INDEX GOES BRRR: 152 X: 9.5332 +INDEX GOES BRRR: 44 X: 2.80371 +INDEX GOES BRRR: 131 X: 8.19727 +INDEX GOES BRRR: 126 X: 7.89258 +INDEX GOES BRRR: 20 X: 1.25195 +INDEX GOES BRRR: 254 X: 15.9297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 79 X: 4.9375 +INDEX GOES BRRR: 186 X: 11.625 +INDEX GOES BRRR: 286 X: 17.876 +INDEX GOES BRRR: 285 X: 17.8496 +INDEX GOES BRRR: 22 X: 1.43164 +INDEX GOES BRRR: 62 X: 3.91406 +INDEX GOES BRRR: 260 X: 16.252 +INDEX GOES BRRR: 45 X: 2.87402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 9 X: 0.574219 +INDEX GOES BRRR: 199 X: 12.499 +INDEX GOES BRRR: 190 X: 11.8779 +INDEX GOES BRRR: 216 X: 13.5537 +INDEX GOES BRRR: 471 X: 29.4922 +INDEX GOES BRRR: 87 X: 5.44141 +INDEX GOES BRRR: 296 X: 18.543 +INDEX GOES BRRR: 377 X: 23.6182 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.835 +INDEX GOES BRRR: 119 X: 7.49609 +INDEX GOES BRRR: 302 X: 18.9287 +INDEX GOES BRRR: 127 X: 7.97949 +INDEX GOES BRRR: 246 X: 15.3779 +INDEX GOES BRRR: 997 X: -1.63184 +INDEX GOES BRRR: 149 X: 9.33203 +INDEX GOES BRRR: 383 X: 23.9531 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1406 +INDEX GOES BRRR: 139 X: 8.73633 +INDEX GOES BRRR: 397 X: 24.8145 +INDEX GOES BRRR: 179 X: 11.1924 +INDEX GOES BRRR: 228 X: 14.2646 +INDEX GOES BRRR: 220 X: 13.7861 +INDEX GOES BRRR: 224 X: 14.0029 +INDEX GOES BRRR: 161 X: 10.123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 860 X: -10.2197 +INDEX GOES BRRR: 2 X: 0.130859 +INDEX GOES BRRR: 295 X: 18.4482 +INDEX GOES BRRR: 56 X: 3.54883 +INDEX GOES BRRR: 940 X: -5.21973 +INDEX GOES BRRR: 257 X: 16.0693 +INDEX GOES BRRR: 241 X: 15.0674 +INDEX GOES BRRR: 425 X: 26.6152 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6836 +INDEX GOES BRRR: 34 X: 2.17773 +INDEX GOES BRRR: 270 X: 16.8965 +INDEX GOES BRRR: 95 X: 5.95996 +INDEX GOES BRRR: 473 X: 29.6143 +INDEX GOES BRRR: 343 X: 21.4463 +INDEX GOES BRRR: 8 X: 0.557617 +INDEX GOES BRRR: 90 X: 5.68555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 154 X: 9.65137 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 119 X: 7.47461 +INDEX GOES BRRR: 88 X: 5.51855 +INDEX GOES BRRR: 179 X: 11.2451 +INDEX GOES BRRR: 967 X: -3.5498 +INDEX GOES BRRR: 294 X: 18.4316 +INDEX GOES BRRR: 397 X: 24.8125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 405 X: 25.3691 +INDEX GOES BRRR: 120 X: 7.53906 +INDEX GOES BRRR: 270 X: 16.9277 +INDEX GOES BRRR: 958 X: -4.06348 +INDEX GOES BRRR: 156 X: 9.77539 +INDEX GOES BRRR: 246 X: 15.4355 +INDEX GOES BRRR: 159 X: 9.98828 +INDEX GOES BRRR: 153 X: 9.60449 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 940 X: -5.24219 +INDEX GOES BRRR: 59 X: 3.7041 +INDEX GOES BRRR: 63 X: 3.97168 +INDEX GOES BRRR: 160 X: 10.0254 +INDEX GOES BRRR: 125 X: 7.83984 +INDEX GOES BRRR: 189 X: 11.8154 +INDEX GOES BRRR: 332 X: 20.7686 +INDEX GOES BRRR: 358 X: 22.417 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 852 X: -10.7373 +INDEX GOES BRRR: 202 X: 12.6377 +INDEX GOES BRRR: 368 X: 23.0371 +INDEX GOES BRRR: 137 X: 8.58105 +INDEX GOES BRRR: 179 X: 11.2246 +INDEX GOES BRRR: 947 X: -4.75293 +INDEX GOES BRRR: 131 X: 8.24121 +INDEX GOES BRRR: 304 X: 19.0078 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 307 X: 19.2217 +INDEX GOES BRRR: 50 X: 3.18164 +INDEX GOES BRRR: 272 X: 17.0127 +INDEX GOES BRRR: 16 X: 1.05859 +INDEX GOES BRRR: 244 X: 15.252 +INDEX GOES BRRR: 991 X: -2.03027 +INDEX GOES BRRR: 98 X: 6.17188 +INDEX GOES BRRR: 47 X: 2.95312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.35645 +INDEX GOES BRRR: 148 X: 9.27832 +INDEX GOES BRRR: 41 X: 2.59277 +INDEX GOES BRRR: 214 X: 13.4092 +INDEX GOES BRRR: 907 X: -7.30469 +INDEX GOES BRRR: 182 X: 11.4141 +INDEX GOES BRRR: 99 X: 6.24609 +INDEX GOES BRRR: 114 X: 7.12793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 265 X: 16.6025 +INDEX GOES BRRR: 149 X: 9.32715 +INDEX GOES BRRR: 326 X: 20.4277 +INDEX GOES BRRR: 108 X: 6.76758 +INDEX GOES BRRR: 23 X: 1.48828 +INDEX GOES BRRR: 244 X: 15.2705 +INDEX GOES BRRR: 71 X: 4.45215 +INDEX GOES BRRR: 217 X: 13.5879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 450 X: 28.1836 +INDEX GOES BRRR: 110 X: 6.90723 +INDEX GOES BRRR: 221 X: 13.8486 +INDEX GOES BRRR: 68 X: 4.25977 +INDEX GOES BRRR: 67 X: 4.23145 +INDEX GOES BRRR: 216 X: 13.5508 +INDEX GOES BRRR: 336 X: 21.0195 +INDEX GOES BRRR: 413 X: 25.8145 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 367 X: 22.9883 +INDEX GOES BRRR: 242 X: 15.1318 +INDEX GOES BRRR: 80 X: 5.0332 +INDEX GOES BRRR: 171 X: 10.6963 +INDEX GOES BRRR: 158 X: 9.92676 +INDEX GOES BRRR: 326 X: 20.4258 +INDEX GOES BRRR: 955 X: -4.27539 +INDEX GOES BRRR: 131 X: 8.20215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.082 +INDEX GOES BRRR: 262 X: 16.4111 +INDEX GOES BRRR: 129 X: 8.06445 +INDEX GOES BRRR: 340 X: 21.3037 +INDEX GOES BRRR: 129 X: 8.07715 +INDEX GOES BRRR: 218 X: 13.6592 +INDEX GOES BRRR: 473 X: 29.5977 +INDEX GOES BRRR: 149 X: 9.33301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.16699 +INDEX GOES BRRR: 945 X: -4.89453 +INDEX GOES BRRR: 113 X: 7.08887 +INDEX GOES BRRR: 46 X: 2.91211 +INDEX GOES BRRR: 424 X: 26.5527 +INDEX GOES BRRR: 266 X: 16.6865 +INDEX GOES BRRR: 145 X: 9.12012 +INDEX GOES BRRR: 40 X: 2.52148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 251 X: 15.7158 +INDEX GOES BRRR: 247 X: 15.4902 +INDEX GOES BRRR: 328 X: 20.5273 +INDEX GOES BRRR: 1000 X: -1.46777 +INDEX GOES BRRR: 277 X: 17.373 +INDEX GOES BRRR: 208 X: 13.0449 +INDEX GOES BRRR: 176 X: 11.0322 +INDEX GOES BRRR: 336 X: 21.0605 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 429 X: 26.8438 +INDEX GOES BRRR: 357 X: 22.3242 +INDEX GOES BRRR: 127 X: 7.97266 +INDEX GOES BRRR: 106 X: 6.68066 +INDEX GOES BRRR: 438 X: 27.4287 +INDEX GOES BRRR: 207 X: 12.9697 +INDEX GOES BRRR: 145 X: 9.08008 +INDEX GOES BRRR: 277 X: 17.332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.999 +INDEX GOES BRRR: 134 X: 8.4248 +INDEX GOES BRRR: 168 X: 10.5332 +INDEX GOES BRRR: 172 X: 10.7783 +INDEX GOES BRRR: 37 X: 2.34863 +INDEX GOES BRRR: 193 X: 12.0996 +INDEX GOES BRRR: 156 X: 9.79395 +INDEX GOES BRRR: 162 X: 10.1279 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.8145 +INDEX GOES BRRR: 299 X: 18.7256 +INDEX GOES BRRR: 948 X: -4.71777 +INDEX GOES BRRR: 295 X: 18.4609 +INDEX GOES BRRR: 1018 X: -0.318359 +INDEX GOES BRRR: 969 X: -3.37988 +INDEX GOES BRRR: 159 X: 9.94141 +INDEX GOES BRRR: 271 X: 16.9912 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6416 +INDEX GOES BRRR: 214 X: 13.417 +INDEX GOES BRRR: 92 X: 5.79297 +INDEX GOES BRRR: 845 X: -11.1465 +INDEX GOES BRRR: 145 X: 9.06445 +INDEX GOES BRRR: 246 X: 15.416 +INDEX GOES BRRR: 453 X: 28.3291 +INDEX GOES BRRR: 985 X: -2.40723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.585 +INDEX GOES BRRR: 247 X: 15.4746 +INDEX GOES BRRR: 214 X: 13.3809 +INDEX GOES BRRR: 211 X: 13.2334 +INDEX GOES BRRR: 803 X: -13.7949 +INDEX GOES BRRR: 50 X: 3.15332 +INDEX GOES BRRR: 982 X: -2.60254 +INDEX GOES BRRR: 323 X: 20.2256 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 347 X: 21.7031 +INDEX GOES BRRR: 242 X: 15.1865 +INDEX GOES BRRR: 167 X: 10.4482 +INDEX GOES BRRR: 215 X: 13.4756 +INDEX GOES BRRR: 378 X: 23.6582 +INDEX GOES BRRR: 239 X: 14.9414 +INDEX GOES BRRR: 63 X: 3.94141 +INDEX GOES BRRR: 338 X: 21.1836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 145 X: 9.06543 +INDEX GOES BRRR: 194 X: 12.165 +INDEX GOES BRRR: 341 X: 21.3418 +INDEX GOES BRRR: 135 X: 8.49316 +INDEX GOES BRRR: 224 X: 14.0352 +INDEX GOES BRRR: 238 X: 14.8838 +INDEX GOES BRRR: 146 X: 9.12891 +INDEX GOES BRRR: 377 X: 23.5693 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.667 +INDEX GOES BRRR: 389 X: 24.3223 +INDEX GOES BRRR: 114 X: 7.1543 +INDEX GOES BRRR: 123 X: 7.71777 +INDEX GOES BRRR: 138 X: 8.66895 +INDEX GOES BRRR: 408 X: 25.5469 +INDEX GOES BRRR: 189 X: 11.8584 +INDEX GOES BRRR: 114 X: 7.1582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1002 X: -1.34473 +INDEX GOES BRRR: 176 X: 11.0029 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 198 X: 12.4355 +INDEX GOES BRRR: 375 X: 23.4795 +INDEX GOES BRRR: 907 X: -7.25977 +INDEX GOES BRRR: 337 X: 21.082 +INDEX GOES BRRR: 300 X: 18.752 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 447 X: 27.9424 +INDEX GOES BRRR: 1006 X: -1.09766 +INDEX GOES BRRR: 147 X: 9.22168 +INDEX GOES BRRR: 230 X: 14.3906 +INDEX GOES BRRR: 160 X: 10.0537 +INDEX GOES BRRR: 45 X: 2.85059 +INDEX GOES BRRR: 205 X: 12.8242 +INDEX GOES BRRR: 873 X: -9.38574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 80 X: 5 +INDEX GOES BRRR: 4 X: 0.289062 +INDEX GOES BRRR: 296 X: 18.5225 +INDEX GOES BRRR: 1019 X: -0.299805 +INDEX GOES BRRR: 897 X: -7.91797 +INDEX GOES BRRR: 466 X: 29.1572 +INDEX GOES BRRR: 214 X: 13.3975 +INDEX GOES BRRR: 99 X: 6.20312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 159 X: 9.97656 +INDEX GOES BRRR: 315 X: 19.7188 +INDEX GOES BRRR: 96 X: 6 +INDEX GOES BRRR: 12 X: 0.803711 +INDEX GOES BRRR: 904 X: -7.46191 +INDEX GOES BRRR: 54 X: 3.40625 +INDEX GOES BRRR: 151 X: 9.49512 +INDEX GOES BRRR: 306 X: 19.166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.42383 +INDEX GOES BRRR: 186 X: 11.6299 +INDEX GOES BRRR: 48 X: 3.04297 +INDEX GOES BRRR: 62 X: 3.93555 +INDEX GOES BRRR: 20 X: 1.30371 +INDEX GOES BRRR: 268 X: 16.7656 +INDEX GOES BRRR: 113 X: 7.10742 +INDEX GOES BRRR: 73 X: 4.60938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.88672 +INDEX GOES BRRR: 341 X: 21.3418 +INDEX GOES BRRR: 337 X: 21.1035 +INDEX GOES BRRR: 152 X: 9.50391 +INDEX GOES BRRR: 73 X: 4.57715 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 128 X: 8.05273 +INDEX GOES BRRR: 223 X: 13.9854 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.64941 +INDEX GOES BRRR: 1022 X: -0.0761719 +INDEX GOES BRRR: 302 X: 18.9092 +INDEX GOES BRRR: 98 X: 6.17188 +INDEX GOES BRRR: 38 X: 2.37891 +INDEX GOES BRRR: 71 X: 4.44629 +INDEX GOES BRRR: 160 X: 10.0117 +INDEX GOES BRRR: 6 X: 0.413086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1006 X: -1.11328 +INDEX GOES BRRR: 244 X: 15.293 +INDEX GOES BRRR: 179 X: 11.2051 +INDEX GOES BRRR: 319 X: 19.9844 +INDEX GOES BRRR: 116 X: 7.27344 +INDEX GOES BRRR: 254 X: 15.8818 +INDEX GOES BRRR: 74 X: 4.65137 +INDEX GOES BRRR: 870 X: -9.58496 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0498047 +INDEX GOES BRRR: 168 X: 10.5029 +INDEX GOES BRRR: 24 X: 1.5 +INDEX GOES BRRR: 1003 X: -1.28809 +INDEX GOES BRRR: 147 X: 9.22168 +INDEX GOES BRRR: 1010 X: -0.87207 +INDEX GOES BRRR: 367 X: 22.9736 +INDEX GOES BRRR: 100 X: 6.30664 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1016 X: -0.460938 +INDEX GOES BRRR: 150 X: 9.43652 +INDEX GOES BRRR: 834 X: -11.8652 +INDEX GOES BRRR: 107 X: 6.74023 +INDEX GOES BRRR: 354 X: 22.1777 +INDEX GOES BRRR: 1003 X: -1.27051 +INDEX GOES BRRR: 256 X: 16.0537 +INDEX GOES BRRR: 94 X: 5.93555 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 333 X: 20.8662 +INDEX GOES BRRR: 300 X: 18.7715 +INDEX GOES BRRR: 959 X: -4.0332 +INDEX GOES BRRR: 155 X: 9.69043 +INDEX GOES BRRR: 38 X: 2.38867 +INDEX GOES BRRR: 128 X: 8.02246 +INDEX GOES BRRR: 95 X: 5.95605 +INDEX GOES BRRR: 106 X: 6.63672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 69 X: 4.35449 +INDEX GOES BRRR: 272 X: 17.0176 +INDEX GOES BRRR: 356 X: 22.2725 +INDEX GOES BRRR: 188 X: 11.7676 +INDEX GOES BRRR: 238 X: 14.9287 +INDEX GOES BRRR: 322 X: 20.1631 +INDEX GOES BRRR: 133 X: 8.31641 +INDEX GOES BRRR: 309 X: 19.3398 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 975 X: -3.01465 +INDEX GOES BRRR: 378 X: 23.6533 +INDEX GOES BRRR: 18 X: 1.16211 +INDEX GOES BRRR: 977 X: -2.88867 +INDEX GOES BRRR: 48 X: 3.01855 +INDEX GOES BRRR: 5 X: 0.338867 +INDEX GOES BRRR: 212 X: 13.2979 +INDEX GOES BRRR: 256 X: 16.0166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.0693 +INDEX GOES BRRR: 129 X: 8.1084 +INDEX GOES BRRR: 145 X: 9.09473 +INDEX GOES BRRR: 420 X: 26.2861 +INDEX GOES BRRR: 177 X: 11.1084 +INDEX GOES BRRR: 350 X: 21.9355 +INDEX GOES BRRR: 242 X: 15.1436 +INDEX GOES BRRR: 212 X: 13.2891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4443 +INDEX GOES BRRR: 355 X: 22.2441 +INDEX GOES BRRR: 329 X: 20.5781 +INDEX GOES BRRR: 864 X: -9.96289 +INDEX GOES BRRR: 48 X: 3.05664 +INDEX GOES BRRR: 93 X: 5.85742 +INDEX GOES BRRR: 478 X: 29.9219 +INDEX GOES BRRR: 810 X: -13.3672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.97266 +INDEX GOES BRRR: 194 X: 12.167 +INDEX GOES BRRR: 148 X: 9.27734 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 237 X: 14.8506 +INDEX GOES BRRR: 263 X: 16.4668 +INDEX GOES BRRR: 110 X: 6.90137 +INDEX GOES BRRR: 362 X: 22.625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 933 X: -5.62891 +INDEX GOES BRRR: 222 X: 13.9238 +INDEX GOES BRRR: 290 X: 18.1748 +INDEX GOES BRRR: 146 X: 9.12988 +INDEX GOES BRRR: 92 X: 5.78809 +INDEX GOES BRRR: 69 X: 4.36621 +INDEX GOES BRRR: 36 X: 2.29688 +INDEX GOES BRRR: 168 X: 10.542 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.55762 +INDEX GOES BRRR: 333 X: 20.8535 +INDEX GOES BRRR: 272 X: 17.0303 +INDEX GOES BRRR: 316 X: 19.7783 +INDEX GOES BRRR: 171 X: 10.7461 +INDEX GOES BRRR: 161 X: 10.0928 +INDEX GOES BRRR: 134 X: 8.39648 +INDEX GOES BRRR: 196 X: 12.2705 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.8896 +INDEX GOES BRRR: 195 X: 12.1895 +INDEX GOES BRRR: 958 X: -4.06738 +INDEX GOES BRRR: 101 X: 6.35352 +INDEX GOES BRRR: 30 X: 1.88281 +INDEX GOES BRRR: 137 X: 8.58887 +INDEX GOES BRRR: 197 X: 12.3633 +INDEX GOES BRRR: 170 X: 10.6846 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 878 X: -9.12109 +INDEX GOES BRRR: 265 X: 16.6172 +INDEX GOES BRRR: 17 X: 1.11328 +INDEX GOES BRRR: 906 X: -7.3418 +INDEX GOES BRRR: 32 X: 2.02832 +INDEX GOES BRRR: 116 X: 7.25 +INDEX GOES BRRR: 972 X: -3.21289 +INDEX GOES BRRR: 142 X: 8.87695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.98926 +INDEX GOES BRRR: 189 X: 11.8545 +INDEX GOES BRRR: 187 X: 11.7197 +INDEX GOES BRRR: 207 X: 12.9941 +INDEX GOES BRRR: 102 X: 6.42676 +INDEX GOES BRRR: 52 X: 3.25293 +INDEX GOES BRRR: 53 X: 3.34766 +INDEX GOES BRRR: 135 X: 8.47852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.8799 +INDEX GOES BRRR: 86 X: 5.41406 +INDEX GOES BRRR: 152 X: 9.55176 +INDEX GOES BRRR: 984 X: -2.46973 +INDEX GOES BRRR: 80 X: 5.03613 +INDEX GOES BRRR: 5 X: 0.328125 +INDEX GOES BRRR: 438 X: 27.3984 +INDEX GOES BRRR: 132 X: 8.2832 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 169 X: 10.623 +INDEX GOES BRRR: 903 X: -7.5293 +INDEX GOES BRRR: 246 X: 15.4297 +INDEX GOES BRRR: 230 X: 14.4189 +INDEX GOES BRRR: 223 X: 13.9883 +INDEX GOES BRRR: 312 X: 19.5615 +INDEX GOES BRRR: 4 X: 0.297852 +INDEX GOES BRRR: 98 X: 6.15039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 47 X: 2.99219 +INDEX GOES BRRR: 12 X: 0.80957 +INDEX GOES BRRR: 155 X: 9.72656 +INDEX GOES BRRR: 388 X: 24.3057 +INDEX GOES BRRR: 2 X: 0.150391 +INDEX GOES BRRR: 46 X: 2.91211 +INDEX GOES BRRR: 1023 X: -0.0146484 +INDEX GOES BRRR: 141 X: 8.82324 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1017 X: -0.383789 +INDEX GOES BRRR: 749 X: -17.1807 +INDEX GOES BRRR: 972 X: -3.23828 +INDEX GOES BRRR: 256 X: 16.0234 +INDEX GOES BRRR: 241 X: 15.1201 +INDEX GOES BRRR: 243 X: 15.2021 +INDEX GOES BRRR: 467 X: 29.2119 +INDEX GOES BRRR: 313 X: 19.6094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 232 X: 14.5508 +INDEX GOES BRRR: 373 X: 23.3672 +INDEX GOES BRRR: 176 X: 11.0273 +INDEX GOES BRRR: 263 X: 16.458 +INDEX GOES BRRR: 948 X: -4.71875 +INDEX GOES BRRR: 143 X: 8.96777 +INDEX GOES BRRR: 1 X: 0.123047 +INDEX GOES BRRR: 50 X: 3.15625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 183 X: 11.4902 +INDEX GOES BRRR: 107 X: 6.69629 +INDEX GOES BRRR: 221 X: 13.8555 +INDEX GOES BRRR: 294 X: 18.4355 +INDEX GOES BRRR: 361 X: 22.585 +INDEX GOES BRRR: 1000 X: -1.47461 +INDEX GOES BRRR: 73 X: 4.57324 +INDEX GOES BRRR: 161 X: 10.0654 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 939 X: -5.27441 +INDEX GOES BRRR: 189 X: 11.8164 +INDEX GOES BRRR: 260 X: 16.2529 +INDEX GOES BRRR: 79 X: 4.9707 +INDEX GOES BRRR: 909 X: -7.14453 +INDEX GOES BRRR: 209 X: 13.0986 +INDEX GOES BRRR: 214 X: 13.3779 +INDEX GOES BRRR: 309 X: 19.3477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 383 X: 23.9775 +INDEX GOES BRRR: 235 X: 14.7041 +INDEX GOES BRRR: 97 X: 6.08398 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 386 X: 24.1836 +INDEX GOES BRRR: 123 X: 7.72168 +INDEX GOES BRRR: 367 X: 22.9541 +INDEX GOES BRRR: 110 X: 6.88672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 279 X: 17.4502 +INDEX GOES BRRR: 299 X: 18.708 +INDEX GOES BRRR: 192 X: 12.0088 +INDEX GOES BRRR: 281 X: 17.6162 +INDEX GOES BRRR: 22 X: 1.4248 +INDEX GOES BRRR: 1 X: 0.0820312 +INDEX GOES BRRR: 482 X: 30.1709 +INDEX GOES BRRR: 7 X: 0.478516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8545 +INDEX GOES BRRR: 325 X: 20.3516 +INDEX GOES BRRR: 941 X: -5.16797 +INDEX GOES BRRR: 130 X: 8.18359 +INDEX GOES BRRR: 328 X: 20.5273 +INDEX GOES BRRR: 182 X: 11.3916 +INDEX GOES BRRR: 279 X: 17.4434 +INDEX GOES BRRR: 67 X: 4.23535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 55 X: 3.45605 +INDEX GOES BRRR: 441 X: 27.5879 +INDEX GOES BRRR: 362 X: 22.6787 +INDEX GOES BRRR: 121 X: 7.60645 +INDEX GOES BRRR: 989 X: -2.15039 +INDEX GOES BRRR: 84 X: 5.26074 +INDEX GOES BRRR: 176 X: 11.0566 +INDEX GOES BRRR: 924 X: -6.19336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 436 X: 27.2773 +INDEX GOES BRRR: 1003 X: -1.25977 +INDEX GOES BRRR: 872 X: -9.45801 +INDEX GOES BRRR: 362 X: 22.667 +INDEX GOES BRRR: 197 X: 12.3418 +INDEX GOES BRRR: 255 X: 15.957 +INDEX GOES BRRR: 974 X: -3.07422 +INDEX GOES BRRR: 89 X: 5.61328 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.35254 +INDEX GOES BRRR: 171 X: 10.7051 +INDEX GOES BRRR: 30 X: 1.9248 +INDEX GOES BRRR: 78 X: 4.88281 +INDEX GOES BRRR: 258 X: 16.1348 +INDEX GOES BRRR: 202 X: 12.6807 +INDEX GOES BRRR: 136 X: 8.54785 +INDEX GOES BRRR: 432 X: 27.0527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 349 X: 21.8135 +INDEX GOES BRRR: 217 X: 13.6152 +INDEX GOES BRRR: 71 X: 4.46777 +INDEX GOES BRRR: 172 X: 10.8047 +INDEX GOES BRRR: 35 X: 2.23828 +INDEX GOES BRRR: 108 X: 6.75684 +INDEX GOES BRRR: 47 X: 2.96387 +INDEX GOES BRRR: 148 X: 9.28906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 311 X: 19.4854 +INDEX GOES BRRR: 160 X: 10.0527 +INDEX GOES BRRR: 341 X: 21.3145 +INDEX GOES BRRR: 147 X: 9.18945 +INDEX GOES BRRR: 221 X: 13.8535 +INDEX GOES BRRR: 135 X: 8.44238 +INDEX GOES BRRR: 1009 X: -0.911133 +INDEX GOES BRRR: 145 X: 9.12012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 386 X: 24.1543 +INDEX GOES BRRR: 105 X: 6.5625 +INDEX GOES BRRR: 478 X: 29.8945 +INDEX GOES BRRR: 1014 X: -0.615234 +INDEX GOES BRRR: 983 X: -2.51074 +INDEX GOES BRRR: 41 X: 2.58984 +INDEX GOES BRRR: 77 X: 4.84082 +INDEX GOES BRRR: 101 X: 6.31445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0293 +INDEX GOES BRRR: 180 X: 11.2764 +INDEX GOES BRRR: 17 X: 1.10742 +INDEX GOES BRRR: 976 X: -3 +INDEX GOES BRRR: 226 X: 14.1318 +INDEX GOES BRRR: 259 X: 16.2275 +INDEX GOES BRRR: 220 X: 13.7686 +INDEX GOES BRRR: 264 X: 16.5283 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1318 +INDEX GOES BRRR: 288 X: 18.041 +INDEX GOES BRRR: 16 X: 1.05176 +INDEX GOES BRRR: 323 X: 20.1914 +INDEX GOES BRRR: 143 X: 8.97754 +INDEX GOES BRRR: 42 X: 2.6416 +INDEX GOES BRRR: 661 X: -22.6729 +INDEX GOES BRRR: 997 X: -1.64258 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1 X: 0.107422 +INDEX GOES BRRR: 232 X: 14.5527 +INDEX GOES BRRR: 126 X: 7.88281 +INDEX GOES BRRR: 116 X: 7.30273 +INDEX GOES BRRR: 118 X: 7.42578 +INDEX GOES BRRR: 979 X: -2.77637 +INDEX GOES BRRR: 398 X: 24.8818 +INDEX GOES BRRR: 202 X: 12.6582 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.54 +INDEX GOES BRRR: 115 X: 7.19043 +INDEX GOES BRRR: 133 X: 8.32129 +INDEX GOES BRRR: 89 X: 5.59473 +INDEX GOES BRRR: 34 X: 2.16211 +INDEX GOES BRRR: 58 X: 3.63965 +INDEX GOES BRRR: 211 X: 13.2314 +INDEX GOES BRRR: 104 X: 6.50195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 344 X: 21.5215 +INDEX GOES BRRR: 330 X: 20.6387 +INDEX GOES BRRR: 151 X: 9.45312 +INDEX GOES BRRR: 295 X: 18.4668 +INDEX GOES BRRR: 392 X: 24.5146 +INDEX GOES BRRR: 69 X: 4.32812 +INDEX GOES BRRR: 297 X: 18.623 +INDEX GOES BRRR: 119 X: 7.47656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 131 X: 8.24512 +INDEX GOES BRRR: 298 X: 18.6846 +INDEX GOES BRRR: 160 X: 10.0049 +INDEX GOES BRRR: 71 X: 4.49219 +INDEX GOES BRRR: 100 X: 6.28418 +INDEX GOES BRRR: 250 X: 15.6416 +INDEX GOES BRRR: 281 X: 17.5771 +INDEX GOES BRRR: 61 X: 3.83203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.415 +INDEX GOES BRRR: 151 X: 9.49805 +INDEX GOES BRRR: 134 X: 8.43457 +INDEX GOES BRRR: 335 X: 20.9482 +INDEX GOES BRRR: 107 X: 6.69238 +INDEX GOES BRRR: 186 X: 11.6826 +INDEX GOES BRRR: 328 X: 20.5127 +INDEX GOES BRRR: 104 X: 6.52441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1021 X: -0.181641 +INDEX GOES BRRR: 994 X: -1.83496 +INDEX GOES BRRR: 475 X: 29.7012 +INDEX GOES BRRR: 335 X: 20.9541 +INDEX GOES BRRR: 969 X: -3.39844 +INDEX GOES BRRR: 363 X: 22.7002 +INDEX GOES BRRR: 399 X: 24.9844 +INDEX GOES BRRR: 222 X: 13.8867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.1055 +INDEX GOES BRRR: 135 X: 8.49707 +INDEX GOES BRRR: 43 X: 2.7207 +INDEX GOES BRRR: 246 X: 15.4307 +INDEX GOES BRRR: 171 X: 10.6914 +INDEX GOES BRRR: 266 X: 16.665 +INDEX GOES BRRR: 116 X: 7.26562 +INDEX GOES BRRR: 195 X: 12.1895 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 376 X: 23.5215 +INDEX GOES BRRR: 115 X: 7.24707 +INDEX GOES BRRR: 220 X: 13.7549 +INDEX GOES BRRR: 241 X: 15.123 +INDEX GOES BRRR: 309 X: 19.3486 +INDEX GOES BRRR: 168 X: 10.5615 +INDEX GOES BRRR: 305 X: 19.0645 +INDEX GOES BRRR: 3 X: 0.248047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.9229 +INDEX GOES BRRR: 328 X: 20.5508 +INDEX GOES BRRR: 931 X: -5.75391 +INDEX GOES BRRR: 323 X: 20.2441 +INDEX GOES BRRR: 223 X: 13.9434 +INDEX GOES BRRR: 345 X: 21.5732 +INDEX GOES BRRR: 333 X: 20.8564 +INDEX GOES BRRR: 350 X: 21.8896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.86719 +INDEX GOES BRRR: 170 X: 10.6865 +INDEX GOES BRRR: 247 X: 15.4531 +INDEX GOES BRRR: 168 X: 10.5527 +INDEX GOES BRRR: 321 X: 20.0947 +INDEX GOES BRRR: 178 X: 11.1836 +INDEX GOES BRRR: 188 X: 11.7549 +INDEX GOES BRRR: 306 X: 19.1406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0127 +INDEX GOES BRRR: 921 X: -6.41797 +INDEX GOES BRRR: 813 X: -13.126 +INDEX GOES BRRR: 1016 X: -0.498047 +INDEX GOES BRRR: 434 X: 27.165 +INDEX GOES BRRR: 254 X: 15.9023 +INDEX GOES BRRR: 34 X: 2.13281 +INDEX GOES BRRR: 236 X: 14.7773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 93 X: 5.87305 +INDEX GOES BRRR: 253 X: 15.8477 +INDEX GOES BRRR: 242 X: 15.1807 +INDEX GOES BRRR: 146 X: 9.14453 +INDEX GOES BRRR: 944 X: -4.94922 +INDEX GOES BRRR: 261 X: 16.3604 +INDEX GOES BRRR: 147 X: 9.21387 +INDEX GOES BRRR: 383 X: 23.9951 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.75391 +INDEX GOES BRRR: 375 X: 23.4551 +INDEX GOES BRRR: 345 X: 21.6113 +INDEX GOES BRRR: 801 X: -13.9336 +INDEX GOES BRRR: 973 X: -3.1709 +INDEX GOES BRRR: 280 X: 17.5576 +INDEX GOES BRRR: 121 X: 7.57715 +INDEX GOES BRRR: 274 X: 17.1348 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 294 X: 18.3965 +INDEX GOES BRRR: 338 X: 21.1758 +INDEX GOES BRRR: 1010 X: -0.849609 +INDEX GOES BRRR: 1003 X: -1.26172 +INDEX GOES BRRR: 86 X: 5.41211 +INDEX GOES BRRR: 308 X: 19.2568 +INDEX GOES BRRR: 844 X: -11.2305 +INDEX GOES BRRR: 976 X: -2.98926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.76562 +INDEX GOES BRRR: 977 X: -2.92188 +INDEX GOES BRRR: 253 X: 15.8271 +INDEX GOES BRRR: 998 X: -1.61914 +INDEX GOES BRRR: 468 X: 29.2959 +INDEX GOES BRRR: 335 X: 20.9648 +INDEX GOES BRRR: 161 X: 10.0811 +INDEX GOES BRRR: 229 X: 14.374 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 943 X: -5.03516 +INDEX GOES BRRR: 937 X: -5.38867 +INDEX GOES BRRR: 1022 X: -0.102539 +INDEX GOES BRRR: 71 X: 4.4834 +INDEX GOES BRRR: 883 X: -8.79883 +INDEX GOES BRRR: 121 X: 7.57324 +INDEX GOES BRRR: 299 X: 18.7021 +INDEX GOES BRRR: 384 X: 24.0479 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 118 X: 7.38965 +INDEX GOES BRRR: 111 X: 6.98633 +INDEX GOES BRRR: 269 X: 16.8438 +INDEX GOES BRRR: 150 X: 9.40723 +INDEX GOES BRRR: 191 X: 11.9551 +INDEX GOES BRRR: 389 X: 24.3398 +INDEX GOES BRRR: 179 X: 11.2266 +INDEX GOES BRRR: 172 X: 10.7822 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.0938 +INDEX GOES BRRR: 316 X: 19.8076 +INDEX GOES BRRR: 290 X: 18.127 +INDEX GOES BRRR: 169 X: 10.5947 +INDEX GOES BRRR: 105 X: 6.6123 +INDEX GOES BRRR: 999 X: -1.50098 +INDEX GOES BRRR: 66 X: 4.18652 +INDEX GOES BRRR: 364 X: 22.7852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 222 X: 13.9033 +INDEX GOES BRRR: 59 X: 3.73047 +INDEX GOES BRRR: 352 X: 22.0293 +INDEX GOES BRRR: 82 X: 5.12793 +INDEX GOES BRRR: 57 X: 3.62109 +INDEX GOES BRRR: 270 X: 16.8975 +INDEX GOES BRRR: 162 X: 10.1553 +INDEX GOES BRRR: 237 X: 14.8311 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 15 X: 0.983398 +INDEX GOES BRRR: 145 X: 9.09863 +INDEX GOES BRRR: 85 X: 5.3125 +INDEX GOES BRRR: 974 X: -3.07227 +INDEX GOES BRRR: 168 X: 10.5 +INDEX GOES BRRR: 208 X: 13.0283 +INDEX GOES BRRR: 273 X: 17.0811 +INDEX GOES BRRR: 170 X: 10.6543 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.4219 +INDEX GOES BRRR: 312 X: 19.5576 +INDEX GOES BRRR: 195 X: 12.2471 +INDEX GOES BRRR: 74 X: 4.67676 +INDEX GOES BRRR: 206 X: 12.918 +INDEX GOES BRRR: 956 X: -4.24414 +INDEX GOES BRRR: 884 X: -8.72363 +INDEX GOES BRRR: 93 X: 5.84082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 846 X: -11.0957 +INDEX GOES BRRR: 391 X: 24.4385 +INDEX GOES BRRR: 288 X: 18.0498 +INDEX GOES BRRR: 425 X: 26.6172 +INDEX GOES BRRR: 144 X: 9.05566 +INDEX GOES BRRR: 110 X: 6.93555 +INDEX GOES BRRR: 90 X: 5.65039 +INDEX GOES BRRR: 190 X: 11.8809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 298 X: 18.6504 +INDEX GOES BRRR: 916 X: -6.68945 +INDEX GOES BRRR: 149 X: 9.32812 +INDEX GOES BRRR: 179 X: 11.2217 +INDEX GOES BRRR: 950 X: -4.58594 +INDEX GOES BRRR: 803 X: -13.7568 +INDEX GOES BRRR: 123 X: 7.7334 +INDEX GOES BRRR: 223 X: 13.9521 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 184 X: 11.5469 +INDEX GOES BRRR: 177 X: 11.1182 +INDEX GOES BRRR: 923 X: -6.30664 +INDEX GOES BRRR: 282 X: 17.6709 +INDEX GOES BRRR: 461 X: 28.8623 +INDEX GOES BRRR: 67 X: 4.19238 +INDEX GOES BRRR: 162 X: 10.1367 +INDEX GOES BRRR: 868 X: -9.69141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.94141 +INDEX GOES BRRR: 366 X: 22.9219 +INDEX GOES BRRR: 190 X: 11.8994 +INDEX GOES BRRR: 933 X: -5.63281 +INDEX GOES BRRR: 434 X: 27.125 +INDEX GOES BRRR: 292 X: 18.2559 +INDEX GOES BRRR: 261 X: 16.3359 +INDEX GOES BRRR: 241 X: 15.1162 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 441 X: 27.582 +INDEX GOES BRRR: 177 X: 11.0938 +INDEX GOES BRRR: 981 X: -2.66992 +INDEX GOES BRRR: 400 X: 25.043 +INDEX GOES BRRR: 393 X: 24.5938 +INDEX GOES BRRR: 168 X: 10.5322 +INDEX GOES BRRR: 309 X: 19.3506 +INDEX GOES BRRR: 954 X: -4.34375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 267 X: 16.7119 +INDEX GOES BRRR: 261 X: 16.3262 +INDEX GOES BRRR: 923 X: -6.25488 +INDEX GOES BRRR: 160 X: 10.0146 +INDEX GOES BRRR: 150 X: 9.41211 +INDEX GOES BRRR: 131 X: 8.20801 +INDEX GOES BRRR: 159 X: 9.96484 +INDEX GOES BRRR: 953 X: -4.42676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 17 X: 1.1084 +INDEX GOES BRRR: 872 X: -9.48047 +INDEX GOES BRRR: 9 X: 0.614258 +INDEX GOES BRRR: 424 X: 26.5303 +INDEX GOES BRRR: 114 X: 7.125 +INDEX GOES BRRR: 99 X: 6.24414 +INDEX GOES BRRR: 992 X: -1.9834 +INDEX GOES BRRR: 151 X: 9.4834 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 95 X: 5.95117 +INDEX GOES BRRR: 248 X: 15.5615 +INDEX GOES BRRR: 36 X: 2.26465 +INDEX GOES BRRR: 189 X: 11.8691 +INDEX GOES BRRR: 417 X: 26.1064 +INDEX GOES BRRR: 124 X: 7.77051 +INDEX GOES BRRR: 366 X: 22.8809 +INDEX GOES BRRR: 201 X: 12.5898 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 89 X: 5.60742 +INDEX GOES BRRR: 72 X: 4.54492 +INDEX GOES BRRR: 207 X: 12.9463 +INDEX GOES BRRR: 177 X: 11.0693 +INDEX GOES BRRR: 465 X: 29.1035 +INDEX GOES BRRR: 314 X: 19.666 +INDEX GOES BRRR: 310 X: 19.3828 +INDEX GOES BRRR: 993 X: -1.91602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 798 X: -14.124 +INDEX GOES BRRR: 351 X: 21.9375 +INDEX GOES BRRR: 118 X: 7.40332 +INDEX GOES BRRR: 274 X: 17.167 +INDEX GOES BRRR: 406 X: 25.4229 +INDEX GOES BRRR: 282 X: 17.6592 +INDEX GOES BRRR: 280 X: 17.5215 +INDEX GOES BRRR: 249 X: 15.6201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.5791 +INDEX GOES BRRR: 221 X: 13.8398 +INDEX GOES BRRR: 141 X: 8.85742 +INDEX GOES BRRR: 378 X: 23.6562 +INDEX GOES BRRR: 387 X: 24.2354 +INDEX GOES BRRR: 197 X: 12.3613 +INDEX GOES BRRR: 106 X: 6.66113 +INDEX GOES BRRR: 349 X: 21.8438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.50391 +INDEX GOES BRRR: 229 X: 14.3408 +INDEX GOES BRRR: 8 X: 0.558594 +INDEX GOES BRRR: 241 X: 15.0791 +INDEX GOES BRRR: 94 X: 5.9043 +INDEX GOES BRRR: 97 X: 6.1084 +INDEX GOES BRRR: 28 X: 1.75781 +INDEX GOES BRRR: 155 X: 9.68848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 360 X: 22.5479 +INDEX GOES BRRR: 108 X: 6.79688 +INDEX GOES BRRR: 40 X: 2.54492 +INDEX GOES BRRR: 161 X: 10.0781 +INDEX GOES BRRR: 231 X: 14.4492 +INDEX GOES BRRR: 200 X: 12.5332 +INDEX GOES BRRR: 4 X: 0.291016 +INDEX GOES BRRR: 1017 X: -0.423828 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 194 X: 12.1514 +INDEX GOES BRRR: 343 X: 21.4395 +INDEX GOES BRRR: 101 X: 6.33496 +INDEX GOES BRRR: 94 X: 5.88184 +INDEX GOES BRRR: 198 X: 12.3828 +INDEX GOES BRRR: 880 X: -8.97266 +INDEX GOES BRRR: 237 X: 14.8262 +INDEX GOES BRRR: 79 X: 4.99707 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 147 X: 9.21191 +INDEX GOES BRRR: 234 X: 14.6465 +INDEX GOES BRRR: 133 X: 8.3291 +INDEX GOES BRRR: 178 X: 11.1787 +INDEX GOES BRRR: 103 X: 6.44336 +INDEX GOES BRRR: 58 X: 3.64062 +INDEX GOES BRRR: 184 X: 11.5527 +INDEX GOES BRRR: 951 X: -4.52637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 387 X: 24.2422 +INDEX GOES BRRR: 191 X: 11.958 +INDEX GOES BRRR: 426 X: 26.6719 +INDEX GOES BRRR: 360 X: 22.5391 +INDEX GOES BRRR: 185 X: 11.6123 +INDEX GOES BRRR: 287 X: 17.9707 +INDEX GOES BRRR: 166 X: 10.377 +INDEX GOES BRRR: 180 X: 11.252 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 281 X: 17.5674 +INDEX GOES BRRR: 1006 X: -1.11914 +INDEX GOES BRRR: 224 X: 14.0049 +INDEX GOES BRRR: 92 X: 5.78906 +INDEX GOES BRRR: 160 X: 10.0225 +INDEX GOES BRRR: 97 X: 6.08887 +INDEX GOES BRRR: 62 X: 3.9209 +INDEX GOES BRRR: 224 X: 14.0195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.44043 +INDEX GOES BRRR: 1020 X: -0.236328 +INDEX GOES BRRR: 982 X: -2.58691 +INDEX GOES BRRR: 20 X: 1.28027 +INDEX GOES BRRR: 356 X: 22.2598 +INDEX GOES BRRR: 943 X: -5.04492 +INDEX GOES BRRR: 311 X: 19.4941 +INDEX GOES BRRR: 185 X: 11.5723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 149 X: 9.36523 +INDEX GOES BRRR: 82 X: 5.1582 +INDEX GOES BRRR: 137 X: 8.61719 +INDEX GOES BRRR: 213 X: 13.334 +INDEX GOES BRRR: 10 X: 0.62793 +INDEX GOES BRRR: 57 X: 3.62109 +INDEX GOES BRRR: 163 X: 10.2148 +INDEX GOES BRRR: 45 X: 2.87012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.44043 +INDEX GOES BRRR: 57 X: 3.58887 +INDEX GOES BRRR: 952 X: -4.47656 +INDEX GOES BRRR: 101 X: 6.3291 +INDEX GOES BRRR: 245 X: 15.3691 +INDEX GOES BRRR: 221 X: 13.8174 +INDEX GOES BRRR: 145 X: 9.07031 +INDEX GOES BRRR: 254 X: 15.9277 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2676 +INDEX GOES BRRR: 261 X: 16.3135 +INDEX GOES BRRR: 198 X: 12.4062 +INDEX GOES BRRR: 33 X: 2.06738 +INDEX GOES BRRR: 221 X: 13.8291 +INDEX GOES BRRR: 196 X: 12.2812 +INDEX GOES BRRR: 249 X: 15.6094 +INDEX GOES BRRR: 194 X: 12.1533 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 302 X: 18.915 +INDEX GOES BRRR: 241 X: 15.0947 +INDEX GOES BRRR: 301 X: 18.8691 +INDEX GOES BRRR: 304 X: 19.0137 +INDEX GOES BRRR: 175 X: 10.9512 +INDEX GOES BRRR: 304 X: 19.0137 +INDEX GOES BRRR: 188 X: 11.79 +INDEX GOES BRRR: 228 X: 14.2871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.51465 +INDEX GOES BRRR: 326 X: 20.3965 +INDEX GOES BRRR: 260 X: 16.252 +INDEX GOES BRRR: 944 X: -4.94238 +INDEX GOES BRRR: 240 X: 15.0439 +INDEX GOES BRRR: 26 X: 1.63574 +INDEX GOES BRRR: 126 X: 7.93457 +INDEX GOES BRRR: 961 X: -3.93262 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 815 X: -13.0479 +INDEX GOES BRRR: 317 X: 19.8232 +INDEX GOES BRRR: 77 X: 4.83789 +INDEX GOES BRRR: 113 X: 7.07617 +INDEX GOES BRRR: 234 X: 14.6514 +INDEX GOES BRRR: 98 X: 6.13379 +INDEX GOES BRRR: 432 X: 27 +INDEX GOES BRRR: 432 X: 27.0488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.7188 +INDEX GOES BRRR: 1014 X: -0.614258 +INDEX GOES BRRR: 952 X: -4.47266 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 876 X: -9.23828 +INDEX GOES BRRR: 26 X: 1.63574 +INDEX GOES BRRR: 191 X: 11.9453 +INDEX GOES BRRR: 298 X: 18.6465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0264 +INDEX GOES BRRR: 375 X: 23.4941 +INDEX GOES BRRR: 253 X: 15.8174 +INDEX GOES BRRR: 347 X: 21.7002 +INDEX GOES BRRR: 4 X: 0.264648 +INDEX GOES BRRR: 15 X: 0.951172 +INDEX GOES BRRR: 61 X: 3.83301 +INDEX GOES BRRR: 285 X: 17.8271 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.65918 +INDEX GOES BRRR: 40 X: 2.54785 +INDEX GOES BRRR: 363 X: 22.7441 +INDEX GOES BRRR: 164 X: 10.2822 +INDEX GOES BRRR: 939 X: -5.25195 +INDEX GOES BRRR: 172 X: 10.7842 +INDEX GOES BRRR: 955 X: -4.27539 +INDEX GOES BRRR: 19 X: 1.2207 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 177 X: 11.1084 +INDEX GOES BRRR: 181 X: 11.3145 +INDEX GOES BRRR: 988 X: -2.22559 +INDEX GOES BRRR: 323 X: 20.2227 +INDEX GOES BRRR: 120 X: 7.54492 +INDEX GOES BRRR: 49 X: 3.06934 +INDEX GOES BRRR: 298 X: 18.6689 +INDEX GOES BRRR: 344 X: 21.5371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.5 +INDEX GOES BRRR: 985 X: -2.38379 +INDEX GOES BRRR: 831 X: -12.0107 +INDEX GOES BRRR: 260 X: 16.2783 +INDEX GOES BRRR: 208 X: 13.0176 +INDEX GOES BRRR: 47 X: 2.9707 +INDEX GOES BRRR: 227 X: 14.2129 +INDEX GOES BRRR: 146 X: 9.14941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.4033 +INDEX GOES BRRR: 171 X: 10.7354 +INDEX GOES BRRR: 441 X: 27.6162 +INDEX GOES BRRR: 60 X: 3.80078 +INDEX GOES BRRR: 13 X: 0.839844 +INDEX GOES BRRR: 293 X: 18.3193 +INDEX GOES BRRR: 114 X: 7.1582 +INDEX GOES BRRR: 295 X: 18.4814 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 378 X: 23.6494 +INDEX GOES BRRR: 18 X: 1.17871 +INDEX GOES BRRR: 195 X: 12.1963 +INDEX GOES BRRR: 946 X: -4.85742 +INDEX GOES BRRR: 194 X: 12.1338 +INDEX GOES BRRR: 159 X: 9.9502 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 283 X: 17.7109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.78125 +INDEX GOES BRRR: 114 X: 7.13086 +INDEX GOES BRRR: 271 X: 16.9844 +INDEX GOES BRRR: 287 X: 17.9756 +INDEX GOES BRRR: 1000 X: -1.46094 +INDEX GOES BRRR: 60 X: 3.76562 +INDEX GOES BRRR: 168 X: 10.5107 +INDEX GOES BRRR: 832 X: -11.9932 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 74 X: 4.67285 +INDEX GOES BRRR: 258 X: 16.1719 +INDEX GOES BRRR: 862 X: -10.0732 +INDEX GOES BRRR: 153 X: 9.58984 +INDEX GOES BRRR: 262 X: 16.3936 +INDEX GOES BRRR: 395 X: 24.7461 +INDEX GOES BRRR: 859 X: -10.2979 +INDEX GOES BRRR: 386 X: 24.1309 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.60449 +INDEX GOES BRRR: 1023 X: -0.00585938 +INDEX GOES BRRR: 59 X: 3.72949 +INDEX GOES BRRR: 164 X: 10.2617 +INDEX GOES BRRR: 244 X: 15.2822 +INDEX GOES BRRR: 68 X: 4.2959 +INDEX GOES BRRR: 859 X: -10.292 +INDEX GOES BRRR: 185 X: 11.6191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 318 X: 19.8779 +INDEX GOES BRRR: 440 X: 27.5176 +INDEX GOES BRRR: 421 X: 26.3467 +INDEX GOES BRRR: 269 X: 16.8535 +INDEX GOES BRRR: 842 X: -11.3379 +INDEX GOES BRRR: 129 X: 8.12305 +INDEX GOES BRRR: 53 X: 3.32715 +INDEX GOES BRRR: 161 X: 10.1123 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 244 X: 15.2568 +INDEX GOES BRRR: 318 X: 19.9209 +INDEX GOES BRRR: 146 X: 9.16895 +INDEX GOES BRRR: 181 X: 11.333 +INDEX GOES BRRR: 98 X: 6.14844 +INDEX GOES BRRR: 400 X: 25.0234 +INDEX GOES BRRR: 964 X: -3.70996 +INDEX GOES BRRR: 132 X: 8.27148 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.8896 +INDEX GOES BRRR: 471 X: 29.4814 +INDEX GOES BRRR: 106 X: 6.64844 +INDEX GOES BRRR: 129 X: 8.10352 +INDEX GOES BRRR: 372 X: 23.3057 +INDEX GOES BRRR: 151 X: 9.49609 +INDEX GOES BRRR: 96 X: 6.00098 +INDEX GOES BRRR: 451 X: 28.248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 247 X: 15.4805 +INDEX GOES BRRR: 50 X: 3.13184 +INDEX GOES BRRR: 347 X: 21.748 +INDEX GOES BRRR: 202 X: 12.6836 +INDEX GOES BRRR: 303 X: 18.9893 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 257 X: 16.1016 +INDEX GOES BRRR: 215 X: 13.458 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 268 X: 16.8096 +INDEX GOES BRRR: 201 X: 12.6025 +INDEX GOES BRRR: 321 X: 20.0928 +INDEX GOES BRRR: 119 X: 7.44141 +INDEX GOES BRRR: 302 X: 18.9023 +INDEX GOES BRRR: 234 X: 14.6465 +INDEX GOES BRRR: 418 X: 26.1738 +INDEX GOES BRRR: 147 X: 9.19336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1000 X: -1.48535 +INDEX GOES BRRR: 123 X: 7.74512 +INDEX GOES BRRR: 53 X: 3.36133 +INDEX GOES BRRR: 43 X: 2.69434 +INDEX GOES BRRR: 1013 X: -0.682617 +INDEX GOES BRRR: 489 X: 30.5928 +INDEX GOES BRRR: 154 X: 9.6416 +INDEX GOES BRRR: 943 X: -5.05566 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 261 X: 16.3691 +INDEX GOES BRRR: 372 X: 23.3066 +INDEX GOES BRRR: 972 X: -3.23242 +INDEX GOES BRRR: 386 X: 24.1846 +INDEX GOES BRRR: 316 X: 19.7959 +INDEX GOES BRRR: 255 X: 15.959 +INDEX GOES BRRR: 288 X: 18.0488 +INDEX GOES BRRR: 653 X: -23.1406 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.7139 +INDEX GOES BRRR: 287 X: 17.9639 +INDEX GOES BRRR: 991 X: -2.00195 +INDEX GOES BRRR: 202 X: 12.6318 +INDEX GOES BRRR: 133 X: 8.34473 +INDEX GOES BRRR: 234 X: 14.6738 +INDEX GOES BRRR: 146 X: 9.14355 +INDEX GOES BRRR: 99 X: 6.24121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 327 X: 20.4678 +INDEX GOES BRRR: 1004 X: -1.23535 +INDEX GOES BRRR: 67 X: 4.22656 +INDEX GOES BRRR: 106 X: 6.66016 +INDEX GOES BRRR: 353 X: 22.1191 +INDEX GOES BRRR: 23 X: 1.49121 +INDEX GOES BRRR: 906 X: -7.33691 +INDEX GOES BRRR: 985 X: -2.42871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 115 X: 7.24121 +INDEX GOES BRRR: 44 X: 2.79004 +INDEX GOES BRRR: 302 X: 18.8906 +INDEX GOES BRRR: 187 X: 11.6924 +INDEX GOES BRRR: 486 X: 30.4346 +INDEX GOES BRRR: 276 X: 17.2979 +INDEX GOES BRRR: 951 X: -4.53027 +INDEX GOES BRRR: 352 X: 22.0244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 366 X: 22.9092 +INDEX GOES BRRR: 253 X: 15.8174 +INDEX GOES BRRR: 986 X: -2.31543 +INDEX GOES BRRR: 8 X: 0.503906 +INDEX GOES BRRR: 50 X: 3.15625 +INDEX GOES BRRR: 151 X: 9.44238 +INDEX GOES BRRR: 59 X: 3.74023 +INDEX GOES BRRR: 252 X: 15.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 37 X: 2.35059 +INDEX GOES BRRR: 320 X: 20.0146 +INDEX GOES BRRR: 169 X: 10.5693 +INDEX GOES BRRR: 176 X: 11.042 +INDEX GOES BRRR: 276 X: 17.2656 +INDEX GOES BRRR: 249 X: 15.6025 +INDEX GOES BRRR: 183 X: 11.4453 +INDEX GOES BRRR: 114 X: 7.15918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9697 +INDEX GOES BRRR: 117 X: 7.34961 +INDEX GOES BRRR: 92 X: 5.76855 +INDEX GOES BRRR: 94 X: 5.88086 +INDEX GOES BRRR: 28 X: 1.79199 +INDEX GOES BRRR: 1020 X: -0.191406 +INDEX GOES BRRR: 162 X: 10.1436 +INDEX GOES BRRR: 167 X: 10.457 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 243 X: 15.1895 +INDEX GOES BRRR: 126 X: 7.88281 +INDEX GOES BRRR: 187 X: 11.6924 +INDEX GOES BRRR: 478 X: 29.9268 +INDEX GOES BRRR: 142 X: 8.93359 +INDEX GOES BRRR: 243 X: 15.2275 +INDEX GOES BRRR: 242 X: 15.1494 +INDEX GOES BRRR: 34 X: 2.14551 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 990 X: -2.1084 +INDEX GOES BRRR: 294 X: 18.416 +INDEX GOES BRRR: 851 X: -10.7959 +INDEX GOES BRRR: 99 X: 6.19336 +INDEX GOES BRRR: 994 X: -1.81934 +INDEX GOES BRRR: 366 X: 22.8867 +INDEX GOES BRRR: 379 X: 23.7432 +INDEX GOES BRRR: 49 X: 3.06738 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 352 X: 22.0166 +INDEX GOES BRRR: 16 X: 1.05762 +INDEX GOES BRRR: 191 X: 11.9873 +INDEX GOES BRRR: 283 X: 17.6973 +INDEX GOES BRRR: 123 X: 7.72754 +INDEX GOES BRRR: 986 X: -2.34375 +INDEX GOES BRRR: 316 X: 19.7666 +INDEX GOES BRRR: 204 X: 12.7627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 979 X: -2.79785 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 226 X: 14.1279 +INDEX GOES BRRR: 487 X: 30.4453 +INDEX GOES BRRR: 200 X: 12.5186 +INDEX GOES BRRR: 77 X: 4.84668 +INDEX GOES BRRR: 360 X: 22.5352 +INDEX GOES BRRR: 194 X: 12.1748 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.4834 +INDEX GOES BRRR: 239 X: 14.9941 +INDEX GOES BRRR: 48 X: 3.01465 +INDEX GOES BRRR: 1009 X: -0.910156 +INDEX GOES BRRR: 463 X: 28.9854 +INDEX GOES BRRR: 172 X: 10.791 +INDEX GOES BRRR: 82 X: 5.16113 +INDEX GOES BRRR: 254 X: 15.8936 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 940 X: -5.2168 +INDEX GOES BRRR: 59 X: 3.74121 +INDEX GOES BRRR: 247 X: 15.4785 +INDEX GOES BRRR: 866 X: -9.82324 +INDEX GOES BRRR: 114 X: 7.12891 +INDEX GOES BRRR: 1011 X: -0.810547 +INDEX GOES BRRR: 308 X: 19.2598 +INDEX GOES BRRR: 5 X: 0.353516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 70 X: 4.4043 +INDEX GOES BRRR: 225 X: 14.0879 +INDEX GOES BRRR: 243 X: 15.2266 +INDEX GOES BRRR: 178 X: 11.1816 +INDEX GOES BRRR: 1021 X: -0.143555 +INDEX GOES BRRR: 115 X: 7.20996 +INDEX GOES BRRR: 280 X: 17.54 +INDEX GOES BRRR: 81 X: 5.06445 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 385 X: 24.0732 +INDEX GOES BRRR: 1001 X: -1.39551 +INDEX GOES BRRR: 920 X: -6.44434 +INDEX GOES BRRR: 144 X: 9.03516 +INDEX GOES BRRR: 966 X: -3.62012 +INDEX GOES BRRR: 48 X: 3.05078 +INDEX GOES BRRR: 265 X: 16.5928 +INDEX GOES BRRR: 130 X: 8.15527 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.339844 +INDEX GOES BRRR: 179 X: 11.2334 +INDEX GOES BRRR: 76 X: 4.80176 +INDEX GOES BRRR: 988 X: -2.21191 +INDEX GOES BRRR: 933 X: -5.6748 +INDEX GOES BRRR: 291 X: 18.2373 +INDEX GOES BRRR: 157 X: 9.85449 +INDEX GOES BRRR: 438 X: 27.4072 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 904 X: -7.45703 +INDEX GOES BRRR: 185 X: 11.5635 +INDEX GOES BRRR: 212 X: 13.2676 +INDEX GOES BRRR: 137 X: 8.60254 +INDEX GOES BRRR: 97 X: 6.10645 +INDEX GOES BRRR: 60 X: 3.78613 +INDEX GOES BRRR: 296 X: 18.5527 +INDEX GOES BRRR: 298 X: 18.6533 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.998 +INDEX GOES BRRR: 93 X: 5.81348 +INDEX GOES BRRR: 972 X: -3.23047 +INDEX GOES BRRR: 177 X: 11.1016 +INDEX GOES BRRR: 981 X: -2.66992 +INDEX GOES BRRR: 212 X: 13.2646 +INDEX GOES BRRR: 216 X: 13.5342 +INDEX GOES BRRR: 866 X: -9.83301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.20312 +INDEX GOES BRRR: 368 X: 23.0391 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 30 X: 1.89746 +INDEX GOES BRRR: 352 X: 22.0449 +INDEX GOES BRRR: 130 X: 8.14453 +INDEX GOES BRRR: 241 X: 15.1064 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1846 +INDEX GOES BRRR: 410 X: 25.6641 +INDEX GOES BRRR: 287 X: 17.9961 +INDEX GOES BRRR: 96 X: 6.02832 +INDEX GOES BRRR: 108 X: 6.7959 +INDEX GOES BRRR: 1006 X: -1.08203 +INDEX GOES BRRR: 139 X: 8.73047 +INDEX GOES BRRR: 127 X: 7.99023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 87 X: 5.43848 +INDEX GOES BRRR: 187 X: 11.7451 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 186 X: 11.6729 +INDEX GOES BRRR: 385 X: 24.0723 +INDEX GOES BRRR: 335 X: 20.9756 +INDEX GOES BRRR: 156 X: 9.77637 +INDEX GOES BRRR: 961 X: -3.88672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.57812 +INDEX GOES BRRR: 253 X: 15.8232 +INDEX GOES BRRR: 1011 X: -0.802734 +INDEX GOES BRRR: 358 X: 22.4141 +INDEX GOES BRRR: 441 X: 27.5977 +INDEX GOES BRRR: 308 X: 19.293 +INDEX GOES BRRR: 387 X: 24.2363 +INDEX GOES BRRR: 193 X: 12.124 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.90723 +INDEX GOES BRRR: 339 X: 21.2246 +INDEX GOES BRRR: 178 X: 11.1377 +INDEX GOES BRRR: 999 X: -1.5332 +INDEX GOES BRRR: 254 X: 15.9287 +INDEX GOES BRRR: 255 X: 15.9805 +INDEX GOES BRRR: 931 X: -5.76074 +INDEX GOES BRRR: 432 X: 27.0039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 201 X: 12.5879 +INDEX GOES BRRR: 193 X: 12.1172 +INDEX GOES BRRR: 1000 X: -1.45605 +INDEX GOES BRRR: 970 X: -3.3623 +INDEX GOES BRRR: 885 X: -8.66211 +INDEX GOES BRRR: 202 X: 12.6426 +INDEX GOES BRRR: 67 X: 4.18945 +INDEX GOES BRRR: 277 X: 17.3477 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.8379 +INDEX GOES BRRR: 154 X: 9.67871 +INDEX GOES BRRR: 72 X: 4.53613 +INDEX GOES BRRR: 99 X: 6.21289 +INDEX GOES BRRR: 35 X: 2.23535 +INDEX GOES BRRR: 80 X: 5.03027 +INDEX GOES BRRR: 31 X: 1.96777 +INDEX GOES BRRR: 962 X: -3.86523 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.390625 +INDEX GOES BRRR: 966 X: -3.57812 +INDEX GOES BRRR: 409 X: 25.6123 +INDEX GOES BRRR: 880 X: -8.99805 +INDEX GOES BRRR: 134 X: 8.40527 +INDEX GOES BRRR: 23 X: 1.49805 +INDEX GOES BRRR: 165 X: 10.332 +INDEX GOES BRRR: 252 X: 15.7568 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.54297 +INDEX GOES BRRR: 299 X: 18.7432 +INDEX GOES BRRR: 266 X: 16.6523 +INDEX GOES BRRR: 187 X: 11.6875 +INDEX GOES BRRR: 338 X: 21.1836 +INDEX GOES BRRR: 232 X: 14.5039 +INDEX GOES BRRR: 146 X: 9.1416 +INDEX GOES BRRR: 135 X: 8.44336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 986 X: -2.32617 +INDEX GOES BRRR: 12 X: 0.758789 +INDEX GOES BRRR: 242 X: 15.1475 +INDEX GOES BRRR: 25 X: 1.6084 +INDEX GOES BRRR: 346 X: 21.668 +INDEX GOES BRRR: 863 X: -10.0098 +INDEX GOES BRRR: 175 X: 10.998 +INDEX GOES BRRR: 170 X: 10.6699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 231 X: 14.4717 +INDEX GOES BRRR: 5 X: 0.358398 +INDEX GOES BRRR: 18 X: 1.1416 +INDEX GOES BRRR: 932 X: -5.73926 +INDEX GOES BRRR: 119 X: 7.46484 +INDEX GOES BRRR: 278 X: 17.4229 +INDEX GOES BRRR: 52 X: 3.2627 +INDEX GOES BRRR: 55 X: 3.49023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 125 X: 7.87207 +INDEX GOES BRRR: 281 X: 17.6055 +INDEX GOES BRRR: 233 X: 14.5801 +INDEX GOES BRRR: 117 X: 7.35156 +INDEX GOES BRRR: 101 X: 6.31738 +INDEX GOES BRRR: 311 X: 19.4863 +INDEX GOES BRRR: 40 X: 2.53125 +INDEX GOES BRRR: 928 X: -5.97656 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.93262 +INDEX GOES BRRR: 95 X: 5.97656 +INDEX GOES BRRR: 182 X: 11.4219 +INDEX GOES BRRR: 51 X: 3.23633 +INDEX GOES BRRR: 227 X: 14.2246 +INDEX GOES BRRR: 197 X: 12.3721 +INDEX GOES BRRR: 158 X: 9.875 +INDEX GOES BRRR: 23 X: 1.46973 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4229 +INDEX GOES BRRR: 62 X: 3.9209 +INDEX GOES BRRR: 420 X: 26.2559 +INDEX GOES BRRR: 156 X: 9.79883 +INDEX GOES BRRR: 278 X: 17.4258 +INDEX GOES BRRR: 226 X: 14.1719 +INDEX GOES BRRR: 152 X: 9.56055 +INDEX GOES BRRR: 441 X: 27.6084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 78 X: 4.90527 +INDEX GOES BRRR: 737 X: -17.9287 +INDEX GOES BRRR: 3 X: 0.208984 +INDEX GOES BRRR: 999 X: -1.52344 +INDEX GOES BRRR: 124 X: 7.77246 +INDEX GOES BRRR: 145 X: 9.08105 +INDEX GOES BRRR: 128 X: 8.00586 +INDEX GOES BRRR: 55 X: 3.47266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.54199 +INDEX GOES BRRR: 253 X: 15.8643 +INDEX GOES BRRR: 117 X: 7.34961 +INDEX GOES BRRR: 338 X: 21.1807 +INDEX GOES BRRR: 460 X: 28.7686 +INDEX GOES BRRR: 349 X: 21.8564 +INDEX GOES BRRR: 366 X: 22.8916 +INDEX GOES BRRR: 230 X: 14.417 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 201 X: 12.6035 +INDEX GOES BRRR: 243 X: 15.2256 +INDEX GOES BRRR: 250 X: 15.667 +INDEX GOES BRRR: 991 X: -2.04297 +INDEX GOES BRRR: 223 X: 13.9619 +INDEX GOES BRRR: 279 X: 17.4854 +INDEX GOES BRRR: 14 X: 0.891602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 122 X: 7.67773 +INDEX GOES BRRR: 123 X: 7.70801 +INDEX GOES BRRR: 116 X: 7.27832 +INDEX GOES BRRR: 11 X: 0.691406 +INDEX GOES BRRR: 168 X: 10.5039 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 277 X: 17.3262 +INDEX GOES BRRR: 173 X: 10.8125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 289 X: 18.1025 +INDEX GOES BRRR: 176 X: 11.0596 +INDEX GOES BRRR: 45 X: 2.84277 +INDEX GOES BRRR: 230 X: 14.4336 +INDEX GOES BRRR: 321 X: 20.1191 +INDEX GOES BRRR: 120 X: 7.52051 +INDEX GOES BRRR: 315 X: 19.7139 +INDEX GOES BRRR: 169 X: 10.6064 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 206 X: 12.9141 +INDEX GOES BRRR: 990 X: -2.08105 +INDEX GOES BRRR: 358 X: 22.377 +INDEX GOES BRRR: 981 X: -2.64844 +INDEX GOES BRRR: 185 X: 11.6055 +INDEX GOES BRRR: 303 X: 18.957 +INDEX GOES BRRR: 80 X: 5.00586 +INDEX GOES BRRR: 262 X: 16.4043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 812 X: -13.1885 +INDEX GOES BRRR: 229 X: 14.3564 +INDEX GOES BRRR: 221 X: 13.8252 +INDEX GOES BRRR: 74 X: 4.67969 +INDEX GOES BRRR: 1017 X: -0.428711 +INDEX GOES BRRR: 192 X: 12.0127 +INDEX GOES BRRR: 950 X: -4.62012 +INDEX GOES BRRR: 250 X: 15.6572 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 106 X: 6.62598 +INDEX GOES BRRR: 292 X: 18.2607 +INDEX GOES BRRR: 968 X: -3.47461 +INDEX GOES BRRR: 1001 X: -1.42969 +INDEX GOES BRRR: 322 X: 20.165 +INDEX GOES BRRR: 19 X: 1.24609 +INDEX GOES BRRR: 219 X: 13.7041 +INDEX GOES BRRR: 173 X: 10.8516 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6738 +INDEX GOES BRRR: 946 X: -4.85254 +INDEX GOES BRRR: 131 X: 8.23828 +INDEX GOES BRRR: 310 X: 19.4346 +INDEX GOES BRRR: 342 X: 21.3955 +INDEX GOES BRRR: 302 X: 18.875 +INDEX GOES BRRR: 41 X: 2.5791 +INDEX GOES BRRR: 167 X: 10.4385 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4863 +INDEX GOES BRRR: 840 X: -11.4512 +INDEX GOES BRRR: 227 X: 14.1895 +INDEX GOES BRRR: 12 X: 0.757812 +INDEX GOES BRRR: 12 X: 0.808594 +INDEX GOES BRRR: 218 X: 13.626 +INDEX GOES BRRR: 406 X: 25.3779 +INDEX GOES BRRR: 90 X: 5.62695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 998 X: -1.62402 +INDEX GOES BRRR: 204 X: 12.8008 +INDEX GOES BRRR: 33 X: 2.07422 +INDEX GOES BRRR: 239 X: 14.9785 +INDEX GOES BRRR: 955 X: -4.2793 +INDEX GOES BRRR: 66 X: 4.15918 +INDEX GOES BRRR: 231 X: 14.4512 +INDEX GOES BRRR: 331 X: 20.7197 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 124 X: 7.7666 +INDEX GOES BRRR: 223 X: 13.9912 +INDEX GOES BRRR: 40 X: 2.50781 +INDEX GOES BRRR: 307 X: 19.21 +INDEX GOES BRRR: 896 X: -7.98438 +INDEX GOES BRRR: 66 X: 4.17969 +INDEX GOES BRRR: 147 X: 9.22559 +INDEX GOES BRRR: 829 X: -12.1514 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 376 X: 23.5049 +INDEX GOES BRRR: 970 X: -3.33105 +INDEX GOES BRRR: 998 X: -1.5918 +INDEX GOES BRRR: 296 X: 18.5605 +INDEX GOES BRRR: 167 X: 10.4775 +INDEX GOES BRRR: 301 X: 18.8721 +INDEX GOES BRRR: 55 X: 3.44629 +INDEX GOES BRRR: 114 X: 7.13574 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.916 +INDEX GOES BRRR: 125 X: 7.87305 +INDEX GOES BRRR: 11 X: 0.696289 +INDEX GOES BRRR: 423 X: 26.4727 +INDEX GOES BRRR: 461 X: 28.8701 +INDEX GOES BRRR: 2 X: 0.172852 +INDEX GOES BRRR: 419 X: 26.2188 +INDEX GOES BRRR: 366 X: 22.8936 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.48438 +INDEX GOES BRRR: 351 X: 21.9375 +INDEX GOES BRRR: 154 X: 9.62598 +INDEX GOES BRRR: 280 X: 17.5068 +INDEX GOES BRRR: 926 X: -6.06738 +INDEX GOES BRRR: 360 X: 22.5098 +INDEX GOES BRRR: 337 X: 21.0762 +INDEX GOES BRRR: 105 X: 6.57812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9688 +INDEX GOES BRRR: 1005 X: -1.16113 +INDEX GOES BRRR: 191 X: 11.9844 +INDEX GOES BRRR: 16 X: 1.00293 +INDEX GOES BRRR: 326 X: 20.4043 +INDEX GOES BRRR: 221 X: 13.8633 +INDEX GOES BRRR: 14 X: 0.913086 +INDEX GOES BRRR: 6 X: 0.422852 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1011 X: -0.800781 +INDEX GOES BRRR: 154 X: 9.62695 +INDEX GOES BRRR: 1019 X: -0.267578 +INDEX GOES BRRR: 134 X: 8.38867 +INDEX GOES BRRR: 1 X: 0.0986328 +INDEX GOES BRRR: 1011 X: -0.782227 +INDEX GOES BRRR: 271 X: 16.958 +INDEX GOES BRRR: 343 X: 21.4883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 987 X: -2.27344 +INDEX GOES BRRR: 47 X: 2.9502 +INDEX GOES BRRR: 24 X: 1.50293 +INDEX GOES BRRR: 138 X: 8.67871 +INDEX GOES BRRR: 1 X: 0.0898438 +INDEX GOES BRRR: 400 X: 25.0439 +INDEX GOES BRRR: 56 X: 3.50195 +INDEX GOES BRRR: 250 X: 15.667 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.52344 +INDEX GOES BRRR: 247 X: 15.4424 +INDEX GOES BRRR: 148 X: 9.28516 +INDEX GOES BRRR: 947 X: -4.77734 +INDEX GOES BRRR: 181 X: 11.3662 +INDEX GOES BRRR: 873 X: -9.4248 +INDEX GOES BRRR: 136 X: 8.55273 +INDEX GOES BRRR: 1002 X: -1.33691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 21 X: 1.35938 +INDEX GOES BRRR: 1023 X: -0.0224609 +INDEX GOES BRRR: 969 X: -3.38672 +INDEX GOES BRRR: 251 X: 15.7412 +INDEX GOES BRRR: 1014 X: -0.567383 +INDEX GOES BRRR: 917 X: -6.67285 +INDEX GOES BRRR: 407 X: 25.4385 +INDEX GOES BRRR: 65 X: 4.0791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 135 X: 8.48047 +INDEX GOES BRRR: 268 X: 16.7695 +INDEX GOES BRRR: 260 X: 16.2881 +INDEX GOES BRRR: 216 X: 13.5215 +INDEX GOES BRRR: 176 X: 11.043 +INDEX GOES BRRR: 271 X: 16.9834 +INDEX GOES BRRR: 220 X: 13.79 +INDEX GOES BRRR: 441 X: 27.6201 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 308 X: 19.292 +INDEX GOES BRRR: 429 X: 26.8164 +INDEX GOES BRRR: 274 X: 17.1406 +INDEX GOES BRRR: 1018 X: -0.363281 +INDEX GOES BRRR: 294 X: 18.4316 +INDEX GOES BRRR: 239 X: 14.9619 +INDEX GOES BRRR: 9 X: 0.583984 +INDEX GOES BRRR: 85 X: 5.34375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 910 X: -7.11816 +INDEX GOES BRRR: 779 X: -15.2646 +INDEX GOES BRRR: 346 X: 21.6455 +INDEX GOES BRRR: 173 X: 10.8564 +INDEX GOES BRRR: 284 X: 17.8096 +INDEX GOES BRRR: 91 X: 5.70801 +INDEX GOES BRRR: 80 X: 5.03125 +INDEX GOES BRRR: 275 X: 17.1924 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8418 +INDEX GOES BRRR: 347 X: 21.7471 +INDEX GOES BRRR: 303 X: 18.9688 +INDEX GOES BRRR: 60 X: 3.77344 +INDEX GOES BRRR: 111 X: 6.97363 +INDEX GOES BRRR: 237 X: 14.8477 +INDEX GOES BRRR: 870 X: -9.60449 +INDEX GOES BRRR: 80 X: 5.01855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 155 X: 9.69922 +INDEX GOES BRRR: 230 X: 14.4092 +INDEX GOES BRRR: 241 X: 15.1143 +INDEX GOES BRRR: 148 X: 9.26074 +INDEX GOES BRRR: 430 X: 26.9072 +INDEX GOES BRRR: 285 X: 17.8711 +INDEX GOES BRRR: 339 X: 21.1895 +INDEX GOES BRRR: 212 X: 13.2744 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1023 X: -0.0195312 +INDEX GOES BRRR: 219 X: 13.7461 +INDEX GOES BRRR: 405 X: 25.3281 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 176 X: 11.0127 +INDEX GOES BRRR: 149 X: 9.34375 +INDEX GOES BRRR: 110 X: 6.93359 +INDEX GOES BRRR: 200 X: 12.5215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 381 X: 23.8379 +INDEX GOES BRRR: 186 X: 11.6748 +INDEX GOES BRRR: 316 X: 19.75 +INDEX GOES BRRR: 277 X: 17.3594 +INDEX GOES BRRR: 147 X: 9.23535 +INDEX GOES BRRR: 279 X: 17.4873 +INDEX GOES BRRR: 990 X: -2.09375 +INDEX GOES BRRR: 328 X: 20.5342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9912 +INDEX GOES BRRR: 36 X: 2.30176 +INDEX GOES BRRR: 196 X: 12.2646 +INDEX GOES BRRR: 379 X: 23.7314 +INDEX GOES BRRR: 351 X: 21.9863 +INDEX GOES BRRR: 983 X: -2.5332 +INDEX GOES BRRR: 407 X: 25.4531 +INDEX GOES BRRR: 264 X: 16.5342 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.4668 +INDEX GOES BRRR: 131 X: 8.21875 +INDEX GOES BRRR: 942 X: -5.12402 +INDEX GOES BRRR: 88 X: 5.50586 +INDEX GOES BRRR: 962 X: -3.82812 +INDEX GOES BRRR: 302 X: 18.9297 +INDEX GOES BRRR: 142 X: 8.8877 +INDEX GOES BRRR: 72 X: 4.5166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 398 X: 24.8896 +INDEX GOES BRRR: 288 X: 18.0312 +INDEX GOES BRRR: 84 X: 5.27148 +INDEX GOES BRRR: 60 X: 3.75684 +INDEX GOES BRRR: 192 X: 12 +INDEX GOES BRRR: 131 X: 8.2041 +INDEX GOES BRRR: 263 X: 16.4775 +INDEX GOES BRRR: 94 X: 5.90723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6387 +INDEX GOES BRRR: 899 X: -7.78516 +INDEX GOES BRRR: 333 X: 20.8145 +INDEX GOES BRRR: 147 X: 9.24121 +INDEX GOES BRRR: 905 X: -7.41602 +INDEX GOES BRRR: 380 X: 23.7676 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 228 X: 14.2803 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1553 +INDEX GOES BRRR: 166 X: 10.415 +INDEX GOES BRRR: 217 X: 13.6143 +INDEX GOES BRRR: 41 X: 2.60059 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 92 X: 5.75586 +INDEX GOES BRRR: 268 X: 16.7676 +INDEX GOES BRRR: 265 X: 16.6055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 204 X: 12.8096 +INDEX GOES BRRR: 816 X: -12.9424 +INDEX GOES BRRR: 900 X: -7.69531 +INDEX GOES BRRR: 127 X: 7.98633 +INDEX GOES BRRR: 116 X: 7.29883 +INDEX GOES BRRR: 1022 X: -0.110352 +INDEX GOES BRRR: 12 X: 0.796875 +INDEX GOES BRRR: 251 X: 15.7256 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.77734 +INDEX GOES BRRR: 47 X: 2.98047 +INDEX GOES BRRR: 253 X: 15.8604 +INDEX GOES BRRR: 67 X: 4.22949 +INDEX GOES BRRR: 16 X: 1.01758 +INDEX GOES BRRR: 284 X: 17.7627 +INDEX GOES BRRR: 192 X: 12.0225 +INDEX GOES BRRR: 54 X: 3.40723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 995 X: -1.76074 +INDEX GOES BRRR: 464 X: 29.0352 +INDEX GOES BRRR: 142 X: 8.92383 +INDEX GOES BRRR: 139 X: 8.73535 +INDEX GOES BRRR: 994 X: -1.84277 +INDEX GOES BRRR: 216 X: 13.5049 +INDEX GOES BRRR: 172 X: 10.8066 +INDEX GOES BRRR: 177 X: 11.0986 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 119 X: 7.48535 +INDEX GOES BRRR: 313 X: 19.585 +INDEX GOES BRRR: 370 X: 23.1709 +INDEX GOES BRRR: 974 X: -3.10352 +INDEX GOES BRRR: 945 X: -4.9248 +INDEX GOES BRRR: 155 X: 9.74512 +INDEX GOES BRRR: 206 X: 12.8857 +INDEX GOES BRRR: 117 X: 7.33691 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 304 X: 19.041 +INDEX GOES BRRR: 351 X: 21.959 +INDEX GOES BRRR: 177 X: 11.0928 +INDEX GOES BRRR: 67 X: 4.24512 +INDEX GOES BRRR: 412 X: 25.7891 +INDEX GOES BRRR: 241 X: 15.0645 +INDEX GOES BRRR: 110 X: 6.90527 +INDEX GOES BRRR: 158 X: 9.8916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7246 +INDEX GOES BRRR: 300 X: 18.7754 +INDEX GOES BRRR: 336 X: 21 +INDEX GOES BRRR: 92 X: 5.76172 +INDEX GOES BRRR: 266 X: 16.6523 +INDEX GOES BRRR: 101 X: 6.32129 +INDEX GOES BRRR: 916 X: -6.69043 +INDEX GOES BRRR: 1002 X: -1.32227 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 71 X: 4.44043 +INDEX GOES BRRR: 12 X: 0.797852 +INDEX GOES BRRR: 983 X: -2.54004 +INDEX GOES BRRR: 43 X: 2.7207 +INDEX GOES BRRR: 865 X: -9.91406 +INDEX GOES BRRR: 151 X: 9.4873 +INDEX GOES BRRR: 326 X: 20.4248 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7334 +INDEX GOES BRRR: 349 X: 21.8662 +INDEX GOES BRRR: 892 X: -8.21777 +INDEX GOES BRRR: 398 X: 24.9004 +INDEX GOES BRRR: 200 X: 12.5488 +INDEX GOES BRRR: 66 X: 4.13086 +INDEX GOES BRRR: 158 X: 9.93652 +INDEX GOES BRRR: 313 X: 19.5791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 273 X: 17.0918 +INDEX GOES BRRR: 251 X: 15.7109 +INDEX GOES BRRR: 323 X: 20.1943 +INDEX GOES BRRR: 3 X: 0.194336 +INDEX GOES BRRR: 118 X: 7.4248 +INDEX GOES BRRR: 472 X: 29.5166 +INDEX GOES BRRR: 186 X: 11.6416 +INDEX GOES BRRR: 335 X: 20.9404 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 957 X: -4.14355 +INDEX GOES BRRR: 256 X: 16.0166 +INDEX GOES BRRR: 220 X: 13.7588 +INDEX GOES BRRR: 242 X: 15.1475 +INDEX GOES BRRR: 888 X: -8.47852 +INDEX GOES BRRR: 140 X: 8.81055 +INDEX GOES BRRR: 309 X: 19.3252 +INDEX GOES BRRR: 166 X: 10.3818 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.0761719 +INDEX GOES BRRR: 252 X: 15.793 +INDEX GOES BRRR: 147 X: 9.2334 +INDEX GOES BRRR: 141 X: 8.86523 +INDEX GOES BRRR: 196 X: 12.3115 +INDEX GOES BRRR: 91 X: 5.69727 +INDEX GOES BRRR: 840 X: -11.4551 +INDEX GOES BRRR: 249 X: 15.5859 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 230 X: 14.4014 +INDEX GOES BRRR: 180 X: 11.2891 +INDEX GOES BRRR: 775 X: -15.501 +INDEX GOES BRRR: 985 X: -2.37793 +INDEX GOES BRRR: 111 X: 6.94336 +INDEX GOES BRRR: 342 X: 21.4072 +INDEX GOES BRRR: 126 X: 7.93262 +INDEX GOES BRRR: 1021 X: -0.182617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1 X: 0.0625 +INDEX GOES BRRR: 253 X: 15.8164 +INDEX GOES BRRR: 246 X: 15.3799 +INDEX GOES BRRR: 235 X: 14.7041 +INDEX GOES BRRR: 23 X: 1.49609 +INDEX GOES BRRR: 33 X: 2.11426 +INDEX GOES BRRR: 418 X: 26.1787 +INDEX GOES BRRR: 141 X: 8.85938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 882 X: -8.86426 +INDEX GOES BRRR: 294 X: 18.4248 +INDEX GOES BRRR: 183 X: 11.459 +INDEX GOES BRRR: 331 X: 20.7441 +INDEX GOES BRRR: 298 X: 18.6475 +INDEX GOES BRRR: 804 X: -13.7178 +INDEX GOES BRRR: 232 X: 14.543 +INDEX GOES BRRR: 153 X: 9.60742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 954 X: -4.31738 +INDEX GOES BRRR: 163 X: 10.2285 +INDEX GOES BRRR: 320 X: 20.0186 +INDEX GOES BRRR: 437 X: 27.3662 +INDEX GOES BRRR: 306 X: 19.1865 +INDEX GOES BRRR: 158 X: 9.9082 +INDEX GOES BRRR: 134 X: 8.41504 +INDEX GOES BRRR: 184 X: 11.5244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8574 +INDEX GOES BRRR: 167 X: 10.4609 +INDEX GOES BRRR: 331 X: 20.7051 +INDEX GOES BRRR: 357 X: 22.3242 +INDEX GOES BRRR: 119 X: 7.4502 +INDEX GOES BRRR: 266 X: 16.6406 +INDEX GOES BRRR: 471 X: 29.4922 +INDEX GOES BRRR: 127 X: 7.96484 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 75 X: 4.71777 +INDEX GOES BRRR: 87 X: 5.47168 +INDEX GOES BRRR: 3 X: 0.198242 +INDEX GOES BRRR: 998 X: -1.58789 +INDEX GOES BRRR: 123 X: 7.74219 +INDEX GOES BRRR: 295 X: 18.4756 +INDEX GOES BRRR: 33 X: 2.07324 +INDEX GOES BRRR: 112 X: 7.05371 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 238 X: 14.9219 +INDEX GOES BRRR: 128 X: 8.03809 +INDEX GOES BRRR: 5 X: 0.323242 +INDEX GOES BRRR: 79 X: 4.94531 +INDEX GOES BRRR: 275 X: 17.1973 +INDEX GOES BRRR: 81 X: 5.10742 +INDEX GOES BRRR: 174 X: 10.9365 +INDEX GOES BRRR: 290 X: 18.1709 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 310 X: 19.3809 +INDEX GOES BRRR: 211 X: 13.2402 +INDEX GOES BRRR: 265 X: 16.585 +INDEX GOES BRRR: 122 X: 7.63281 +INDEX GOES BRRR: 1020 X: -0.208984 +INDEX GOES BRRR: 232 X: 14.5107 +INDEX GOES BRRR: 67 X: 4.20605 +INDEX GOES BRRR: 242 X: 15.1621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 309 X: 19.3535 +INDEX GOES BRRR: 436 X: 27.3086 +INDEX GOES BRRR: 229 X: 14.3721 +INDEX GOES BRRR: 968 X: -3.46289 +INDEX GOES BRRR: 93 X: 5.8291 +INDEX GOES BRRR: 268 X: 16.7812 +INDEX GOES BRRR: 298 X: 18.666 +INDEX GOES BRRR: 322 X: 20.1289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 160 X: 10.0498 +INDEX GOES BRRR: 202 X: 12.6582 +INDEX GOES BRRR: 44 X: 2.80176 +INDEX GOES BRRR: 96 X: 6.0127 +INDEX GOES BRRR: 211 X: 13.2432 +INDEX GOES BRRR: 40 X: 2.51562 +INDEX GOES BRRR: 242 X: 15.1465 +INDEX GOES BRRR: 156 X: 9.79297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 314 X: 19.6514 +INDEX GOES BRRR: 150 X: 9.41309 +INDEX GOES BRRR: 21 X: 1.33887 +INDEX GOES BRRR: 167 X: 10.4648 +INDEX GOES BRRR: 850 X: -10.8584 +INDEX GOES BRRR: 261 X: 16.3516 +INDEX GOES BRRR: 419 X: 26.2188 +INDEX GOES BRRR: 287 X: 17.9629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0508 +INDEX GOES BRRR: 80 X: 5.00879 +INDEX GOES BRRR: 852 X: -10.7295 +INDEX GOES BRRR: 50 X: 3.18164 +INDEX GOES BRRR: 809 X: -13.4023 +INDEX GOES BRRR: 349 X: 21.8262 +INDEX GOES BRRR: 251 X: 15.6953 +INDEX GOES BRRR: 187 X: 11.7129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 90 X: 5.67285 +INDEX GOES BRRR: 1017 X: -0.436523 +INDEX GOES BRRR: 163 X: 10.2441 +INDEX GOES BRRR: 81 X: 5.11133 +INDEX GOES BRRR: 212 X: 13.2764 +INDEX GOES BRRR: 358 X: 22.3818 +INDEX GOES BRRR: 404 X: 25.2559 +INDEX GOES BRRR: 490 X: 30.6465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 105 X: 6.58887 +INDEX GOES BRRR: 989 X: -2.15332 +INDEX GOES BRRR: 28 X: 1.78418 +INDEX GOES BRRR: 101 X: 6.32812 +INDEX GOES BRRR: 362 X: 22.6787 +INDEX GOES BRRR: 237 X: 14.8184 +INDEX GOES BRRR: 359 X: 22.4512 +INDEX GOES BRRR: 92 X: 5.79785 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.4668 +INDEX GOES BRRR: 878 X: -9.0918 +INDEX GOES BRRR: 212 X: 13.3027 +INDEX GOES BRRR: 135 X: 8.49121 +INDEX GOES BRRR: 67 X: 4.19336 +INDEX GOES BRRR: 137 X: 8.58594 +INDEX GOES BRRR: 104 X: 6.53809 +INDEX GOES BRRR: 912 X: -6.95215 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 113 X: 7.10156 +INDEX GOES BRRR: 61 X: 3.86621 +INDEX GOES BRRR: 118 X: 7.42871 +INDEX GOES BRRR: 322 X: 20.165 +INDEX GOES BRRR: 25 X: 1.61621 +INDEX GOES BRRR: 69 X: 4.31543 +INDEX GOES BRRR: 168 X: 10.5273 +INDEX GOES BRRR: 119 X: 7.49219 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 85 X: 5.31641 +INDEX GOES BRRR: 399 X: 24.958 +INDEX GOES BRRR: 18 X: 1.13281 +INDEX GOES BRRR: 104 X: 6.51465 +INDEX GOES BRRR: 1000 X: -1.46875 +INDEX GOES BRRR: 435 X: 27.1924 +INDEX GOES BRRR: 277 X: 17.3594 +INDEX GOES BRRR: 958 X: -4.11621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6562 +INDEX GOES BRRR: 47 X: 2.94434 +INDEX GOES BRRR: 280 X: 17.501 +INDEX GOES BRRR: 215 X: 13.4746 +INDEX GOES BRRR: 771 X: -15.7559 +INDEX GOES BRRR: 51 X: 3.20898 +INDEX GOES BRRR: 983 X: -2.54688 +INDEX GOES BRRR: 11 X: 0.6875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 340 X: 21.2852 +INDEX GOES BRRR: 155 X: 9.69434 +INDEX GOES BRRR: 156 X: 9.75781 +INDEX GOES BRRR: 191 X: 11.998 +INDEX GOES BRRR: 51 X: 3.20996 +INDEX GOES BRRR: 113 X: 7.10938 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 11 X: 0.706055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.39258 +INDEX GOES BRRR: 184 X: 11.5557 +INDEX GOES BRRR: 72 X: 4.56055 +INDEX GOES BRRR: 177 X: 11.1035 +INDEX GOES BRRR: 336 X: 21.0342 +INDEX GOES BRRR: 30 X: 1.87793 +INDEX GOES BRRR: 169 X: 10.6123 +INDEX GOES BRRR: 348 X: 21.7588 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 249 X: 15.6074 +INDEX GOES BRRR: 193 X: 12.0977 +INDEX GOES BRRR: 102 X: 6.39355 +INDEX GOES BRRR: 472 X: 29.5186 +INDEX GOES BRRR: 153 X: 9.58398 +INDEX GOES BRRR: 45 X: 2.8457 +INDEX GOES BRRR: 77 X: 4.82324 +INDEX GOES BRRR: 171 X: 10.749 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 311 X: 19.4756 +INDEX GOES BRRR: 297 X: 18.6074 +INDEX GOES BRRR: 934 X: -5.58887 +INDEX GOES BRRR: 169 X: 10.5635 +INDEX GOES BRRR: 243 X: 15.1973 +INDEX GOES BRRR: 981 X: -2.67285 +INDEX GOES BRRR: 216 X: 13.5225 +INDEX GOES BRRR: 265 X: 16.5742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.3809 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 432 X: 27.0059 +INDEX GOES BRRR: 438 X: 27.3916 +INDEX GOES BRRR: 225 X: 14.0859 +INDEX GOES BRRR: 413 X: 25.8438 +INDEX GOES BRRR: 260 X: 16.2891 +INDEX GOES BRRR: 277 X: 17.3174 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 10 X: 0.673828 +INDEX GOES BRRR: 169 X: 10.6162 +INDEX GOES BRRR: 89 X: 5.56836 +INDEX GOES BRRR: 61 X: 3.84375 +INDEX GOES BRRR: 392 X: 24.5391 +INDEX GOES BRRR: 191 X: 11.9902 +INDEX GOES BRRR: 181 X: 11.3564 +INDEX GOES BRRR: 272 X: 17.043 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 384 X: 24.0498 +INDEX GOES BRRR: 281 X: 17.5645 +INDEX GOES BRRR: 458 X: 28.6836 +INDEX GOES BRRR: 1013 X: -0.666016 +INDEX GOES BRRR: 384 X: 24.002 +INDEX GOES BRRR: 409 X: 25.6035 +INDEX GOES BRRR: 119 X: 7.45703 +INDEX GOES BRRR: 228 X: 14.2676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 810 X: -13.374 +INDEX GOES BRRR: 196 X: 12.251 +INDEX GOES BRRR: 219 X: 13.7256 +INDEX GOES BRRR: 60 X: 3.75879 +INDEX GOES BRRR: 238 X: 14.8848 +INDEX GOES BRRR: 964 X: -3.70215 +INDEX GOES BRRR: 118 X: 7.38672 +INDEX GOES BRRR: 53 X: 3.35938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 315 X: 19.7051 +INDEX GOES BRRR: 193 X: 12.1143 +INDEX GOES BRRR: 362 X: 22.6777 +INDEX GOES BRRR: 26 X: 1.64355 +INDEX GOES BRRR: 853 X: -10.6543 +INDEX GOES BRRR: 108 X: 6.7832 +INDEX GOES BRRR: 911 X: -7.00098 +INDEX GOES BRRR: 333 X: 20.8447 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 185 X: 11.5957 +INDEX GOES BRRR: 49 X: 3.11719 +INDEX GOES BRRR: 390 X: 24.4287 +INDEX GOES BRRR: 60 X: 3.80273 +INDEX GOES BRRR: 219 X: 13.7422 +INDEX GOES BRRR: 111 X: 6.99414 +INDEX GOES BRRR: 90 X: 5.625 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 397 X: 24.8232 +INDEX GOES BRRR: 304 X: 19.0459 +INDEX GOES BRRR: 114 X: 7.17969 +INDEX GOES BRRR: 165 X: 10.3301 +INDEX GOES BRRR: 275 X: 17.2324 +INDEX GOES BRRR: 23 X: 1.46484 +INDEX GOES BRRR: 52 X: 3.25977 +INDEX GOES BRRR: 39 X: 2.46777 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.626 +INDEX GOES BRRR: 38 X: 2.38965 +INDEX GOES BRRR: 136 X: 8.51562 +INDEX GOES BRRR: 366 X: 22.8984 +INDEX GOES BRRR: 961 X: -3.92383 +INDEX GOES BRRR: 112 X: 7.05566 +INDEX GOES BRRR: 77 X: 4.8584 +INDEX GOES BRRR: 80 X: 5.01562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.89648 +INDEX GOES BRRR: 884 X: -8.75 +INDEX GOES BRRR: 70 X: 4.38184 +INDEX GOES BRRR: 61 X: 3.84766 +INDEX GOES BRRR: 69 X: 4.33984 +INDEX GOES BRRR: 66 X: 4.18457 +INDEX GOES BRRR: 619 X: -25.2949 +INDEX GOES BRRR: 328 X: 20.5254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2793 +INDEX GOES BRRR: 250 X: 15.6836 +INDEX GOES BRRR: 290 X: 18.126 +INDEX GOES BRRR: 171 X: 10.7393 +INDEX GOES BRRR: 107 X: 6.74609 +INDEX GOES BRRR: 802 X: -13.8291 +INDEX GOES BRRR: 25 X: 1.62305 +INDEX GOES BRRR: 28 X: 1.81055 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.501 +INDEX GOES BRRR: 426 X: 26.6602 +INDEX GOES BRRR: 25 X: 1.58984 +INDEX GOES BRRR: 130 X: 8.16406 +INDEX GOES BRRR: 92 X: 5.79004 +INDEX GOES BRRR: 76 X: 4.79395 +INDEX GOES BRRR: 131 X: 8.19727 +INDEX GOES BRRR: 190 X: 11.9307 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 240 X: 15.0508 +INDEX GOES BRRR: 219 X: 13.7031 +INDEX GOES BRRR: 948 X: -4.68848 +INDEX GOES BRRR: 928 X: -5.93945 +INDEX GOES BRRR: 215 X: 13.4561 +INDEX GOES BRRR: 274 X: 17.1504 +INDEX GOES BRRR: 18 X: 1.13184 +INDEX GOES BRRR: 128 X: 8.01172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 317 X: 19.8594 +INDEX GOES BRRR: 315 X: 19.7383 +INDEX GOES BRRR: 365 X: 22.8604 +INDEX GOES BRRR: 1020 X: -0.231445 +INDEX GOES BRRR: 21 X: 1.32031 +INDEX GOES BRRR: 287 X: 17.9854 +INDEX GOES BRRR: 127 X: 7.98047 +INDEX GOES BRRR: 148 X: 9.29492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.27539 +INDEX GOES BRRR: 236 X: 14.7695 +INDEX GOES BRRR: 438 X: 27.3828 +INDEX GOES BRRR: 107 X: 6.70801 +INDEX GOES BRRR: 311 X: 19.4717 +INDEX GOES BRRR: 960 X: -3.95605 +INDEX GOES BRRR: 347 X: 21.7451 +INDEX GOES BRRR: 229 X: 14.3584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.34668 +INDEX GOES BRRR: 267 X: 16.7217 +INDEX GOES BRRR: 45 X: 2.86914 +INDEX GOES BRRR: 468 X: 29.29 +INDEX GOES BRRR: 106 X: 6.64453 +INDEX GOES BRRR: 291 X: 18.2051 +INDEX GOES BRRR: 999 X: -1.50781 +INDEX GOES BRRR: 270 X: 16.9209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.125 +INDEX GOES BRRR: 165 X: 10.333 +INDEX GOES BRRR: 935 X: -5.51465 +INDEX GOES BRRR: 976 X: -2.98535 +INDEX GOES BRRR: 124 X: 7.77344 +INDEX GOES BRRR: 864 X: -9.99023 +INDEX GOES BRRR: 383 X: 23.9531 +INDEX GOES BRRR: 0 X: 0.00585938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.75684 +INDEX GOES BRRR: 969 X: -3.3916 +INDEX GOES BRRR: 467 X: 29.2148 +INDEX GOES BRRR: 987 X: -2.27539 +INDEX GOES BRRR: 104 X: 6.52832 +INDEX GOES BRRR: 206 X: 12.9336 +INDEX GOES BRRR: 266 X: 16.6787 +INDEX GOES BRRR: 68 X: 4.27246 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 412 X: 25.7852 +INDEX GOES BRRR: 983 X: -2.51465 +INDEX GOES BRRR: 296 X: 18.5596 +INDEX GOES BRRR: 28 X: 1.77441 +INDEX GOES BRRR: 21 X: 1.3457 +INDEX GOES BRRR: 244 X: 15.2725 +INDEX GOES BRRR: 934 X: -5.56641 +INDEX GOES BRRR: 49 X: 3.09473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 34 X: 2.1748 +INDEX GOES BRRR: 260 X: 16.2959 +INDEX GOES BRRR: 119 X: 7.49902 +INDEX GOES BRRR: 71 X: 4.47461 +INDEX GOES BRRR: 109 X: 6.85156 +INDEX GOES BRRR: 54 X: 3.41113 +INDEX GOES BRRR: 339 X: 21.1982 +INDEX GOES BRRR: 280 X: 17.5127 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.12793 +INDEX GOES BRRR: 175 X: 10.998 +INDEX GOES BRRR: 138 X: 8.62598 +INDEX GOES BRRR: 60 X: 3.79395 +INDEX GOES BRRR: 216 X: 13.5293 +INDEX GOES BRRR: 468 X: 29.2559 +INDEX GOES BRRR: 906 X: -7.34863 +INDEX GOES BRRR: 380 X: 23.7783 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9609 +INDEX GOES BRRR: 347 X: 21.7227 +INDEX GOES BRRR: 136 X: 8.53516 +INDEX GOES BRRR: 874 X: -9.37012 +INDEX GOES BRRR: 94 X: 5.91602 +INDEX GOES BRRR: 299 X: 18.71 +INDEX GOES BRRR: 84 X: 5.26074 +INDEX GOES BRRR: 153 X: 9.61914 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 174 X: 10.9023 +INDEX GOES BRRR: 310 X: 19.4121 +INDEX GOES BRRR: 158 X: 9.89941 +INDEX GOES BRRR: 156 X: 9.79492 +INDEX GOES BRRR: 114 X: 7.18164 +INDEX GOES BRRR: 464 X: 29.001 +INDEX GOES BRRR: 125 X: 7.83105 +INDEX GOES BRRR: 132 X: 8.28418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 11 X: 0.694336 +INDEX GOES BRRR: 181 X: 11.3438 +INDEX GOES BRRR: 220 X: 13.7764 +INDEX GOES BRRR: 490 X: 30.6719 +INDEX GOES BRRR: 45 X: 2.83887 +INDEX GOES BRRR: 914 X: -6.83984 +INDEX GOES BRRR: 376 X: 23.5176 +INDEX GOES BRRR: 951 X: -4.52441 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 195 X: 12.1924 +INDEX GOES BRRR: 975 X: -3.0498 +INDEX GOES BRRR: 906 X: -7.33301 +INDEX GOES BRRR: 289 X: 18.0908 +INDEX GOES BRRR: 5 X: 0.341797 +INDEX GOES BRRR: 117 X: 7.31836 +INDEX GOES BRRR: 100 X: 6.28027 +INDEX GOES BRRR: 338 X: 21.125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 761 X: -16.4219 +INDEX GOES BRRR: 111 X: 6.97461 +INDEX GOES BRRR: 1001 X: -1.40137 +INDEX GOES BRRR: 990 X: -2.06543 +INDEX GOES BRRR: 76 X: 4.80176 +INDEX GOES BRRR: 395 X: 24.7188 +INDEX GOES BRRR: 304 X: 19.0381 +INDEX GOES BRRR: 373 X: 23.3535 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 192 X: 12.041 +INDEX GOES BRRR: 4 X: 0.279297 +INDEX GOES BRRR: 991 X: -2.0625 +INDEX GOES BRRR: 976 X: -2.98535 +INDEX GOES BRRR: 199 X: 12.4951 +INDEX GOES BRRR: 32 X: 2.03906 +INDEX GOES BRRR: 65 X: 4.10938 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.55762 +INDEX GOES BRRR: 992 X: -1.97461 +INDEX GOES BRRR: 23 X: 1.43945 +INDEX GOES BRRR: 878 X: -9.11328 +INDEX GOES BRRR: 963 X: -3.80273 +INDEX GOES BRRR: 83 X: 5.23242 +INDEX GOES BRRR: 254 X: 15.9062 +INDEX GOES BRRR: 32 X: 2.01465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 942 X: -5.11133 +INDEX GOES BRRR: 158 X: 9.92969 +INDEX GOES BRRR: 18 X: 1.1377 +INDEX GOES BRRR: 92 X: 5.79395 +INDEX GOES BRRR: 165 X: 10.3301 +INDEX GOES BRRR: 88 X: 5.55469 +INDEX GOES BRRR: 185 X: 11.5664 +INDEX GOES BRRR: 1023 X: -0.0166016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 427 X: 26.7471 +INDEX GOES BRRR: 990 X: -2.09766 +INDEX GOES BRRR: 1011 X: -0.770508 +INDEX GOES BRRR: 291 X: 18.2422 +INDEX GOES BRRR: 171 X: 10.7109 +INDEX GOES BRRR: 180 X: 11.2559 +INDEX GOES BRRR: 184 X: 11.5488 +INDEX GOES BRRR: 73 X: 4.5957 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 336 X: 21.0264 +INDEX GOES BRRR: 365 X: 22.8506 +INDEX GOES BRRR: 910 X: -7.10449 +INDEX GOES BRRR: 991 X: -2.00586 +INDEX GOES BRRR: 19 X: 1.24121 +INDEX GOES BRRR: 70 X: 4.37988 +INDEX GOES BRRR: 87 X: 5.44336 +INDEX GOES BRRR: 972 X: -3.23047 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 188 X: 11.7832 +INDEX GOES BRRR: 57 X: 3.60449 +INDEX GOES BRRR: 99 X: 6.20117 +INDEX GOES BRRR: 362 X: 22.666 +INDEX GOES BRRR: 83 X: 5.24707 +INDEX GOES BRRR: 456 X: 28.5215 +INDEX GOES BRRR: 192 X: 12.0557 +INDEX GOES BRRR: 174 X: 10.9131 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4873 +INDEX GOES BRRR: 998 X: -1.57129 +INDEX GOES BRRR: 67 X: 4.21289 +INDEX GOES BRRR: 882 X: -8.82617 +INDEX GOES BRRR: 152 X: 9.54492 +INDEX GOES BRRR: 186 X: 11.6572 +INDEX GOES BRRR: 228 X: 14.2959 +INDEX GOES BRRR: 918 X: -6.62402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 483 X: 30.2461 +INDEX GOES BRRR: 11 X: 0.705078 +INDEX GOES BRRR: 84 X: 5.28613 +INDEX GOES BRRR: 364 X: 22.791 +INDEX GOES BRRR: 293 X: 18.3223 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 172 X: 10.7734 +INDEX GOES BRRR: 167 X: 10.4893 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 116 X: 7.28125 +INDEX GOES BRRR: 1012 X: -0.739258 +INDEX GOES BRRR: 161 X: 10.0859 +INDEX GOES BRRR: 175 X: 10.9922 +INDEX GOES BRRR: 310 X: 19.4365 +INDEX GOES BRRR: 260 X: 16.3115 +INDEX GOES BRRR: 890 X: -8.3457 +INDEX GOES BRRR: 320 X: 20.0547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 35 X: 2.19531 +INDEX GOES BRRR: 254 X: 15.9248 +INDEX GOES BRRR: 86 X: 5.40723 +INDEX GOES BRRR: 282 X: 17.6543 +INDEX GOES BRRR: 6 X: 0.419922 +INDEX GOES BRRR: 277 X: 17.3223 +INDEX GOES BRRR: 216 X: 13.5605 +INDEX GOES BRRR: 99 X: 6.19629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 162 X: 10.1572 +INDEX GOES BRRR: 224 X: 14.0273 +INDEX GOES BRRR: 915 X: -6.78906 +INDEX GOES BRRR: 143 X: 8.96484 +INDEX GOES BRRR: 56 X: 3.51465 +INDEX GOES BRRR: 186 X: 11.6533 +INDEX GOES BRRR: 279 X: 17.4531 +INDEX GOES BRRR: 351 X: 21.9893 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 386 X: 24.1377 +INDEX GOES BRRR: 116 X: 7.27734 +INDEX GOES BRRR: 418 X: 26.127 +INDEX GOES BRRR: 284 X: 17.7773 +INDEX GOES BRRR: 132 X: 8.2959 +INDEX GOES BRRR: 289 X: 18.1162 +INDEX GOES BRRR: 260 X: 16.2705 +INDEX GOES BRRR: 122 X: 7.6416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.56055 +INDEX GOES BRRR: 991 X: -2.0498 +INDEX GOES BRRR: 95 X: 5.9502 +INDEX GOES BRRR: 469 X: 29.333 +INDEX GOES BRRR: 234 X: 14.6406 +INDEX GOES BRRR: 912 X: -6.96582 +INDEX GOES BRRR: 312 X: 19.5234 +INDEX GOES BRRR: 79 X: 4.95996 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 227 X: 14.2236 +INDEX GOES BRRR: 283 X: 17.7285 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 271 X: 16.9492 +INDEX GOES BRRR: 74 X: 4.64648 +INDEX GOES BRRR: 360 X: 22.5049 +INDEX GOES BRRR: 441 X: 27.5654 +INDEX GOES BRRR: 212 X: 13.2559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 56 X: 3.50098 +INDEX GOES BRRR: 1012 X: -0.745117 +INDEX GOES BRRR: 119 X: 7.46582 +INDEX GOES BRRR: 115 X: 7.2334 +INDEX GOES BRRR: 906 X: -7.37402 +INDEX GOES BRRR: 870 X: -9.62402 +INDEX GOES BRRR: 281 X: 17.5791 +INDEX GOES BRRR: 772 X: -15.7266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 954 X: -4.3252 +INDEX GOES BRRR: 235 X: 14.7314 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 116 X: 7.26953 +INDEX GOES BRRR: 123 X: 7.7041 +INDEX GOES BRRR: 101 X: 6.34375 +INDEX GOES BRRR: 77 X: 4.81543 +INDEX GOES BRRR: 186 X: 11.6396 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.29297 +INDEX GOES BRRR: 881 X: -8.87598 +INDEX GOES BRRR: 155 X: 9.69141 +INDEX GOES BRRR: 284 X: 17.7549 +INDEX GOES BRRR: 398 X: 24.9287 +INDEX GOES BRRR: 321 X: 20.1006 +INDEX GOES BRRR: 438 X: 27.4102 +INDEX GOES BRRR: 442 X: 27.6562 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.55664 +INDEX GOES BRRR: 49 X: 3.1084 +INDEX GOES BRRR: 789 X: -14.6318 +INDEX GOES BRRR: 182 X: 11.4277 +INDEX GOES BRRR: 315 X: 19.6982 +INDEX GOES BRRR: 162 X: 10.1846 +INDEX GOES BRRR: 241 X: 15.0674 +INDEX GOES BRRR: 167 X: 10.4941 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.8877 +INDEX GOES BRRR: 175 X: 10.9424 +INDEX GOES BRRR: 1002 X: -1.35645 +INDEX GOES BRRR: 136 X: 8.53223 +INDEX GOES BRRR: 252 X: 15.8115 +INDEX GOES BRRR: 957 X: -4.18457 +INDEX GOES BRRR: 1011 X: -0.765625 +INDEX GOES BRRR: 320 X: 20.0166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 318 X: 19.9131 +INDEX GOES BRRR: 295 X: 18.4961 +INDEX GOES BRRR: 213 X: 13.3574 +INDEX GOES BRRR: 970 X: -3.3291 +INDEX GOES BRRR: 810 X: -13.3652 +INDEX GOES BRRR: 351 X: 21.9668 +INDEX GOES BRRR: 167 X: 10.4863 +INDEX GOES BRRR: 197 X: 12.333 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 196 X: 12.3057 +INDEX GOES BRRR: 371 X: 23.2344 +INDEX GOES BRRR: 385 X: 24.1123 +INDEX GOES BRRR: 391 X: 24.4551 +INDEX GOES BRRR: 117 X: 7.32617 +INDEX GOES BRRR: 429 X: 26.873 +INDEX GOES BRRR: 98 X: 6.18555 +INDEX GOES BRRR: 396 X: 24.7695 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 104 X: 6.53613 +INDEX GOES BRRR: 165 X: 10.3418 +INDEX GOES BRRR: 75 X: 4.70801 +INDEX GOES BRRR: 36 X: 2.25977 +INDEX GOES BRRR: 437 X: 27.3496 +INDEX GOES BRRR: 102 X: 6.43457 +INDEX GOES BRRR: 335 X: 20.9385 +INDEX GOES BRRR: 26 X: 1.63867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.83594 +INDEX GOES BRRR: 960 X: -3.94727 +INDEX GOES BRRR: 142 X: 8.92676 +INDEX GOES BRRR: 100 X: 6.26074 +INDEX GOES BRRR: 453 X: 28.3457 +INDEX GOES BRRR: 172 X: 10.8115 +INDEX GOES BRRR: 208 X: 13.0557 +INDEX GOES BRRR: 947 X: -4.76855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.5625 +INDEX GOES BRRR: 144 X: 9.00781 +INDEX GOES BRRR: 827 X: -12.2686 +INDEX GOES BRRR: 452 X: 28.2939 +INDEX GOES BRRR: 356 X: 22.2969 +INDEX GOES BRRR: 32 X: 2.04297 +INDEX GOES BRRR: 164 X: 10.292 +INDEX GOES BRRR: 963 X: -3.78906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 40 X: 2.51465 +INDEX GOES BRRR: 94 X: 5.89941 +INDEX GOES BRRR: 29 X: 1.84375 +INDEX GOES BRRR: 102 X: 6.39258 +INDEX GOES BRRR: 337 X: 21.085 +INDEX GOES BRRR: 5 X: 0.331055 +INDEX GOES BRRR: 279 X: 17.4912 +INDEX GOES BRRR: 279 X: 17.4521 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 163 X: 10.2422 +INDEX GOES BRRR: 295 X: 18.4434 +INDEX GOES BRRR: 1004 X: -1.2168 +INDEX GOES BRRR: 106 X: 6.64258 +INDEX GOES BRRR: 109 X: 6.8457 +INDEX GOES BRRR: 243 X: 15.248 +INDEX GOES BRRR: 42 X: 2.62695 +INDEX GOES BRRR: 285 X: 17.8164 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 68 X: 4.29102 +INDEX GOES BRRR: 10 X: 0.681641 +INDEX GOES BRRR: 171 X: 10.7246 +INDEX GOES BRRR: 340 X: 21.2666 +INDEX GOES BRRR: 398 X: 24.9248 +INDEX GOES BRRR: 255 X: 15.9854 +INDEX GOES BRRR: 311 X: 19.4756 +INDEX GOES BRRR: 449 X: 28.0947 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.959 +INDEX GOES BRRR: 278 X: 17.4043 +INDEX GOES BRRR: 177 X: 11.1201 +INDEX GOES BRRR: 71 X: 4.47559 +INDEX GOES BRRR: 996 X: -1.7207 +INDEX GOES BRRR: 240 X: 15.0146 +INDEX GOES BRRR: 156 X: 9.78125 +INDEX GOES BRRR: 208 X: 13.0312 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 827 X: -12.2646 +INDEX GOES BRRR: 98 X: 6.16895 +INDEX GOES BRRR: 34 X: 2.16504 +INDEX GOES BRRR: 224 X: 14.0088 +INDEX GOES BRRR: 162 X: 10.1504 +INDEX GOES BRRR: 262 X: 16.4082 +INDEX GOES BRRR: 274 X: 17.166 +INDEX GOES BRRR: 231 X: 14.46 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 858 X: -10.3447 +INDEX GOES BRRR: 106 X: 6.6543 +INDEX GOES BRRR: 159 X: 9.98242 +INDEX GOES BRRR: 990 X: -2.07324 +INDEX GOES BRRR: 75 X: 4.72949 +INDEX GOES BRRR: 245 X: 15.3477 +INDEX GOES BRRR: 21 X: 1.37402 +INDEX GOES BRRR: 90 X: 5.65918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 301 X: 18.8359 +INDEX GOES BRRR: 108 X: 6.79199 +INDEX GOES BRRR: 119 X: 7.44336 +INDEX GOES BRRR: 224 X: 14.0254 +INDEX GOES BRRR: 935 X: -5.5166 +INDEX GOES BRRR: 262 X: 16.4053 +INDEX GOES BRRR: 129 X: 8.08496 +INDEX GOES BRRR: 246 X: 15.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.54395 +INDEX GOES BRRR: 347 X: 21.6963 +INDEX GOES BRRR: 438 X: 27.3809 +INDEX GOES BRRR: 33 X: 2.10547 +INDEX GOES BRRR: 114 X: 7.13086 +INDEX GOES BRRR: 345 X: 21.5928 +INDEX GOES BRRR: 393 X: 24.5947 +INDEX GOES BRRR: 462 X: 28.9014 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 384 X: 24.0566 +INDEX GOES BRRR: 418 X: 26.1299 +INDEX GOES BRRR: 213 X: 13.332 +INDEX GOES BRRR: 97 X: 6.08008 +INDEX GOES BRRR: 18 X: 1.14062 +INDEX GOES BRRR: 954 X: -4.34668 +INDEX GOES BRRR: 376 X: 23.5576 +INDEX GOES BRRR: 257 X: 16.0977 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.48926 +INDEX GOES BRRR: 370 X: 23.168 +INDEX GOES BRRR: 933 X: -5.63965 +INDEX GOES BRRR: 151 X: 9.49902 +INDEX GOES BRRR: 383 X: 23.9502 +INDEX GOES BRRR: 402 X: 25.125 +INDEX GOES BRRR: 212 X: 13.293 +INDEX GOES BRRR: 328 X: 20.5244 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 814 X: -13.0977 +INDEX GOES BRRR: 936 X: -5.4707 +INDEX GOES BRRR: 111 X: 6.95215 +INDEX GOES BRRR: 412 X: 25.7832 +INDEX GOES BRRR: 77 X: 4.86719 +INDEX GOES BRRR: 159 X: 9.97168 +INDEX GOES BRRR: 958 X: -4.09375 +INDEX GOES BRRR: 182 X: 11.3896 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 36 X: 2.2832 +INDEX GOES BRRR: 52 X: 3.29492 +INDEX GOES BRRR: 296 X: 18.502 +INDEX GOES BRRR: 324 X: 20.3008 +INDEX GOES BRRR: 6 X: 0.407227 +INDEX GOES BRRR: 221 X: 13.8184 +INDEX GOES BRRR: 995 X: -1.75586 +INDEX GOES BRRR: 97 X: 6.08887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 321 X: 20.0703 +INDEX GOES BRRR: 988 X: -2.19434 +INDEX GOES BRRR: 178 X: 11.1787 +INDEX GOES BRRR: 985 X: -2.41309 +INDEX GOES BRRR: 124 X: 7.75 +INDEX GOES BRRR: 223 X: 13.9463 +INDEX GOES BRRR: 21 X: 1.36523 +INDEX GOES BRRR: 272 X: 17.0039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 403 X: 25.1963 +INDEX GOES BRRR: 224 X: 14.0107 +INDEX GOES BRRR: 271 X: 16.9697 +INDEX GOES BRRR: 427 X: 26.7412 +INDEX GOES BRRR: 993 X: -1.91992 +INDEX GOES BRRR: 336 X: 21.0254 +INDEX GOES BRRR: 5 X: 0.361328 +INDEX GOES BRRR: 220 X: 13.792 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 385 X: 24.0645 +INDEX GOES BRRR: 1014 X: -0.599609 +INDEX GOES BRRR: 175 X: 10.9824 +INDEX GOES BRRR: 285 X: 17.8154 +INDEX GOES BRRR: 114 X: 7.13086 +INDEX GOES BRRR: 405 X: 25.3662 +INDEX GOES BRRR: 1013 X: -0.655273 +INDEX GOES BRRR: 68 X: 4.26758 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8252 +INDEX GOES BRRR: 122 X: 7.66895 +INDEX GOES BRRR: 138 X: 8.65918 +INDEX GOES BRRR: 150 X: 9.38574 +INDEX GOES BRRR: 424 X: 26.5518 +INDEX GOES BRRR: 328 X: 20.5391 +INDEX GOES BRRR: 76 X: 4.76465 +INDEX GOES BRRR: 475 X: 29.7393 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 14 X: 0.885742 +INDEX GOES BRRR: 400 X: 25.0049 +INDEX GOES BRRR: 261 X: 16.3428 +INDEX GOES BRRR: 15 X: 0.939453 +INDEX GOES BRRR: 302 X: 18.8945 +INDEX GOES BRRR: 84 X: 5.28613 +INDEX GOES BRRR: 999 X: -1.5625 +INDEX GOES BRRR: 841 X: -11.3809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 59 X: 3.69238 +INDEX GOES BRRR: 174 X: 10.9229 +INDEX GOES BRRR: 983 X: -2.55762 +INDEX GOES BRRR: 921 X: -6.39453 +INDEX GOES BRRR: 184 X: 11.5254 +INDEX GOES BRRR: 127 X: 7.95703 +INDEX GOES BRRR: 31 X: 1.96289 +INDEX GOES BRRR: 120 X: 7.5459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 263 X: 16.4707 +INDEX GOES BRRR: 242 X: 15.1279 +INDEX GOES BRRR: 208 X: 13.0225 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 278 X: 17.4102 +INDEX GOES BRRR: 67 X: 4.24023 +INDEX GOES BRRR: 352 X: 22.0537 +INDEX GOES BRRR: 163 X: 10.249 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 315 X: 19.7168 +INDEX GOES BRRR: 971 X: -3.27539 +INDEX GOES BRRR: 400 X: 25.0176 +INDEX GOES BRRR: 42 X: 2.63086 +INDEX GOES BRRR: 286 X: 17.8877 +INDEX GOES BRRR: 334 X: 20.9131 +INDEX GOES BRRR: 927 X: -6.03809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.84668 +INDEX GOES BRRR: 255 X: 15.9805 +INDEX GOES BRRR: 158 X: 9.93164 +INDEX GOES BRRR: 118 X: 7.40332 +INDEX GOES BRRR: 254 X: 15.9365 +INDEX GOES BRRR: 110 X: 6.89844 +INDEX GOES BRRR: 58 X: 3.67676 +INDEX GOES BRRR: 406 X: 25.3916 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 6 X: 0.422852 +INDEX GOES BRRR: 66 X: 4.17773 +INDEX GOES BRRR: 146 X: 9.17383 +INDEX GOES BRRR: 76 X: 4.75391 +INDEX GOES BRRR: 483 X: 30.1904 +INDEX GOES BRRR: 210 X: 13.1279 +INDEX GOES BRRR: 86 X: 5.40723 +INDEX GOES BRRR: 1009 X: -0.90918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 120 X: 7.53516 +INDEX GOES BRRR: 387 X: 24.2432 +INDEX GOES BRRR: 86 X: 5.43262 +INDEX GOES BRRR: 136 X: 8.55859 +INDEX GOES BRRR: 119 X: 7.4668 +INDEX GOES BRRR: 155 X: 9.68848 +INDEX GOES BRRR: 138 X: 8.66211 +INDEX GOES BRRR: 203 X: 12.7188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 12 X: 0.797852 +INDEX GOES BRRR: 241 X: 15.1084 +INDEX GOES BRRR: 470 X: 29.3877 +INDEX GOES BRRR: 328 X: 20.5459 +INDEX GOES BRRR: 33 X: 2.11719 +INDEX GOES BRRR: 255 X: 15.9424 +INDEX GOES BRRR: 158 X: 9.87695 +INDEX GOES BRRR: 40 X: 2.54492 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 993 X: -1.8877 +INDEX GOES BRRR: 81 X: 5.08203 +INDEX GOES BRRR: 301 X: 18.8389 +INDEX GOES BRRR: 413 X: 25.832 +INDEX GOES BRRR: 787 X: -14.751 +INDEX GOES BRRR: 988 X: -2.2041 +INDEX GOES BRRR: 117 X: 7.31445 +INDEX GOES BRRR: 227 X: 14.2363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 166 X: 10.3779 +INDEX GOES BRRR: 284 X: 17.7646 +INDEX GOES BRRR: 1003 X: -1.29785 +INDEX GOES BRRR: 35 X: 2.24805 +INDEX GOES BRRR: 161 X: 10.0801 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 37 X: 2.33496 +INDEX GOES BRRR: 81 X: 5.07129 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 255 X: 15.9658 +INDEX GOES BRRR: 314 X: 19.6455 +INDEX GOES BRRR: 232 X: 14.5508 +INDEX GOES BRRR: 45 X: 2.85938 +INDEX GOES BRRR: 168 X: 10.5215 +INDEX GOES BRRR: 195 X: 12.209 +INDEX GOES BRRR: 193 X: 12.1104 +INDEX GOES BRRR: 244 X: 15.2715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 903 X: -7.5498 +INDEX GOES BRRR: 54 X: 3.37695 +INDEX GOES BRRR: 60 X: 3.80176 +INDEX GOES BRRR: 59 X: 3.72363 +INDEX GOES BRRR: 211 X: 13.2207 +INDEX GOES BRRR: 100 X: 6.28516 +INDEX GOES BRRR: 80 X: 5.05469 +INDEX GOES BRRR: 175 X: 10.9736 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 391 X: 24.4688 +INDEX GOES BRRR: 381 X: 23.8145 +INDEX GOES BRRR: 371 X: 23.1934 +INDEX GOES BRRR: 336 X: 21.0469 +INDEX GOES BRRR: 217 X: 13.5625 +INDEX GOES BRRR: 178 X: 11.1543 +INDEX GOES BRRR: 109 X: 6.83984 +INDEX GOES BRRR: 324 X: 20.2891 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 64 X: 4.02832 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 351 X: 21.9434 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 248 X: 15.5254 +INDEX GOES BRRR: 179 X: 11.2383 +INDEX GOES BRRR: 227 X: 14.2148 +INDEX GOES BRRR: 124 X: 7.78418 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.958 +INDEX GOES BRRR: 399 X: 24.9756 +INDEX GOES BRRR: 70 X: 4.40918 +INDEX GOES BRRR: 950 X: -4.61621 +INDEX GOES BRRR: 309 X: 19.3379 +INDEX GOES BRRR: 382 X: 23.9238 +INDEX GOES BRRR: 294 X: 18.4277 +INDEX GOES BRRR: 912 X: -6.96094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 330 X: 20.6787 +INDEX GOES BRRR: 83 X: 5.22266 +INDEX GOES BRRR: 989 X: -2.13281 +INDEX GOES BRRR: 269 X: 16.8701 +INDEX GOES BRRR: 1022 X: -0.115234 +INDEX GOES BRRR: 86 X: 5.43652 +INDEX GOES BRRR: 258 X: 16.1865 +INDEX GOES BRRR: 124 X: 7.76172 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 375 X: 23.4453 +INDEX GOES BRRR: 984 X: -2.47559 +INDEX GOES BRRR: 52 X: 3.25 +INDEX GOES BRRR: 20 X: 1.29004 +INDEX GOES BRRR: 33 X: 2.11523 +INDEX GOES BRRR: 301 X: 18.8652 +INDEX GOES BRRR: 128 X: 8.00488 +INDEX GOES BRRR: 383 X: 23.9844 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 272 X: 17.0557 +INDEX GOES BRRR: 205 X: 12.8594 +INDEX GOES BRRR: 136 X: 8.54688 +INDEX GOES BRRR: 966 X: -3.58301 +INDEX GOES BRRR: 951 X: -4.51465 +INDEX GOES BRRR: 350 X: 21.877 +INDEX GOES BRRR: 117 X: 7.35352 +INDEX GOES BRRR: 344 X: 21.5469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1019 X: -0.311523 +INDEX GOES BRRR: 12 X: 0.787109 +INDEX GOES BRRR: 170 X: 10.6465 +INDEX GOES BRRR: 363 X: 22.707 +INDEX GOES BRRR: 248 X: 15.5078 +INDEX GOES BRRR: 946 X: -4.86816 +INDEX GOES BRRR: 144 X: 9.01758 +INDEX GOES BRRR: 17 X: 1.10547 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.7334 +INDEX GOES BRRR: 7 X: 0.442383 +INDEX GOES BRRR: 156 X: 9.75977 +INDEX GOES BRRR: 210 X: 13.1816 +INDEX GOES BRRR: 953 X: -4.43457 +INDEX GOES BRRR: 294 X: 18.4219 +INDEX GOES BRRR: 432 X: 27.0449 +INDEX GOES BRRR: 310 X: 19.3887 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 99 X: 6.19238 +INDEX GOES BRRR: 180 X: 11.293 +INDEX GOES BRRR: 112 X: 7.05957 +INDEX GOES BRRR: 67 X: 4.21289 +INDEX GOES BRRR: 326 X: 20.4297 +INDEX GOES BRRR: 185 X: 11.5732 +INDEX GOES BRRR: 175 X: 10.959 +INDEX GOES BRRR: 934 X: -5.58105 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.665 +INDEX GOES BRRR: 965 X: -3.66797 +INDEX GOES BRRR: 393 X: 24.5762 +INDEX GOES BRRR: 990 X: -2.10742 +INDEX GOES BRRR: 392 X: 24.5332 +INDEX GOES BRRR: 98 X: 6.15137 +INDEX GOES BRRR: 181 X: 11.3135 +INDEX GOES BRRR: 293 X: 18.3174 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 202 X: 12.6533 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 355 X: 22.209 +INDEX GOES BRRR: 1008 X: -0.973633 +INDEX GOES BRRR: 437 X: 27.3457 +INDEX GOES BRRR: 282 X: 17.6367 +INDEX GOES BRRR: 206 X: 12.8965 +INDEX GOES BRRR: 347 X: 21.7363 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 904 X: -7.4668 +INDEX GOES BRRR: 235 X: 14.6973 +INDEX GOES BRRR: 47 X: 2.95996 +INDEX GOES BRRR: 904 X: -7.47363 +INDEX GOES BRRR: 37 X: 2.33789 +INDEX GOES BRRR: 284 X: 17.7686 +INDEX GOES BRRR: 824 X: -12.4932 +INDEX GOES BRRR: 245 X: 15.3525 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 286 X: 17.8857 +INDEX GOES BRRR: 85 X: 5.32129 +INDEX GOES BRRR: 84 X: 5.25098 +INDEX GOES BRRR: 42 X: 2.65137 +INDEX GOES BRRR: 172 X: 10.7666 +INDEX GOES BRRR: 249 X: 15.6104 +INDEX GOES BRRR: 362 X: 22.6445 +INDEX GOES BRRR: 43 X: 2.73926 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 31 X: 1.9834 +INDEX GOES BRRR: 335 X: 20.9414 +INDEX GOES BRRR: 88 X: 5.53613 +INDEX GOES BRRR: 218 X: 13.6357 +INDEX GOES BRRR: 147 X: 9.22754 +INDEX GOES BRRR: 987 X: -2.30371 +INDEX GOES BRRR: 81 X: 5.07227 +INDEX GOES BRRR: 325 X: 20.3584 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 914 X: -6.83301 +INDEX GOES BRRR: 159 X: 9.95703 +INDEX GOES BRRR: 136 X: 8.50293 +INDEX GOES BRRR: 202 X: 12.666 +INDEX GOES BRRR: 1014 X: -0.589844 +INDEX GOES BRRR: 71 X: 4.4707 +INDEX GOES BRRR: 176 X: 11.0352 +INDEX GOES BRRR: 991 X: -2.00488 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 103 X: 6.43848 +INDEX GOES BRRR: 9 X: 0.594727 +INDEX GOES BRRR: 975 X: -3.0459 +INDEX GOES BRRR: 333 X: 20.8271 +INDEX GOES BRRR: 128 X: 8.04688 +INDEX GOES BRRR: 67 X: 4.22168 +INDEX GOES BRRR: 182 X: 11.3936 +INDEX GOES BRRR: 286 X: 17.9121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 171 X: 10.6904 +INDEX GOES BRRR: 129 X: 8.10449 +INDEX GOES BRRR: 211 X: 13.2422 +INDEX GOES BRRR: 343 X: 21.4453 +INDEX GOES BRRR: 161 X: 10.0742 +INDEX GOES BRRR: 1014 X: -0.623047 +INDEX GOES BRRR: 274 X: 17.1553 +INDEX GOES BRRR: 223 X: 13.9424 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 197 X: 12.3184 +INDEX GOES BRRR: 956 X: -4.24219 +INDEX GOES BRRR: 995 X: -1.7793 +INDEX GOES BRRR: 256 X: 16.0293 +INDEX GOES BRRR: 918 X: -6.60449 +INDEX GOES BRRR: 892 X: -8.24121 +INDEX GOES BRRR: 879 X: -9.01953 +INDEX GOES BRRR: 293 X: 18.3232 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 92 X: 5.80176 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 105 X: 6.56348 +INDEX GOES BRRR: 188 X: 11.7812 +INDEX GOES BRRR: 105 X: 6.59082 +INDEX GOES BRRR: 136 X: 8.51172 +INDEX GOES BRRR: 193 X: 12.0684 +INDEX GOES BRRR: 341 X: 21.3652 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 5 X: 0.334961 +INDEX GOES BRRR: 203 X: 12.6992 +INDEX GOES BRRR: 315 X: 19.7402 +INDEX GOES BRRR: 366 X: 22.8828 +INDEX GOES BRRR: 236 X: 14.7842 +INDEX GOES BRRR: 326 X: 20.3887 +INDEX GOES BRRR: 1002 X: -1.36133 +INDEX GOES BRRR: 168 X: 10.5205 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 178 X: 11.1621 +INDEX GOES BRRR: 65 X: 4.07031 +INDEX GOES BRRR: 234 X: 14.6797 +INDEX GOES BRRR: 246 X: 15.3848 +INDEX GOES BRRR: 201 X: 12.624 +INDEX GOES BRRR: 406 X: 25.4121 +INDEX GOES BRRR: 456 X: 28.5146 +INDEX GOES BRRR: 1012 X: -0.696289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 271 X: 16.9736 +INDEX GOES BRRR: 98 X: 6.1377 +INDEX GOES BRRR: 390 X: 24.4102 +INDEX GOES BRRR: 52 X: 3.29004 +INDEX GOES BRRR: 173 X: 10.835 +INDEX GOES BRRR: 930 X: -5.81836 +INDEX GOES BRRR: 47 X: 2.97559 +INDEX GOES BRRR: 247 X: 15.4414 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 144 X: 9.0498 +INDEX GOES BRRR: 341 X: 21.3301 +INDEX GOES BRRR: 151 X: 9.44727 +INDEX GOES BRRR: 319 X: 19.9639 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 100 X: 6.28223 +INDEX GOES BRRR: 266 X: 16.6738 +INDEX GOES BRRR: 204 X: 12.8086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 27 X: 1.7334 +INDEX GOES BRRR: 96 X: 6.01074 +INDEX GOES BRRR: 163 X: 10.2002 +INDEX GOES BRRR: 955 X: -4.29297 +INDEX GOES BRRR: 81 X: 5.10742 +INDEX GOES BRRR: 407 X: 25.4883 +INDEX GOES BRRR: 942 X: -5.11621 +INDEX GOES BRRR: 18 X: 1.1377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 422 X: 26.3828 +INDEX GOES BRRR: 96 X: 6 +INDEX GOES BRRR: 158 X: 9.93359 +INDEX GOES BRRR: 144 X: 9.0293 +INDEX GOES BRRR: 107 X: 6.71973 +INDEX GOES BRRR: 400 X: 25.0352 +INDEX GOES BRRR: 149 X: 9.36035 +INDEX GOES BRRR: 61 X: 3.82715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.76758 +INDEX GOES BRRR: 208 X: 13.0166 +INDEX GOES BRRR: 18 X: 1.16895 +INDEX GOES BRRR: 266 X: 16.6572 +INDEX GOES BRRR: 10 X: 0.681641 +INDEX GOES BRRR: 245 X: 15.3281 +INDEX GOES BRRR: 113 X: 7.10547 +INDEX GOES BRRR: 262 X: 16.4297 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 152 X: 9.51465 +INDEX GOES BRRR: 966 X: -3.56445 +INDEX GOES BRRR: 910 X: -7.08203 +INDEX GOES BRRR: 178 X: 11.1523 +INDEX GOES BRRR: 202 X: 12.6826 +INDEX GOES BRRR: 319 X: 19.9443 +INDEX GOES BRRR: 901 X: -7.64941 +INDEX GOES BRRR: 224 X: 14.0576 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.5664 +INDEX GOES BRRR: 366 X: 22.9219 +INDEX GOES BRRR: 4 X: 0.263672 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 105 X: 6.61914 +INDEX GOES BRRR: 204 X: 12.7598 +INDEX GOES BRRR: 197 X: 12.3672 +INDEX GOES BRRR: 12 X: 0.807617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 293 X: 18.3428 +INDEX GOES BRRR: 432 X: 27.0498 +INDEX GOES BRRR: 985 X: -2.41699 +INDEX GOES BRRR: 98 X: 6.13281 +INDEX GOES BRRR: 974 X: -3.11621 +INDEX GOES BRRR: 161 X: 10.0869 +INDEX GOES BRRR: 157 X: 9.84473 +INDEX GOES BRRR: 852 X: -10.7002 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 233 X: 14.5625 +INDEX GOES BRRR: 198 X: 12.3809 +INDEX GOES BRRR: 198 X: 12.4043 +INDEX GOES BRRR: 26 X: 1.67676 +INDEX GOES BRRR: 230 X: 14.3779 +INDEX GOES BRRR: 168 X: 10.5479 +INDEX GOES BRRR: 23 X: 1.44434 +INDEX GOES BRRR: 207 X: 12.959 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 102 X: 6.39648 +INDEX GOES BRRR: 209 X: 13.1143 +INDEX GOES BRRR: 941 X: -5.1748 +INDEX GOES BRRR: 212 X: 13.292 +INDEX GOES BRRR: 387 X: 24.2373 +INDEX GOES BRRR: 93 X: 5.86035 +INDEX GOES BRRR: 155 X: 9.73633 +INDEX GOES BRRR: 22 X: 1.43359 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 415 X: 25.9521 +INDEX GOES BRRR: 166 X: 10.3994 +INDEX GOES BRRR: 112 X: 7.05078 +INDEX GOES BRRR: 944 X: -4.95703 +INDEX GOES BRRR: 1002 X: -1.3584 +INDEX GOES BRRR: 157 X: 9.81738 +INDEX GOES BRRR: 164 X: 10.2627 +INDEX GOES BRRR: 158 X: 9.8877 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 181 X: 11.3516 +INDEX GOES BRRR: 254 X: 15.9004 +INDEX GOES BRRR: 96 X: 6.02441 +INDEX GOES BRRR: 412 X: 25.8066 +INDEX GOES BRRR: 233 X: 14.5928 +INDEX GOES BRRR: 221 X: 13.8467 +INDEX GOES BRRR: 357 X: 22.3125 +INDEX GOES BRRR: 1006 X: -1.06641 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 250 X: 15.6689 +INDEX GOES BRRR: 838 X: -11.624 +INDEX GOES BRRR: 357 X: 22.3213 +INDEX GOES BRRR: 184 X: 11.5234 +INDEX GOES BRRR: 111 X: 6.95801 +INDEX GOES BRRR: 170 X: 10.6562 +INDEX GOES BRRR: 162 X: 10.1387 +INDEX GOES BRRR: 202 X: 12.6377 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 359 X: 22.4668 +INDEX GOES BRRR: 259 X: 16.1914 +INDEX GOES BRRR: 213 X: 13.3379 +INDEX GOES BRRR: 217 X: 13.6133 +INDEX GOES BRRR: 195 X: 12.2129 +INDEX GOES BRRR: 217 X: 13.5762 +INDEX GOES BRRR: 48 X: 3.03418 +INDEX GOES BRRR: 56 X: 3.54883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 132 X: 8.30469 +INDEX GOES BRRR: 100 X: 6.26074 +INDEX GOES BRRR: 2 X: 0.160156 +INDEX GOES BRRR: 157 X: 9.86816 +INDEX GOES BRRR: 102 X: 6.39941 +INDEX GOES BRRR: 113 X: 7.11426 +INDEX GOES BRRR: 73 X: 4.58789 +INDEX GOES BRRR: 1006 X: -1.11621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 380 X: 23.7686 +INDEX GOES BRRR: 943 X: -5.05762 +INDEX GOES BRRR: 923 X: -6.26367 +INDEX GOES BRRR: 297 X: 18.5879 +INDEX GOES BRRR: 260 X: 16.2715 +INDEX GOES BRRR: 42 X: 2.6543 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 266 X: 16.6836 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 133 X: 8.36621 +INDEX GOES BRRR: 165 X: 10.3379 +INDEX GOES BRRR: 65 X: 4.09082 +INDEX GOES BRRR: 306 X: 19.1348 +INDEX GOES BRRR: 266 X: 16.6602 +INDEX GOES BRRR: 97 X: 6.12207 +INDEX GOES BRRR: 241 X: 15.0869 +INDEX GOES BRRR: 239 X: 14.9443 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8281 +INDEX GOES BRRR: 107 X: 6.6875 +INDEX GOES BRRR: 41 X: 2.5752 +INDEX GOES BRRR: 851 X: -10.7803 +INDEX GOES BRRR: 50 X: 3.12988 +INDEX GOES BRRR: 202 X: 12.666 +INDEX GOES BRRR: 199 X: 12.4854 +INDEX GOES BRRR: 1017 X: -0.421875 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 345 X: 21.5762 +INDEX GOES BRRR: 300 X: 18.8037 +INDEX GOES BRRR: 321 X: 20.082 +INDEX GOES BRRR: 1014 X: -0.573242 +INDEX GOES BRRR: 388 X: 24.3018 +INDEX GOES BRRR: 1013 X: -0.634766 +INDEX GOES BRRR: 161 X: 10.0986 +INDEX GOES BRRR: 295 X: 18.4629 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.665 +INDEX GOES BRRR: 277 X: 17.3389 +INDEX GOES BRRR: 45 X: 2.86523 +INDEX GOES BRRR: 357 X: 22.3584 +INDEX GOES BRRR: 158 X: 9.87695 +INDEX GOES BRRR: 383 X: 23.9551 +INDEX GOES BRRR: 6 X: 0.418945 +INDEX GOES BRRR: 339 X: 21.2051 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 939 X: -5.3125 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 306 X: 19.1426 +INDEX GOES BRRR: 212 X: 13.2734 +INDEX GOES BRRR: 414 X: 25.8867 +INDEX GOES BRRR: 963 X: -3.77441 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 366 X: 22.9238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.667 +INDEX GOES BRRR: 276 X: 17.2812 +INDEX GOES BRRR: 161 X: 10.0967 +INDEX GOES BRRR: 403 X: 25.248 +INDEX GOES BRRR: 385 X: 24.1055 +INDEX GOES BRRR: 1 X: 0.078125 +INDEX GOES BRRR: 91 X: 5.69531 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 175 X: 10.9902 +INDEX GOES BRRR: 47 X: 2.95703 +INDEX GOES BRRR: 140 X: 8.78516 +INDEX GOES BRRR: 973 X: -3.16113 +INDEX GOES BRRR: 383 X: 23.9502 +INDEX GOES BRRR: 166 X: 10.377 +INDEX GOES BRRR: 312 X: 19.5186 +INDEX GOES BRRR: 225 X: 14.0791 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 997 X: -1.62891 +INDEX GOES BRRR: 199 X: 12.4688 +INDEX GOES BRRR: 3 X: 0.212891 +INDEX GOES BRRR: 68 X: 4.27539 +INDEX GOES BRRR: 138 X: 8.6709 +INDEX GOES BRRR: 431 X: 26.9473 +INDEX GOES BRRR: 187 X: 11.6875 +INDEX GOES BRRR: 162 X: 10.1465 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 277 X: 17.3389 +INDEX GOES BRRR: 23 X: 1.45215 +INDEX GOES BRRR: 199 X: 12.4971 +INDEX GOES BRRR: 44 X: 2.7627 +INDEX GOES BRRR: 242 X: 15.1396 +INDEX GOES BRRR: 225 X: 14.0938 +INDEX GOES BRRR: 331 X: 20.7148 +INDEX GOES BRRR: 984 X: -2.4873 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 45 X: 2.84082 +INDEX GOES BRRR: 1005 X: -1.16309 +INDEX GOES BRRR: 115 X: 7.2002 +INDEX GOES BRRR: 394 X: 24.6504 +INDEX GOES BRRR: 304 X: 19.0146 +INDEX GOES BRRR: 143 X: 8.98535 +INDEX GOES BRRR: 259 X: 16.2354 +INDEX GOES BRRR: 912 X: -6.99805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.28809 +INDEX GOES BRRR: 987 X: -2.29883 +INDEX GOES BRRR: 182 X: 11.3867 +INDEX GOES BRRR: 24 X: 1.50391 +INDEX GOES BRRR: 151 X: 9.45898 +INDEX GOES BRRR: 294 X: 18.4277 +INDEX GOES BRRR: 58 X: 3.66211 +INDEX GOES BRRR: 184 X: 11.5059 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 475 X: 29.7402 +INDEX GOES BRRR: 5 X: 0.320312 +INDEX GOES BRRR: 165 X: 10.3379 +INDEX GOES BRRR: 179 X: 11.2178 +INDEX GOES BRRR: 981 X: -2.64648 +INDEX GOES BRRR: 298 X: 18.6729 +INDEX GOES BRRR: 50 X: 3.12695 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.92285 +INDEX GOES BRRR: 118 X: 7.40332 +INDEX GOES BRRR: 1014 X: -0.581055 +INDEX GOES BRRR: 896 X: -7.98145 +INDEX GOES BRRR: 194 X: 12.1846 +INDEX GOES BRRR: 129 X: 8.09961 +INDEX GOES BRRR: 993 X: -1.92969 +INDEX GOES BRRR: 212 X: 13.2988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 158 X: 9.89062 +INDEX GOES BRRR: 330 X: 20.6514 +INDEX GOES BRRR: 82 X: 5.16211 +INDEX GOES BRRR: 10 X: 0.632812 +INDEX GOES BRRR: 914 X: -6.86719 +INDEX GOES BRRR: 241 X: 15.0703 +INDEX GOES BRRR: 802 X: -13.8135 +INDEX GOES BRRR: 164 X: 10.2842 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 242 X: 15.1338 +INDEX GOES BRRR: 70 X: 4.40137 +INDEX GOES BRRR: 1018 X: -0.359375 +INDEX GOES BRRR: 975 X: -3.04297 +INDEX GOES BRRR: 164 X: 10.2783 +INDEX GOES BRRR: 898 X: -7.8291 +INDEX GOES BRRR: 115 X: 7.21387 +INDEX GOES BRRR: 256 X: 16.0283 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 968 X: -3.47461 +INDEX GOES BRRR: 95 X: 5.93945 +INDEX GOES BRRR: 242 X: 15.1602 +INDEX GOES BRRR: 998 X: -1.59863 +INDEX GOES BRRR: 979 X: -2.75293 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 85 X: 5.33887 +INDEX GOES BRRR: 361 X: 22.5742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5586 +INDEX GOES BRRR: 81 X: 5.11328 +INDEX GOES BRRR: 903 X: -7.54395 +INDEX GOES BRRR: 135 X: 8.48242 +INDEX GOES BRRR: 47 X: 2.97266 +INDEX GOES BRRR: 390 X: 24.377 +INDEX GOES BRRR: 270 X: 16.9092 +INDEX GOES BRRR: 955 X: -4.2627 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 453 X: 28.3232 +INDEX GOES BRRR: 308 X: 19.2812 +INDEX GOES BRRR: 333 X: 20.8721 +INDEX GOES BRRR: 880 X: -8.98438 +INDEX GOES BRRR: 287 X: 17.9932 +INDEX GOES BRRR: 140 X: 8.78027 +INDEX GOES BRRR: 9 X: 0.597656 +INDEX GOES BRRR: 274 X: 17.1592 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.03027 +INDEX GOES BRRR: 21 X: 1.31738 +INDEX GOES BRRR: 76 X: 4.77148 +INDEX GOES BRRR: 100 X: 6.27539 +INDEX GOES BRRR: 1012 X: -0.719727 +INDEX GOES BRRR: 147 X: 9.2207 +INDEX GOES BRRR: 326 X: 20.4131 +INDEX GOES BRRR: 182 X: 11.4209 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6855 +INDEX GOES BRRR: 113 X: 7.07324 +INDEX GOES BRRR: 61 X: 3.81445 +INDEX GOES BRRR: 135 X: 8.4668 +INDEX GOES BRRR: 181 X: 11.334 +INDEX GOES BRRR: 386 X: 24.1309 +INDEX GOES BRRR: 379 X: 23.7363 +INDEX GOES BRRR: 167 X: 10.4746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 241 X: 15.1006 +INDEX GOES BRRR: 121 X: 7.57812 +INDEX GOES BRRR: 767 X: -16.0039 +INDEX GOES BRRR: 164 X: 10.2959 +INDEX GOES BRRR: 144 X: 9.0166 +INDEX GOES BRRR: 954 X: -4.34375 +INDEX GOES BRRR: 122 X: 7.67578 +INDEX GOES BRRR: 358 X: 22.4141 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 998 X: -1.60254 +INDEX GOES BRRR: 9 X: 0.583008 +INDEX GOES BRRR: 170 X: 10.6436 +INDEX GOES BRRR: 98 X: 6.13379 +INDEX GOES BRRR: 1006 X: -1.09473 +INDEX GOES BRRR: 163 X: 10.2295 +INDEX GOES BRRR: 264 X: 16.5527 +INDEX GOES BRRR: 46 X: 2.90137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1 X: 0.0820312 +INDEX GOES BRRR: 221 X: 13.8525 +INDEX GOES BRRR: 410 X: 25.6309 +INDEX GOES BRRR: 176 X: 11.042 +INDEX GOES BRRR: 250 X: 15.6475 +INDEX GOES BRRR: 79 X: 4.94922 +INDEX GOES BRRR: 199 X: 12.458 +INDEX GOES BRRR: 332 X: 20.7559 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.63965 +INDEX GOES BRRR: 117 X: 7.36035 +INDEX GOES BRRR: 133 X: 8.35547 +INDEX GOES BRRR: 242 X: 15.1641 +INDEX GOES BRRR: 31 X: 1.98047 +INDEX GOES BRRR: 130 X: 8.14746 +INDEX GOES BRRR: 298 X: 18.6592 +INDEX GOES BRRR: 272 X: 17.0156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 362 X: 22.6387 +INDEX GOES BRRR: 448 X: 28.0049 +INDEX GOES BRRR: 172 X: 10.7568 +INDEX GOES BRRR: 327 X: 20.4619 +INDEX GOES BRRR: 103 X: 6.46777 +INDEX GOES BRRR: 236 X: 14.7891 +INDEX GOES BRRR: 994 X: -1.82715 +INDEX GOES BRRR: 137 X: 8.62402 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.5918 +INDEX GOES BRRR: 130 X: 8.14648 +INDEX GOES BRRR: 969 X: -3.42676 +INDEX GOES BRRR: 251 X: 15.7227 +INDEX GOES BRRR: 71 X: 4.48926 +INDEX GOES BRRR: 17 X: 1.09375 +INDEX GOES BRRR: 194 X: 12.1602 +INDEX GOES BRRR: 757 X: -16.6455 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 346 X: 21.6729 +INDEX GOES BRRR: 31 X: 1.97363 +INDEX GOES BRRR: 60 X: 3.76562 +INDEX GOES BRRR: 259 X: 16.2314 +INDEX GOES BRRR: 417 X: 26.085 +INDEX GOES BRRR: 1002 X: -1.32129 +INDEX GOES BRRR: 249 X: 15.5664 +INDEX GOES BRRR: 65 X: 4.12012 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 78 X: 4.91504 +INDEX GOES BRRR: 1014 X: -0.613281 +INDEX GOES BRRR: 86 X: 5.43262 +INDEX GOES BRRR: 145 X: 9.06641 +INDEX GOES BRRR: 58 X: 3.67969 +INDEX GOES BRRR: 191 X: 11.9785 +INDEX GOES BRRR: 65 X: 4.1123 +INDEX GOES BRRR: 283 X: 17.7461 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 229 X: 14.3281 +INDEX GOES BRRR: 31 X: 1.95898 +INDEX GOES BRRR: 27 X: 1.73633 +INDEX GOES BRRR: 224 X: 14.0479 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 261 X: 16.3682 +INDEX GOES BRRR: 288 X: 18.0098 +INDEX GOES BRRR: 888 X: -8.44336 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 205 X: 12.8242 +INDEX GOES BRRR: 375 X: 23.459 +INDEX GOES BRRR: 319 X: 19.9639 +INDEX GOES BRRR: 453 X: 28.3174 +INDEX GOES BRRR: 183 X: 11.4805 +INDEX GOES BRRR: 60 X: 3.80371 +INDEX GOES BRRR: 218 X: 13.6582 +INDEX GOES BRRR: 246 X: 15.416 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 394 X: 24.6582 +INDEX GOES BRRR: 276 X: 17.3027 +INDEX GOES BRRR: 184 X: 11.5127 +INDEX GOES BRRR: 153 X: 9.5957 +INDEX GOES BRRR: 238 X: 14.8877 +INDEX GOES BRRR: 983 X: -2.50488 +INDEX GOES BRRR: 844 X: -11.1963 +INDEX GOES BRRR: 322 X: 20.1621 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 965 X: -3.64746 +INDEX GOES BRRR: 356 X: 22.2676 +INDEX GOES BRRR: 319 X: 19.9961 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 113 X: 7.12305 +INDEX GOES BRRR: 43 X: 2.71094 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 146 X: 9.13867 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 57 X: 3.56445 +INDEX GOES BRRR: 74 X: 4.65234 +INDEX GOES BRRR: 345 X: 21.5928 +INDEX GOES BRRR: 343 X: 21.4502 +INDEX GOES BRRR: 239 X: 14.9609 +INDEX GOES BRRR: 73 X: 4.57715 +INDEX GOES BRRR: 259 X: 16.2393 +INDEX GOES BRRR: 347 X: 21.7295 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 246 X: 15.4248 +INDEX GOES BRRR: 903 X: -7.55762 +INDEX GOES BRRR: 401 X: 25.0918 +INDEX GOES BRRR: 327 X: 20.46 +INDEX GOES BRRR: 423 X: 26.4453 +INDEX GOES BRRR: 856 X: -10.4844 +INDEX GOES BRRR: 198 X: 12.4004 +INDEX GOES BRRR: 196 X: 12.3096 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 170 X: 10.6709 +INDEX GOES BRRR: 844 X: -11.2363 +INDEX GOES BRRR: 169 X: 10.5811 +INDEX GOES BRRR: 338 X: 21.1582 +INDEX GOES BRRR: 908 X: -7.24023 +INDEX GOES BRRR: 284 X: 17.7676 +INDEX GOES BRRR: 228 X: 14.25 +INDEX GOES BRRR: 186 X: 11.6855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 65 X: 4.0791 +INDEX GOES BRRR: 995 X: -1.79688 +INDEX GOES BRRR: 89 X: 5.62012 +INDEX GOES BRRR: 987 X: -2.31055 +INDEX GOES BRRR: 8 X: 0.518555 +INDEX GOES BRRR: 318 X: 19.9062 +INDEX GOES BRRR: 958 X: -4.06348 +INDEX GOES BRRR: 239 X: 14.9746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 211 X: 13.2227 +INDEX GOES BRRR: 875 X: -9.28613 +INDEX GOES BRRR: 242 X: 15.1553 +INDEX GOES BRRR: 242 X: 15.127 +INDEX GOES BRRR: 145 X: 9.0625 +INDEX GOES BRRR: 266 X: 16.6289 +INDEX GOES BRRR: 244 X: 15.2803 +INDEX GOES BRRR: 1017 X: -0.40332 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 62 X: 3.93066 +INDEX GOES BRRR: 61 X: 3.87305 +INDEX GOES BRRR: 1019 X: -0.267578 +INDEX GOES BRRR: 294 X: 18.3867 +INDEX GOES BRRR: 251 X: 15.7324 +INDEX GOES BRRR: 67 X: 4.21191 +INDEX GOES BRRR: 293 X: 18.3545 +INDEX GOES BRRR: 106 X: 6.64746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 38 X: 2.39551 +INDEX GOES BRRR: 376 X: 23.5537 +INDEX GOES BRRR: 102 X: 6.41504 +INDEX GOES BRRR: 853 X: -10.6465 +INDEX GOES BRRR: 955 X: -4.30957 +INDEX GOES BRRR: 348 X: 21.7627 +INDEX GOES BRRR: 162 X: 10.1611 +INDEX GOES BRRR: 81 X: 5.08594 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 237 X: 14.8604 +INDEX GOES BRRR: 283 X: 17.7402 +INDEX GOES BRRR: 923 X: -6.30566 +INDEX GOES BRRR: 211 X: 13.2373 +INDEX GOES BRRR: 991 X: -2.00879 +INDEX GOES BRRR: 8 X: 0.508789 +INDEX GOES BRRR: 302 X: 18.8994 +INDEX GOES BRRR: 234 X: 14.6494 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 199 X: 12.4854 +INDEX GOES BRRR: 167 X: 10.4639 +INDEX GOES BRRR: 222 X: 13.8848 +INDEX GOES BRRR: 74 X: 4.63281 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 221 X: 13.8203 +INDEX GOES BRRR: 95 X: 5.97949 +INDEX GOES BRRR: 8 X: 0.503906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 82 X: 5.15625 +INDEX GOES BRRR: 168 X: 10.5439 +INDEX GOES BRRR: 56 X: 3.51172 +INDEX GOES BRRR: 118 X: 7.43555 +INDEX GOES BRRR: 141 X: 8.86816 +INDEX GOES BRRR: 219 X: 13.7188 +INDEX GOES BRRR: 207 X: 12.957 +INDEX GOES BRRR: 7 X: 0.458008 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9512 +INDEX GOES BRRR: 260 X: 16.3115 +INDEX GOES BRRR: 212 X: 13.2559 +INDEX GOES BRRR: 171 X: 10.7393 +INDEX GOES BRRR: 321 X: 20.0918 +INDEX GOES BRRR: 198 X: 12.4014 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 28 X: 1.79883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.332 +INDEX GOES BRRR: 336 X: 21.042 +INDEX GOES BRRR: 259 X: 16.1943 +INDEX GOES BRRR: 236 X: 14.7998 +INDEX GOES BRRR: 25 X: 1.5957 +INDEX GOES BRRR: 48 X: 3.02734 +INDEX GOES BRRR: 144 X: 9.02441 +INDEX GOES BRRR: 264 X: 16.5156 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 961 X: -3.89844 +INDEX GOES BRRR: 86 X: 5.38477 +INDEX GOES BRRR: 236 X: 14.7715 +INDEX GOES BRRR: 134 X: 8.42285 +INDEX GOES BRRR: 949 X: -4.68262 +INDEX GOES BRRR: 6 X: 0.43457 +INDEX GOES BRRR: 417 X: 26.0654 +INDEX GOES BRRR: 164 X: 10.2646 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 53 X: 3.37207 +INDEX GOES BRRR: 108 X: 6.80859 +INDEX GOES BRRR: 89 X: 5.61133 +INDEX GOES BRRR: 92 X: 5.79004 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 226 X: 14.1416 +INDEX GOES BRRR: 200 X: 12.5498 +INDEX GOES BRRR: 242 X: 15.1602 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 215 X: 13.4795 +INDEX GOES BRRR: 282 X: 17.6787 +INDEX GOES BRRR: 11 X: 0.704102 +INDEX GOES BRRR: 261 X: 16.3428 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 28 X: 1.78125 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 218 X: 13.6279 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 129 X: 8.0625 +INDEX GOES BRRR: 206 X: 12.8887 +INDEX GOES BRRR: 299 X: 18.7412 +INDEX GOES BRRR: 285 X: 17.8359 +INDEX GOES BRRR: 141 X: 8.8623 +INDEX GOES BRRR: 788 X: -14.7373 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 272 X: 17.0166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5303 +INDEX GOES BRRR: 74 X: 4.63184 +INDEX GOES BRRR: 141 X: 8.83398 +INDEX GOES BRRR: 4 X: 0.289062 +INDEX GOES BRRR: 92 X: 5.75488 +INDEX GOES BRRR: 240 X: 15.0381 +INDEX GOES BRRR: 372 X: 23.2842 +INDEX GOES BRRR: 804 X: -13.7314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 7 X: 0.469727 +INDEX GOES BRRR: 32 X: 2.06152 +INDEX GOES BRRR: 373 X: 23.3418 +INDEX GOES BRRR: 320 X: 20.0273 +INDEX GOES BRRR: 220 X: 13.7852 +INDEX GOES BRRR: 243 X: 15.2207 +INDEX GOES BRRR: 374 X: 23.3984 +INDEX GOES BRRR: 96 X: 6.05469 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 286 X: 17.9346 +INDEX GOES BRRR: 89 X: 5.61719 +INDEX GOES BRRR: 252 X: 15.7676 +INDEX GOES BRRR: 278 X: 17.4121 +INDEX GOES BRRR: 344 X: 21.5215 +INDEX GOES BRRR: 136 X: 8.55762 +INDEX GOES BRRR: 73 X: 4.5957 +INDEX GOES BRRR: 949 X: -4.65039 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 279 X: 17.4443 +INDEX GOES BRRR: 1006 X: -1.09082 +INDEX GOES BRRR: 79 X: 4.96191 +INDEX GOES BRRR: 138 X: 8.64355 +INDEX GOES BRRR: 73 X: 4.56348 +INDEX GOES BRRR: 328 X: 20.5371 +INDEX GOES BRRR: 982 X: -2.60645 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 20 X: 1.2959 +INDEX GOES BRRR: 324 X: 20.2598 +INDEX GOES BRRR: 487 X: 30.4609 +INDEX GOES BRRR: 333 X: 20.874 +INDEX GOES BRRR: 121 X: 7.5625 +INDEX GOES BRRR: 876 X: -9.23828 +INDEX GOES BRRR: 990 X: -2.0918 +INDEX GOES BRRR: 190 X: 11.9121 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 33 X: 2.08887 +INDEX GOES BRRR: 136 X: 8.50879 +INDEX GOES BRRR: 196 X: 12.3018 +INDEX GOES BRRR: 144 X: 9.05566 +INDEX GOES BRRR: 117 X: 7.3125 +INDEX GOES BRRR: 143 X: 8.94238 +INDEX GOES BRRR: 231 X: 14.459 +INDEX GOES BRRR: 105 X: 6.59375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 100 X: 6.30078 +INDEX GOES BRRR: 170 X: 10.6748 +INDEX GOES BRRR: 124 X: 7.78711 +INDEX GOES BRRR: 216 X: 13.5566 +INDEX GOES BRRR: 61 X: 3.83398 +INDEX GOES BRRR: 241 X: 15.1006 +INDEX GOES BRRR: 43 X: 2.71777 +INDEX GOES BRRR: 986 X: -2.32812 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.4141 +INDEX GOES BRRR: 758 X: -16.6035 +INDEX GOES BRRR: 62 X: 3.88867 +INDEX GOES BRRR: 102 X: 6.43555 +INDEX GOES BRRR: 221 X: 13.8213 +INDEX GOES BRRR: 410 X: 25.6377 +INDEX GOES BRRR: 76 X: 4.7959 +INDEX GOES BRRR: 496 X: 31 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 927 X: -6.05078 +INDEX GOES BRRR: 222 X: 13.915 +INDEX GOES BRRR: 100 X: 6.29102 +INDEX GOES BRRR: 202 X: 12.6689 +INDEX GOES BRRR: 320 X: 20.042 +INDEX GOES BRRR: 110 X: 6.89062 +INDEX GOES BRRR: 235 X: 14.7314 +INDEX GOES BRRR: 17 X: 1.07031 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 236 X: 14.7852 +INDEX GOES BRRR: 956 X: -4.19141 +INDEX GOES BRRR: 129 X: 8.08984 +INDEX GOES BRRR: 201 X: 12.5801 +INDEX GOES BRRR: 166 X: 10.4277 +INDEX GOES BRRR: 241 X: 15.0977 +INDEX GOES BRRR: 869 X: -9.67285 +INDEX GOES BRRR: 151 X: 9.4375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 380 X: 23.7734 +INDEX GOES BRRR: 239 X: 14.9941 +INDEX GOES BRRR: 135 X: 8.48535 +INDEX GOES BRRR: 1023 X: -0.0234375 +INDEX GOES BRRR: 315 X: 19.7051 +INDEX GOES BRRR: 207 X: 12.9502 +INDEX GOES BRRR: 351 X: 21.9375 +INDEX GOES BRRR: 154 X: 9.62793 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 165 X: 10.3486 +INDEX GOES BRRR: 286 X: 17.9189 +INDEX GOES BRRR: 266 X: 16.6348 +INDEX GOES BRRR: 260 X: 16.2773 +INDEX GOES BRRR: 314 X: 19.6602 +INDEX GOES BRRR: 763 X: -16.3105 +INDEX GOES BRRR: 56 X: 3.53223 +INDEX GOES BRRR: 399 X: 24.9971 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 968 X: -3.44434 +INDEX GOES BRRR: 177 X: 11.0742 +INDEX GOES BRRR: 386 X: 24.1562 +INDEX GOES BRRR: 190 X: 11.918 +INDEX GOES BRRR: 92 X: 5.75977 +INDEX GOES BRRR: 937 X: -5.38086 +INDEX GOES BRRR: 923 X: -6.26758 +INDEX GOES BRRR: 317 X: 19.8223 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 299 X: 18.6875 +INDEX GOES BRRR: 345 X: 21.5723 +INDEX GOES BRRR: 207 X: 12.998 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 81 X: 5.07031 +INDEX GOES BRRR: 991 X: -2.0332 +INDEX GOES BRRR: 287 X: 17.9688 +INDEX GOES BRRR: 487 X: 30.458 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 14 X: 0.93457 +INDEX GOES BRRR: 82 X: 5.17383 +INDEX GOES BRRR: 190 X: 11.9365 +INDEX GOES BRRR: 141 X: 8.84375 +INDEX GOES BRRR: 222 X: 13.8857 +INDEX GOES BRRR: 1023 X: -0.0126953 +INDEX GOES BRRR: 65 X: 4.06934 +INDEX GOES BRRR: 923 X: -6.28809 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0771 +INDEX GOES BRRR: 282 X: 17.6455 +INDEX GOES BRRR: 95 X: 5.94336 +INDEX GOES BRRR: 435 X: 27.2227 +INDEX GOES BRRR: 155 X: 9.69727 +INDEX GOES BRRR: 5 X: 0.329102 +INDEX GOES BRRR: 256 X: 16.0156 +INDEX GOES BRRR: 86 X: 5.42773 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 140 X: 8.79102 +INDEX GOES BRRR: 46 X: 2.88477 +INDEX GOES BRRR: 85 X: 5.32715 +INDEX GOES BRRR: 65 X: 4.06641 +INDEX GOES BRRR: 102 X: 6.41699 +INDEX GOES BRRR: 981 X: -2.63574 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 895 X: -8.04883 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 976 X: -2.97168 +INDEX GOES BRRR: 237 X: 14.8711 +INDEX GOES BRRR: 164 X: 10.2891 +INDEX GOES BRRR: 168 X: 10.5615 +INDEX GOES BRRR: 153 X: 9.57324 +INDEX GOES BRRR: 336 X: 21.001 +INDEX GOES BRRR: 53 X: 3.31543 +INDEX GOES BRRR: 203 X: 12.7344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 363 X: 22.7148 +INDEX GOES BRRR: 404 X: 25.2568 +INDEX GOES BRRR: 250 X: 15.6855 +INDEX GOES BRRR: 194 X: 12.1562 +INDEX GOES BRRR: 2 X: 0.134766 +INDEX GOES BRRR: 324 X: 20.2725 +INDEX GOES BRRR: 883 X: -8.77832 +INDEX GOES BRRR: 195 X: 12.1943 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 456 X: 28.5488 +INDEX GOES BRRR: 37 X: 2.31934 +INDEX GOES BRRR: 196 X: 12.2666 +INDEX GOES BRRR: 185 X: 11.5996 +INDEX GOES BRRR: 198 X: 12.3789 +INDEX GOES BRRR: 322 X: 20.165 +INDEX GOES BRRR: 122 X: 7.64746 +INDEX GOES BRRR: 68 X: 4.25879 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 127 X: 7.97461 +INDEX GOES BRRR: 318 X: 19.9131 +INDEX GOES BRRR: 126 X: 7.89453 +INDEX GOES BRRR: 948 X: -4.71777 +INDEX GOES BRRR: 938 X: -5.37402 +INDEX GOES BRRR: 200 X: 12.5254 +INDEX GOES BRRR: 52 X: 3.29395 +INDEX GOES BRRR: 1007 X: -1.01855 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 313 X: 19.5762 +INDEX GOES BRRR: 231 X: 14.4375 +INDEX GOES BRRR: 37 X: 2.3125 +INDEX GOES BRRR: 13 X: 0.833984 +INDEX GOES BRRR: 168 X: 10.5342 +INDEX GOES BRRR: 988 X: -2.21875 +INDEX GOES BRRR: 946 X: -4.81738 +INDEX GOES BRRR: 186 X: 11.6279 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 260 X: 16.2676 +INDEX GOES BRRR: 394 X: 24.6582 +INDEX GOES BRRR: 252 X: 15.7734 +INDEX GOES BRRR: 991 X: -2.00977 +INDEX GOES BRRR: 904 X: -7.48145 +INDEX GOES BRRR: 15 X: 0.947266 +INDEX GOES BRRR: 275 X: 17.2021 +INDEX GOES BRRR: 955 X: -4.28906 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 142 X: 8.90137 +INDEX GOES BRRR: 96 X: 6.06152 +INDEX GOES BRRR: 354 X: 22.1357 +INDEX GOES BRRR: 126 X: 7.8916 +INDEX GOES BRRR: 147 X: 9.21094 +INDEX GOES BRRR: 126 X: 7.9209 +INDEX GOES BRRR: 24 X: 1.54688 +INDEX GOES BRRR: 918 X: -6.61816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 143 X: 8.97656 +INDEX GOES BRRR: 942 X: -5.12012 +INDEX GOES BRRR: 181 X: 11.3711 +INDEX GOES BRRR: 138 X: 8.67676 +INDEX GOES BRRR: 315 X: 19.7451 +INDEX GOES BRRR: 117 X: 7.3125 +INDEX GOES BRRR: 854 X: -10.585 +INDEX GOES BRRR: 130 X: 8.12988 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 164 X: 10.3027 +INDEX GOES BRRR: 195 X: 12.2236 +INDEX GOES BRRR: 995 X: -1.79395 +INDEX GOES BRRR: 869 X: -9.66309 +INDEX GOES BRRR: 858 X: -10.3281 +INDEX GOES BRRR: 412 X: 25.8105 +INDEX GOES BRRR: 973 X: -3.16699 +INDEX GOES BRRR: 192 X: 12.0254 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 828 X: -12.2441 +INDEX GOES BRRR: 235 X: 14.7285 +INDEX GOES BRRR: 123 X: 7.69141 +INDEX GOES BRRR: 303 X: 18.9424 +INDEX GOES BRRR: 37 X: 2.33398 +INDEX GOES BRRR: 403 X: 25.2383 +INDEX GOES BRRR: 97 X: 6.10742 +INDEX GOES BRRR: 187 X: 11.71 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 217 X: 13.5762 +INDEX GOES BRRR: 135 X: 8.44922 +INDEX GOES BRRR: 334 X: 20.8818 +INDEX GOES BRRR: 302 X: 18.9209 +INDEX GOES BRRR: 331 X: 20.6895 +INDEX GOES BRRR: 236 X: 14.7783 +INDEX GOES BRRR: 192 X: 12.0117 +INDEX GOES BRRR: 340 X: 21.3037 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 264 X: 16.5576 +INDEX GOES BRRR: 273 X: 17.0771 +INDEX GOES BRRR: 173 X: 10.8662 +INDEX GOES BRRR: 233 X: 14.5742 +INDEX GOES BRRR: 106 X: 6.66211 +INDEX GOES BRRR: 62 X: 3.8916 +INDEX GOES BRRR: 158 X: 9.89551 +INDEX GOES BRRR: 166 X: 10.3984 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 117 X: 7.35449 +INDEX GOES BRRR: 964 X: -3.71777 +INDEX GOES BRRR: 171 X: 10.6973 +INDEX GOES BRRR: 36 X: 2.28027 +INDEX GOES BRRR: 221 X: 13.8604 +INDEX GOES BRRR: 138 X: 8.67285 +INDEX GOES BRRR: 157 X: 9.81738 +INDEX GOES BRRR: 270 X: 16.9287 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 215 X: 13.4932 +INDEX GOES BRRR: 945 X: -4.93555 +INDEX GOES BRRR: 198 X: 12.4238 +INDEX GOES BRRR: 166 X: 10.4014 +INDEX GOES BRRR: 51 X: 3.21875 +INDEX GOES BRRR: 311 X: 19.4668 +INDEX GOES BRRR: 998 X: -1.57715 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 151 X: 9.45801 +INDEX GOES BRRR: 409 X: 25.584 +INDEX GOES BRRR: 890 X: -8.3584 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 949 X: -4.66992 +INDEX GOES BRRR: 915 X: -6.76855 +INDEX GOES BRRR: 156 X: 9.79297 +INDEX GOES BRRR: 248 X: 15.501 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 69 X: 4.36133 +INDEX GOES BRRR: 321 X: 20.0859 +INDEX GOES BRRR: 230 X: 14.3838 +INDEX GOES BRRR: 1018 X: -0.350586 +INDEX GOES BRRR: 263 X: 16.4961 +INDEX GOES BRRR: 266 X: 16.6504 +INDEX GOES BRRR: 967 X: -3.54688 +INDEX GOES BRRR: 345 X: 21.5723 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 325 X: 20.3545 +INDEX GOES BRRR: 978 X: -2.86328 +INDEX GOES BRRR: 35 X: 2.24219 +INDEX GOES BRRR: 47 X: 2.95801 +INDEX GOES BRRR: 271 X: 16.9912 +INDEX GOES BRRR: 87 X: 5.44238 +INDEX GOES BRRR: 0 X: 0.03125 +INDEX GOES BRRR: 1019 X: -0.283203 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 173 X: 10.834 +INDEX GOES BRRR: 456 X: 28.5566 +INDEX GOES BRRR: 4 X: 0.280273 +INDEX GOES BRRR: 198 X: 12.3838 +INDEX GOES BRRR: 131 X: 8.2207 +INDEX GOES BRRR: 418 X: 26.1777 +INDEX GOES BRRR: 234 X: 14.6289 +INDEX GOES BRRR: 137 X: 8.59473 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 863 X: -10.0146 +INDEX GOES BRRR: 266 X: 16.6348 +INDEX GOES BRRR: 417 X: 26.1182 +INDEX GOES BRRR: 323 X: 20.2383 +INDEX GOES BRRR: 125 X: 7.82129 +INDEX GOES BRRR: 256 X: 16.0244 +INDEX GOES BRRR: 968 X: -3.48438 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 207 X: 12.9697 +INDEX GOES BRRR: 316 X: 19.7969 +INDEX GOES BRRR: 849 X: -10.9004 +INDEX GOES BRRR: 267 X: 16.7275 +INDEX GOES BRRR: 187 X: 11.7324 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 98 X: 6.16504 +INDEX GOES BRRR: 680 X: -21.4619 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.12598 +INDEX GOES BRRR: 1023 X: -0.0273438 +INDEX GOES BRRR: 986 X: -2.31836 +INDEX GOES BRRR: 375 X: 23.4707 +INDEX GOES BRRR: 366 X: 22.8936 +INDEX GOES BRRR: 132 X: 8.2959 +INDEX GOES BRRR: 296 X: 18.5342 +INDEX GOES BRRR: 20 X: 1.29395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 410 X: 25.6797 +INDEX GOES BRRR: 987 X: -2.26562 +INDEX GOES BRRR: 915 X: -6.78711 +INDEX GOES BRRR: 272 X: 17.0576 +INDEX GOES BRRR: 106 X: 6.62988 +INDEX GOES BRRR: 181 X: 11.3555 +INDEX GOES BRRR: 123 X: 7.71191 +INDEX GOES BRRR: 122 X: 7.63086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 24 X: 1.56055 +INDEX GOES BRRR: 187 X: 11.6953 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 193 X: 12.1045 +INDEX GOES BRRR: 204 X: 12.7861 +INDEX GOES BRRR: 379 X: 23.6885 +INDEX GOES BRRR: 156 X: 9.75488 +INDEX GOES BRRR: 218 X: 13.6357 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 885 X: -8.64258 +INDEX GOES BRRR: 217 X: 13.5889 +INDEX GOES BRRR: 206 X: 12.8877 +INDEX GOES BRRR: 32 X: 2.04199 +INDEX GOES BRRR: 205 X: 12.8125 +INDEX GOES BRRR: 171 X: 10.71 +INDEX GOES BRRR: 144 X: 9.00195 +INDEX GOES BRRR: 943 X: -5.01953 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 254 X: 15.918 +INDEX GOES BRRR: 422 X: 26.3799 +INDEX GOES BRRR: 158 X: 9.89941 +INDEX GOES BRRR: 259 X: 16.2227 +INDEX GOES BRRR: 34 X: 2.12598 +INDEX GOES BRRR: 352 X: 22.0586 +INDEX GOES BRRR: 84 X: 5.29102 +INDEX GOES BRRR: 384 X: 24.0508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.66211 +INDEX GOES BRRR: 173 X: 10.8574 +INDEX GOES BRRR: 67 X: 4.22656 +INDEX GOES BRRR: 342 X: 21.3896 +INDEX GOES BRRR: 488 X: 30.5361 +INDEX GOES BRRR: 249 X: 15.5635 +INDEX GOES BRRR: 814 X: -13.0684 +INDEX GOES BRRR: 6 X: 0.432617 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 839 X: -11.5479 +INDEX GOES BRRR: 67 X: 4.18848 +INDEX GOES BRRR: 230 X: 14.4004 +INDEX GOES BRRR: 869 X: -9.63477 +INDEX GOES BRRR: 309 X: 19.3545 +INDEX GOES BRRR: 70 X: 4.38867 +INDEX GOES BRRR: 473 X: 29.5898 +INDEX GOES BRRR: 299 X: 18.7314 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 416 X: 26.0215 +INDEX GOES BRRR: 910 X: -7.07617 +INDEX GOES BRRR: 147 X: 9.22754 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 163 X: 10.2461 +INDEX GOES BRRR: 955 X: -4.27637 +INDEX GOES BRRR: 378 X: 23.6709 +INDEX GOES BRRR: 335 X: 20.9561 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1018 X: -0.332031 +INDEX GOES BRRR: 919 X: -6.54785 +INDEX GOES BRRR: 123 X: 7.70117 +INDEX GOES BRRR: 269 X: 16.8242 +INDEX GOES BRRR: 873 X: -9.41016 +INDEX GOES BRRR: 39 X: 2.49316 +INDEX GOES BRRR: 291 X: 18.1924 +INDEX GOES BRRR: 49 X: 3.09766 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 228 X: 14.2881 +INDEX GOES BRRR: 974 X: -3.10645 +INDEX GOES BRRR: 62 X: 3.90527 +INDEX GOES BRRR: 258 X: 16.1572 +INDEX GOES BRRR: 206 X: 12.9277 +INDEX GOES BRRR: 148 X: 9.29492 +INDEX GOES BRRR: 998 X: -1.58691 +INDEX GOES BRRR: 328 X: 20.5381 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 168 X: 10.5508 +INDEX GOES BRRR: 188 X: 11.7568 +INDEX GOES BRRR: 190 X: 11.8818 +INDEX GOES BRRR: 957 X: -4.13086 +INDEX GOES BRRR: 982 X: -2.59961 +INDEX GOES BRRR: 348 X: 21.7656 +INDEX GOES BRRR: 257 X: 16.0693 +INDEX GOES BRRR: 225 X: 14.0732 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 136 X: 8.5166 +INDEX GOES BRRR: 158 X: 9.87793 +INDEX GOES BRRR: 382 X: 23.8887 +INDEX GOES BRRR: 218 X: 13.625 +INDEX GOES BRRR: 98 X: 6.14941 +INDEX GOES BRRR: 209 X: 13.1211 +INDEX GOES BRRR: 382 X: 23.8789 +INDEX GOES BRRR: 198 X: 12.4248 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 139 X: 8.74902 +INDEX GOES BRRR: 78 X: 4.93457 +INDEX GOES BRRR: 64 X: 4.01953 +INDEX GOES BRRR: 277 X: 17.3252 +INDEX GOES BRRR: 259 X: 16.2383 +INDEX GOES BRRR: 351 X: 21.9775 +INDEX GOES BRRR: 841 X: -11.3994 +INDEX GOES BRRR: 282 X: 17.6719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 130 X: 8.1416 +INDEX GOES BRRR: 130 X: 8.14746 +INDEX GOES BRRR: 298 X: 18.6582 +INDEX GOES BRRR: 160 X: 10.0146 +INDEX GOES BRRR: 302 X: 18.9072 +INDEX GOES BRRR: 224 X: 14.0381 +INDEX GOES BRRR: 78 X: 4.89746 +INDEX GOES BRRR: 182 X: 11.4023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 221 X: 13.8398 +INDEX GOES BRRR: 171 X: 10.7207 +INDEX GOES BRRR: 366 X: 22.9062 +INDEX GOES BRRR: 278 X: 17.3809 +INDEX GOES BRRR: 101 X: 6.35352 +INDEX GOES BRRR: 146 X: 9.12891 +INDEX GOES BRRR: 278 X: 17.3838 +INDEX GOES BRRR: 894 X: -8.08301 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 141 X: 8.85938 +INDEX GOES BRRR: 326 X: 20.3965 +INDEX GOES BRRR: 15 X: 0.986328 +INDEX GOES BRRR: 4 X: 0.303711 +INDEX GOES BRRR: 189 X: 11.8271 +INDEX GOES BRRR: 273 X: 17.085 +INDEX GOES BRRR: 135 X: 8.4873 +INDEX GOES BRRR: 87 X: 5.45508 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 305 X: 19.0732 +INDEX GOES BRRR: 219 X: 13.7148 +INDEX GOES BRRR: 115 X: 7.20215 +INDEX GOES BRRR: 909 X: -7.14844 +INDEX GOES BRRR: 22 X: 1.3877 +INDEX GOES BRRR: 989 X: -2.1582 +INDEX GOES BRRR: 909 X: -7.17871 +INDEX GOES BRRR: 162 X: 10.1807 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 898 X: -7.82129 +INDEX GOES BRRR: 463 X: 28.9492 +INDEX GOES BRRR: 245 X: 15.3467 +INDEX GOES BRRR: 152 X: 9.55664 +INDEX GOES BRRR: 100 X: 6.27637 +INDEX GOES BRRR: 261 X: 16.3184 +INDEX GOES BRRR: 984 X: -2.46387 +INDEX GOES BRRR: 77 X: 4.84375 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 72 X: 4.51367 +INDEX GOES BRRR: 396 X: 24.8105 +INDEX GOES BRRR: 157 X: 9.8125 +INDEX GOES BRRR: 218 X: 13.6309 +INDEX GOES BRRR: 45 X: 2.86133 +INDEX GOES BRRR: 1001 X: -1.4248 +INDEX GOES BRRR: 292 X: 18.2979 +INDEX GOES BRRR: 367 X: 22.9746 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4248 +INDEX GOES BRRR: 213 X: 13.3438 +INDEX GOES BRRR: 229 X: 14.3164 +INDEX GOES BRRR: 284 X: 17.7939 +INDEX GOES BRRR: 236 X: 14.8047 +INDEX GOES BRRR: 94 X: 5.87988 +INDEX GOES BRRR: 201 X: 12.6162 +INDEX GOES BRRR: 178 X: 11.165 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1007 X: -1.03125 +INDEX GOES BRRR: 357 X: 22.3408 +INDEX GOES BRRR: 192 X: 12.0615 +INDEX GOES BRRR: 108 X: 6.77344 +INDEX GOES BRRR: 253 X: 15.8643 +INDEX GOES BRRR: 159 X: 9.97852 +INDEX GOES BRRR: 102 X: 6.38867 +INDEX GOES BRRR: 375 X: 23.459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 114 X: 7.15723 +INDEX GOES BRRR: 154 X: 9.66406 +INDEX GOES BRRR: 935 X: -5.55957 +INDEX GOES BRRR: 80 X: 5.01367 +INDEX GOES BRRR: 437 X: 27.3398 +INDEX GOES BRRR: 431 X: 26.9785 +INDEX GOES BRRR: 960 X: -3.94141 +INDEX GOES BRRR: 129 X: 8.11719 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 975 X: -3.00391 +INDEX GOES BRRR: 908 X: -7.18848 +INDEX GOES BRRR: 4 X: 0.264648 +INDEX GOES BRRR: 230 X: 14.3877 +INDEX GOES BRRR: 964 X: -3.69043 +INDEX GOES BRRR: 31 X: 1.94141 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 1019 X: -0.286133 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 278 X: 17.3926 +INDEX GOES BRRR: 165 X: 10.3477 +INDEX GOES BRRR: 1006 X: -1.08789 +INDEX GOES BRRR: 173 X: 10.8486 +INDEX GOES BRRR: 273 X: 17.084 +INDEX GOES BRRR: 259 X: 16.2344 +INDEX GOES BRRR: 240 X: 15.0205 +INDEX GOES BRRR: 288 X: 18.0137 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1004 X: -1.19141 +INDEX GOES BRRR: 162 X: 10.1514 +INDEX GOES BRRR: 23 X: 1.46387 +INDEX GOES BRRR: 247 X: 15.4951 +INDEX GOES BRRR: 128 X: 8.0166 +INDEX GOES BRRR: 371 X: 23.21 +INDEX GOES BRRR: 145 X: 9.12305 +INDEX GOES BRRR: 49 X: 3.11816 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 153 X: 9.58691 +INDEX GOES BRRR: 349 X: 21.8291 +INDEX GOES BRRR: 252 X: 15.7715 +INDEX GOES BRRR: 421 X: 26.3721 +INDEX GOES BRRR: 920 X: -6.44922 +INDEX GOES BRRR: 157 X: 9.82031 +INDEX GOES BRRR: 85 X: 5.3418 +INDEX GOES BRRR: 151 X: 9.43848 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 910 X: -7.10156 +INDEX GOES BRRR: 991 X: -2.01367 +INDEX GOES BRRR: 351 X: 21.9951 +INDEX GOES BRRR: 239 X: 14.9727 +INDEX GOES BRRR: 127 X: 7.9873 +INDEX GOES BRRR: 200 X: 12.5361 +INDEX GOES BRRR: 245 X: 15.3242 +INDEX GOES BRRR: 375 X: 23.4805 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 18 X: 1.18457 +INDEX GOES BRRR: 181 X: 11.3193 +INDEX GOES BRRR: 244 X: 15.29 +INDEX GOES BRRR: 210 X: 13.1621 +INDEX GOES BRRR: 109 X: 6.87012 +INDEX GOES BRRR: 55 X: 3.46875 +INDEX GOES BRRR: 159 X: 9.99023 +INDEX GOES BRRR: 98 X: 6.16699 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 208 X: 13.0566 +INDEX GOES BRRR: 186 X: 11.6865 +INDEX GOES BRRR: 261 X: 16.3291 +INDEX GOES BRRR: 863 X: -10.0244 +INDEX GOES BRRR: 1006 X: -1.10352 +INDEX GOES BRRR: 197 X: 12.3291 +INDEX GOES BRRR: 197 X: 12.3564 +INDEX GOES BRRR: 270 X: 16.9365 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 167 X: 10.4697 +INDEX GOES BRRR: 61 X: 3.82715 +INDEX GOES BRRR: 400 X: 25.0225 +INDEX GOES BRRR: 57 X: 3.57812 +INDEX GOES BRRR: 973 X: -3.12891 +INDEX GOES BRRR: 211 X: 13.2471 +INDEX GOES BRRR: 140 X: 8.77832 +INDEX GOES BRRR: 109 X: 6.85742 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 198 X: 12.4092 +INDEX GOES BRRR: 320 X: 20.0146 +INDEX GOES BRRR: 114 X: 7.13281 +INDEX GOES BRRR: 278 X: 17.4033 +INDEX GOES BRRR: 199 X: 12.4619 +INDEX GOES BRRR: 278 X: 17.3945 +INDEX GOES BRRR: 925 X: -6.1709 +INDEX GOES BRRR: 131 X: 8.21289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.72266 +INDEX GOES BRRR: 98 X: 6.18066 +INDEX GOES BRRR: 348 X: 21.752 +INDEX GOES BRRR: 311 X: 19.4795 +INDEX GOES BRRR: 308 X: 19.2568 +INDEX GOES BRRR: 832 X: -11.9521 +INDEX GOES BRRR: 213 X: 13.3428 +INDEX GOES BRRR: 128 X: 8.02637 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 933 X: -5.66992 +INDEX GOES BRRR: 94 X: 5.88867 +INDEX GOES BRRR: 360 X: 22.502 +INDEX GOES BRRR: 216 X: 13.5371 +INDEX GOES BRRR: 140 X: 8.80566 +INDEX GOES BRRR: 21 X: 1.36523 +INDEX GOES BRRR: 66 X: 4.1748 +INDEX GOES BRRR: 18 X: 1.14453 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 186 X: 11.6826 +INDEX GOES BRRR: 1007 X: -1.00293 +INDEX GOES BRRR: 403 X: 25.1875 +INDEX GOES BRRR: 315 X: 19.7217 +INDEX GOES BRRR: 268 X: 16.751 +INDEX GOES BRRR: 144 X: 9.00684 +INDEX GOES BRRR: 178 X: 11.1465 +INDEX GOES BRRR: 139 X: 8.72266 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 25 X: 1.61621 +INDEX GOES BRRR: 241 X: 15.0742 +INDEX GOES BRRR: 176 X: 11.0342 +INDEX GOES BRRR: 243 X: 15.2207 +INDEX GOES BRRR: 203 X: 12.7422 +INDEX GOES BRRR: 2 X: 0.169922 +INDEX GOES BRRR: 97 X: 6.1084 +INDEX GOES BRRR: 881 X: -8.92188 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 851 X: -10.8115 +INDEX GOES BRRR: 255 X: 15.9404 +INDEX GOES BRRR: 1011 X: -0.810547 +INDEX GOES BRRR: 987 X: -2.27441 +INDEX GOES BRRR: 96 X: 6.00098 +INDEX GOES BRRR: 255 X: 15.957 +INDEX GOES BRRR: 182 X: 11.376 +INDEX GOES BRRR: 150 X: 9.38965 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.0684 +INDEX GOES BRRR: 375 X: 23.4863 +INDEX GOES BRRR: 371 X: 23.2178 +INDEX GOES BRRR: 792 X: -14.4678 +INDEX GOES BRRR: 341 X: 21.3467 +INDEX GOES BRRR: 375 X: 23.4727 +INDEX GOES BRRR: 119 X: 7.47949 +INDEX GOES BRRR: 54 X: 3.4082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 884 X: -8.70215 +INDEX GOES BRRR: 103 X: 6.4834 +INDEX GOES BRRR: 232 X: 14.501 +INDEX GOES BRRR: 976 X: -2.9834 +INDEX GOES BRRR: 122 X: 7.66016 +INDEX GOES BRRR: 327 X: 20.4629 +INDEX GOES BRRR: 266 X: 16.6758 +INDEX GOES BRRR: 20 X: 1.25195 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 123 X: 7.70605 +INDEX GOES BRRR: 993 X: -1.88184 +INDEX GOES BRRR: 5 X: 0.331055 +INDEX GOES BRRR: 304 X: 19.0225 +INDEX GOES BRRR: 53 X: 3.32715 +INDEX GOES BRRR: 188 X: 11.75 +INDEX GOES BRRR: 228 X: 14.2529 +INDEX GOES BRRR: 322 X: 20.167 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 126 X: 7.89258 +INDEX GOES BRRR: 45 X: 2.81738 +INDEX GOES BRRR: 56 X: 3.54004 +INDEX GOES BRRR: 272 X: 17.0156 +INDEX GOES BRRR: 491 X: 30.7031 +INDEX GOES BRRR: 87 X: 5.45312 +INDEX GOES BRRR: 383 X: 23.998 +INDEX GOES BRRR: 185 X: 11.5967 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 262 X: 16.4141 +INDEX GOES BRRR: 82 X: 5.13086 +INDEX GOES BRRR: 317 X: 19.8174 +INDEX GOES BRRR: 919 X: -6.51758 +INDEX GOES BRRR: 112 X: 7.05664 +INDEX GOES BRRR: 232 X: 14.5 +INDEX GOES BRRR: 85 X: 5.3418 +INDEX GOES BRRR: 77 X: 4.87305 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 303 X: 18.9795 +INDEX GOES BRRR: 1012 X: -0.733398 +INDEX GOES BRRR: 315 X: 19.7451 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 176 X: 11.0449 +INDEX GOES BRRR: 1017 X: -0.416016 +INDEX GOES BRRR: 178 X: 11.1562 +INDEX GOES BRRR: 474 X: 29.6289 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 84 X: 5.28613 +INDEX GOES BRRR: 32 X: 2.01367 +INDEX GOES BRRR: 315 X: 19.708 +INDEX GOES BRRR: 61 X: 3.81836 +INDEX GOES BRRR: 1018 X: -0.347656 +INDEX GOES BRRR: 1003 X: -1.28418 +INDEX GOES BRRR: 73 X: 4.59082 +INDEX GOES BRRR: 155 X: 9.71191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 957 X: -4.15234 +INDEX GOES BRRR: 194 X: 12.1797 +INDEX GOES BRRR: 71 X: 4.48926 +INDEX GOES BRRR: 29 X: 1.84375 +INDEX GOES BRRR: 281 X: 17.6025 +INDEX GOES BRRR: 440 X: 27.5244 +INDEX GOES BRRR: 262 X: 16.416 +INDEX GOES BRRR: 95 X: 5.96094 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 112 X: 7.0166 +INDEX GOES BRRR: 983 X: -2.52734 +INDEX GOES BRRR: 229 X: 14.3389 +INDEX GOES BRRR: 416 X: 26.0508 +INDEX GOES BRRR: 336 X: 21.0166 +INDEX GOES BRRR: 261 X: 16.3232 +INDEX GOES BRRR: 854 X: -10.624 +INDEX GOES BRRR: 213 X: 13.3369 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.2812 +INDEX GOES BRRR: 482 X: 30.1523 +INDEX GOES BRRR: 281 X: 17.624 +INDEX GOES BRRR: 210 X: 13.1699 +INDEX GOES BRRR: 975 X: -3.0293 +INDEX GOES BRRR: 394 X: 24.6309 +INDEX GOES BRRR: 979 X: -2.81055 +INDEX GOES BRRR: 48 X: 3.0459 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 258 X: 16.1641 +INDEX GOES BRRR: 445 X: 27.8701 +INDEX GOES BRRR: 461 X: 28.8564 +INDEX GOES BRRR: 203 X: 12.7021 +INDEX GOES BRRR: 120 X: 7.50879 +INDEX GOES BRRR: 271 X: 16.9795 +INDEX GOES BRRR: 288 X: 18.0469 +INDEX GOES BRRR: 192 X: 12.0596 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 415 X: 25.959 +INDEX GOES BRRR: 77 X: 4.87012 +INDEX GOES BRRR: 218 X: 13.6553 +INDEX GOES BRRR: 47 X: 2.97266 +INDEX GOES BRRR: 87 X: 5.49023 +INDEX GOES BRRR: 237 X: 14.8477 +INDEX GOES BRRR: 348 X: 21.7812 +INDEX GOES BRRR: 357 X: 22.3672 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 138 X: 8.65527 +INDEX GOES BRRR: 0 X: 0.00976562 +INDEX GOES BRRR: 62 X: 3.8877 +INDEX GOES BRRR: 96 X: 6.04688 +INDEX GOES BRRR: 48 X: 3.04688 +INDEX GOES BRRR: 250 X: 15.6807 +INDEX GOES BRRR: 196 X: 12.293 +INDEX GOES BRRR: 206 X: 12.8789 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 180 X: 11.3018 +INDEX GOES BRRR: 113 X: 7.10059 +INDEX GOES BRRR: 182 X: 11.4287 +INDEX GOES BRRR: 2 X: 0.130859 +INDEX GOES BRRR: 344 X: 21.5186 +INDEX GOES BRRR: 24 X: 1.54688 +INDEX GOES BRRR: 52 X: 3.29395 +INDEX GOES BRRR: 54 X: 3.38086 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 343 X: 21.4775 +INDEX GOES BRRR: 243 X: 15.1924 +INDEX GOES BRRR: 1007 X: -1.04688 +INDEX GOES BRRR: 60 X: 3.77832 +INDEX GOES BRRR: 45 X: 2.83594 +INDEX GOES BRRR: 79 X: 4.96094 +INDEX GOES BRRR: 111 X: 6.95508 +INDEX GOES BRRR: 361 X: 22.5781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 161 X: 10.1035 +INDEX GOES BRRR: 216 X: 13.5039 +INDEX GOES BRRR: 334 X: 20.9199 +INDEX GOES BRRR: 405 X: 25.3721 +INDEX GOES BRRR: 210 X: 13.1377 +INDEX GOES BRRR: 236 X: 14.7627 +INDEX GOES BRRR: 150 X: 9.42383 +INDEX GOES BRRR: 24 X: 1.5166 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 905 X: -7.4209 +INDEX GOES BRRR: 35 X: 2.23535 +INDEX GOES BRRR: 1003 X: -1.29102 +INDEX GOES BRRR: 777 X: -15.4248 +INDEX GOES BRRR: 244 X: 15.2998 +INDEX GOES BRRR: 987 X: -2.25684 +INDEX GOES BRRR: 266 X: 16.6543 +INDEX GOES BRRR: 337 X: 21.1084 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 96 X: 6.04199 +INDEX GOES BRRR: 83 X: 5.21484 +INDEX GOES BRRR: 884 X: -8.71484 +INDEX GOES BRRR: 306 X: 19.1455 +INDEX GOES BRRR: 258 X: 16.1484 +INDEX GOES BRRR: 180 X: 11.2949 +INDEX GOES BRRR: 69 X: 4.36621 +INDEX GOES BRRR: 969 X: -3.42676 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 189 X: 11.8535 +INDEX GOES BRRR: 308 X: 19.2607 +INDEX GOES BRRR: 397 X: 24.8652 +INDEX GOES BRRR: 125 X: 7.83691 +INDEX GOES BRRR: 813 X: -13.1484 +INDEX GOES BRRR: 404 X: 25.2705 +INDEX GOES BRRR: 1013 X: -0.635742 +INDEX GOES BRRR: 172 X: 10.7549 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 137 X: 8.58789 +INDEX GOES BRRR: 992 X: -1.94336 +INDEX GOES BRRR: 843 X: -11.3076 +INDEX GOES BRRR: 995 X: -1.81152 +INDEX GOES BRRR: 960 X: -3.95215 +INDEX GOES BRRR: 811 X: -13.2969 +INDEX GOES BRRR: 100 X: 6.29395 +INDEX GOES BRRR: 130 X: 8.15918 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0098 +INDEX GOES BRRR: 995 X: -1.77539 +INDEX GOES BRRR: 188 X: 11.7998 +INDEX GOES BRRR: 208 X: 13.0576 +INDEX GOES BRRR: 55 X: 3.43848 +INDEX GOES BRRR: 7 X: 0.49707 +INDEX GOES BRRR: 989 X: -2.16602 +INDEX GOES BRRR: 345 X: 21.6113 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 256 X: 16.0225 +INDEX GOES BRRR: 199 X: 12.4541 +INDEX GOES BRRR: 335 X: 20.9941 +INDEX GOES BRRR: 211 X: 13.2471 +INDEX GOES BRRR: 149 X: 9.32031 +INDEX GOES BRRR: 461 X: 28.8398 +INDEX GOES BRRR: 115 X: 7.19141 +INDEX GOES BRRR: 995 X: -1.79102 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 408 X: 25.5615 +INDEX GOES BRRR: 193 X: 12.0859 +INDEX GOES BRRR: 213 X: 13.3223 +INDEX GOES BRRR: 326 X: 20.3916 +INDEX GOES BRRR: 101 X: 6.3252 +INDEX GOES BRRR: 301 X: 18.8486 +INDEX GOES BRRR: 140 X: 8.77344 +INDEX GOES BRRR: 952 X: -4.44238 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 239 X: 14.9629 +INDEX GOES BRRR: 179 X: 11.2441 +INDEX GOES BRRR: 254 X: 15.8887 +INDEX GOES BRRR: 419 X: 26.2295 +INDEX GOES BRRR: 94 X: 5.90039 +INDEX GOES BRRR: 371 X: 23.2109 +INDEX GOES BRRR: 130 X: 8.17871 +INDEX GOES BRRR: 182 X: 11.4033 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 351 X: 21.9414 +INDEX GOES BRRR: 100 X: 6.28711 +INDEX GOES BRRR: 35 X: 2.21973 +INDEX GOES BRRR: 97 X: 6.11133 +INDEX GOES BRRR: 293 X: 18.3516 +INDEX GOES BRRR: 1008 X: -0.96582 +INDEX GOES BRRR: 171 X: 10.7148 +INDEX GOES BRRR: 139 X: 8.71191 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 406 X: 25.4365 +INDEX GOES BRRR: 81 X: 5.07129 +INDEX GOES BRRR: 923 X: -6.25879 +INDEX GOES BRRR: 200 X: 12.5098 +INDEX GOES BRRR: 921 X: -6.37695 +INDEX GOES BRRR: 314 X: 19.6748 +INDEX GOES BRRR: 244 X: 15.3018 +INDEX GOES BRRR: 172 X: 10.7578 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 901 X: -7.6709 +INDEX GOES BRRR: 477 X: 29.8467 +INDEX GOES BRRR: 252 X: 15.7783 +INDEX GOES BRRR: 96 X: 6.01758 +INDEX GOES BRRR: 143 X: 8.97656 +INDEX GOES BRRR: 370 X: 23.1582 +INDEX GOES BRRR: 808 X: -13.4893 +INDEX GOES BRRR: 259 X: 16.2061 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 907 X: -7.2627 +INDEX GOES BRRR: 494 X: 30.8877 +INDEX GOES BRRR: 172 X: 10.751 +INDEX GOES BRRR: 121 X: 7.5918 +INDEX GOES BRRR: 910 X: -7.12109 +INDEX GOES BRRR: 235 X: 14.7393 +INDEX GOES BRRR: 135 X: 8.48535 +INDEX GOES BRRR: 196 X: 12.2725 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 235 X: 14.7314 +INDEX GOES BRRR: 962 X: -3.84766 +INDEX GOES BRRR: 70 X: 4.40625 +INDEX GOES BRRR: 201 X: 12.6172 +INDEX GOES BRRR: 105 X: 6.59863 +INDEX GOES BRRR: 114 X: 7.16406 +INDEX GOES BRRR: 319 X: 19.9883 +INDEX GOES BRRR: 392 X: 24.5117 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 108 X: 6.78809 +INDEX GOES BRRR: 312 X: 19.5254 +INDEX GOES BRRR: 79 X: 4.97363 +INDEX GOES BRRR: 221 X: 13.8477 +INDEX GOES BRRR: 1004 X: -1.21973 +INDEX GOES BRRR: 279 X: 17.4805 +INDEX GOES BRRR: 183 X: 11.498 +INDEX GOES BRRR: 453 X: 28.3271 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 268 X: 16.7559 +INDEX GOES BRRR: 330 X: 20.6367 +INDEX GOES BRRR: 195 X: 12.2158 +INDEX GOES BRRR: 41 X: 2.58105 +INDEX GOES BRRR: 76 X: 4.77344 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 221 X: 13.8271 +INDEX GOES BRRR: 38 X: 2.42871 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 823 X: -12.5449 +INDEX GOES BRRR: 179 X: 11.2119 +INDEX GOES BRRR: 139 X: 8.71582 +INDEX GOES BRRR: 999 X: -1.53906 +INDEX GOES BRRR: 14 X: 0.904297 +INDEX GOES BRRR: 349 X: 21.8311 +INDEX GOES BRRR: 281 X: 17.624 +INDEX GOES BRRR: 174 X: 10.915 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 916 X: -6.74902 +INDEX GOES BRRR: 189 X: 11.8574 +INDEX GOES BRRR: 152 X: 9.54395 +INDEX GOES BRRR: 132 X: 8.27441 +INDEX GOES BRRR: 20 X: 1.28516 +INDEX GOES BRRR: 184 X: 11.5303 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 149 X: 9.3125 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 847 X: -11.0186 +INDEX GOES BRRR: 278 X: 17.3867 +INDEX GOES BRRR: 298 X: 18.6787 +INDEX GOES BRRR: 186 X: 11.6729 +INDEX GOES BRRR: 117 X: 7.32227 +INDEX GOES BRRR: 296 X: 18.543 +INDEX GOES BRRR: 166 X: 10.3994 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 888 X: -8.44434 +INDEX GOES BRRR: 165 X: 10.3496 +INDEX GOES BRRR: 189 X: 11.8145 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 370 X: 23.1338 +INDEX GOES BRRR: 452 X: 28.3047 +INDEX GOES BRRR: 22 X: 1.40332 +INDEX GOES BRRR: 164 X: 10.2539 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 277 X: 17.3398 +INDEX GOES BRRR: 112 X: 7.05762 +INDEX GOES BRRR: 919 X: -6.54199 +INDEX GOES BRRR: 121 X: 7.61328 +INDEX GOES BRRR: 55 X: 3.45215 +INDEX GOES BRRR: 11 X: 0.709961 +INDEX GOES BRRR: 183 X: 11.4678 +INDEX GOES BRRR: 947 X: -4.77344 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 218 X: 13.6641 +INDEX GOES BRRR: 225 X: 14.1191 +INDEX GOES BRRR: 168 X: 10.5352 +INDEX GOES BRRR: 72 X: 4.53809 +INDEX GOES BRRR: 156 X: 9.77734 +INDEX GOES BRRR: 16 X: 1.05469 +INDEX GOES BRRR: 318 X: 19.9111 +INDEX GOES BRRR: 84 X: 5.29199 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 187 X: 11.7412 +INDEX GOES BRRR: 286 X: 17.875 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 232 X: 14.5127 +INDEX GOES BRRR: 929 X: -5.90918 +INDEX GOES BRRR: 360 X: 22.5391 +INDEX GOES BRRR: 323 X: 20.2119 +INDEX GOES BRRR: 214 X: 13.4023 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 111 X: 6.97168 +INDEX GOES BRRR: 422 X: 26.3877 +INDEX GOES BRRR: 984 X: -2.48633 +INDEX GOES BRRR: 1014 X: -0.617188 +INDEX GOES BRRR: 424 X: 26.5527 +INDEX GOES BRRR: 62 X: 3.89453 +INDEX GOES BRRR: 280 X: 17.543 +INDEX GOES BRRR: 148 X: 9.25781 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 76 X: 4.77441 +INDEX GOES BRRR: 118 X: 7.37598 +INDEX GOES BRRR: 251 X: 15.7109 +INDEX GOES BRRR: 313 X: 19.5967 +INDEX GOES BRRR: 350 X: 21.9258 +INDEX GOES BRRR: 153 X: 9.58496 +INDEX GOES BRRR: 30 X: 1.8877 +INDEX GOES BRRR: 322 X: 20.1865 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 220 X: 13.7588 +INDEX GOES BRRR: 272 X: 17.002 +INDEX GOES BRRR: 174 X: 10.877 +INDEX GOES BRRR: 153 X: 9.57715 +INDEX GOES BRRR: 271 X: 16.9395 +INDEX GOES BRRR: 1016 X: -0.496094 +INDEX GOES BRRR: 398 X: 24.9004 +INDEX GOES BRRR: 1006 X: -1.09082 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 84 X: 5.31055 +INDEX GOES BRRR: 127 X: 7.96289 +INDEX GOES BRRR: 374 X: 23.415 +INDEX GOES BRRR: 158 X: 9.91504 +INDEX GOES BRRR: 187 X: 11.6875 +INDEX GOES BRRR: 244 X: 15.2607 +INDEX GOES BRRR: 119 X: 7.47754 +INDEX GOES BRRR: 235 X: 14.7109 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 905 X: -7.40625 +INDEX GOES BRRR: 102 X: 6.39941 +INDEX GOES BRRR: 1007 X: -1.04199 +INDEX GOES BRRR: 322 X: 20.1582 +INDEX GOES BRRR: 256 X: 16.0439 +INDEX GOES BRRR: 239 X: 14.9785 +INDEX GOES BRRR: 913 X: -6.89062 +INDEX GOES BRRR: 806 X: -13.6016 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 1022 X: -0.116211 +INDEX GOES BRRR: 294 X: 18.4131 +INDEX GOES BRRR: 305 X: 19.0625 +INDEX GOES BRRR: 989 X: -2.16504 +INDEX GOES BRRR: 292 X: 18.2539 +INDEX GOES BRRR: 917 X: -6.64551 +INDEX GOES BRRR: 334 X: 20.8809 +INDEX GOES BRRR: 771 X: -15.8096 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 308 X: 19.2861 +INDEX GOES BRRR: 227 X: 14.249 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 85 X: 5.32227 +INDEX GOES BRRR: 27 X: 1.69629 +INDEX GOES BRRR: 268 X: 16.7744 +INDEX GOES BRRR: 237 X: 14.8418 +INDEX GOES BRRR: 301 X: 18.8174 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +NOW EXPONENT TIME +INDEX GOES BRRR: 185 X: 11.5967 +INDEX GOES BRRR: 178 X: 11.1406 +INDEX GOES BRRR: 496 X: 31 +INDEX GOES BRRR: 154 X: 9.6709 +INDEX GOES BRRR: 376 X: 23.502 +INDEX GOES BRRR: 929 X: -5.92773 +INDEX GOES BRRR: 177 X: 11.1045 +INDEX GOES BRRR: 223 X: 13.9395 +NOW INVERSE TIME +INDEX GOES BRRR: 511 X: 127.999 +(5000, 8) +B [array([[0. , 0.99902344, 0.99902344, ..., 0.99902344, 0.99902344, + 0.99902344], + [0.99902344, 0. , 0.99902344, ..., 0. , 0.703125 , + 0.99902344], + [0.99902344, 0.703125 , 0.99902344, ..., 0.99902344, 0.99902344, + 0.99902344], + ..., + [0.00683594, 0.99902344, 0.99902344, ..., 0. , 0.99902344, + 0. ], + [0.99902344, 0.99902344, 0.99902344, ..., 0.99902344, 0.99902344, + 0.99902344], + [0.99902344, 0.99902344, 0.99902344, ..., 0. , 0.99902344, + 0.99902344]])] +Accuracy hls4ml relative to keras: 0.1876 --------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 4196337683 -______ test_softmax[16,6-input_shape0-18,8-io_parallel-False-argmax-XLS] _______ +pytest-randomly: reseed with 1383688646 +______ test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-XLS] ______ -backend = 'XLS', strategy = 'argmax' -generate_data = array([[ -9.06465089, 23.22051406, 6.93603944, ..., 31. , - 10.8794157 , 12.70340042], - [ 14....0.72062448], - [ 16.65739911, 1.49536802, 8.77838192, ..., -10.51041717, - 15.60206778, 16.67082722]]) +backend = 'XLS', strategy = 'latency' +generate_data = array([[ -7.25649101, 8.67699524, 12.02508133, ..., 6.22344919, + 5.5511721 , 20.79199156], + [ 10....8.81829702], + [ 11.59752574, 11.14109969, 31. , ..., -5.9268755 , + 11.10483525, 13.93947172]]) input_bits = '16,6', input_shape = (8,), table_bits = '18,8' io_type = 'io_parallel', custom_accum = False - @pytest.mark.parametrize('backend', ['XLS']) - @pytest.mark.parametrize('strategy', ['latency', 'argmax']) + @pytest.mark.parametrize('backend', ['XLS', 'Vivado']) + @pytest.mark.parametrize('strategy', ['latency']) @pytest.mark.parametrize( 'input_bits,input_shape,table_bits,io_type,custom_accum', [ @@ -153,7 +61270,7 @@ io_type = 'io_parallel', custom_accum = False table_type = f'fixed<{table_bits}, RND, SAT>' cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['Strategy'] = strategy + cfg['LayerName']['softmax']['Implementation'] = strategy cfg['LayerName']['softmax']['inv_table_t'] = table_type cfg['LayerName']['softmax']['exp_table_t'] = table_type cfg['LayerName']['softmax']['accum_t'] = table_type @@ -174,71 +61291,30 @@ io_type = 'io_parallel', custom_accum = False f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' ) ) -> hls_model = hls4ml.converters.convert_from_keras_model( + hls_model = hls4ml.converters.convert_from_keras_model( model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend ) - -test_softmax.py:76: -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ -../../hls4ml/utils/dependency.py:46: in inner - return f(*args, **kwargs) -../../hls4ml/converters/__init__.py:225: in convert_from_keras_model - return keras_v2_to_hls(config) -../../hls4ml/converters/keras_v2_to_hls.py:351: in keras_v2_to_hls - return ModelGraph.from_layer_list(config, layer_list, input_layers, output_layers) -../../hls4ml/model/graph.py:457: in from_layer_list - model.apply_flow(flow) -../../hls4ml/model/graph.py:525: in apply_flow - self._apply_sub_flow(flow, applied_flows) -../../hls4ml/model/graph.py:534: in _apply_sub_flow - self._apply_sub_flow(sub_flow, applied_flows) -../../hls4ml/model/graph.py:537: in _apply_sub_flow - applied_passes = optimize_model(self, flow.optimizers) -../../hls4ml/model/optimizer/optimizer.py:319: in optimize_model - res = opt.transform(model, node) -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ - -self = -model = -node = - - def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: - - # i * 2^{integer_part - clog2(table_size)} - def get_real_val_from_idx(i, type_var, table_size): - return i * (2 ** (type_var.precision.integer - math.ceil(math.log2(table_size)))) - - table_size = dict(node.attributes)['table_size'] - exp_table = [] - div_table = [] - - _, type_var = list(node.get_layer_precision().items())[0] + hls_model.compile() - # create exp table - for i in range(table_size): - real_val = get_real_val_from_idx(i, type_var, table_size) - e = math.exp(real_val) - exp_table.append(e) + y_keras = model.predict(X) + y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) + acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) - print("TESTETSTS ------------------") - print(dict(node.attributes)) + print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') - # create div table - for i in range(table_size): - real_val = get_real_val_from_idx(i, type_var, table_size) -> inv = 1.0 / real_val -E ZeroDivisionError: float division by zero +> assert acc_hls4ml >= 0.98 +E assert 0.2432 >= 0.98 -../../hls4ml/backends/xls/passes/build_tables.py:50: ZeroDivisionError +test_softmax.py:87: AssertionError ---------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 4196337681 +pytest-randomly: reseed with 1383688644 ----------------------------- Captured stdout call ----------------------------- -pytest-randomly: reseed with 4196337682 +pytest-randomly: reseed with 1383688645 WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) -TESTETSTS ------------------ -{'name': 'softmax', 'class_name': 'Softmax', 'data_format': 'channels_last', 'activation': 'softmax', 'axis': -1, 'index': 2, 'accum_t': , 'trace': False, 'precision': {'result': 'auto', 'table': 'fixed<18,8,TRN,WRAP,0>', 'exp_table': 'fixed<18,8,RND,SAT,0>', 'inv_table': 'fixed<18,8,RND,SAT,0>', 'inv_inp': 'fixed<18,8,RND,SAT,0>', 'accum': 'fixed<18,8,RND,SAT,0>'}, 'reuse_factor': 1, 'table_size': 1024, 'implementation': 'stable', 'skip': False, 'strategy': 'argmax', 'inv_table_t': , 'exp_table_t': , 'inv_inp_t': , 'result_t': , 'softmax': , 'n_in': 8, 'table_t': , 'n_outer': 1, 'n_inner': 1, 'write_dims': False, 'write_weights': False, 'write_func': True, 'in_dim_key': 'N_INPUT_1_1', 'in_dim_val': 8, 'out_dim_key': 'N_INPUT_1_1', 'out_dim_val': 8, 'in_nb': 'u32:16', 'in_en': 'u32:1', 'in_bu': 'u32:10', 'in_type': 'sN[u32:16]', 'out_type': 'sN[u32:18]', 'out_nb': 'u32:18', 'out_en': 'u32:1', 'out_bu': 'u32:10', 'fxp_weights': array([], dtype=float64), 'fxp_bias': array([], dtype=float64), 'func_call': 'activations::argmax'} + 1/157 [..............................] - ETA: 2s 93/157 [================>.............] - ETA: 0s 157/157 [==============================] - 0s 550us/step +Accuracy hls4ml relative to keras: 0.2432 --------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 4196337683 +pytest-randomly: reseed with 1383688646 =============================== warnings summary =============================== ../../hls4ml/backends/xls/xls_backend.py:99 /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. @@ -314,6 +61390,6 @@ pytest-randomly: reseed with 4196337683 -- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html =========================== short test summary info ============================ +FAILED test_softmax.py::test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-Vivado] FAILED test_softmax.py::test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-XLS] -FAILED test_softmax.py::test_softmax[16,6-input_shape0-18,8-io_parallel-False-argmax-XLS] -======================== 2 failed, 18 warnings in 2.07s ======================== +======================== 2 failed, 18 warnings in 7.68s ======================== diff --git a/test/pytest/test_softmax.py b/test/pytest/test_softmax.py index 8a0bab60e3..d8f5ac4744 100644 --- a/test/pytest/test_softmax.py +++ b/test/pytest/test_softmax.py @@ -35,8 +35,8 @@ def generate_data(input_shape): # ('16,6', (8, 8, 3), '18,8', 'io_stream', False), # ], # ) -@pytest.mark.parametrize('backend', ['XLS']) -@pytest.mark.parametrize('strategy', ['latency', 'argmax']) +@pytest.mark.parametrize('backend', ['XLS', 'Vivado']) +@pytest.mark.parametrize('strategy', ['stable']) @pytest.mark.parametrize( 'input_bits,input_shape,table_bits,io_type,custom_accum', [ @@ -52,7 +52,7 @@ def test_softmax(backend, strategy, generate_data, input_bits, input_shape, tabl table_type = f'fixed<{table_bits}, RND, SAT>' cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['Strategy'] = strategy + cfg['LayerName']['softmax']['Implementation'] = strategy cfg['LayerName']['softmax']['inv_table_t'] = table_type cfg['LayerName']['softmax']['exp_table_t'] = table_type cfg['LayerName']['softmax']['accum_t'] = table_type From dc8f5a9853ecb0fa998977f06f9763017d5c611d Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 1 Aug 2025 13:19:24 +0200 Subject: [PATCH 14/24] cleanup: removed junk file --- test/pytest/junk.txt | 61395 ----------------------------------------- 1 file changed, 61395 deletions(-) delete mode 100644 test/pytest/junk.txt diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt deleted file mode 100644 index 0464c869a1..0000000000 --- a/test/pytest/junk.txt +++ /dev/null @@ -1,61395 +0,0 @@ -============================= test session starts ============================== -platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 -pytest-randomly: reseed with 1383688645 -Using --randomly-seed=1383688645 -rootdir: /home/girji/workspace/forks/hls4ml -configfile: pyproject.toml -plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 -pytest-randomly: reseed with 1383688645 -collected 2 items - -test_softmax.py FF [100%] - -=================================== FAILURES =================================== -____ test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-Vivado] _____ - -backend = 'Vivado', strategy = 'latency' -generate_data = array([[ -7.25649101, 8.67699524, 12.02508133, ..., 6.22344919, - 5.5511721 , 20.79199156], - [ 10....8.81829702], - [ 11.59752574, 11.14109969, 31. , ..., -5.9268755 , - 11.10483525, 13.93947172]]) -input_bits = '16,6', input_shape = (8,), table_bits = '18,8' -io_type = 'io_parallel', custom_accum = False - - @pytest.mark.parametrize('backend', ['XLS', 'Vivado']) - @pytest.mark.parametrize('strategy', ['latency']) - @pytest.mark.parametrize( - 'input_bits,input_shape,table_bits,io_type,custom_accum', - [ - ('16,6', (8,), '18,8', 'io_parallel', False), - ], - ) - def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): - X = generate_data - model = tf.keras.models.Sequential() - model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) - model.compile() - - table_type = f'fixed<{table_bits}, RND, SAT>' - - cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['Implementation'] = strategy - cfg['LayerName']['softmax']['inv_table_t'] = table_type - cfg['LayerName']['softmax']['exp_table_t'] = table_type - cfg['LayerName']['softmax']['accum_t'] = table_type - cfg['LayerName']['softmax']['inv_inp_t'] = table_type - if custom_accum: - if backend not in ['Vivado', 'Vitis']: - pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') - W, I = map(int, input_bits.split(',')) # noqa: E741 - cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' - cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' - inp_layer_name = next(iter(cfg['LayerName'].keys())) - cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' - - odir = str( - test_root_path - / ( - f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' - f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' - ) - ) - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend - ) - hls_model.compile() - - y_keras = model.predict(X) - y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) - acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) - - print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') - -> assert acc_hls4ml >= 0.98 -E assert 0.1876 >= 0.98 - -test_softmax.py:87: AssertionError ----------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 1383688644 ------------------------------ Captured stdout call ----------------------------- -pytest-randomly: reseed with 1383688645 -WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) - 1/157 [..............................] - ETA: 5s 103/157 [==================>...........] - ETA: 0s 157/157 [==============================] - 0s 484us/step -Width: 16 N: 10 - X: 0 -exp_table[0] = 1 X: 0 -Width: 16 N: 10 - X: 0.0625 -exp_table[1] = 1.06445 X: 0.0625 -Width: 16 N: 10 - X: 0.125 -exp_table[2] = 1.13281 X: 0.125 -Width: 16 N: 10 - X: 0.1875 -exp_table[3] = 1.20605 X: 0.1875 -Width: 16 N: 10 - X: 0.25 -exp_table[4] = 1.28418 X: 0.25 -Width: 16 N: 10 - X: 0.3125 -exp_table[5] = 1.36719 X: 0.3125 -Width: 16 N: 10 - X: 0.375 -exp_table[6] = 1.45508 X: 0.375 -Width: 16 N: 10 - X: 0.4375 -exp_table[7] = 1.54883 X: 0.4375 -Width: 16 N: 10 - X: 0.5 -exp_table[8] = 1.64844 X: 0.5 -Width: 16 N: 10 - X: 0.5625 -exp_table[9] = 1.75488 X: 0.5625 -Width: 16 N: 10 - X: 0.625 -exp_table[10] = 1.86816 X: 0.625 -Width: 16 N: 10 - X: 0.6875 -exp_table[11] = 1.98828 X: 0.6875 -Width: 16 N: 10 - X: 0.75 -exp_table[12] = 2.11719 X: 0.75 -Width: 16 N: 10 - X: 0.8125 -exp_table[13] = 2.25391 X: 0.8125 -Width: 16 N: 10 - X: 0.875 -exp_table[14] = 2.39844 X: 0.875 -Width: 16 N: 10 - X: 0.9375 -exp_table[15] = 2.55371 X: 0.9375 -Width: 16 N: 10 - X: 1 -exp_table[16] = 2.71875 X: 1 -Width: 16 N: 10 - X: 1.0625 -exp_table[17] = 2.89355 X: 1.0625 -Width: 16 N: 10 - X: 1.125 -exp_table[18] = 3.08008 X: 1.125 -Width: 16 N: 10 - X: 1.1875 -exp_table[19] = 3.2793 X: 1.1875 -Width: 16 N: 10 - X: 1.25 -exp_table[20] = 3.49023 X: 1.25 -Width: 16 N: 10 - X: 1.3125 -exp_table[21] = 3.71582 X: 1.3125 -Width: 16 N: 10 - X: 1.375 -exp_table[22] = 3.95508 X: 1.375 -Width: 16 N: 10 - X: 1.4375 -exp_table[23] = 4.20996 X: 1.4375 -Width: 16 N: 10 - X: 1.5 -exp_table[24] = 4.48145 X: 1.5 -Width: 16 N: 10 - X: 1.5625 -exp_table[25] = 4.77051 X: 1.5625 -Width: 16 N: 10 - X: 1.625 -exp_table[26] = 5.07813 X: 1.625 -Width: 16 N: 10 - X: 1.6875 -exp_table[27] = 5.40625 X: 1.6875 -Width: 16 N: 10 - X: 1.75 -exp_table[28] = 5.75488 X: 1.75 -Width: 16 N: 10 - X: 1.8125 -exp_table[29] = 6.12598 X: 1.8125 -Width: 16 N: 10 - X: 1.875 -exp_table[30] = 6.52051 X: 1.875 -Width: 16 N: 10 - X: 1.9375 -exp_table[31] = 6.94141 X: 1.9375 -Width: 16 N: 10 - X: 2 -exp_table[32] = 7.38867 X: 2 -Width: 16 N: 10 - X: 2.0625 -exp_table[33] = 7.86523 X: 2.0625 -Width: 16 N: 10 - X: 2.125 -exp_table[34] = 8.37305 X: 2.125 -Width: 16 N: 10 - X: 2.1875 -exp_table[35] = 8.91309 X: 2.1875 -Width: 16 N: 10 - X: 2.25 -exp_table[36] = 9.4873 X: 2.25 -Width: 16 N: 10 - X: 2.3125 -exp_table[37] = 10.0996 X: 2.3125 -Width: 16 N: 10 - X: 2.375 -exp_table[38] = 10.751 X: 2.375 -Width: 16 N: 10 - X: 2.4375 -exp_table[39] = 11.4443 X: 2.4375 -Width: 16 N: 10 - X: 2.5 -exp_table[40] = 12.1826 X: 2.5 -Width: 16 N: 10 - X: 2.5625 -exp_table[41] = 12.9678 X: 2.5625 -Width: 16 N: 10 - X: 2.625 -exp_table[42] = 13.8047 X: 2.625 -Width: 16 N: 10 - X: 2.6875 -exp_table[43] = 14.6953 X: 2.6875 -Width: 16 N: 10 - X: 2.75 -exp_table[44] = 15.6426 X: 2.75 -Width: 16 N: 10 - X: 2.8125 -exp_table[45] = 16.6514 X: 2.8125 -Width: 16 N: 10 - X: 2.875 -exp_table[46] = 17.7256 X: 2.875 -Width: 16 N: 10 - X: 2.9375 -exp_table[47] = 18.8682 X: 2.9375 -Width: 16 N: 10 - X: 3 -exp_table[48] = 20.0859 X: 3 -Width: 16 N: 10 - X: 3.0625 -exp_table[49] = 21.3809 X: 3.0625 -Width: 16 N: 10 - X: 3.125 -exp_table[50] = 22.7598 X: 3.125 -Width: 16 N: 10 - X: 3.1875 -exp_table[51] = 24.2275 X: 3.1875 -Width: 16 N: 10 - X: 3.25 -exp_table[52] = 25.79 X: 3.25 -Width: 16 N: 10 - X: 3.3125 -exp_table[53] = 27.4541 X: 3.3125 -Width: 16 N: 10 - X: 3.375 -exp_table[54] = 29.2246 X: 3.375 -Width: 16 N: 10 - X: 3.4375 -exp_table[55] = 31.1094 X: 3.4375 -Width: 16 N: 10 - X: 3.5 -exp_table[56] = 33.1152 X: 3.5 -Width: 16 N: 10 - X: 3.5625 -exp_table[57] = 35.251 X: 3.5625 -Width: 16 N: 10 - X: 3.625 -exp_table[58] = 37.5244 X: 3.625 -Width: 16 N: 10 - X: 3.6875 -exp_table[59] = 39.9453 X: 3.6875 -Width: 16 N: 10 - X: 3.75 -exp_table[60] = 42.5215 X: 3.75 -Width: 16 N: 10 - X: 3.8125 -exp_table[61] = 45.2637 X: 3.8125 -Width: 16 N: 10 - X: 3.875 -exp_table[62] = 48.1826 X: 3.875 -Width: 16 N: 10 - X: 3.9375 -exp_table[63] = 51.29 X: 3.9375 -Width: 16 N: 10 - X: 4 -exp_table[64] = 54.5986 X: 4 -Width: 16 N: 10 - X: 4.0625 -exp_table[65] = 58.1191 X: 4.0625 -Width: 16 N: 10 - X: 4.125 -exp_table[66] = 61.8682 X: 4.125 -Width: 16 N: 10 - X: 4.1875 -exp_table[67] = 65.8584 X: 4.1875 -Width: 16 N: 10 - X: 4.25 -exp_table[68] = 70.1055 X: 4.25 -Width: 16 N: 10 - X: 4.3125 -exp_table[69] = 74.627 X: 4.3125 -Width: 16 N: 10 - X: 4.375 -exp_table[70] = 79.4395 X: 4.375 -Width: 16 N: 10 - X: 4.4375 -exp_table[71] = 84.5635 X: 4.4375 -Width: 16 N: 10 - X: 4.5 -exp_table[72] = 90.0176 X: 4.5 -Width: 16 N: 10 - X: 4.5625 -exp_table[73] = 95.8223 X: 4.5625 -Width: 16 N: 10 - X: 4.625 -exp_table[74] = 102.003 X: 4.625 -Width: 16 N: 10 - X: 4.6875 -exp_table[75] = 108.581 X: 4.6875 -Width: 16 N: 10 - X: 4.75 -exp_table[76] = 115.584 X: 4.75 -Width: 16 N: 10 - X: 4.8125 -exp_table[77] = 123.039 X: 4.8125 -Width: 16 N: 10 - X: 4.875 -exp_table[78] = 127.999 X: 4.875 -Width: 16 N: 10 - X: 4.9375 -exp_table[79] = 127.999 X: 4.9375 -Width: 16 N: 10 - X: 5 -exp_table[80] = 127.999 X: 5 -Width: 16 N: 10 - X: 5.0625 -exp_table[81] = 127.999 X: 5.0625 -Width: 16 N: 10 - X: 5.125 -exp_table[82] = 127.999 X: 5.125 -Width: 16 N: 10 - X: 5.1875 -exp_table[83] = 127.999 X: 5.1875 -Width: 16 N: 10 - X: 5.25 -exp_table[84] = 127.999 X: 5.25 -Width: 16 N: 10 - X: 5.3125 -exp_table[85] = 127.999 X: 5.3125 -Width: 16 N: 10 - X: 5.375 -exp_table[86] = 127.999 X: 5.375 -Width: 16 N: 10 - X: 5.4375 -exp_table[87] = 127.999 X: 5.4375 -Width: 16 N: 10 - X: 5.5 -exp_table[88] = 127.999 X: 5.5 -Width: 16 N: 10 - X: 5.5625 -exp_table[89] = 127.999 X: 5.5625 -Width: 16 N: 10 - X: 5.625 -exp_table[90] = 127.999 X: 5.625 -Width: 16 N: 10 - X: 5.6875 -exp_table[91] = 127.999 X: 5.6875 -Width: 16 N: 10 - X: 5.75 -exp_table[92] = 127.999 X: 5.75 -Width: 16 N: 10 - X: 5.8125 -exp_table[93] = 127.999 X: 5.8125 -Width: 16 N: 10 - X: 5.875 -exp_table[94] = 127.999 X: 5.875 -Width: 16 N: 10 - X: 5.9375 -exp_table[95] = 127.999 X: 5.9375 -Width: 16 N: 10 - X: 6 -exp_table[96] = 127.999 X: 6 -Width: 16 N: 10 - X: 6.0625 -exp_table[97] = 127.999 X: 6.0625 -Width: 16 N: 10 - X: 6.125 -exp_table[98] = 127.999 X: 6.125 -Width: 16 N: 10 - X: 6.1875 -exp_table[99] = 127.999 X: 6.1875 -Width: 16 N: 10 - X: 6.25 -exp_table[100] = 127.999 X: 6.25 -Width: 16 N: 10 - X: 6.3125 -exp_table[101] = 127.999 X: 6.3125 -Width: 16 N: 10 - X: 6.375 -exp_table[102] = 127.999 X: 6.375 -Width: 16 N: 10 - X: 6.4375 -exp_table[103] = 127.999 X: 6.4375 -Width: 16 N: 10 - X: 6.5 -exp_table[104] = 127.999 X: 6.5 -Width: 16 N: 10 - X: 6.5625 -exp_table[105] = 127.999 X: 6.5625 -Width: 16 N: 10 - X: 6.625 -exp_table[106] = 127.999 X: 6.625 -Width: 16 N: 10 - X: 6.6875 -exp_table[107] = 127.999 X: 6.6875 -Width: 16 N: 10 - X: 6.75 -exp_table[108] = 127.999 X: 6.75 -Width: 16 N: 10 - X: 6.8125 -exp_table[109] = 127.999 X: 6.8125 -Width: 16 N: 10 - X: 6.875 -exp_table[110] = 127.999 X: 6.875 -Width: 16 N: 10 - X: 6.9375 -exp_table[111] = 127.999 X: 6.9375 -Width: 16 N: 10 - X: 7 -exp_table[112] = 127.999 X: 7 -Width: 16 N: 10 - X: 7.0625 -exp_table[113] = 127.999 X: 7.0625 -Width: 16 N: 10 - X: 7.125 -exp_table[114] = 127.999 X: 7.125 -Width: 16 N: 10 - X: 7.1875 -exp_table[115] = 127.999 X: 7.1875 -Width: 16 N: 10 - X: 7.25 -exp_table[116] = 127.999 X: 7.25 -Width: 16 N: 10 - X: 7.3125 -exp_table[117] = 127.999 X: 7.3125 -Width: 16 N: 10 - X: 7.375 -exp_table[118] = 127.999 X: 7.375 -Width: 16 N: 10 - X: 7.4375 -exp_table[119] = 127.999 X: 7.4375 -Width: 16 N: 10 - X: 7.5 -exp_table[120] = 127.999 X: 7.5 -Width: 16 N: 10 - X: 7.5625 -exp_table[121] = 127.999 X: 7.5625 -Width: 16 N: 10 - X: 7.625 -exp_table[122] = 127.999 X: 7.625 -Width: 16 N: 10 - X: 7.6875 -exp_table[123] = 127.999 X: 7.6875 -Width: 16 N: 10 - X: 7.75 -exp_table[124] = 127.999 X: 7.75 -Width: 16 N: 10 - X: 7.8125 -exp_table[125] = 127.999 X: 7.8125 -Width: 16 N: 10 - X: 7.875 -exp_table[126] = 127.999 X: 7.875 -Width: 16 N: 10 - X: 7.9375 -exp_table[127] = 127.999 X: 7.9375 -Width: 16 N: 10 - X: 8 -exp_table[128] = 127.999 X: 8 -Width: 16 N: 10 - X: 8.0625 -exp_table[129] = 127.999 X: 8.0625 -Width: 16 N: 10 - X: 8.125 -exp_table[130] = 127.999 X: 8.125 -Width: 16 N: 10 - X: 8.1875 -exp_table[131] = 127.999 X: 8.1875 -Width: 16 N: 10 - X: 8.25 -exp_table[132] = 127.999 X: 8.25 -Width: 16 N: 10 - X: 8.3125 -exp_table[133] = 127.999 X: 8.3125 -Width: 16 N: 10 - X: 8.375 -exp_table[134] = 127.999 X: 8.375 -Width: 16 N: 10 - X: 8.4375 -exp_table[135] = 127.999 X: 8.4375 -Width: 16 N: 10 - X: 8.5 -exp_table[136] = 127.999 X: 8.5 -Width: 16 N: 10 - X: 8.5625 -exp_table[137] = 127.999 X: 8.5625 -Width: 16 N: 10 - X: 8.625 -exp_table[138] = 127.999 X: 8.625 -Width: 16 N: 10 - X: 8.6875 -exp_table[139] = 127.999 X: 8.6875 -Width: 16 N: 10 - X: 8.75 -exp_table[140] = 127.999 X: 8.75 -Width: 16 N: 10 - X: 8.8125 -exp_table[141] = 127.999 X: 8.8125 -Width: 16 N: 10 - X: 8.875 -exp_table[142] = 127.999 X: 8.875 -Width: 16 N: 10 - X: 8.9375 -exp_table[143] = 127.999 X: 8.9375 -Width: 16 N: 10 - X: 9 -exp_table[144] = 127.999 X: 9 -Width: 16 N: 10 - X: 9.0625 -exp_table[145] = 127.999 X: 9.0625 -Width: 16 N: 10 - X: 9.125 -exp_table[146] = 127.999 X: 9.125 -Width: 16 N: 10 - X: 9.1875 -exp_table[147] = 127.999 X: 9.1875 -Width: 16 N: 10 - X: 9.25 -exp_table[148] = 127.999 X: 9.25 -Width: 16 N: 10 - X: 9.3125 -exp_table[149] = 127.999 X: 9.3125 -Width: 16 N: 10 - X: 9.375 -exp_table[150] = 127.999 X: 9.375 -Width: 16 N: 10 - X: 9.4375 -exp_table[151] = 127.999 X: 9.4375 -Width: 16 N: 10 - X: 9.5 -exp_table[152] = 127.999 X: 9.5 -Width: 16 N: 10 - X: 9.5625 -exp_table[153] = 127.999 X: 9.5625 -Width: 16 N: 10 - X: 9.625 -exp_table[154] = 127.999 X: 9.625 -Width: 16 N: 10 - X: 9.6875 -exp_table[155] = 127.999 X: 9.6875 -Width: 16 N: 10 - X: 9.75 -exp_table[156] = 127.999 X: 9.75 -Width: 16 N: 10 - X: 9.8125 -exp_table[157] = 127.999 X: 9.8125 -Width: 16 N: 10 - X: 9.875 -exp_table[158] = 127.999 X: 9.875 -Width: 16 N: 10 - X: 9.9375 -exp_table[159] = 127.999 X: 9.9375 -Width: 16 N: 10 - X: 10 -exp_table[160] = 127.999 X: 10 -Width: 16 N: 10 - X: 10.0625 -exp_table[161] = 127.999 X: 10.0625 -Width: 16 N: 10 - X: 10.125 -exp_table[162] = 127.999 X: 10.125 -Width: 16 N: 10 - X: 10.1875 -exp_table[163] = 127.999 X: 10.1875 -Width: 16 N: 10 - X: 10.25 -exp_table[164] = 127.999 X: 10.25 -Width: 16 N: 10 - X: 10.3125 -exp_table[165] = 127.999 X: 10.3125 -Width: 16 N: 10 - X: 10.375 -exp_table[166] = 127.999 X: 10.375 -Width: 16 N: 10 - X: 10.4375 -exp_table[167] = 127.999 X: 10.4375 -Width: 16 N: 10 - X: 10.5 -exp_table[168] = 127.999 X: 10.5 -Width: 16 N: 10 - X: 10.5625 -exp_table[169] = 127.999 X: 10.5625 -Width: 16 N: 10 - X: 10.625 -exp_table[170] = 127.999 X: 10.625 -Width: 16 N: 10 - X: 10.6875 -exp_table[171] = 127.999 X: 10.6875 -Width: 16 N: 10 - X: 10.75 -exp_table[172] = 127.999 X: 10.75 -Width: 16 N: 10 - X: 10.8125 -exp_table[173] = 127.999 X: 10.8125 -Width: 16 N: 10 - X: 10.875 -exp_table[174] = 127.999 X: 10.875 -Width: 16 N: 10 - X: 10.9375 -exp_table[175] = 127.999 X: 10.9375 -Width: 16 N: 10 - X: 11 -exp_table[176] = 127.999 X: 11 -Width: 16 N: 10 - X: 11.0625 -exp_table[177] = 127.999 X: 11.0625 -Width: 16 N: 10 - X: 11.125 -exp_table[178] = 127.999 X: 11.125 -Width: 16 N: 10 - X: 11.1875 -exp_table[179] = 127.999 X: 11.1875 -Width: 16 N: 10 - X: 11.25 -exp_table[180] = 127.999 X: 11.25 -Width: 16 N: 10 - X: 11.3125 -exp_table[181] = 127.999 X: 11.3125 -Width: 16 N: 10 - X: 11.375 -exp_table[182] = 127.999 X: 11.375 -Width: 16 N: 10 - X: 11.4375 -exp_table[183] = 127.999 X: 11.4375 -Width: 16 N: 10 - X: 11.5 -exp_table[184] = 127.999 X: 11.5 -Width: 16 N: 10 - X: 11.5625 -exp_table[185] = 127.999 X: 11.5625 -Width: 16 N: 10 - X: 11.625 -exp_table[186] = 127.999 X: 11.625 -Width: 16 N: 10 - X: 11.6875 -exp_table[187] = 127.999 X: 11.6875 -Width: 16 N: 10 - X: 11.75 -exp_table[188] = 127.999 X: 11.75 -Width: 16 N: 10 - X: 11.8125 -exp_table[189] = 127.999 X: 11.8125 -Width: 16 N: 10 - X: 11.875 -exp_table[190] = 127.999 X: 11.875 -Width: 16 N: 10 - X: 11.9375 -exp_table[191] = 127.999 X: 11.9375 -Width: 16 N: 10 - X: 12 -exp_table[192] = 127.999 X: 12 -Width: 16 N: 10 - X: 12.0625 -exp_table[193] = 127.999 X: 12.0625 -Width: 16 N: 10 - X: 12.125 -exp_table[194] = 127.999 X: 12.125 -Width: 16 N: 10 - X: 12.1875 -exp_table[195] = 127.999 X: 12.1875 -Width: 16 N: 10 - X: 12.25 -exp_table[196] = 127.999 X: 12.25 -Width: 16 N: 10 - X: 12.3125 -exp_table[197] = 127.999 X: 12.3125 -Width: 16 N: 10 - X: 12.375 -exp_table[198] = 127.999 X: 12.375 -Width: 16 N: 10 - X: 12.4375 -exp_table[199] = 127.999 X: 12.4375 -Width: 16 N: 10 - X: 12.5 -exp_table[200] = 127.999 X: 12.5 -Width: 16 N: 10 - X: 12.5625 -exp_table[201] = 127.999 X: 12.5625 -Width: 16 N: 10 - X: 12.625 -exp_table[202] = 127.999 X: 12.625 -Width: 16 N: 10 - X: 12.6875 -exp_table[203] = 127.999 X: 12.6875 -Width: 16 N: 10 - X: 12.75 -exp_table[204] = 127.999 X: 12.75 -Width: 16 N: 10 - X: 12.8125 -exp_table[205] = 127.999 X: 12.8125 -Width: 16 N: 10 - X: 12.875 -exp_table[206] = 127.999 X: 12.875 -Width: 16 N: 10 - X: 12.9375 -exp_table[207] = 127.999 X: 12.9375 -Width: 16 N: 10 - X: 13 -exp_table[208] = 127.999 X: 13 -Width: 16 N: 10 - X: 13.0625 -exp_table[209] = 127.999 X: 13.0625 -Width: 16 N: 10 - X: 13.125 -exp_table[210] = 127.999 X: 13.125 -Width: 16 N: 10 - X: 13.1875 -exp_table[211] = 127.999 X: 13.1875 -Width: 16 N: 10 - X: 13.25 -exp_table[212] = 127.999 X: 13.25 -Width: 16 N: 10 - X: 13.3125 -exp_table[213] = 127.999 X: 13.3125 -Width: 16 N: 10 - X: 13.375 -exp_table[214] = 127.999 X: 13.375 -Width: 16 N: 10 - X: 13.4375 -exp_table[215] = 127.999 X: 13.4375 -Width: 16 N: 10 - X: 13.5 -exp_table[216] = 127.999 X: 13.5 -Width: 16 N: 10 - X: 13.5625 -exp_table[217] = 127.999 X: 13.5625 -Width: 16 N: 10 - X: 13.625 -exp_table[218] = 127.999 X: 13.625 -Width: 16 N: 10 - X: 13.6875 -exp_table[219] = 127.999 X: 13.6875 -Width: 16 N: 10 - X: 13.75 -exp_table[220] = 127.999 X: 13.75 -Width: 16 N: 10 - X: 13.8125 -exp_table[221] = 127.999 X: 13.8125 -Width: 16 N: 10 - X: 13.875 -exp_table[222] = 127.999 X: 13.875 -Width: 16 N: 10 - X: 13.9375 -exp_table[223] = 127.999 X: 13.9375 -Width: 16 N: 10 - X: 14 -exp_table[224] = 127.999 X: 14 -Width: 16 N: 10 - X: 14.0625 -exp_table[225] = 127.999 X: 14.0625 -Width: 16 N: 10 - X: 14.125 -exp_table[226] = 127.999 X: 14.125 -Width: 16 N: 10 - X: 14.1875 -exp_table[227] = 127.999 X: 14.1875 -Width: 16 N: 10 - X: 14.25 -exp_table[228] = 127.999 X: 14.25 -Width: 16 N: 10 - X: 14.3125 -exp_table[229] = 127.999 X: 14.3125 -Width: 16 N: 10 - X: 14.375 -exp_table[230] = 127.999 X: 14.375 -Width: 16 N: 10 - X: 14.4375 -exp_table[231] = 127.999 X: 14.4375 -Width: 16 N: 10 - X: 14.5 -exp_table[232] = 127.999 X: 14.5 -Width: 16 N: 10 - X: 14.5625 -exp_table[233] = 127.999 X: 14.5625 -Width: 16 N: 10 - X: 14.625 -exp_table[234] = 127.999 X: 14.625 -Width: 16 N: 10 - X: 14.6875 -exp_table[235] = 127.999 X: 14.6875 -Width: 16 N: 10 - X: 14.75 -exp_table[236] = 127.999 X: 14.75 -Width: 16 N: 10 - X: 14.8125 -exp_table[237] = 127.999 X: 14.8125 -Width: 16 N: 10 - X: 14.875 -exp_table[238] = 127.999 X: 14.875 -Width: 16 N: 10 - X: 14.9375 -exp_table[239] = 127.999 X: 14.9375 -Width: 16 N: 10 - X: 15 -exp_table[240] = 127.999 X: 15 -Width: 16 N: 10 - X: 15.0625 -exp_table[241] = 127.999 X: 15.0625 -Width: 16 N: 10 - X: 15.125 -exp_table[242] = 127.999 X: 15.125 -Width: 16 N: 10 - X: 15.1875 -exp_table[243] = 127.999 X: 15.1875 -Width: 16 N: 10 - X: 15.25 -exp_table[244] = 127.999 X: 15.25 -Width: 16 N: 10 - X: 15.3125 -exp_table[245] = 127.999 X: 15.3125 -Width: 16 N: 10 - X: 15.375 -exp_table[246] = 127.999 X: 15.375 -Width: 16 N: 10 - X: 15.4375 -exp_table[247] = 127.999 X: 15.4375 -Width: 16 N: 10 - X: 15.5 -exp_table[248] = 127.999 X: 15.5 -Width: 16 N: 10 - X: 15.5625 -exp_table[249] = 127.999 X: 15.5625 -Width: 16 N: 10 - X: 15.625 -exp_table[250] = 127.999 X: 15.625 -Width: 16 N: 10 - X: 15.6875 -exp_table[251] = 127.999 X: 15.6875 -Width: 16 N: 10 - X: 15.75 -exp_table[252] = 127.999 X: 15.75 -Width: 16 N: 10 - X: 15.8125 -exp_table[253] = 127.999 X: 15.8125 -Width: 16 N: 10 - X: 15.875 -exp_table[254] = 127.999 X: 15.875 -Width: 16 N: 10 - X: 15.9375 -exp_table[255] = 127.999 X: 15.9375 -Width: 16 N: 10 - X: 16 -exp_table[256] = 127.999 X: 16 -Width: 16 N: 10 - X: 16.0625 -exp_table[257] = 127.999 X: 16.0625 -Width: 16 N: 10 - X: 16.125 -exp_table[258] = 127.999 X: 16.125 -Width: 16 N: 10 - X: 16.1875 -exp_table[259] = 127.999 X: 16.1875 -Width: 16 N: 10 - X: 16.25 -exp_table[260] = 127.999 X: 16.25 -Width: 16 N: 10 - X: 16.3125 -exp_table[261] = 127.999 X: 16.3125 -Width: 16 N: 10 - X: 16.375 -exp_table[262] = 127.999 X: 16.375 -Width: 16 N: 10 - X: 16.4375 -exp_table[263] = 127.999 X: 16.4375 -Width: 16 N: 10 - X: 16.5 -exp_table[264] = 127.999 X: 16.5 -Width: 16 N: 10 - X: 16.5625 -exp_table[265] = 127.999 X: 16.5625 -Width: 16 N: 10 - X: 16.625 -exp_table[266] = 127.999 X: 16.625 -Width: 16 N: 10 - X: 16.6875 -exp_table[267] = 127.999 X: 16.6875 -Width: 16 N: 10 - X: 16.75 -exp_table[268] = 127.999 X: 16.75 -Width: 16 N: 10 - X: 16.8125 -exp_table[269] = 127.999 X: 16.8125 -Width: 16 N: 10 - X: 16.875 -exp_table[270] = 127.999 X: 16.875 -Width: 16 N: 10 - X: 16.9375 -exp_table[271] = 127.999 X: 16.9375 -Width: 16 N: 10 - X: 17 -exp_table[272] = 127.999 X: 17 -Width: 16 N: 10 - X: 17.0625 -exp_table[273] = 127.999 X: 17.0625 -Width: 16 N: 10 - X: 17.125 -exp_table[274] = 127.999 X: 17.125 -Width: 16 N: 10 - X: 17.1875 -exp_table[275] = 127.999 X: 17.1875 -Width: 16 N: 10 - X: 17.25 -exp_table[276] = 127.999 X: 17.25 -Width: 16 N: 10 - X: 17.3125 -exp_table[277] = 127.999 X: 17.3125 -Width: 16 N: 10 - X: 17.375 -exp_table[278] = 127.999 X: 17.375 -Width: 16 N: 10 - X: 17.4375 -exp_table[279] = 127.999 X: 17.4375 -Width: 16 N: 10 - X: 17.5 -exp_table[280] = 127.999 X: 17.5 -Width: 16 N: 10 - X: 17.5625 -exp_table[281] = 127.999 X: 17.5625 -Width: 16 N: 10 - X: 17.625 -exp_table[282] = 127.999 X: 17.625 -Width: 16 N: 10 - X: 17.6875 -exp_table[283] = 127.999 X: 17.6875 -Width: 16 N: 10 - X: 17.75 -exp_table[284] = 127.999 X: 17.75 -Width: 16 N: 10 - X: 17.8125 -exp_table[285] = 127.999 X: 17.8125 -Width: 16 N: 10 - X: 17.875 -exp_table[286] = 127.999 X: 17.875 -Width: 16 N: 10 - X: 17.9375 -exp_table[287] = 127.999 X: 17.9375 -Width: 16 N: 10 - X: 18 -exp_table[288] = 127.999 X: 18 -Width: 16 N: 10 - X: 18.0625 -exp_table[289] = 127.999 X: 18.0625 -Width: 16 N: 10 - X: 18.125 -exp_table[290] = 127.999 X: 18.125 -Width: 16 N: 10 - X: 18.1875 -exp_table[291] = 127.999 X: 18.1875 -Width: 16 N: 10 - X: 18.25 -exp_table[292] = 127.999 X: 18.25 -Width: 16 N: 10 - X: 18.3125 -exp_table[293] = 127.999 X: 18.3125 -Width: 16 N: 10 - X: 18.375 -exp_table[294] = 127.999 X: 18.375 -Width: 16 N: 10 - X: 18.4375 -exp_table[295] = 127.999 X: 18.4375 -Width: 16 N: 10 - X: 18.5 -exp_table[296] = 127.999 X: 18.5 -Width: 16 N: 10 - X: 18.5625 -exp_table[297] = 127.999 X: 18.5625 -Width: 16 N: 10 - X: 18.625 -exp_table[298] = 127.999 X: 18.625 -Width: 16 N: 10 - X: 18.6875 -exp_table[299] = 127.999 X: 18.6875 -Width: 16 N: 10 - X: 18.75 -exp_table[300] = 127.999 X: 18.75 -Width: 16 N: 10 - X: 18.8125 -exp_table[301] = 127.999 X: 18.8125 -Width: 16 N: 10 - X: 18.875 -exp_table[302] = 127.999 X: 18.875 -Width: 16 N: 10 - X: 18.9375 -exp_table[303] = 127.999 X: 18.9375 -Width: 16 N: 10 - X: 19 -exp_table[304] = 127.999 X: 19 -Width: 16 N: 10 - X: 19.0625 -exp_table[305] = 127.999 X: 19.0625 -Width: 16 N: 10 - X: 19.125 -exp_table[306] = 127.999 X: 19.125 -Width: 16 N: 10 - X: 19.1875 -exp_table[307] = 127.999 X: 19.1875 -Width: 16 N: 10 - X: 19.25 -exp_table[308] = 127.999 X: 19.25 -Width: 16 N: 10 - X: 19.3125 -exp_table[309] = 127.999 X: 19.3125 -Width: 16 N: 10 - X: 19.375 -exp_table[310] = 127.999 X: 19.375 -Width: 16 N: 10 - X: 19.4375 -exp_table[311] = 127.999 X: 19.4375 -Width: 16 N: 10 - X: 19.5 -exp_table[312] = 127.999 X: 19.5 -Width: 16 N: 10 - X: 19.5625 -exp_table[313] = 127.999 X: 19.5625 -Width: 16 N: 10 - X: 19.625 -exp_table[314] = 127.999 X: 19.625 -Width: 16 N: 10 - X: 19.6875 -exp_table[315] = 127.999 X: 19.6875 -Width: 16 N: 10 - X: 19.75 -exp_table[316] = 127.999 X: 19.75 -Width: 16 N: 10 - X: 19.8125 -exp_table[317] = 127.999 X: 19.8125 -Width: 16 N: 10 - X: 19.875 -exp_table[318] = 127.999 X: 19.875 -Width: 16 N: 10 - X: 19.9375 -exp_table[319] = 127.999 X: 19.9375 -Width: 16 N: 10 - X: 20 -exp_table[320] = 127.999 X: 20 -Width: 16 N: 10 - X: 20.0625 -exp_table[321] = 127.999 X: 20.0625 -Width: 16 N: 10 - X: 20.125 -exp_table[322] = 127.999 X: 20.125 -Width: 16 N: 10 - X: 20.1875 -exp_table[323] = 127.999 X: 20.1875 -Width: 16 N: 10 - X: 20.25 -exp_table[324] = 127.999 X: 20.25 -Width: 16 N: 10 - X: 20.3125 -exp_table[325] = 127.999 X: 20.3125 -Width: 16 N: 10 - X: 20.375 -exp_table[326] = 127.999 X: 20.375 -Width: 16 N: 10 - X: 20.4375 -exp_table[327] = 127.999 X: 20.4375 -Width: 16 N: 10 - X: 20.5 -exp_table[328] = 127.999 X: 20.5 -Width: 16 N: 10 - X: 20.5625 -exp_table[329] = 127.999 X: 20.5625 -Width: 16 N: 10 - X: 20.625 -exp_table[330] = 127.999 X: 20.625 -Width: 16 N: 10 - X: 20.6875 -exp_table[331] = 127.999 X: 20.6875 -Width: 16 N: 10 - X: 20.75 -exp_table[332] = 127.999 X: 20.75 -Width: 16 N: 10 - X: 20.8125 -exp_table[333] = 127.999 X: 20.8125 -Width: 16 N: 10 - X: 20.875 -exp_table[334] = 127.999 X: 20.875 -Width: 16 N: 10 - X: 20.9375 -exp_table[335] = 127.999 X: 20.9375 -Width: 16 N: 10 - X: 21 -exp_table[336] = 127.999 X: 21 -Width: 16 N: 10 - X: 21.0625 -exp_table[337] = 127.999 X: 21.0625 -Width: 16 N: 10 - X: 21.125 -exp_table[338] = 127.999 X: 21.125 -Width: 16 N: 10 - X: 21.1875 -exp_table[339] = 127.999 X: 21.1875 -Width: 16 N: 10 - X: 21.25 -exp_table[340] = 127.999 X: 21.25 -Width: 16 N: 10 - X: 21.3125 -exp_table[341] = 127.999 X: 21.3125 -Width: 16 N: 10 - X: 21.375 -exp_table[342] = 127.999 X: 21.375 -Width: 16 N: 10 - X: 21.4375 -exp_table[343] = 127.999 X: 21.4375 -Width: 16 N: 10 - X: 21.5 -exp_table[344] = 127.999 X: 21.5 -Width: 16 N: 10 - X: 21.5625 -exp_table[345] = 127.999 X: 21.5625 -Width: 16 N: 10 - X: 21.625 -exp_table[346] = 127.999 X: 21.625 -Width: 16 N: 10 - X: 21.6875 -exp_table[347] = 127.999 X: 21.6875 -Width: 16 N: 10 - X: 21.75 -exp_table[348] = 127.999 X: 21.75 -Width: 16 N: 10 - X: 21.8125 -exp_table[349] = 127.999 X: 21.8125 -Width: 16 N: 10 - X: 21.875 -exp_table[350] = 127.999 X: 21.875 -Width: 16 N: 10 - X: 21.9375 -exp_table[351] = 127.999 X: 21.9375 -Width: 16 N: 10 - X: 22 -exp_table[352] = 127.999 X: 22 -Width: 16 N: 10 - X: 22.0625 -exp_table[353] = 127.999 X: 22.0625 -Width: 16 N: 10 - X: 22.125 -exp_table[354] = 127.999 X: 22.125 -Width: 16 N: 10 - X: 22.1875 -exp_table[355] = 127.999 X: 22.1875 -Width: 16 N: 10 - X: 22.25 -exp_table[356] = 127.999 X: 22.25 -Width: 16 N: 10 - X: 22.3125 -exp_table[357] = 127.999 X: 22.3125 -Width: 16 N: 10 - X: 22.375 -exp_table[358] = 127.999 X: 22.375 -Width: 16 N: 10 - X: 22.4375 -exp_table[359] = 127.999 X: 22.4375 -Width: 16 N: 10 - X: 22.5 -exp_table[360] = 127.999 X: 22.5 -Width: 16 N: 10 - X: 22.5625 -exp_table[361] = 127.999 X: 22.5625 -Width: 16 N: 10 - X: 22.625 -exp_table[362] = 127.999 X: 22.625 -Width: 16 N: 10 - X: 22.6875 -exp_table[363] = 127.999 X: 22.6875 -Width: 16 N: 10 - X: 22.75 -exp_table[364] = 127.999 X: 22.75 -Width: 16 N: 10 - X: 22.8125 -exp_table[365] = 127.999 X: 22.8125 -Width: 16 N: 10 - X: 22.875 -exp_table[366] = 127.999 X: 22.875 -Width: 16 N: 10 - X: 22.9375 -exp_table[367] = 127.999 X: 22.9375 -Width: 16 N: 10 - X: 23 -exp_table[368] = 127.999 X: 23 -Width: 16 N: 10 - X: 23.0625 -exp_table[369] = 127.999 X: 23.0625 -Width: 16 N: 10 - X: 23.125 -exp_table[370] = 127.999 X: 23.125 -Width: 16 N: 10 - X: 23.1875 -exp_table[371] = 127.999 X: 23.1875 -Width: 16 N: 10 - X: 23.25 -exp_table[372] = 127.999 X: 23.25 -Width: 16 N: 10 - X: 23.3125 -exp_table[373] = 127.999 X: 23.3125 -Width: 16 N: 10 - X: 23.375 -exp_table[374] = 127.999 X: 23.375 -Width: 16 N: 10 - X: 23.4375 -exp_table[375] = 127.999 X: 23.4375 -Width: 16 N: 10 - X: 23.5 -exp_table[376] = 127.999 X: 23.5 -Width: 16 N: 10 - X: 23.5625 -exp_table[377] = 127.999 X: 23.5625 -Width: 16 N: 10 - X: 23.625 -exp_table[378] = 127.999 X: 23.625 -Width: 16 N: 10 - X: 23.6875 -exp_table[379] = 127.999 X: 23.6875 -Width: 16 N: 10 - X: 23.75 -exp_table[380] = 127.999 X: 23.75 -Width: 16 N: 10 - X: 23.8125 -exp_table[381] = 127.999 X: 23.8125 -Width: 16 N: 10 - X: 23.875 -exp_table[382] = 127.999 X: 23.875 -Width: 16 N: 10 - X: 23.9375 -exp_table[383] = 127.999 X: 23.9375 -Width: 16 N: 10 - X: 24 -exp_table[384] = 127.999 X: 24 -Width: 16 N: 10 - X: 24.0625 -exp_table[385] = 127.999 X: 24.0625 -Width: 16 N: 10 - X: 24.125 -exp_table[386] = 127.999 X: 24.125 -Width: 16 N: 10 - X: 24.1875 -exp_table[387] = 127.999 X: 24.1875 -Width: 16 N: 10 - X: 24.25 -exp_table[388] = 127.999 X: 24.25 -Width: 16 N: 10 - X: 24.3125 -exp_table[389] = 127.999 X: 24.3125 -Width: 16 N: 10 - X: 24.375 -exp_table[390] = 127.999 X: 24.375 -Width: 16 N: 10 - X: 24.4375 -exp_table[391] = 127.999 X: 24.4375 -Width: 16 N: 10 - X: 24.5 -exp_table[392] = 127.999 X: 24.5 -Width: 16 N: 10 - X: 24.5625 -exp_table[393] = 127.999 X: 24.5625 -Width: 16 N: 10 - X: 24.625 -exp_table[394] = 127.999 X: 24.625 -Width: 16 N: 10 - X: 24.6875 -exp_table[395] = 127.999 X: 24.6875 -Width: 16 N: 10 - X: 24.75 -exp_table[396] = 127.999 X: 24.75 -Width: 16 N: 10 - X: 24.8125 -exp_table[397] = 127.999 X: 24.8125 -Width: 16 N: 10 - X: 24.875 -exp_table[398] = 127.999 X: 24.875 -Width: 16 N: 10 - X: 24.9375 -exp_table[399] = 127.999 X: 24.9375 -Width: 16 N: 10 - X: 25 -exp_table[400] = 127.999 X: 25 -Width: 16 N: 10 - X: 25.0625 -exp_table[401] = 127.999 X: 25.0625 -Width: 16 N: 10 - X: 25.125 -exp_table[402] = 127.999 X: 25.125 -Width: 16 N: 10 - X: 25.1875 -exp_table[403] = 127.999 X: 25.1875 -Width: 16 N: 10 - X: 25.25 -exp_table[404] = 127.999 X: 25.25 -Width: 16 N: 10 - X: 25.3125 -exp_table[405] = 127.999 X: 25.3125 -Width: 16 N: 10 - X: 25.375 -exp_table[406] = 127.999 X: 25.375 -Width: 16 N: 10 - X: 25.4375 -exp_table[407] = 127.999 X: 25.4375 -Width: 16 N: 10 - X: 25.5 -exp_table[408] = 127.999 X: 25.5 -Width: 16 N: 10 - X: 25.5625 -exp_table[409] = 127.999 X: 25.5625 -Width: 16 N: 10 - X: 25.625 -exp_table[410] = 127.999 X: 25.625 -Width: 16 N: 10 - X: 25.6875 -exp_table[411] = 127.999 X: 25.6875 -Width: 16 N: 10 - X: 25.75 -exp_table[412] = 127.999 X: 25.75 -Width: 16 N: 10 - X: 25.8125 -exp_table[413] = 127.999 X: 25.8125 -Width: 16 N: 10 - X: 25.875 -exp_table[414] = 127.999 X: 25.875 -Width: 16 N: 10 - X: 25.9375 -exp_table[415] = 127.999 X: 25.9375 -Width: 16 N: 10 - X: 26 -exp_table[416] = 127.999 X: 26 -Width: 16 N: 10 - X: 26.0625 -exp_table[417] = 127.999 X: 26.0625 -Width: 16 N: 10 - X: 26.125 -exp_table[418] = 127.999 X: 26.125 -Width: 16 N: 10 - X: 26.1875 -exp_table[419] = 127.999 X: 26.1875 -Width: 16 N: 10 - X: 26.25 -exp_table[420] = 127.999 X: 26.25 -Width: 16 N: 10 - X: 26.3125 -exp_table[421] = 127.999 X: 26.3125 -Width: 16 N: 10 - X: 26.375 -exp_table[422] = 127.999 X: 26.375 -Width: 16 N: 10 - X: 26.4375 -exp_table[423] = 127.999 X: 26.4375 -Width: 16 N: 10 - X: 26.5 -exp_table[424] = 127.999 X: 26.5 -Width: 16 N: 10 - X: 26.5625 -exp_table[425] = 127.999 X: 26.5625 -Width: 16 N: 10 - X: 26.625 -exp_table[426] = 127.999 X: 26.625 -Width: 16 N: 10 - X: 26.6875 -exp_table[427] = 127.999 X: 26.6875 -Width: 16 N: 10 - X: 26.75 -exp_table[428] = 127.999 X: 26.75 -Width: 16 N: 10 - X: 26.8125 -exp_table[429] = 127.999 X: 26.8125 -Width: 16 N: 10 - X: 26.875 -exp_table[430] = 127.999 X: 26.875 -Width: 16 N: 10 - X: 26.9375 -exp_table[431] = 127.999 X: 26.9375 -Width: 16 N: 10 - X: 27 -exp_table[432] = 127.999 X: 27 -Width: 16 N: 10 - X: 27.0625 -exp_table[433] = 127.999 X: 27.0625 -Width: 16 N: 10 - X: 27.125 -exp_table[434] = 127.999 X: 27.125 -Width: 16 N: 10 - X: 27.1875 -exp_table[435] = 127.999 X: 27.1875 -Width: 16 N: 10 - X: 27.25 -exp_table[436] = 127.999 X: 27.25 -Width: 16 N: 10 - X: 27.3125 -exp_table[437] = 127.999 X: 27.3125 -Width: 16 N: 10 - X: 27.375 -exp_table[438] = 127.999 X: 27.375 -Width: 16 N: 10 - X: 27.4375 -exp_table[439] = 127.999 X: 27.4375 -Width: 16 N: 10 - X: 27.5 -exp_table[440] = 127.999 X: 27.5 -Width: 16 N: 10 - X: 27.5625 -exp_table[441] = 127.999 X: 27.5625 -Width: 16 N: 10 - X: 27.625 -exp_table[442] = 127.999 X: 27.625 -Width: 16 N: 10 - X: 27.6875 -exp_table[443] = 127.999 X: 27.6875 -Width: 16 N: 10 - X: 27.75 -exp_table[444] = 127.999 X: 27.75 -Width: 16 N: 10 - X: 27.8125 -exp_table[445] = 127.999 X: 27.8125 -Width: 16 N: 10 - X: 27.875 -exp_table[446] = 127.999 X: 27.875 -Width: 16 N: 10 - X: 27.9375 -exp_table[447] = 127.999 X: 27.9375 -Width: 16 N: 10 - X: 28 -exp_table[448] = 127.999 X: 28 -Width: 16 N: 10 - X: 28.0625 -exp_table[449] = 127.999 X: 28.0625 -Width: 16 N: 10 - X: 28.125 -exp_table[450] = 127.999 X: 28.125 -Width: 16 N: 10 - X: 28.1875 -exp_table[451] = 127.999 X: 28.1875 -Width: 16 N: 10 - X: 28.25 -exp_table[452] = 127.999 X: 28.25 -Width: 16 N: 10 - X: 28.3125 -exp_table[453] = 127.999 X: 28.3125 -Width: 16 N: 10 - X: 28.375 -exp_table[454] = 127.999 X: 28.375 -Width: 16 N: 10 - X: 28.4375 -exp_table[455] = 127.999 X: 28.4375 -Width: 16 N: 10 - X: 28.5 -exp_table[456] = 127.999 X: 28.5 -Width: 16 N: 10 - X: 28.5625 -exp_table[457] = 127.999 X: 28.5625 -Width: 16 N: 10 - X: 28.625 -exp_table[458] = 127.999 X: 28.625 -Width: 16 N: 10 - X: 28.6875 -exp_table[459] = 127.999 X: 28.6875 -Width: 16 N: 10 - X: 28.75 -exp_table[460] = 127.999 X: 28.75 -Width: 16 N: 10 - X: 28.8125 -exp_table[461] = 127.999 X: 28.8125 -Width: 16 N: 10 - X: 28.875 -exp_table[462] = 127.999 X: 28.875 -Width: 16 N: 10 - X: 28.9375 -exp_table[463] = 127.999 X: 28.9375 -Width: 16 N: 10 - X: 29 -exp_table[464] = 127.999 X: 29 -Width: 16 N: 10 - X: 29.0625 -exp_table[465] = 127.999 X: 29.0625 -Width: 16 N: 10 - X: 29.125 -exp_table[466] = 127.999 X: 29.125 -Width: 16 N: 10 - X: 29.1875 -exp_table[467] = 127.999 X: 29.1875 -Width: 16 N: 10 - X: 29.25 -exp_table[468] = 127.999 X: 29.25 -Width: 16 N: 10 - X: 29.3125 -exp_table[469] = 127.999 X: 29.3125 -Width: 16 N: 10 - X: 29.375 -exp_table[470] = 127.999 X: 29.375 -Width: 16 N: 10 - X: 29.4375 -exp_table[471] = 127.999 X: 29.4375 -Width: 16 N: 10 - X: 29.5 -exp_table[472] = 127.999 X: 29.5 -Width: 16 N: 10 - X: 29.5625 -exp_table[473] = 127.999 X: 29.5625 -Width: 16 N: 10 - X: 29.625 -exp_table[474] = 127.999 X: 29.625 -Width: 16 N: 10 - X: 29.6875 -exp_table[475] = 127.999 X: 29.6875 -Width: 16 N: 10 - X: 29.75 -exp_table[476] = 127.999 X: 29.75 -Width: 16 N: 10 - X: 29.8125 -exp_table[477] = 127.999 X: 29.8125 -Width: 16 N: 10 - X: 29.875 -exp_table[478] = 127.999 X: 29.875 -Width: 16 N: 10 - X: 29.9375 -exp_table[479] = 127.999 X: 29.9375 -Width: 16 N: 10 - X: 30 -exp_table[480] = 127.999 X: 30 -Width: 16 N: 10 - X: 30.0625 -exp_table[481] = 127.999 X: 30.0625 -Width: 16 N: 10 - X: 30.125 -exp_table[482] = 127.999 X: 30.125 -Width: 16 N: 10 - X: 30.1875 -exp_table[483] = 127.999 X: 30.1875 -Width: 16 N: 10 - X: 30.25 -exp_table[484] = 127.999 X: 30.25 -Width: 16 N: 10 - X: 30.3125 -exp_table[485] = 127.999 X: 30.3125 -Width: 16 N: 10 - X: 30.375 -exp_table[486] = 127.999 X: 30.375 -Width: 16 N: 10 - X: 30.4375 -exp_table[487] = 127.999 X: 30.4375 -Width: 16 N: 10 - X: 30.5 -exp_table[488] = 127.999 X: 30.5 -Width: 16 N: 10 - X: 30.5625 -exp_table[489] = 127.999 X: 30.5625 -Width: 16 N: 10 - X: 30.625 -exp_table[490] = 127.999 X: 30.625 -Width: 16 N: 10 - X: 30.6875 -exp_table[491] = 127.999 X: 30.6875 -Width: 16 N: 10 - X: 30.75 -exp_table[492] = 127.999 X: 30.75 -Width: 16 N: 10 - X: 30.8125 -exp_table[493] = 127.999 X: 30.8125 -Width: 16 N: 10 - X: 30.875 -exp_table[494] = 127.999 X: 30.875 -Width: 16 N: 10 - X: 30.9375 -exp_table[495] = 127.999 X: 30.9375 -Width: 16 N: 10 - X: 31 -exp_table[496] = 127.999 X: 31 -Width: 16 N: 10 - X: 31.0625 -exp_table[497] = 127.999 X: 31.0625 -Width: 16 N: 10 - X: 31.125 -exp_table[498] = 127.999 X: 31.125 -Width: 16 N: 10 - X: 31.1875 -exp_table[499] = 127.999 X: 31.1875 -Width: 16 N: 10 - X: 31.25 -exp_table[500] = 127.999 X: 31.25 -Width: 16 N: 10 - X: 31.3125 -exp_table[501] = 127.999 X: 31.3125 -Width: 16 N: 10 - X: 31.375 -exp_table[502] = 127.999 X: 31.375 -Width: 16 N: 10 - X: 31.4375 -exp_table[503] = 127.999 X: 31.4375 -Width: 16 N: 10 - X: 31.5 -exp_table[504] = 127.999 X: 31.5 -Width: 16 N: 10 - X: 31.5625 -exp_table[505] = 127.999 X: 31.5625 -Width: 16 N: 10 - X: 31.625 -exp_table[506] = 127.999 X: 31.625 -Width: 16 N: 10 - X: 31.6875 -exp_table[507] = 127.999 X: 31.6875 -Width: 16 N: 10 - X: 31.75 -exp_table[508] = 127.999 X: 31.75 -Width: 16 N: 10 - X: 31.8125 -exp_table[509] = 127.999 X: 31.8125 -Width: 16 N: 10 - X: 31.875 -exp_table[510] = 127.999 X: 31.875 -Width: 16 N: 10 - X: 31.9375 -exp_table[511] = 127.999 X: 31.9375 -Width: 16 N: 10 - X: -32 -exp_table[512] = 0 X: -32 -Width: 16 N: 10 - X: -31.9375 -exp_table[513] = 0 X: -31.9375 -Width: 16 N: 10 - X: -31.875 -exp_table[514] = 0 X: -31.875 -Width: 16 N: 10 - X: -31.8125 -exp_table[515] = 0 X: -31.8125 -Width: 16 N: 10 - X: -31.75 -exp_table[516] = 0 X: -31.75 -Width: 16 N: 10 - X: -31.6875 -exp_table[517] = 0 X: -31.6875 -Width: 16 N: 10 - X: -31.625 -exp_table[518] = 0 X: -31.625 -Width: 16 N: 10 - X: -31.5625 -exp_table[519] = 0 X: -31.5625 -Width: 16 N: 10 - X: -31.5 -exp_table[520] = 0 X: -31.5 -Width: 16 N: 10 - X: -31.4375 -exp_table[521] = 0 X: -31.4375 -Width: 16 N: 10 - X: -31.375 -exp_table[522] = 0 X: -31.375 -Width: 16 N: 10 - X: -31.3125 -exp_table[523] = 0 X: -31.3125 -Width: 16 N: 10 - X: -31.25 -exp_table[524] = 0 X: -31.25 -Width: 16 N: 10 - X: -31.1875 -exp_table[525] = 0 X: -31.1875 -Width: 16 N: 10 - X: -31.125 -exp_table[526] = 0 X: -31.125 -Width: 16 N: 10 - X: -31.0625 -exp_table[527] = 0 X: -31.0625 -Width: 16 N: 10 - X: -31 -exp_table[528] = 0 X: -31 -Width: 16 N: 10 - X: -30.9375 -exp_table[529] = 0 X: -30.9375 -Width: 16 N: 10 - X: -30.875 -exp_table[530] = 0 X: -30.875 -Width: 16 N: 10 - X: -30.8125 -exp_table[531] = 0 X: -30.8125 -Width: 16 N: 10 - X: -30.75 -exp_table[532] = 0 X: -30.75 -Width: 16 N: 10 - X: -30.6875 -exp_table[533] = 0 X: -30.6875 -Width: 16 N: 10 - X: -30.625 -exp_table[534] = 0 X: -30.625 -Width: 16 N: 10 - X: -30.5625 -exp_table[535] = 0 X: -30.5625 -Width: 16 N: 10 - X: -30.5 -exp_table[536] = 0 X: -30.5 -Width: 16 N: 10 - X: -30.4375 -exp_table[537] = 0 X: -30.4375 -Width: 16 N: 10 - X: -30.375 -exp_table[538] = 0 X: -30.375 -Width: 16 N: 10 - X: -30.3125 -exp_table[539] = 0 X: -30.3125 -Width: 16 N: 10 - X: -30.25 -exp_table[540] = 0 X: -30.25 -Width: 16 N: 10 - X: -30.1875 -exp_table[541] = 0 X: -30.1875 -Width: 16 N: 10 - X: -30.125 -exp_table[542] = 0 X: -30.125 -Width: 16 N: 10 - X: -30.0625 -exp_table[543] = 0 X: -30.0625 -Width: 16 N: 10 - X: -30 -exp_table[544] = 0 X: -30 -Width: 16 N: 10 - X: -29.9375 -exp_table[545] = 0 X: -29.9375 -Width: 16 N: 10 - X: -29.875 -exp_table[546] = 0 X: -29.875 -Width: 16 N: 10 - X: -29.8125 -exp_table[547] = 0 X: -29.8125 -Width: 16 N: 10 - X: -29.75 -exp_table[548] = 0 X: -29.75 -Width: 16 N: 10 - X: -29.6875 -exp_table[549] = 0 X: -29.6875 -Width: 16 N: 10 - X: -29.625 -exp_table[550] = 0 X: -29.625 -Width: 16 N: 10 - X: -29.5625 -exp_table[551] = 0 X: -29.5625 -Width: 16 N: 10 - X: -29.5 -exp_table[552] = 0 X: -29.5 -Width: 16 N: 10 - X: -29.4375 -exp_table[553] = 0 X: -29.4375 -Width: 16 N: 10 - X: -29.375 -exp_table[554] = 0 X: -29.375 -Width: 16 N: 10 - X: -29.3125 -exp_table[555] = 0 X: -29.3125 -Width: 16 N: 10 - X: -29.25 -exp_table[556] = 0 X: -29.25 -Width: 16 N: 10 - X: -29.1875 -exp_table[557] = 0 X: -29.1875 -Width: 16 N: 10 - X: -29.125 -exp_table[558] = 0 X: -29.125 -Width: 16 N: 10 - X: -29.0625 -exp_table[559] = 0 X: -29.0625 -Width: 16 N: 10 - X: -29 -exp_table[560] = 0 X: -29 -Width: 16 N: 10 - X: -28.9375 -exp_table[561] = 0 X: -28.9375 -Width: 16 N: 10 - X: -28.875 -exp_table[562] = 0 X: -28.875 -Width: 16 N: 10 - X: -28.8125 -exp_table[563] = 0 X: -28.8125 -Width: 16 N: 10 - X: -28.75 -exp_table[564] = 0 X: -28.75 -Width: 16 N: 10 - X: -28.6875 -exp_table[565] = 0 X: -28.6875 -Width: 16 N: 10 - X: -28.625 -exp_table[566] = 0 X: -28.625 -Width: 16 N: 10 - X: -28.5625 -exp_table[567] = 0 X: -28.5625 -Width: 16 N: 10 - X: -28.5 -exp_table[568] = 0 X: -28.5 -Width: 16 N: 10 - X: -28.4375 -exp_table[569] = 0 X: -28.4375 -Width: 16 N: 10 - X: -28.375 -exp_table[570] = 0 X: -28.375 -Width: 16 N: 10 - X: -28.3125 -exp_table[571] = 0 X: -28.3125 -Width: 16 N: 10 - X: -28.25 -exp_table[572] = 0 X: -28.25 -Width: 16 N: 10 - X: -28.1875 -exp_table[573] = 0 X: -28.1875 -Width: 16 N: 10 - X: -28.125 -exp_table[574] = 0 X: -28.125 -Width: 16 N: 10 - X: -28.0625 -exp_table[575] = 0 X: -28.0625 -Width: 16 N: 10 - X: -28 -exp_table[576] = 0 X: -28 -Width: 16 N: 10 - X: -27.9375 -exp_table[577] = 0 X: -27.9375 -Width: 16 N: 10 - X: -27.875 -exp_table[578] = 0 X: -27.875 -Width: 16 N: 10 - X: -27.8125 -exp_table[579] = 0 X: -27.8125 -Width: 16 N: 10 - X: -27.75 -exp_table[580] = 0 X: -27.75 -Width: 16 N: 10 - X: -27.6875 -exp_table[581] = 0 X: -27.6875 -Width: 16 N: 10 - X: -27.625 -exp_table[582] = 0 X: -27.625 -Width: 16 N: 10 - X: -27.5625 -exp_table[583] = 0 X: -27.5625 -Width: 16 N: 10 - X: -27.5 -exp_table[584] = 0 X: -27.5 -Width: 16 N: 10 - X: -27.4375 -exp_table[585] = 0 X: -27.4375 -Width: 16 N: 10 - X: -27.375 -exp_table[586] = 0 X: -27.375 -Width: 16 N: 10 - X: -27.3125 -exp_table[587] = 0 X: -27.3125 -Width: 16 N: 10 - X: -27.25 -exp_table[588] = 0 X: -27.25 -Width: 16 N: 10 - X: -27.1875 -exp_table[589] = 0 X: -27.1875 -Width: 16 N: 10 - X: -27.125 -exp_table[590] = 0 X: -27.125 -Width: 16 N: 10 - X: -27.0625 -exp_table[591] = 0 X: -27.0625 -Width: 16 N: 10 - X: -27 -exp_table[592] = 0 X: -27 -Width: 16 N: 10 - X: -26.9375 -exp_table[593] = 0 X: -26.9375 -Width: 16 N: 10 - X: -26.875 -exp_table[594] = 0 X: -26.875 -Width: 16 N: 10 - X: -26.8125 -exp_table[595] = 0 X: -26.8125 -Width: 16 N: 10 - X: -26.75 -exp_table[596] = 0 X: -26.75 -Width: 16 N: 10 - X: -26.6875 -exp_table[597] = 0 X: -26.6875 -Width: 16 N: 10 - X: -26.625 -exp_table[598] = 0 X: -26.625 -Width: 16 N: 10 - X: -26.5625 -exp_table[599] = 0 X: -26.5625 -Width: 16 N: 10 - X: -26.5 -exp_table[600] = 0 X: -26.5 -Width: 16 N: 10 - X: -26.4375 -exp_table[601] = 0 X: -26.4375 -Width: 16 N: 10 - X: -26.375 -exp_table[602] = 0 X: -26.375 -Width: 16 N: 10 - X: -26.3125 -exp_table[603] = 0 X: -26.3125 -Width: 16 N: 10 - X: -26.25 -exp_table[604] = 0 X: -26.25 -Width: 16 N: 10 - X: -26.1875 -exp_table[605] = 0 X: -26.1875 -Width: 16 N: 10 - X: -26.125 -exp_table[606] = 0 X: -26.125 -Width: 16 N: 10 - X: -26.0625 -exp_table[607] = 0 X: -26.0625 -Width: 16 N: 10 - X: -26 -exp_table[608] = 0 X: -26 -Width: 16 N: 10 - X: -25.9375 -exp_table[609] = 0 X: -25.9375 -Width: 16 N: 10 - X: -25.875 -exp_table[610] = 0 X: -25.875 -Width: 16 N: 10 - X: -25.8125 -exp_table[611] = 0 X: -25.8125 -Width: 16 N: 10 - X: -25.75 -exp_table[612] = 0 X: -25.75 -Width: 16 N: 10 - X: -25.6875 -exp_table[613] = 0 X: -25.6875 -Width: 16 N: 10 - X: -25.625 -exp_table[614] = 0 X: -25.625 -Width: 16 N: 10 - X: -25.5625 -exp_table[615] = 0 X: -25.5625 -Width: 16 N: 10 - X: -25.5 -exp_table[616] = 0 X: -25.5 -Width: 16 N: 10 - X: -25.4375 -exp_table[617] = 0 X: -25.4375 -Width: 16 N: 10 - X: -25.375 -exp_table[618] = 0 X: -25.375 -Width: 16 N: 10 - X: -25.3125 -exp_table[619] = 0 X: -25.3125 -Width: 16 N: 10 - X: -25.25 -exp_table[620] = 0 X: -25.25 -Width: 16 N: 10 - X: -25.1875 -exp_table[621] = 0 X: -25.1875 -Width: 16 N: 10 - X: -25.125 -exp_table[622] = 0 X: -25.125 -Width: 16 N: 10 - X: -25.0625 -exp_table[623] = 0 X: -25.0625 -Width: 16 N: 10 - X: -25 -exp_table[624] = 0 X: -25 -Width: 16 N: 10 - X: -24.9375 -exp_table[625] = 0 X: -24.9375 -Width: 16 N: 10 - X: -24.875 -exp_table[626] = 0 X: -24.875 -Width: 16 N: 10 - X: -24.8125 -exp_table[627] = 0 X: -24.8125 -Width: 16 N: 10 - X: -24.75 -exp_table[628] = 0 X: -24.75 -Width: 16 N: 10 - X: -24.6875 -exp_table[629] = 0 X: -24.6875 -Width: 16 N: 10 - X: -24.625 -exp_table[630] = 0 X: -24.625 -Width: 16 N: 10 - X: -24.5625 -exp_table[631] = 0 X: -24.5625 -Width: 16 N: 10 - X: -24.5 -exp_table[632] = 0 X: -24.5 -Width: 16 N: 10 - X: -24.4375 -exp_table[633] = 0 X: -24.4375 -Width: 16 N: 10 - X: -24.375 -exp_table[634] = 0 X: -24.375 -Width: 16 N: 10 - X: -24.3125 -exp_table[635] = 0 X: -24.3125 -Width: 16 N: 10 - X: -24.25 -exp_table[636] = 0 X: -24.25 -Width: 16 N: 10 - X: -24.1875 -exp_table[637] = 0 X: -24.1875 -Width: 16 N: 10 - X: -24.125 -exp_table[638] = 0 X: -24.125 -Width: 16 N: 10 - X: -24.0625 -exp_table[639] = 0 X: -24.0625 -Width: 16 N: 10 - X: -24 -exp_table[640] = 0 X: -24 -Width: 16 N: 10 - X: -23.9375 -exp_table[641] = 0 X: -23.9375 -Width: 16 N: 10 - X: -23.875 -exp_table[642] = 0 X: -23.875 -Width: 16 N: 10 - X: -23.8125 -exp_table[643] = 0 X: -23.8125 -Width: 16 N: 10 - X: -23.75 -exp_table[644] = 0 X: -23.75 -Width: 16 N: 10 - X: -23.6875 -exp_table[645] = 0 X: -23.6875 -Width: 16 N: 10 - X: -23.625 -exp_table[646] = 0 X: -23.625 -Width: 16 N: 10 - X: -23.5625 -exp_table[647] = 0 X: -23.5625 -Width: 16 N: 10 - X: -23.5 -exp_table[648] = 0 X: -23.5 -Width: 16 N: 10 - X: -23.4375 -exp_table[649] = 0 X: -23.4375 -Width: 16 N: 10 - X: -23.375 -exp_table[650] = 0 X: -23.375 -Width: 16 N: 10 - X: -23.3125 -exp_table[651] = 0 X: -23.3125 -Width: 16 N: 10 - X: -23.25 -exp_table[652] = 0 X: -23.25 -Width: 16 N: 10 - X: -23.1875 -exp_table[653] = 0 X: -23.1875 -Width: 16 N: 10 - X: -23.125 -exp_table[654] = 0 X: -23.125 -Width: 16 N: 10 - X: -23.0625 -exp_table[655] = 0 X: -23.0625 -Width: 16 N: 10 - X: -23 -exp_table[656] = 0 X: -23 -Width: 16 N: 10 - X: -22.9375 -exp_table[657] = 0 X: -22.9375 -Width: 16 N: 10 - X: -22.875 -exp_table[658] = 0 X: -22.875 -Width: 16 N: 10 - X: -22.8125 -exp_table[659] = 0 X: -22.8125 -Width: 16 N: 10 - X: -22.75 -exp_table[660] = 0 X: -22.75 -Width: 16 N: 10 - X: -22.6875 -exp_table[661] = 0 X: -22.6875 -Width: 16 N: 10 - X: -22.625 -exp_table[662] = 0 X: -22.625 -Width: 16 N: 10 - X: -22.5625 -exp_table[663] = 0 X: -22.5625 -Width: 16 N: 10 - X: -22.5 -exp_table[664] = 0 X: -22.5 -Width: 16 N: 10 - X: -22.4375 -exp_table[665] = 0 X: -22.4375 -Width: 16 N: 10 - X: -22.375 -exp_table[666] = 0 X: -22.375 -Width: 16 N: 10 - X: -22.3125 -exp_table[667] = 0 X: -22.3125 -Width: 16 N: 10 - X: -22.25 -exp_table[668] = 0 X: -22.25 -Width: 16 N: 10 - X: -22.1875 -exp_table[669] = 0 X: -22.1875 -Width: 16 N: 10 - X: -22.125 -exp_table[670] = 0 X: -22.125 -Width: 16 N: 10 - X: -22.0625 -exp_table[671] = 0 X: -22.0625 -Width: 16 N: 10 - X: -22 -exp_table[672] = 0 X: -22 -Width: 16 N: 10 - X: -21.9375 -exp_table[673] = 0 X: -21.9375 -Width: 16 N: 10 - X: -21.875 -exp_table[674] = 0 X: -21.875 -Width: 16 N: 10 - X: -21.8125 -exp_table[675] = 0 X: -21.8125 -Width: 16 N: 10 - X: -21.75 -exp_table[676] = 0 X: -21.75 -Width: 16 N: 10 - X: -21.6875 -exp_table[677] = 0 X: -21.6875 -Width: 16 N: 10 - X: -21.625 -exp_table[678] = 0 X: -21.625 -Width: 16 N: 10 - X: -21.5625 -exp_table[679] = 0 X: -21.5625 -Width: 16 N: 10 - X: -21.5 -exp_table[680] = 0 X: -21.5 -Width: 16 N: 10 - X: -21.4375 -exp_table[681] = 0 X: -21.4375 -Width: 16 N: 10 - X: -21.375 -exp_table[682] = 0 X: -21.375 -Width: 16 N: 10 - X: -21.3125 -exp_table[683] = 0 X: -21.3125 -Width: 16 N: 10 - X: -21.25 -exp_table[684] = 0 X: -21.25 -Width: 16 N: 10 - X: -21.1875 -exp_table[685] = 0 X: -21.1875 -Width: 16 N: 10 - X: -21.125 -exp_table[686] = 0 X: -21.125 -Width: 16 N: 10 - X: -21.0625 -exp_table[687] = 0 X: -21.0625 -Width: 16 N: 10 - X: -21 -exp_table[688] = 0 X: -21 -Width: 16 N: 10 - X: -20.9375 -exp_table[689] = 0 X: -20.9375 -Width: 16 N: 10 - X: -20.875 -exp_table[690] = 0 X: -20.875 -Width: 16 N: 10 - X: -20.8125 -exp_table[691] = 0 X: -20.8125 -Width: 16 N: 10 - X: -20.75 -exp_table[692] = 0 X: -20.75 -Width: 16 N: 10 - X: -20.6875 -exp_table[693] = 0 X: -20.6875 -Width: 16 N: 10 - X: -20.625 -exp_table[694] = 0 X: -20.625 -Width: 16 N: 10 - X: -20.5625 -exp_table[695] = 0 X: -20.5625 -Width: 16 N: 10 - X: -20.5 -exp_table[696] = 0 X: -20.5 -Width: 16 N: 10 - X: -20.4375 -exp_table[697] = 0 X: -20.4375 -Width: 16 N: 10 - X: -20.375 -exp_table[698] = 0 X: -20.375 -Width: 16 N: 10 - X: -20.3125 -exp_table[699] = 0 X: -20.3125 -Width: 16 N: 10 - X: -20.25 -exp_table[700] = 0 X: -20.25 -Width: 16 N: 10 - X: -20.1875 -exp_table[701] = 0 X: -20.1875 -Width: 16 N: 10 - X: -20.125 -exp_table[702] = 0 X: -20.125 -Width: 16 N: 10 - X: -20.0625 -exp_table[703] = 0 X: -20.0625 -Width: 16 N: 10 - X: -20 -exp_table[704] = 0 X: -20 -Width: 16 N: 10 - X: -19.9375 -exp_table[705] = 0 X: -19.9375 -Width: 16 N: 10 - X: -19.875 -exp_table[706] = 0 X: -19.875 -Width: 16 N: 10 - X: -19.8125 -exp_table[707] = 0 X: -19.8125 -Width: 16 N: 10 - X: -19.75 -exp_table[708] = 0 X: -19.75 -Width: 16 N: 10 - X: -19.6875 -exp_table[709] = 0 X: -19.6875 -Width: 16 N: 10 - X: -19.625 -exp_table[710] = 0 X: -19.625 -Width: 16 N: 10 - X: -19.5625 -exp_table[711] = 0 X: -19.5625 -Width: 16 N: 10 - X: -19.5 -exp_table[712] = 0 X: -19.5 -Width: 16 N: 10 - X: -19.4375 -exp_table[713] = 0 X: -19.4375 -Width: 16 N: 10 - X: -19.375 -exp_table[714] = 0 X: -19.375 -Width: 16 N: 10 - X: -19.3125 -exp_table[715] = 0 X: -19.3125 -Width: 16 N: 10 - X: -19.25 -exp_table[716] = 0 X: -19.25 -Width: 16 N: 10 - X: -19.1875 -exp_table[717] = 0 X: -19.1875 -Width: 16 N: 10 - X: -19.125 -exp_table[718] = 0 X: -19.125 -Width: 16 N: 10 - X: -19.0625 -exp_table[719] = 0 X: -19.0625 -Width: 16 N: 10 - X: -19 -exp_table[720] = 0 X: -19 -Width: 16 N: 10 - X: -18.9375 -exp_table[721] = 0 X: -18.9375 -Width: 16 N: 10 - X: -18.875 -exp_table[722] = 0 X: -18.875 -Width: 16 N: 10 - X: -18.8125 -exp_table[723] = 0 X: -18.8125 -Width: 16 N: 10 - X: -18.75 -exp_table[724] = 0 X: -18.75 -Width: 16 N: 10 - X: -18.6875 -exp_table[725] = 0 X: -18.6875 -Width: 16 N: 10 - X: -18.625 -exp_table[726] = 0 X: -18.625 -Width: 16 N: 10 - X: -18.5625 -exp_table[727] = 0 X: -18.5625 -Width: 16 N: 10 - X: -18.5 -exp_table[728] = 0 X: -18.5 -Width: 16 N: 10 - X: -18.4375 -exp_table[729] = 0 X: -18.4375 -Width: 16 N: 10 - X: -18.375 -exp_table[730] = 0 X: -18.375 -Width: 16 N: 10 - X: -18.3125 -exp_table[731] = 0 X: -18.3125 -Width: 16 N: 10 - X: -18.25 -exp_table[732] = 0 X: -18.25 -Width: 16 N: 10 - X: -18.1875 -exp_table[733] = 0 X: -18.1875 -Width: 16 N: 10 - X: -18.125 -exp_table[734] = 0 X: -18.125 -Width: 16 N: 10 - X: -18.0625 -exp_table[735] = 0 X: -18.0625 -Width: 16 N: 10 - X: -18 -exp_table[736] = 0 X: -18 -Width: 16 N: 10 - X: -17.9375 -exp_table[737] = 0 X: -17.9375 -Width: 16 N: 10 - X: -17.875 -exp_table[738] = 0 X: -17.875 -Width: 16 N: 10 - X: -17.8125 -exp_table[739] = 0 X: -17.8125 -Width: 16 N: 10 - X: -17.75 -exp_table[740] = 0 X: -17.75 -Width: 16 N: 10 - X: -17.6875 -exp_table[741] = 0 X: -17.6875 -Width: 16 N: 10 - X: -17.625 -exp_table[742] = 0 X: -17.625 -Width: 16 N: 10 - X: -17.5625 -exp_table[743] = 0 X: -17.5625 -Width: 16 N: 10 - X: -17.5 -exp_table[744] = 0 X: -17.5 -Width: 16 N: 10 - X: -17.4375 -exp_table[745] = 0 X: -17.4375 -Width: 16 N: 10 - X: -17.375 -exp_table[746] = 0 X: -17.375 -Width: 16 N: 10 - X: -17.3125 -exp_table[747] = 0 X: -17.3125 -Width: 16 N: 10 - X: -17.25 -exp_table[748] = 0 X: -17.25 -Width: 16 N: 10 - X: -17.1875 -exp_table[749] = 0 X: -17.1875 -Width: 16 N: 10 - X: -17.125 -exp_table[750] = 0 X: -17.125 -Width: 16 N: 10 - X: -17.0625 -exp_table[751] = 0 X: -17.0625 -Width: 16 N: 10 - X: -17 -exp_table[752] = 0 X: -17 -Width: 16 N: 10 - X: -16.9375 -exp_table[753] = 0 X: -16.9375 -Width: 16 N: 10 - X: -16.875 -exp_table[754] = 0 X: -16.875 -Width: 16 N: 10 - X: -16.8125 -exp_table[755] = 0 X: -16.8125 -Width: 16 N: 10 - X: -16.75 -exp_table[756] = 0 X: -16.75 -Width: 16 N: 10 - X: -16.6875 -exp_table[757] = 0 X: -16.6875 -Width: 16 N: 10 - X: -16.625 -exp_table[758] = 0 X: -16.625 -Width: 16 N: 10 - X: -16.5625 -exp_table[759] = 0 X: -16.5625 -Width: 16 N: 10 - X: -16.5 -exp_table[760] = 0 X: -16.5 -Width: 16 N: 10 - X: -16.4375 -exp_table[761] = 0 X: -16.4375 -Width: 16 N: 10 - X: -16.375 -exp_table[762] = 0 X: -16.375 -Width: 16 N: 10 - X: -16.3125 -exp_table[763] = 0 X: -16.3125 -Width: 16 N: 10 - X: -16.25 -exp_table[764] = 0 X: -16.25 -Width: 16 N: 10 - X: -16.1875 -exp_table[765] = 0 X: -16.1875 -Width: 16 N: 10 - X: -16.125 -exp_table[766] = 0 X: -16.125 -Width: 16 N: 10 - X: -16.0625 -exp_table[767] = 0 X: -16.0625 -Width: 16 N: 10 - X: -16 -exp_table[768] = 0 X: -16 -Width: 16 N: 10 - X: -15.9375 -exp_table[769] = 0 X: -15.9375 -Width: 16 N: 10 - X: -15.875 -exp_table[770] = 0 X: -15.875 -Width: 16 N: 10 - X: -15.8125 -exp_table[771] = 0 X: -15.8125 -Width: 16 N: 10 - X: -15.75 -exp_table[772] = 0 X: -15.75 -Width: 16 N: 10 - X: -15.6875 -exp_table[773] = 0 X: -15.6875 -Width: 16 N: 10 - X: -15.625 -exp_table[774] = 0 X: -15.625 -Width: 16 N: 10 - X: -15.5625 -exp_table[775] = 0 X: -15.5625 -Width: 16 N: 10 - X: -15.5 -exp_table[776] = 0 X: -15.5 -Width: 16 N: 10 - X: -15.4375 -exp_table[777] = 0 X: -15.4375 -Width: 16 N: 10 - X: -15.375 -exp_table[778] = 0 X: -15.375 -Width: 16 N: 10 - X: -15.3125 -exp_table[779] = 0 X: -15.3125 -Width: 16 N: 10 - X: -15.25 -exp_table[780] = 0 X: -15.25 -Width: 16 N: 10 - X: -15.1875 -exp_table[781] = 0 X: -15.1875 -Width: 16 N: 10 - X: -15.125 -exp_table[782] = 0 X: -15.125 -Width: 16 N: 10 - X: -15.0625 -exp_table[783] = 0 X: -15.0625 -Width: 16 N: 10 - X: -15 -exp_table[784] = 0 X: -15 -Width: 16 N: 10 - X: -14.9375 -exp_table[785] = 0 X: -14.9375 -Width: 16 N: 10 - X: -14.875 -exp_table[786] = 0 X: -14.875 -Width: 16 N: 10 - X: -14.8125 -exp_table[787] = 0 X: -14.8125 -Width: 16 N: 10 - X: -14.75 -exp_table[788] = 0 X: -14.75 -Width: 16 N: 10 - X: -14.6875 -exp_table[789] = 0 X: -14.6875 -Width: 16 N: 10 - X: -14.625 -exp_table[790] = 0 X: -14.625 -Width: 16 N: 10 - X: -14.5625 -exp_table[791] = 0 X: -14.5625 -Width: 16 N: 10 - X: -14.5 -exp_table[792] = 0 X: -14.5 -Width: 16 N: 10 - X: -14.4375 -exp_table[793] = 0 X: -14.4375 -Width: 16 N: 10 - X: -14.375 -exp_table[794] = 0 X: -14.375 -Width: 16 N: 10 - X: -14.3125 -exp_table[795] = 0 X: -14.3125 -Width: 16 N: 10 - X: -14.25 -exp_table[796] = 0 X: -14.25 -Width: 16 N: 10 - X: -14.1875 -exp_table[797] = 0 X: -14.1875 -Width: 16 N: 10 - X: -14.125 -exp_table[798] = 0 X: -14.125 -Width: 16 N: 10 - X: -14.0625 -exp_table[799] = 0 X: -14.0625 -Width: 16 N: 10 - X: -14 -exp_table[800] = 0 X: -14 -Width: 16 N: 10 - X: -13.9375 -exp_table[801] = 0 X: -13.9375 -Width: 16 N: 10 - X: -13.875 -exp_table[802] = 0 X: -13.875 -Width: 16 N: 10 - X: -13.8125 -exp_table[803] = 0 X: -13.8125 -Width: 16 N: 10 - X: -13.75 -exp_table[804] = 0 X: -13.75 -Width: 16 N: 10 - X: -13.6875 -exp_table[805] = 0 X: -13.6875 -Width: 16 N: 10 - X: -13.625 -exp_table[806] = 0 X: -13.625 -Width: 16 N: 10 - X: -13.5625 -exp_table[807] = 0 X: -13.5625 -Width: 16 N: 10 - X: -13.5 -exp_table[808] = 0 X: -13.5 -Width: 16 N: 10 - X: -13.4375 -exp_table[809] = 0 X: -13.4375 -Width: 16 N: 10 - X: -13.375 -exp_table[810] = 0 X: -13.375 -Width: 16 N: 10 - X: -13.3125 -exp_table[811] = 0 X: -13.3125 -Width: 16 N: 10 - X: -13.25 -exp_table[812] = 0 X: -13.25 -Width: 16 N: 10 - X: -13.1875 -exp_table[813] = 0 X: -13.1875 -Width: 16 N: 10 - X: -13.125 -exp_table[814] = 0 X: -13.125 -Width: 16 N: 10 - X: -13.0625 -exp_table[815] = 0 X: -13.0625 -Width: 16 N: 10 - X: -13 -exp_table[816] = 0 X: -13 -Width: 16 N: 10 - X: -12.9375 -exp_table[817] = 0 X: -12.9375 -Width: 16 N: 10 - X: -12.875 -exp_table[818] = 0 X: -12.875 -Width: 16 N: 10 - X: -12.8125 -exp_table[819] = 0 X: -12.8125 -Width: 16 N: 10 - X: -12.75 -exp_table[820] = 0 X: -12.75 -Width: 16 N: 10 - X: -12.6875 -exp_table[821] = 0 X: -12.6875 -Width: 16 N: 10 - X: -12.625 -exp_table[822] = 0 X: -12.625 -Width: 16 N: 10 - X: -12.5625 -exp_table[823] = 0 X: -12.5625 -Width: 16 N: 10 - X: -12.5 -exp_table[824] = 0 X: -12.5 -Width: 16 N: 10 - X: -12.4375 -exp_table[825] = 0 X: -12.4375 -Width: 16 N: 10 - X: -12.375 -exp_table[826] = 0 X: -12.375 -Width: 16 N: 10 - X: -12.3125 -exp_table[827] = 0 X: -12.3125 -Width: 16 N: 10 - X: -12.25 -exp_table[828] = 0 X: -12.25 -Width: 16 N: 10 - X: -12.1875 -exp_table[829] = 0 X: -12.1875 -Width: 16 N: 10 - X: -12.125 -exp_table[830] = 0 X: -12.125 -Width: 16 N: 10 - X: -12.0625 -exp_table[831] = 0 X: -12.0625 -Width: 16 N: 10 - X: -12 -exp_table[832] = 0 X: -12 -Width: 16 N: 10 - X: -11.9375 -exp_table[833] = 0 X: -11.9375 -Width: 16 N: 10 - X: -11.875 -exp_table[834] = 0 X: -11.875 -Width: 16 N: 10 - X: -11.8125 -exp_table[835] = 0 X: -11.8125 -Width: 16 N: 10 - X: -11.75 -exp_table[836] = 0 X: -11.75 -Width: 16 N: 10 - X: -11.6875 -exp_table[837] = 0 X: -11.6875 -Width: 16 N: 10 - X: -11.625 -exp_table[838] = 0 X: -11.625 -Width: 16 N: 10 - X: -11.5625 -exp_table[839] = 0 X: -11.5625 -Width: 16 N: 10 - X: -11.5 -exp_table[840] = 0 X: -11.5 -Width: 16 N: 10 - X: -11.4375 -exp_table[841] = 0 X: -11.4375 -Width: 16 N: 10 - X: -11.375 -exp_table[842] = 0 X: -11.375 -Width: 16 N: 10 - X: -11.3125 -exp_table[843] = 0 X: -11.3125 -Width: 16 N: 10 - X: -11.25 -exp_table[844] = 0 X: -11.25 -Width: 16 N: 10 - X: -11.1875 -exp_table[845] = 0 X: -11.1875 -Width: 16 N: 10 - X: -11.125 -exp_table[846] = 0 X: -11.125 -Width: 16 N: 10 - X: -11.0625 -exp_table[847] = 0 X: -11.0625 -Width: 16 N: 10 - X: -11 -exp_table[848] = 0 X: -11 -Width: 16 N: 10 - X: -10.9375 -exp_table[849] = 0 X: -10.9375 -Width: 16 N: 10 - X: -10.875 -exp_table[850] = 0 X: -10.875 -Width: 16 N: 10 - X: -10.8125 -exp_table[851] = 0 X: -10.8125 -Width: 16 N: 10 - X: -10.75 -exp_table[852] = 0 X: -10.75 -Width: 16 N: 10 - X: -10.6875 -exp_table[853] = 0 X: -10.6875 -Width: 16 N: 10 - X: -10.625 -exp_table[854] = 0 X: -10.625 -Width: 16 N: 10 - X: -10.5625 -exp_table[855] = 0 X: -10.5625 -Width: 16 N: 10 - X: -10.5 -exp_table[856] = 0 X: -10.5 -Width: 16 N: 10 - X: -10.4375 -exp_table[857] = 0 X: -10.4375 -Width: 16 N: 10 - X: -10.375 -exp_table[858] = 0 X: -10.375 -Width: 16 N: 10 - X: -10.3125 -exp_table[859] = 0 X: -10.3125 -Width: 16 N: 10 - X: -10.25 -exp_table[860] = 0 X: -10.25 -Width: 16 N: 10 - X: -10.1875 -exp_table[861] = 0 X: -10.1875 -Width: 16 N: 10 - X: -10.125 -exp_table[862] = 0 X: -10.125 -Width: 16 N: 10 - X: -10.0625 -exp_table[863] = 0 X: -10.0625 -Width: 16 N: 10 - X: -10 -exp_table[864] = 0 X: -10 -Width: 16 N: 10 - X: -9.9375 -exp_table[865] = 0 X: -9.9375 -Width: 16 N: 10 - X: -9.875 -exp_table[866] = 0 X: -9.875 -Width: 16 N: 10 - X: -9.8125 -exp_table[867] = 0 X: -9.8125 -Width: 16 N: 10 - X: -9.75 -exp_table[868] = 0 X: -9.75 -Width: 16 N: 10 - X: -9.6875 -exp_table[869] = 0 X: -9.6875 -Width: 16 N: 10 - X: -9.625 -exp_table[870] = 0 X: -9.625 -Width: 16 N: 10 - X: -9.5625 -exp_table[871] = 0 X: -9.5625 -Width: 16 N: 10 - X: -9.5 -exp_table[872] = 0 X: -9.5 -Width: 16 N: 10 - X: -9.4375 -exp_table[873] = 0 X: -9.4375 -Width: 16 N: 10 - X: -9.375 -exp_table[874] = 0 X: -9.375 -Width: 16 N: 10 - X: -9.3125 -exp_table[875] = 0 X: -9.3125 -Width: 16 N: 10 - X: -9.25 -exp_table[876] = 0 X: -9.25 -Width: 16 N: 10 - X: -9.1875 -exp_table[877] = 0 X: -9.1875 -Width: 16 N: 10 - X: -9.125 -exp_table[878] = 0 X: -9.125 -Width: 16 N: 10 - X: -9.0625 -exp_table[879] = 0 X: -9.0625 -Width: 16 N: 10 - X: -9 -exp_table[880] = 0 X: -9 -Width: 16 N: 10 - X: -8.9375 -exp_table[881] = 0 X: -8.9375 -Width: 16 N: 10 - X: -8.875 -exp_table[882] = 0 X: -8.875 -Width: 16 N: 10 - X: -8.8125 -exp_table[883] = 0 X: -8.8125 -Width: 16 N: 10 - X: -8.75 -exp_table[884] = 0 X: -8.75 -Width: 16 N: 10 - X: -8.6875 -exp_table[885] = 0 X: -8.6875 -Width: 16 N: 10 - X: -8.625 -exp_table[886] = 0 X: -8.625 -Width: 16 N: 10 - X: -8.5625 -exp_table[887] = 0 X: -8.5625 -Width: 16 N: 10 - X: -8.5 -exp_table[888] = 0 X: -8.5 -Width: 16 N: 10 - X: -8.4375 -exp_table[889] = 0 X: -8.4375 -Width: 16 N: 10 - X: -8.375 -exp_table[890] = 0 X: -8.375 -Width: 16 N: 10 - X: -8.3125 -exp_table[891] = 0 X: -8.3125 -Width: 16 N: 10 - X: -8.25 -exp_table[892] = 0 X: -8.25 -Width: 16 N: 10 - X: -8.1875 -exp_table[893] = 0 X: -8.1875 -Width: 16 N: 10 - X: -8.125 -exp_table[894] = 0 X: -8.125 -Width: 16 N: 10 - X: -8.0625 -exp_table[895] = 0 X: -8.0625 -Width: 16 N: 10 - X: -8 -exp_table[896] = 0 X: -8 -Width: 16 N: 10 - X: -7.9375 -exp_table[897] = 0 X: -7.9375 -Width: 16 N: 10 - X: -7.875 -exp_table[898] = 0 X: -7.875 -Width: 16 N: 10 - X: -7.8125 -exp_table[899] = 0 X: -7.8125 -Width: 16 N: 10 - X: -7.75 -exp_table[900] = 0 X: -7.75 -Width: 16 N: 10 - X: -7.6875 -exp_table[901] = 0 X: -7.6875 -Width: 16 N: 10 - X: -7.625 -exp_table[902] = 0 X: -7.625 -Width: 16 N: 10 - X: -7.5625 -exp_table[903] = 0.000976563 X: -7.5625 -Width: 16 N: 10 - X: -7.5 -exp_table[904] = 0.000976563 X: -7.5 -Width: 16 N: 10 - X: -7.4375 -exp_table[905] = 0.000976563 X: -7.4375 -Width: 16 N: 10 - X: -7.375 -exp_table[906] = 0.000976563 X: -7.375 -Width: 16 N: 10 - X: -7.3125 -exp_table[907] = 0.000976563 X: -7.3125 -Width: 16 N: 10 - X: -7.25 -exp_table[908] = 0.000976563 X: -7.25 -Width: 16 N: 10 - X: -7.1875 -exp_table[909] = 0.000976563 X: -7.1875 -Width: 16 N: 10 - X: -7.125 -exp_table[910] = 0.000976563 X: -7.125 -Width: 16 N: 10 - X: -7.0625 -exp_table[911] = 0.000976563 X: -7.0625 -Width: 16 N: 10 - X: -7 -exp_table[912] = 0.000976563 X: -7 -Width: 16 N: 10 - X: -6.9375 -exp_table[913] = 0.000976563 X: -6.9375 -Width: 16 N: 10 - X: -6.875 -exp_table[914] = 0.000976563 X: -6.875 -Width: 16 N: 10 - X: -6.8125 -exp_table[915] = 0.000976563 X: -6.8125 -Width: 16 N: 10 - X: -6.75 -exp_table[916] = 0.000976563 X: -6.75 -Width: 16 N: 10 - X: -6.6875 -exp_table[917] = 0.000976563 X: -6.6875 -Width: 16 N: 10 - X: -6.625 -exp_table[918] = 0.000976563 X: -6.625 -Width: 16 N: 10 - X: -6.5625 -exp_table[919] = 0.000976563 X: -6.5625 -Width: 16 N: 10 - X: -6.5 -exp_table[920] = 0.00195313 X: -6.5 -Width: 16 N: 10 - X: -6.4375 -exp_table[921] = 0.00195313 X: -6.4375 -Width: 16 N: 10 - X: -6.375 -exp_table[922] = 0.00195313 X: -6.375 -Width: 16 N: 10 - X: -6.3125 -exp_table[923] = 0.00195313 X: -6.3125 -Width: 16 N: 10 - X: -6.25 -exp_table[924] = 0.00195313 X: -6.25 -Width: 16 N: 10 - X: -6.1875 -exp_table[925] = 0.00195313 X: -6.1875 -Width: 16 N: 10 - X: -6.125 -exp_table[926] = 0.00195313 X: -6.125 -Width: 16 N: 10 - X: -6.0625 -exp_table[927] = 0.00195313 X: -6.0625 -Width: 16 N: 10 - X: -6 -exp_table[928] = 0.00292969 X: -6 -Width: 16 N: 10 - X: -5.9375 -exp_table[929] = 0.00292969 X: -5.9375 -Width: 16 N: 10 - X: -5.875 -exp_table[930] = 0.00292969 X: -5.875 -Width: 16 N: 10 - X: -5.8125 -exp_table[931] = 0.00292969 X: -5.8125 -Width: 16 N: 10 - X: -5.75 -exp_table[932] = 0.00292969 X: -5.75 -Width: 16 N: 10 - X: -5.6875 -exp_table[933] = 0.00292969 X: -5.6875 -Width: 16 N: 10 - X: -5.625 -exp_table[934] = 0.00390625 X: -5.625 -Width: 16 N: 10 - X: -5.5625 -exp_table[935] = 0.00390625 X: -5.5625 -Width: 16 N: 10 - X: -5.5 -exp_table[936] = 0.00390625 X: -5.5 -Width: 16 N: 10 - X: -5.4375 -exp_table[937] = 0.00390625 X: -5.4375 -Width: 16 N: 10 - X: -5.375 -exp_table[938] = 0.00488281 X: -5.375 -Width: 16 N: 10 - X: -5.3125 -exp_table[939] = 0.00488281 X: -5.3125 -Width: 16 N: 10 - X: -5.25 -exp_table[940] = 0.00488281 X: -5.25 -Width: 16 N: 10 - X: -5.1875 -exp_table[941] = 0.00585938 X: -5.1875 -Width: 16 N: 10 - X: -5.125 -exp_table[942] = 0.00585938 X: -5.125 -Width: 16 N: 10 - X: -5.0625 -exp_table[943] = 0.00585938 X: -5.0625 -Width: 16 N: 10 - X: -5 -exp_table[944] = 0.00683594 X: -5 -Width: 16 N: 10 - X: -4.9375 -exp_table[945] = 0.00683594 X: -4.9375 -Width: 16 N: 10 - X: -4.875 -exp_table[946] = 0.0078125 X: -4.875 -Width: 16 N: 10 - X: -4.8125 -exp_table[947] = 0.0078125 X: -4.8125 -Width: 16 N: 10 - X: -4.75 -exp_table[948] = 0.00878906 X: -4.75 -Width: 16 N: 10 - X: -4.6875 -exp_table[949] = 0.00878906 X: -4.6875 -Width: 16 N: 10 - X: -4.625 -exp_table[950] = 0.00976563 X: -4.625 -Width: 16 N: 10 - X: -4.5625 -exp_table[951] = 0.0107422 X: -4.5625 -Width: 16 N: 10 - X: -4.5 -exp_table[952] = 0.0107422 X: -4.5 -Width: 16 N: 10 - X: -4.4375 -exp_table[953] = 0.0117188 X: -4.4375 -Width: 16 N: 10 - X: -4.375 -exp_table[954] = 0.0126953 X: -4.375 -Width: 16 N: 10 - X: -4.3125 -exp_table[955] = 0.0136719 X: -4.3125 -Width: 16 N: 10 - X: -4.25 -exp_table[956] = 0.0146484 X: -4.25 -Width: 16 N: 10 - X: -4.1875 -exp_table[957] = 0.015625 X: -4.1875 -Width: 16 N: 10 - X: -4.125 -exp_table[958] = 0.0166016 X: -4.125 -Width: 16 N: 10 - X: -4.0625 -exp_table[959] = 0.0175781 X: -4.0625 -Width: 16 N: 10 - X: -4 -exp_table[960] = 0.0185547 X: -4 -Width: 16 N: 10 - X: -3.9375 -exp_table[961] = 0.0195313 X: -3.9375 -Width: 16 N: 10 - X: -3.875 -exp_table[962] = 0.0205078 X: -3.875 -Width: 16 N: 10 - X: -3.8125 -exp_table[963] = 0.0224609 X: -3.8125 -Width: 16 N: 10 - X: -3.75 -exp_table[964] = 0.0234375 X: -3.75 -Width: 16 N: 10 - X: -3.6875 -exp_table[965] = 0.0253906 X: -3.6875 -Width: 16 N: 10 - X: -3.625 -exp_table[966] = 0.0263672 X: -3.625 -Width: 16 N: 10 - X: -3.5625 -exp_table[967] = 0.0283203 X: -3.5625 -Width: 16 N: 10 - X: -3.5 -exp_table[968] = 0.0302734 X: -3.5 -Width: 16 N: 10 - X: -3.4375 -exp_table[969] = 0.0322266 X: -3.4375 -Width: 16 N: 10 - X: -3.375 -exp_table[970] = 0.0341797 X: -3.375 -Width: 16 N: 10 - X: -3.3125 -exp_table[971] = 0.0361328 X: -3.3125 -Width: 16 N: 10 - X: -3.25 -exp_table[972] = 0.0390625 X: -3.25 -Width: 16 N: 10 - X: -3.1875 -exp_table[973] = 0.0410156 X: -3.1875 -Width: 16 N: 10 - X: -3.125 -exp_table[974] = 0.0439453 X: -3.125 -Width: 16 N: 10 - X: -3.0625 -exp_table[975] = 0.046875 X: -3.0625 -Width: 16 N: 10 - X: -3 -exp_table[976] = 0.0498047 X: -3 -Width: 16 N: 10 - X: -2.9375 -exp_table[977] = 0.0527344 X: -2.9375 -Width: 16 N: 10 - X: -2.875 -exp_table[978] = 0.0566406 X: -2.875 -Width: 16 N: 10 - X: -2.8125 -exp_table[979] = 0.0595703 X: -2.8125 -Width: 16 N: 10 - X: -2.75 -exp_table[980] = 0.0634766 X: -2.75 -Width: 16 N: 10 - X: -2.6875 -exp_table[981] = 0.0683594 X: -2.6875 -Width: 16 N: 10 - X: -2.625 -exp_table[982] = 0.0722656 X: -2.625 -Width: 16 N: 10 - X: -2.5625 -exp_table[983] = 0.0771484 X: -2.5625 -Width: 16 N: 10 - X: -2.5 -exp_table[984] = 0.0820313 X: -2.5 -Width: 16 N: 10 - X: -2.4375 -exp_table[985] = 0.0869141 X: -2.4375 -Width: 16 N: 10 - X: -2.375 -exp_table[986] = 0.0927734 X: -2.375 -Width: 16 N: 10 - X: -2.3125 -exp_table[987] = 0.0986328 X: -2.3125 -Width: 16 N: 10 - X: -2.25 -exp_table[988] = 0.105469 X: -2.25 -Width: 16 N: 10 - X: -2.1875 -exp_table[989] = 0.112305 X: -2.1875 -Width: 16 N: 10 - X: -2.125 -exp_table[990] = 0.119141 X: -2.125 -Width: 16 N: 10 - X: -2.0625 -exp_table[991] = 0.126953 X: -2.0625 -Width: 16 N: 10 - X: -2 -exp_table[992] = 0.135742 X: -2 -Width: 16 N: 10 - X: -1.9375 -exp_table[993] = 0.144531 X: -1.9375 -Width: 16 N: 10 - X: -1.875 -exp_table[994] = 0.15332 X: -1.875 -Width: 16 N: 10 - X: -1.8125 -exp_table[995] = 0.163086 X: -1.8125 -Width: 16 N: 10 - X: -1.75 -exp_table[996] = 0.173828 X: -1.75 -Width: 16 N: 10 - X: -1.6875 -exp_table[997] = 0.18457 X: -1.6875 -Width: 16 N: 10 - X: -1.625 -exp_table[998] = 0.197266 X: -1.625 -Width: 16 N: 10 - X: -1.5625 -exp_table[999] = 0.209961 X: -1.5625 -Width: 16 N: 10 - X: -1.5 -exp_table[1000] = 0.222656 X: -1.5 -Width: 16 N: 10 - X: -1.4375 -exp_table[1001] = 0.237305 X: -1.4375 -Width: 16 N: 10 - X: -1.375 -exp_table[1002] = 0.25293 X: -1.375 -Width: 16 N: 10 - X: -1.3125 -exp_table[1003] = 0.269531 X: -1.3125 -Width: 16 N: 10 - X: -1.25 -exp_table[1004] = 0.286133 X: -1.25 -Width: 16 N: 10 - X: -1.1875 -exp_table[1005] = 0.304688 X: -1.1875 -Width: 16 N: 10 - X: -1.125 -exp_table[1006] = 0.324219 X: -1.125 -Width: 16 N: 10 - X: -1.0625 -exp_table[1007] = 0.345703 X: -1.0625 -Width: 16 N: 10 - X: -1 -exp_table[1008] = 0.368164 X: -1 -Width: 16 N: 10 - X: -0.9375 -exp_table[1009] = 0.391602 X: -0.9375 -Width: 16 N: 10 - X: -0.875 -exp_table[1010] = 0.416992 X: -0.875 -Width: 16 N: 10 - X: -0.8125 -exp_table[1011] = 0.443359 X: -0.8125 -Width: 16 N: 10 - X: -0.75 -exp_table[1012] = 0.472656 X: -0.75 -Width: 16 N: 10 - X: -0.6875 -exp_table[1013] = 0.50293 X: -0.6875 -Width: 16 N: 10 - X: -0.625 -exp_table[1014] = 0.535156 X: -0.625 -Width: 16 N: 10 - X: -0.5625 -exp_table[1015] = 0.569336 X: -0.5625 -Width: 16 N: 10 - X: -0.5 -exp_table[1016] = 0.606445 X: -0.5 -Width: 16 N: 10 - X: -0.4375 -exp_table[1017] = 0.645508 X: -0.4375 -Width: 16 N: 10 - X: -0.375 -exp_table[1018] = 0.6875 X: -0.375 -Width: 16 N: 10 - X: -0.3125 -exp_table[1019] = 0.731445 X: -0.3125 -Width: 16 N: 10 - X: -0.25 -exp_table[1020] = 0.77832 X: -0.25 -Width: 16 N: 10 - X: -0.1875 -exp_table[1021] = 0.829102 X: -0.1875 -Width: 16 N: 10 - X: -0.125 -exp_table[1022] = 0.882813 X: -0.125 -Width: 16 N: 10 - X: -0.0625 -exp_table[1023] = 0.939453 X: -0.0625 -Width: 18 N: 10 - X: 0 -inv_table[0] = 127.999 X: 0 -Width: 18 N: 10 - X: 0.25 -inv_table[1] = 4 X: 0.25 -Width: 18 N: 10 - X: 0.5 -inv_table[2] = 2 X: 0.5 -Width: 18 N: 10 - X: 0.75 -inv_table[3] = 1.33301 X: 0.75 -Width: 18 N: 10 - X: 1 -inv_table[4] = 1 X: 1 -Width: 18 N: 10 - X: 1.25 -inv_table[5] = 0.799805 X: 1.25 -Width: 18 N: 10 - X: 1.5 -inv_table[6] = 0.666992 X: 1.5 -Width: 18 N: 10 - X: 1.75 -inv_table[7] = 0.571289 X: 1.75 -Width: 18 N: 10 - X: 2 -inv_table[8] = 0.5 X: 2 -Width: 18 N: 10 - X: 2.25 -inv_table[9] = 0.444336 X: 2.25 -Width: 18 N: 10 - X: 2.5 -inv_table[10] = 0.400391 X: 2.5 -Width: 18 N: 10 - X: 2.75 -inv_table[11] = 0.363281 X: 2.75 -Width: 18 N: 10 - X: 3 -inv_table[12] = 0.333008 X: 3 -Width: 18 N: 10 - X: 3.25 -inv_table[13] = 0.307617 X: 3.25 -Width: 18 N: 10 - X: 3.5 -inv_table[14] = 0.286133 X: 3.5 -Width: 18 N: 10 - X: 3.75 -inv_table[15] = 0.266602 X: 3.75 -Width: 18 N: 10 - X: 4 -inv_table[16] = 0.25 X: 4 -Width: 18 N: 10 - X: 4.25 -inv_table[17] = 0.235352 X: 4.25 -Width: 18 N: 10 - X: 4.5 -inv_table[18] = 0.222656 X: 4.5 -Width: 18 N: 10 - X: 4.75 -inv_table[19] = 0.210938 X: 4.75 -Width: 18 N: 10 - X: 5 -inv_table[20] = 0.200195 X: 5 -Width: 18 N: 10 - X: 5.25 -inv_table[21] = 0.19043 X: 5.25 -Width: 18 N: 10 - X: 5.5 -inv_table[22] = 0.181641 X: 5.5 -Width: 18 N: 10 - X: 5.75 -inv_table[23] = 0.173828 X: 5.75 -Width: 18 N: 10 - X: 6 -inv_table[24] = 0.166992 X: 6 -Width: 18 N: 10 - X: 6.25 -inv_table[25] = 0.160156 X: 6.25 -Width: 18 N: 10 - X: 6.5 -inv_table[26] = 0.154297 X: 6.5 -Width: 18 N: 10 - X: 6.75 -inv_table[27] = 0.148438 X: 6.75 -Width: 18 N: 10 - X: 7 -inv_table[28] = 0.142578 X: 7 -Width: 18 N: 10 - X: 7.25 -inv_table[29] = 0.137695 X: 7.25 -Width: 18 N: 10 - X: 7.5 -inv_table[30] = 0.133789 X: 7.5 -Width: 18 N: 10 - X: 7.75 -inv_table[31] = 0.128906 X: 7.75 -Width: 18 N: 10 - X: 8 -inv_table[32] = 0.125 X: 8 -Width: 18 N: 10 - X: 8.25 -inv_table[33] = 0.121094 X: 8.25 -Width: 18 N: 10 - X: 8.5 -inv_table[34] = 0.117188 X: 8.5 -Width: 18 N: 10 - X: 8.75 -inv_table[35] = 0.114258 X: 8.75 -Width: 18 N: 10 - X: 9 -inv_table[36] = 0.111328 X: 9 -Width: 18 N: 10 - X: 9.25 -inv_table[37] = 0.108398 X: 9.25 -Width: 18 N: 10 - X: 9.5 -inv_table[38] = 0.105469 X: 9.5 -Width: 18 N: 10 - X: 9.75 -inv_table[39] = 0.102539 X: 9.75 -Width: 18 N: 10 - X: 10 -inv_table[40] = 0.0996094 X: 10 -Width: 18 N: 10 - X: 10.25 -inv_table[41] = 0.0976563 X: 10.25 -Width: 18 N: 10 - X: 10.5 -inv_table[42] = 0.0957031 X: 10.5 -Width: 18 N: 10 - X: 10.75 -inv_table[43] = 0.0927734 X: 10.75 -Width: 18 N: 10 - X: 11 -inv_table[44] = 0.0908203 X: 11 -Width: 18 N: 10 - X: 11.25 -inv_table[45] = 0.0888672 X: 11.25 -Width: 18 N: 10 - X: 11.5 -inv_table[46] = 0.0869141 X: 11.5 -Width: 18 N: 10 - X: 11.75 -inv_table[47] = 0.0849609 X: 11.75 -Width: 18 N: 10 - X: 12 -inv_table[48] = 0.0830078 X: 12 -Width: 18 N: 10 - X: 12.25 -inv_table[49] = 0.0820313 X: 12.25 -Width: 18 N: 10 - X: 12.5 -inv_table[50] = 0.0800781 X: 12.5 -Width: 18 N: 10 - X: 12.75 -inv_table[51] = 0.078125 X: 12.75 -Width: 18 N: 10 - X: 13 -inv_table[52] = 0.0771484 X: 13 -Width: 18 N: 10 - X: 13.25 -inv_table[53] = 0.0751953 X: 13.25 -Width: 18 N: 10 - X: 13.5 -inv_table[54] = 0.0742188 X: 13.5 -Width: 18 N: 10 - X: 13.75 -inv_table[55] = 0.0722656 X: 13.75 -Width: 18 N: 10 - X: 14 -inv_table[56] = 0.0712891 X: 14 -Width: 18 N: 10 - X: 14.25 -inv_table[57] = 0.0703125 X: 14.25 -Width: 18 N: 10 - X: 14.5 -inv_table[58] = 0.0693359 X: 14.5 -Width: 18 N: 10 - X: 14.75 -inv_table[59] = 0.0673828 X: 14.75 -Width: 18 N: 10 - X: 15 -inv_table[60] = 0.0664063 X: 15 -Width: 18 N: 10 - X: 15.25 -inv_table[61] = 0.0654297 X: 15.25 -Width: 18 N: 10 - X: 15.5 -inv_table[62] = 0.0644531 X: 15.5 -Width: 18 N: 10 - X: 15.75 -inv_table[63] = 0.0634766 X: 15.75 -Width: 18 N: 10 - X: 16 -inv_table[64] = 0.0625 X: 16 -Width: 18 N: 10 - X: 16.25 -inv_table[65] = 0.0615234 X: 16.25 -Width: 18 N: 10 - X: 16.5 -inv_table[66] = 0.0605469 X: 16.5 -Width: 18 N: 10 - X: 16.75 -inv_table[67] = 0.0595703 X: 16.75 -Width: 18 N: 10 - X: 17 -inv_table[68] = 0.0585938 X: 17 -Width: 18 N: 10 - X: 17.25 -inv_table[69] = 0.0576172 X: 17.25 -Width: 18 N: 10 - X: 17.5 -inv_table[70] = 0.0576172 X: 17.5 -Width: 18 N: 10 - X: 17.75 -inv_table[71] = 0.0566406 X: 17.75 -Width: 18 N: 10 - X: 18 -inv_table[72] = 0.0556641 X: 18 -Width: 18 N: 10 - X: 18.25 -inv_table[73] = 0.0546875 X: 18.25 -Width: 18 N: 10 - X: 18.5 -inv_table[74] = 0.0537109 X: 18.5 -Width: 18 N: 10 - X: 18.75 -inv_table[75] = 0.0537109 X: 18.75 -Width: 18 N: 10 - X: 19 -inv_table[76] = 0.0527344 X: 19 -Width: 18 N: 10 - X: 19.25 -inv_table[77] = 0.0517578 X: 19.25 -Width: 18 N: 10 - X: 19.5 -inv_table[78] = 0.0517578 X: 19.5 -Width: 18 N: 10 - X: 19.75 -inv_table[79] = 0.0507813 X: 19.75 -Width: 18 N: 10 - X: 20 -inv_table[80] = 0.0498047 X: 20 -Width: 18 N: 10 - X: 20.25 -inv_table[81] = 0.0498047 X: 20.25 -Width: 18 N: 10 - X: 20.5 -inv_table[82] = 0.0488281 X: 20.5 -Width: 18 N: 10 - X: 20.75 -inv_table[83] = 0.0478516 X: 20.75 -Width: 18 N: 10 - X: 21 -inv_table[84] = 0.0478516 X: 21 -Width: 18 N: 10 - X: 21.25 -inv_table[85] = 0.046875 X: 21.25 -Width: 18 N: 10 - X: 21.5 -inv_table[86] = 0.046875 X: 21.5 -Width: 18 N: 10 - X: 21.75 -inv_table[87] = 0.0458984 X: 21.75 -Width: 18 N: 10 - X: 22 -inv_table[88] = 0.0458984 X: 22 -Width: 18 N: 10 - X: 22.25 -inv_table[89] = 0.0449219 X: 22.25 -Width: 18 N: 10 - X: 22.5 -inv_table[90] = 0.0449219 X: 22.5 -Width: 18 N: 10 - X: 22.75 -inv_table[91] = 0.0439453 X: 22.75 -Width: 18 N: 10 - X: 23 -inv_table[92] = 0.0439453 X: 23 -Width: 18 N: 10 - X: 23.25 -inv_table[93] = 0.0429688 X: 23.25 -Width: 18 N: 10 - X: 23.5 -inv_table[94] = 0.0429688 X: 23.5 -Width: 18 N: 10 - X: 23.75 -inv_table[95] = 0.0419922 X: 23.75 -Width: 18 N: 10 - X: 24 -inv_table[96] = 0.0419922 X: 24 -Width: 18 N: 10 - X: 24.25 -inv_table[97] = 0.0410156 X: 24.25 -Width: 18 N: 10 - X: 24.5 -inv_table[98] = 0.0410156 X: 24.5 -Width: 18 N: 10 - X: 24.75 -inv_table[99] = 0.0400391 X: 24.75 -Width: 18 N: 10 - X: 25 -inv_table[100] = 0.0400391 X: 25 -Width: 18 N: 10 - X: 25.25 -inv_table[101] = 0.0400391 X: 25.25 -Width: 18 N: 10 - X: 25.5 -inv_table[102] = 0.0390625 X: 25.5 -Width: 18 N: 10 - X: 25.75 -inv_table[103] = 0.0390625 X: 25.75 -Width: 18 N: 10 - X: 26 -inv_table[104] = 0.0380859 X: 26 -Width: 18 N: 10 - X: 26.25 -inv_table[105] = 0.0380859 X: 26.25 -Width: 18 N: 10 - X: 26.5 -inv_table[106] = 0.0380859 X: 26.5 -Width: 18 N: 10 - X: 26.75 -inv_table[107] = 0.0371094 X: 26.75 -Width: 18 N: 10 - X: 27 -inv_table[108] = 0.0371094 X: 27 -Width: 18 N: 10 - X: 27.25 -inv_table[109] = 0.0371094 X: 27.25 -Width: 18 N: 10 - X: 27.5 -inv_table[110] = 0.0361328 X: 27.5 -Width: 18 N: 10 - X: 27.75 -inv_table[111] = 0.0361328 X: 27.75 -Width: 18 N: 10 - X: 28 -inv_table[112] = 0.0361328 X: 28 -Width: 18 N: 10 - X: 28.25 -inv_table[113] = 0.0351563 X: 28.25 -Width: 18 N: 10 - X: 28.5 -inv_table[114] = 0.0351563 X: 28.5 -Width: 18 N: 10 - X: 28.75 -inv_table[115] = 0.0351563 X: 28.75 -Width: 18 N: 10 - X: 29 -inv_table[116] = 0.0341797 X: 29 -Width: 18 N: 10 - X: 29.25 -inv_table[117] = 0.0341797 X: 29.25 -Width: 18 N: 10 - X: 29.5 -inv_table[118] = 0.0341797 X: 29.5 -Width: 18 N: 10 - X: 29.75 -inv_table[119] = 0.0332031 X: 29.75 -Width: 18 N: 10 - X: 30 -inv_table[120] = 0.0332031 X: 30 -Width: 18 N: 10 - X: 30.25 -inv_table[121] = 0.0332031 X: 30.25 -Width: 18 N: 10 - X: 30.5 -inv_table[122] = 0.0332031 X: 30.5 -Width: 18 N: 10 - X: 30.75 -inv_table[123] = 0.0322266 X: 30.75 -Width: 18 N: 10 - X: 31 -inv_table[124] = 0.0322266 X: 31 -Width: 18 N: 10 - X: 31.25 -inv_table[125] = 0.0322266 X: 31.25 -Width: 18 N: 10 - X: 31.5 -inv_table[126] = 0.0322266 X: 31.5 -Width: 18 N: 10 - X: 31.75 -inv_table[127] = 0.03125 X: 31.75 -Width: 18 N: 10 - X: 32 -inv_table[128] = 0.03125 X: 32 -Width: 18 N: 10 - X: 32.25 -inv_table[129] = 0.03125 X: 32.25 -Width: 18 N: 10 - X: 32.5 -inv_table[130] = 0.03125 X: 32.5 -Width: 18 N: 10 - X: 32.75 -inv_table[131] = 0.0302734 X: 32.75 -Width: 18 N: 10 - X: 33 -inv_table[132] = 0.0302734 X: 33 -Width: 18 N: 10 - X: 33.25 -inv_table[133] = 0.0302734 X: 33.25 -Width: 18 N: 10 - X: 33.5 -inv_table[134] = 0.0302734 X: 33.5 -Width: 18 N: 10 - X: 33.75 -inv_table[135] = 0.0292969 X: 33.75 -Width: 18 N: 10 - X: 34 -inv_table[136] = 0.0292969 X: 34 -Width: 18 N: 10 - X: 34.25 -inv_table[137] = 0.0292969 X: 34.25 -Width: 18 N: 10 - X: 34.5 -inv_table[138] = 0.0292969 X: 34.5 -Width: 18 N: 10 - X: 34.75 -inv_table[139] = 0.0283203 X: 34.75 -Width: 18 N: 10 - X: 35 -inv_table[140] = 0.0283203 X: 35 -Width: 18 N: 10 - X: 35.25 -inv_table[141] = 0.0283203 X: 35.25 -Width: 18 N: 10 - X: 35.5 -inv_table[142] = 0.0283203 X: 35.5 -Width: 18 N: 10 - X: 35.75 -inv_table[143] = 0.0283203 X: 35.75 -Width: 18 N: 10 - X: 36 -inv_table[144] = 0.0273438 X: 36 -Width: 18 N: 10 - X: 36.25 -inv_table[145] = 0.0273438 X: 36.25 -Width: 18 N: 10 - X: 36.5 -inv_table[146] = 0.0273438 X: 36.5 -Width: 18 N: 10 - X: 36.75 -inv_table[147] = 0.0273438 X: 36.75 -Width: 18 N: 10 - X: 37 -inv_table[148] = 0.0273438 X: 37 -Width: 18 N: 10 - X: 37.25 -inv_table[149] = 0.0263672 X: 37.25 -Width: 18 N: 10 - X: 37.5 -inv_table[150] = 0.0263672 X: 37.5 -Width: 18 N: 10 - X: 37.75 -inv_table[151] = 0.0263672 X: 37.75 -Width: 18 N: 10 - X: 38 -inv_table[152] = 0.0263672 X: 38 -Width: 18 N: 10 - X: 38.25 -inv_table[153] = 0.0263672 X: 38.25 -Width: 18 N: 10 - X: 38.5 -inv_table[154] = 0.0263672 X: 38.5 -Width: 18 N: 10 - X: 38.75 -inv_table[155] = 0.0253906 X: 38.75 -Width: 18 N: 10 - X: 39 -inv_table[156] = 0.0253906 X: 39 -Width: 18 N: 10 - X: 39.25 -inv_table[157] = 0.0253906 X: 39.25 -Width: 18 N: 10 - X: 39.5 -inv_table[158] = 0.0253906 X: 39.5 -Width: 18 N: 10 - X: 39.75 -inv_table[159] = 0.0253906 X: 39.75 -Width: 18 N: 10 - X: 40 -inv_table[160] = 0.0253906 X: 40 -Width: 18 N: 10 - X: 40.25 -inv_table[161] = 0.0244141 X: 40.25 -Width: 18 N: 10 - X: 40.5 -inv_table[162] = 0.0244141 X: 40.5 -Width: 18 N: 10 - X: 40.75 -inv_table[163] = 0.0244141 X: 40.75 -Width: 18 N: 10 - X: 41 -inv_table[164] = 0.0244141 X: 41 -Width: 18 N: 10 - X: 41.25 -inv_table[165] = 0.0244141 X: 41.25 -Width: 18 N: 10 - X: 41.5 -inv_table[166] = 0.0244141 X: 41.5 -Width: 18 N: 10 - X: 41.75 -inv_table[167] = 0.0244141 X: 41.75 -Width: 18 N: 10 - X: 42 -inv_table[168] = 0.0234375 X: 42 -Width: 18 N: 10 - X: 42.25 -inv_table[169] = 0.0234375 X: 42.25 -Width: 18 N: 10 - X: 42.5 -inv_table[170] = 0.0234375 X: 42.5 -Width: 18 N: 10 - X: 42.75 -inv_table[171] = 0.0234375 X: 42.75 -Width: 18 N: 10 - X: 43 -inv_table[172] = 0.0234375 X: 43 -Width: 18 N: 10 - X: 43.25 -inv_table[173] = 0.0234375 X: 43.25 -Width: 18 N: 10 - X: 43.5 -inv_table[174] = 0.0234375 X: 43.5 -Width: 18 N: 10 - X: 43.75 -inv_table[175] = 0.0224609 X: 43.75 -Width: 18 N: 10 - X: 44 -inv_table[176] = 0.0224609 X: 44 -Width: 18 N: 10 - X: 44.25 -inv_table[177] = 0.0224609 X: 44.25 -Width: 18 N: 10 - X: 44.5 -inv_table[178] = 0.0224609 X: 44.5 -Width: 18 N: 10 - X: 44.75 -inv_table[179] = 0.0224609 X: 44.75 -Width: 18 N: 10 - X: 45 -inv_table[180] = 0.0224609 X: 45 -Width: 18 N: 10 - X: 45.25 -inv_table[181] = 0.0224609 X: 45.25 -Width: 18 N: 10 - X: 45.5 -inv_table[182] = 0.0224609 X: 45.5 -Width: 18 N: 10 - X: 45.75 -inv_table[183] = 0.0214844 X: 45.75 -Width: 18 N: 10 - X: 46 -inv_table[184] = 0.0214844 X: 46 -Width: 18 N: 10 - X: 46.25 -inv_table[185] = 0.0214844 X: 46.25 -Width: 18 N: 10 - X: 46.5 -inv_table[186] = 0.0214844 X: 46.5 -Width: 18 N: 10 - X: 46.75 -inv_table[187] = 0.0214844 X: 46.75 -Width: 18 N: 10 - X: 47 -inv_table[188] = 0.0214844 X: 47 -Width: 18 N: 10 - X: 47.25 -inv_table[189] = 0.0214844 X: 47.25 -Width: 18 N: 10 - X: 47.5 -inv_table[190] = 0.0214844 X: 47.5 -Width: 18 N: 10 - X: 47.75 -inv_table[191] = 0.0205078 X: 47.75 -Width: 18 N: 10 - X: 48 -inv_table[192] = 0.0205078 X: 48 -Width: 18 N: 10 - X: 48.25 -inv_table[193] = 0.0205078 X: 48.25 -Width: 18 N: 10 - X: 48.5 -inv_table[194] = 0.0205078 X: 48.5 -Width: 18 N: 10 - X: 48.75 -inv_table[195] = 0.0205078 X: 48.75 -Width: 18 N: 10 - X: 49 -inv_table[196] = 0.0205078 X: 49 -Width: 18 N: 10 - X: 49.25 -inv_table[197] = 0.0205078 X: 49.25 -Width: 18 N: 10 - X: 49.5 -inv_table[198] = 0.0205078 X: 49.5 -Width: 18 N: 10 - X: 49.75 -inv_table[199] = 0.0205078 X: 49.75 -Width: 18 N: 10 - X: 50 -inv_table[200] = 0.0195313 X: 50 -Width: 18 N: 10 - X: 50.25 -inv_table[201] = 0.0195313 X: 50.25 -Width: 18 N: 10 - X: 50.5 -inv_table[202] = 0.0195313 X: 50.5 -Width: 18 N: 10 - X: 50.75 -inv_table[203] = 0.0195313 X: 50.75 -Width: 18 N: 10 - X: 51 -inv_table[204] = 0.0195313 X: 51 -Width: 18 N: 10 - X: 51.25 -inv_table[205] = 0.0195313 X: 51.25 -Width: 18 N: 10 - X: 51.5 -inv_table[206] = 0.0195313 X: 51.5 -Width: 18 N: 10 - X: 51.75 -inv_table[207] = 0.0195313 X: 51.75 -Width: 18 N: 10 - X: 52 -inv_table[208] = 0.0195313 X: 52 -Width: 18 N: 10 - X: 52.25 -inv_table[209] = 0.0195313 X: 52.25 -Width: 18 N: 10 - X: 52.5 -inv_table[210] = 0.0195313 X: 52.5 -Width: 18 N: 10 - X: 52.75 -inv_table[211] = 0.0185547 X: 52.75 -Width: 18 N: 10 - X: 53 -inv_table[212] = 0.0185547 X: 53 -Width: 18 N: 10 - X: 53.25 -inv_table[213] = 0.0185547 X: 53.25 -Width: 18 N: 10 - X: 53.5 -inv_table[214] = 0.0185547 X: 53.5 -Width: 18 N: 10 - X: 53.75 -inv_table[215] = 0.0185547 X: 53.75 -Width: 18 N: 10 - X: 54 -inv_table[216] = 0.0185547 X: 54 -Width: 18 N: 10 - X: 54.25 -inv_table[217] = 0.0185547 X: 54.25 -Width: 18 N: 10 - X: 54.5 -inv_table[218] = 0.0185547 X: 54.5 -Width: 18 N: 10 - X: 54.75 -inv_table[219] = 0.0185547 X: 54.75 -Width: 18 N: 10 - X: 55 -inv_table[220] = 0.0185547 X: 55 -Width: 18 N: 10 - X: 55.25 -inv_table[221] = 0.0185547 X: 55.25 -Width: 18 N: 10 - X: 55.5 -inv_table[222] = 0.0175781 X: 55.5 -Width: 18 N: 10 - X: 55.75 -inv_table[223] = 0.0175781 X: 55.75 -Width: 18 N: 10 - X: 56 -inv_table[224] = 0.0175781 X: 56 -Width: 18 N: 10 - X: 56.25 -inv_table[225] = 0.0175781 X: 56.25 -Width: 18 N: 10 - X: 56.5 -inv_table[226] = 0.0175781 X: 56.5 -Width: 18 N: 10 - X: 56.75 -inv_table[227] = 0.0175781 X: 56.75 -Width: 18 N: 10 - X: 57 -inv_table[228] = 0.0175781 X: 57 -Width: 18 N: 10 - X: 57.25 -inv_table[229] = 0.0175781 X: 57.25 -Width: 18 N: 10 - X: 57.5 -inv_table[230] = 0.0175781 X: 57.5 -Width: 18 N: 10 - X: 57.75 -inv_table[231] = 0.0175781 X: 57.75 -Width: 18 N: 10 - X: 58 -inv_table[232] = 0.0175781 X: 58 -Width: 18 N: 10 - X: 58.25 -inv_table[233] = 0.0175781 X: 58.25 -Width: 18 N: 10 - X: 58.5 -inv_table[234] = 0.0175781 X: 58.5 -Width: 18 N: 10 - X: 58.75 -inv_table[235] = 0.0166016 X: 58.75 -Width: 18 N: 10 - X: 59 -inv_table[236] = 0.0166016 X: 59 -Width: 18 N: 10 - X: 59.25 -inv_table[237] = 0.0166016 X: 59.25 -Width: 18 N: 10 - X: 59.5 -inv_table[238] = 0.0166016 X: 59.5 -Width: 18 N: 10 - X: 59.75 -inv_table[239] = 0.0166016 X: 59.75 -Width: 18 N: 10 - X: 60 -inv_table[240] = 0.0166016 X: 60 -Width: 18 N: 10 - X: 60.25 -inv_table[241] = 0.0166016 X: 60.25 -Width: 18 N: 10 - X: 60.5 -inv_table[242] = 0.0166016 X: 60.5 -Width: 18 N: 10 - X: 60.75 -inv_table[243] = 0.0166016 X: 60.75 -Width: 18 N: 10 - X: 61 -inv_table[244] = 0.0166016 X: 61 -Width: 18 N: 10 - X: 61.25 -inv_table[245] = 0.0166016 X: 61.25 -Width: 18 N: 10 - X: 61.5 -inv_table[246] = 0.0166016 X: 61.5 -Width: 18 N: 10 - X: 61.75 -inv_table[247] = 0.0166016 X: 61.75 -Width: 18 N: 10 - X: 62 -inv_table[248] = 0.0166016 X: 62 -Width: 18 N: 10 - X: 62.25 -inv_table[249] = 0.015625 X: 62.25 -Width: 18 N: 10 - X: 62.5 -inv_table[250] = 0.015625 X: 62.5 -Width: 18 N: 10 - X: 62.75 -inv_table[251] = 0.015625 X: 62.75 -Width: 18 N: 10 - X: 63 -inv_table[252] = 0.015625 X: 63 -Width: 18 N: 10 - X: 63.25 -inv_table[253] = 0.015625 X: 63.25 -Width: 18 N: 10 - X: 63.5 -inv_table[254] = 0.015625 X: 63.5 -Width: 18 N: 10 - X: 63.75 -inv_table[255] = 0.015625 X: 63.75 -Width: 18 N: 10 - X: 64 -inv_table[256] = 0.015625 X: 64 -Width: 18 N: 10 - X: 64.25 -inv_table[257] = 0.015625 X: 64.25 -Width: 18 N: 10 - X: 64.5 -inv_table[258] = 0.015625 X: 64.5 -Width: 18 N: 10 - X: 64.75 -inv_table[259] = 0.015625 X: 64.75 -Width: 18 N: 10 - X: 65 -inv_table[260] = 0.015625 X: 65 -Width: 18 N: 10 - X: 65.25 -inv_table[261] = 0.015625 X: 65.25 -Width: 18 N: 10 - X: 65.5 -inv_table[262] = 0.015625 X: 65.5 -Width: 18 N: 10 - X: 65.75 -inv_table[263] = 0.015625 X: 65.75 -Width: 18 N: 10 - X: 66 -inv_table[264] = 0.015625 X: 66 -Width: 18 N: 10 - X: 66.25 -inv_table[265] = 0.0146484 X: 66.25 -Width: 18 N: 10 - X: 66.5 -inv_table[266] = 0.0146484 X: 66.5 -Width: 18 N: 10 - X: 66.75 -inv_table[267] = 0.0146484 X: 66.75 -Width: 18 N: 10 - X: 67 -inv_table[268] = 0.0146484 X: 67 -Width: 18 N: 10 - X: 67.25 -inv_table[269] = 0.0146484 X: 67.25 -Width: 18 N: 10 - X: 67.5 -inv_table[270] = 0.0146484 X: 67.5 -Width: 18 N: 10 - X: 67.75 -inv_table[271] = 0.0146484 X: 67.75 -Width: 18 N: 10 - X: 68 -inv_table[272] = 0.0146484 X: 68 -Width: 18 N: 10 - X: 68.25 -inv_table[273] = 0.0146484 X: 68.25 -Width: 18 N: 10 - X: 68.5 -inv_table[274] = 0.0146484 X: 68.5 -Width: 18 N: 10 - X: 68.75 -inv_table[275] = 0.0146484 X: 68.75 -Width: 18 N: 10 - X: 69 -inv_table[276] = 0.0146484 X: 69 -Width: 18 N: 10 - X: 69.25 -inv_table[277] = 0.0146484 X: 69.25 -Width: 18 N: 10 - X: 69.5 -inv_table[278] = 0.0146484 X: 69.5 -Width: 18 N: 10 - X: 69.75 -inv_table[279] = 0.0146484 X: 69.75 -Width: 18 N: 10 - X: 70 -inv_table[280] = 0.0146484 X: 70 -Width: 18 N: 10 - X: 70.25 -inv_table[281] = 0.0146484 X: 70.25 -Width: 18 N: 10 - X: 70.5 -inv_table[282] = 0.0146484 X: 70.5 -Width: 18 N: 10 - X: 70.75 -inv_table[283] = 0.0136719 X: 70.75 -Width: 18 N: 10 - X: 71 -inv_table[284] = 0.0136719 X: 71 -Width: 18 N: 10 - X: 71.25 -inv_table[285] = 0.0136719 X: 71.25 -Width: 18 N: 10 - X: 71.5 -inv_table[286] = 0.0136719 X: 71.5 -Width: 18 N: 10 - X: 71.75 -inv_table[287] = 0.0136719 X: 71.75 -Width: 18 N: 10 - X: 72 -inv_table[288] = 0.0136719 X: 72 -Width: 18 N: 10 - X: 72.25 -inv_table[289] = 0.0136719 X: 72.25 -Width: 18 N: 10 - X: 72.5 -inv_table[290] = 0.0136719 X: 72.5 -Width: 18 N: 10 - X: 72.75 -inv_table[291] = 0.0136719 X: 72.75 -Width: 18 N: 10 - X: 73 -inv_table[292] = 0.0136719 X: 73 -Width: 18 N: 10 - X: 73.25 -inv_table[293] = 0.0136719 X: 73.25 -Width: 18 N: 10 - X: 73.5 -inv_table[294] = 0.0136719 X: 73.5 -Width: 18 N: 10 - X: 73.75 -inv_table[295] = 0.0136719 X: 73.75 -Width: 18 N: 10 - X: 74 -inv_table[296] = 0.0136719 X: 74 -Width: 18 N: 10 - X: 74.25 -inv_table[297] = 0.0136719 X: 74.25 -Width: 18 N: 10 - X: 74.5 -inv_table[298] = 0.0136719 X: 74.5 -Width: 18 N: 10 - X: 74.75 -inv_table[299] = 0.0136719 X: 74.75 -Width: 18 N: 10 - X: 75 -inv_table[300] = 0.0136719 X: 75 -Width: 18 N: 10 - X: 75.25 -inv_table[301] = 0.0136719 X: 75.25 -Width: 18 N: 10 - X: 75.5 -inv_table[302] = 0.0136719 X: 75.5 -Width: 18 N: 10 - X: 75.75 -inv_table[303] = 0.0136719 X: 75.75 -Width: 18 N: 10 - X: 76 -inv_table[304] = 0.0126953 X: 76 -Width: 18 N: 10 - X: 76.25 -inv_table[305] = 0.0126953 X: 76.25 -Width: 18 N: 10 - X: 76.5 -inv_table[306] = 0.0126953 X: 76.5 -Width: 18 N: 10 - X: 76.75 -inv_table[307] = 0.0126953 X: 76.75 -Width: 18 N: 10 - X: 77 -inv_table[308] = 0.0126953 X: 77 -Width: 18 N: 10 - X: 77.25 -inv_table[309] = 0.0126953 X: 77.25 -Width: 18 N: 10 - X: 77.5 -inv_table[310] = 0.0126953 X: 77.5 -Width: 18 N: 10 - X: 77.75 -inv_table[311] = 0.0126953 X: 77.75 -Width: 18 N: 10 - X: 78 -inv_table[312] = 0.0126953 X: 78 -Width: 18 N: 10 - X: 78.25 -inv_table[313] = 0.0126953 X: 78.25 -Width: 18 N: 10 - X: 78.5 -inv_table[314] = 0.0126953 X: 78.5 -Width: 18 N: 10 - X: 78.75 -inv_table[315] = 0.0126953 X: 78.75 -Width: 18 N: 10 - X: 79 -inv_table[316] = 0.0126953 X: 79 -Width: 18 N: 10 - X: 79.25 -inv_table[317] = 0.0126953 X: 79.25 -Width: 18 N: 10 - X: 79.5 -inv_table[318] = 0.0126953 X: 79.5 -Width: 18 N: 10 - X: 79.75 -inv_table[319] = 0.0126953 X: 79.75 -Width: 18 N: 10 - X: 80 -inv_table[320] = 0.0126953 X: 80 -Width: 18 N: 10 - X: 80.25 -inv_table[321] = 0.0126953 X: 80.25 -Width: 18 N: 10 - X: 80.5 -inv_table[322] = 0.0126953 X: 80.5 -Width: 18 N: 10 - X: 80.75 -inv_table[323] = 0.0126953 X: 80.75 -Width: 18 N: 10 - X: 81 -inv_table[324] = 0.0126953 X: 81 -Width: 18 N: 10 - X: 81.25 -inv_table[325] = 0.0126953 X: 81.25 -Width: 18 N: 10 - X: 81.5 -inv_table[326] = 0.0126953 X: 81.5 -Width: 18 N: 10 - X: 81.75 -inv_table[327] = 0.0126953 X: 81.75 -Width: 18 N: 10 - X: 82 -inv_table[328] = 0.0117188 X: 82 -Width: 18 N: 10 - X: 82.25 -inv_table[329] = 0.0117188 X: 82.25 -Width: 18 N: 10 - X: 82.5 -inv_table[330] = 0.0117188 X: 82.5 -Width: 18 N: 10 - X: 82.75 -inv_table[331] = 0.0117188 X: 82.75 -Width: 18 N: 10 - X: 83 -inv_table[332] = 0.0117188 X: 83 -Width: 18 N: 10 - X: 83.25 -inv_table[333] = 0.0117188 X: 83.25 -Width: 18 N: 10 - X: 83.5 -inv_table[334] = 0.0117188 X: 83.5 -Width: 18 N: 10 - X: 83.75 -inv_table[335] = 0.0117188 X: 83.75 -Width: 18 N: 10 - X: 84 -inv_table[336] = 0.0117188 X: 84 -Width: 18 N: 10 - X: 84.25 -inv_table[337] = 0.0117188 X: 84.25 -Width: 18 N: 10 - X: 84.5 -inv_table[338] = 0.0117188 X: 84.5 -Width: 18 N: 10 - X: 84.75 -inv_table[339] = 0.0117188 X: 84.75 -Width: 18 N: 10 - X: 85 -inv_table[340] = 0.0117188 X: 85 -Width: 18 N: 10 - X: 85.25 -inv_table[341] = 0.0117188 X: 85.25 -Width: 18 N: 10 - X: 85.5 -inv_table[342] = 0.0117188 X: 85.5 -Width: 18 N: 10 - X: 85.75 -inv_table[343] = 0.0117188 X: 85.75 -Width: 18 N: 10 - X: 86 -inv_table[344] = 0.0117188 X: 86 -Width: 18 N: 10 - X: 86.25 -inv_table[345] = 0.0117188 X: 86.25 -Width: 18 N: 10 - X: 86.5 -inv_table[346] = 0.0117188 X: 86.5 -Width: 18 N: 10 - X: 86.75 -inv_table[347] = 0.0117188 X: 86.75 -Width: 18 N: 10 - X: 87 -inv_table[348] = 0.0117188 X: 87 -Width: 18 N: 10 - X: 87.25 -inv_table[349] = 0.0117188 X: 87.25 -Width: 18 N: 10 - X: 87.5 -inv_table[350] = 0.0117188 X: 87.5 -Width: 18 N: 10 - X: 87.75 -inv_table[351] = 0.0117188 X: 87.75 -Width: 18 N: 10 - X: 88 -inv_table[352] = 0.0117188 X: 88 -Width: 18 N: 10 - X: 88.25 -inv_table[353] = 0.0117188 X: 88.25 -Width: 18 N: 10 - X: 88.5 -inv_table[354] = 0.0117188 X: 88.5 -Width: 18 N: 10 - X: 88.75 -inv_table[355] = 0.0117188 X: 88.75 -Width: 18 N: 10 - X: 89 -inv_table[356] = 0.0117188 X: 89 -Width: 18 N: 10 - X: 89.25 -inv_table[357] = 0.0107422 X: 89.25 -Width: 18 N: 10 - X: 89.5 -inv_table[358] = 0.0107422 X: 89.5 -Width: 18 N: 10 - X: 89.75 -inv_table[359] = 0.0107422 X: 89.75 -Width: 18 N: 10 - X: 90 -inv_table[360] = 0.0107422 X: 90 -Width: 18 N: 10 - X: 90.25 -inv_table[361] = 0.0107422 X: 90.25 -Width: 18 N: 10 - X: 90.5 -inv_table[362] = 0.0107422 X: 90.5 -Width: 18 N: 10 - X: 90.75 -inv_table[363] = 0.0107422 X: 90.75 -Width: 18 N: 10 - X: 91 -inv_table[364] = 0.0107422 X: 91 -Width: 18 N: 10 - X: 91.25 -inv_table[365] = 0.0107422 X: 91.25 -Width: 18 N: 10 - X: 91.5 -inv_table[366] = 0.0107422 X: 91.5 -Width: 18 N: 10 - X: 91.75 -inv_table[367] = 0.0107422 X: 91.75 -Width: 18 N: 10 - X: 92 -inv_table[368] = 0.0107422 X: 92 -Width: 18 N: 10 - X: 92.25 -inv_table[369] = 0.0107422 X: 92.25 -Width: 18 N: 10 - X: 92.5 -inv_table[370] = 0.0107422 X: 92.5 -Width: 18 N: 10 - X: 92.75 -inv_table[371] = 0.0107422 X: 92.75 -Width: 18 N: 10 - X: 93 -inv_table[372] = 0.0107422 X: 93 -Width: 18 N: 10 - X: 93.25 -inv_table[373] = 0.0107422 X: 93.25 -Width: 18 N: 10 - X: 93.5 -inv_table[374] = 0.0107422 X: 93.5 -Width: 18 N: 10 - X: 93.75 -inv_table[375] = 0.0107422 X: 93.75 -Width: 18 N: 10 - X: 94 -inv_table[376] = 0.0107422 X: 94 -Width: 18 N: 10 - X: 94.25 -inv_table[377] = 0.0107422 X: 94.25 -Width: 18 N: 10 - X: 94.5 -inv_table[378] = 0.0107422 X: 94.5 -Width: 18 N: 10 - X: 94.75 -inv_table[379] = 0.0107422 X: 94.75 -Width: 18 N: 10 - X: 95 -inv_table[380] = 0.0107422 X: 95 -Width: 18 N: 10 - X: 95.25 -inv_table[381] = 0.0107422 X: 95.25 -Width: 18 N: 10 - X: 95.5 -inv_table[382] = 0.0107422 X: 95.5 -Width: 18 N: 10 - X: 95.75 -inv_table[383] = 0.0107422 X: 95.75 -Width: 18 N: 10 - X: 96 -inv_table[384] = 0.0107422 X: 96 -Width: 18 N: 10 - X: 96.25 -inv_table[385] = 0.0107422 X: 96.25 -Width: 18 N: 10 - X: 96.5 -inv_table[386] = 0.0107422 X: 96.5 -Width: 18 N: 10 - X: 96.75 -inv_table[387] = 0.0107422 X: 96.75 -Width: 18 N: 10 - X: 97 -inv_table[388] = 0.0107422 X: 97 -Width: 18 N: 10 - X: 97.25 -inv_table[389] = 0.0107422 X: 97.25 -Width: 18 N: 10 - X: 97.5 -inv_table[390] = 0.0107422 X: 97.5 -Width: 18 N: 10 - X: 97.75 -inv_table[391] = 0.00976563 X: 97.75 -Width: 18 N: 10 - X: 98 -inv_table[392] = 0.00976563 X: 98 -Width: 18 N: 10 - X: 98.25 -inv_table[393] = 0.00976563 X: 98.25 -Width: 18 N: 10 - X: 98.5 -inv_table[394] = 0.00976563 X: 98.5 -Width: 18 N: 10 - X: 98.75 -inv_table[395] = 0.00976563 X: 98.75 -Width: 18 N: 10 - X: 99 -inv_table[396] = 0.00976563 X: 99 -Width: 18 N: 10 - X: 99.25 -inv_table[397] = 0.00976563 X: 99.25 -Width: 18 N: 10 - X: 99.5 -inv_table[398] = 0.00976563 X: 99.5 -Width: 18 N: 10 - X: 99.75 -inv_table[399] = 0.00976563 X: 99.75 -Width: 18 N: 10 - X: 100 -inv_table[400] = 0.00976563 X: 100 -Width: 18 N: 10 - X: 100.25 -inv_table[401] = 0.00976563 X: 100.25 -Width: 18 N: 10 - X: 100.5 -inv_table[402] = 0.00976563 X: 100.5 -Width: 18 N: 10 - X: 100.75 -inv_table[403] = 0.00976563 X: 100.75 -Width: 18 N: 10 - X: 101 -inv_table[404] = 0.00976563 X: 101 -Width: 18 N: 10 - X: 101.25 -inv_table[405] = 0.00976563 X: 101.25 -Width: 18 N: 10 - X: 101.5 -inv_table[406] = 0.00976563 X: 101.5 -Width: 18 N: 10 - X: 101.75 -inv_table[407] = 0.00976563 X: 101.75 -Width: 18 N: 10 - X: 102 -inv_table[408] = 0.00976563 X: 102 -Width: 18 N: 10 - X: 102.25 -inv_table[409] = 0.00976563 X: 102.25 -Width: 18 N: 10 - X: 102.5 -inv_table[410] = 0.00976563 X: 102.5 -Width: 18 N: 10 - X: 102.75 -inv_table[411] = 0.00976563 X: 102.75 -Width: 18 N: 10 - X: 103 -inv_table[412] = 0.00976563 X: 103 -Width: 18 N: 10 - X: 103.25 -inv_table[413] = 0.00976563 X: 103.25 -Width: 18 N: 10 - X: 103.5 -inv_table[414] = 0.00976563 X: 103.5 -Width: 18 N: 10 - X: 103.75 -inv_table[415] = 0.00976563 X: 103.75 -Width: 18 N: 10 - X: 104 -inv_table[416] = 0.00976563 X: 104 -Width: 18 N: 10 - X: 104.25 -inv_table[417] = 0.00976563 X: 104.25 -Width: 18 N: 10 - X: 104.5 -inv_table[418] = 0.00976563 X: 104.5 -Width: 18 N: 10 - X: 104.75 -inv_table[419] = 0.00976563 X: 104.75 -Width: 18 N: 10 - X: 105 -inv_table[420] = 0.00976563 X: 105 -Width: 18 N: 10 - X: 105.25 -inv_table[421] = 0.00976563 X: 105.25 -Width: 18 N: 10 - X: 105.5 -inv_table[422] = 0.00976563 X: 105.5 -Width: 18 N: 10 - X: 105.75 -inv_table[423] = 0.00976563 X: 105.75 -Width: 18 N: 10 - X: 106 -inv_table[424] = 0.00976563 X: 106 -Width: 18 N: 10 - X: 106.25 -inv_table[425] = 0.00976563 X: 106.25 -Width: 18 N: 10 - X: 106.5 -inv_table[426] = 0.00976563 X: 106.5 -Width: 18 N: 10 - X: 106.75 -inv_table[427] = 0.00976563 X: 106.75 -Width: 18 N: 10 - X: 107 -inv_table[428] = 0.00976563 X: 107 -Width: 18 N: 10 - X: 107.25 -inv_table[429] = 0.00976563 X: 107.25 -Width: 18 N: 10 - X: 107.5 -inv_table[430] = 0.00976563 X: 107.5 -Width: 18 N: 10 - X: 107.75 -inv_table[431] = 0.00976563 X: 107.75 -Width: 18 N: 10 - X: 108 -inv_table[432] = 0.00878906 X: 108 -Width: 18 N: 10 - X: 108.25 -inv_table[433] = 0.00878906 X: 108.25 -Width: 18 N: 10 - X: 108.5 -inv_table[434] = 0.00878906 X: 108.5 -Width: 18 N: 10 - X: 108.75 -inv_table[435] = 0.00878906 X: 108.75 -Width: 18 N: 10 - X: 109 -inv_table[436] = 0.00878906 X: 109 -Width: 18 N: 10 - X: 109.25 -inv_table[437] = 0.00878906 X: 109.25 -Width: 18 N: 10 - X: 109.5 -inv_table[438] = 0.00878906 X: 109.5 -Width: 18 N: 10 - X: 109.75 -inv_table[439] = 0.00878906 X: 109.75 -Width: 18 N: 10 - X: 110 -inv_table[440] = 0.00878906 X: 110 -Width: 18 N: 10 - X: 110.25 -inv_table[441] = 0.00878906 X: 110.25 -Width: 18 N: 10 - X: 110.5 -inv_table[442] = 0.00878906 X: 110.5 -Width: 18 N: 10 - X: 110.75 -inv_table[443] = 0.00878906 X: 110.75 -Width: 18 N: 10 - X: 111 -inv_table[444] = 0.00878906 X: 111 -Width: 18 N: 10 - X: 111.25 -inv_table[445] = 0.00878906 X: 111.25 -Width: 18 N: 10 - X: 111.5 -inv_table[446] = 0.00878906 X: 111.5 -Width: 18 N: 10 - X: 111.75 -inv_table[447] = 0.00878906 X: 111.75 -Width: 18 N: 10 - X: 112 -inv_table[448] = 0.00878906 X: 112 -Width: 18 N: 10 - X: 112.25 -inv_table[449] = 0.00878906 X: 112.25 -Width: 18 N: 10 - X: 112.5 -inv_table[450] = 0.00878906 X: 112.5 -Width: 18 N: 10 - X: 112.75 -inv_table[451] = 0.00878906 X: 112.75 -Width: 18 N: 10 - X: 113 -inv_table[452] = 0.00878906 X: 113 -Width: 18 N: 10 - X: 113.25 -inv_table[453] = 0.00878906 X: 113.25 -Width: 18 N: 10 - X: 113.5 -inv_table[454] = 0.00878906 X: 113.5 -Width: 18 N: 10 - X: 113.75 -inv_table[455] = 0.00878906 X: 113.75 -Width: 18 N: 10 - X: 114 -inv_table[456] = 0.00878906 X: 114 -Width: 18 N: 10 - X: 114.25 -inv_table[457] = 0.00878906 X: 114.25 -Width: 18 N: 10 - X: 114.5 -inv_table[458] = 0.00878906 X: 114.5 -Width: 18 N: 10 - X: 114.75 -inv_table[459] = 0.00878906 X: 114.75 -Width: 18 N: 10 - X: 115 -inv_table[460] = 0.00878906 X: 115 -Width: 18 N: 10 - X: 115.25 -inv_table[461] = 0.00878906 X: 115.25 -Width: 18 N: 10 - X: 115.5 -inv_table[462] = 0.00878906 X: 115.5 -Width: 18 N: 10 - X: 115.75 -inv_table[463] = 0.00878906 X: 115.75 -Width: 18 N: 10 - X: 116 -inv_table[464] = 0.00878906 X: 116 -Width: 18 N: 10 - X: 116.25 -inv_table[465] = 0.00878906 X: 116.25 -Width: 18 N: 10 - X: 116.5 -inv_table[466] = 0.00878906 X: 116.5 -Width: 18 N: 10 - X: 116.75 -inv_table[467] = 0.00878906 X: 116.75 -Width: 18 N: 10 - X: 117 -inv_table[468] = 0.00878906 X: 117 -Width: 18 N: 10 - X: 117.25 -inv_table[469] = 0.00878906 X: 117.25 -Width: 18 N: 10 - X: 117.5 -inv_table[470] = 0.00878906 X: 117.5 -Width: 18 N: 10 - X: 117.75 -inv_table[471] = 0.00878906 X: 117.75 -Width: 18 N: 10 - X: 118 -inv_table[472] = 0.00878906 X: 118 -Width: 18 N: 10 - X: 118.25 -inv_table[473] = 0.00878906 X: 118.25 -Width: 18 N: 10 - X: 118.5 -inv_table[474] = 0.00878906 X: 118.5 -Width: 18 N: 10 - X: 118.75 -inv_table[475] = 0.00878906 X: 118.75 -Width: 18 N: 10 - X: 119 -inv_table[476] = 0.00878906 X: 119 -Width: 18 N: 10 - X: 119.25 -inv_table[477] = 0.00878906 X: 119.25 -Width: 18 N: 10 - X: 119.5 -inv_table[478] = 0.00878906 X: 119.5 -Width: 18 N: 10 - X: 119.75 -inv_table[479] = 0.00878906 X: 119.75 -Width: 18 N: 10 - X: 120 -inv_table[480] = 0.00878906 X: 120 -Width: 18 N: 10 - X: 120.25 -inv_table[481] = 0.00878906 X: 120.25 -Width: 18 N: 10 - X: 120.5 -inv_table[482] = 0.0078125 X: 120.5 -Width: 18 N: 10 - X: 120.75 -inv_table[483] = 0.0078125 X: 120.75 -Width: 18 N: 10 - X: 121 -inv_table[484] = 0.0078125 X: 121 -Width: 18 N: 10 - X: 121.25 -inv_table[485] = 0.0078125 X: 121.25 -Width: 18 N: 10 - X: 121.5 -inv_table[486] = 0.0078125 X: 121.5 -Width: 18 N: 10 - X: 121.75 -inv_table[487] = 0.0078125 X: 121.75 -Width: 18 N: 10 - X: 122 -inv_table[488] = 0.0078125 X: 122 -Width: 18 N: 10 - X: 122.25 -inv_table[489] = 0.0078125 X: 122.25 -Width: 18 N: 10 - X: 122.5 -inv_table[490] = 0.0078125 X: 122.5 -Width: 18 N: 10 - X: 122.75 -inv_table[491] = 0.0078125 X: 122.75 -Width: 18 N: 10 - X: 123 -inv_table[492] = 0.0078125 X: 123 -Width: 18 N: 10 - X: 123.25 -inv_table[493] = 0.0078125 X: 123.25 -Width: 18 N: 10 - X: 123.5 -inv_table[494] = 0.0078125 X: 123.5 -Width: 18 N: 10 - X: 123.75 -inv_table[495] = 0.0078125 X: 123.75 -Width: 18 N: 10 - X: 124 -inv_table[496] = 0.0078125 X: 124 -Width: 18 N: 10 - X: 124.25 -inv_table[497] = 0.0078125 X: 124.25 -Width: 18 N: 10 - X: 124.5 -inv_table[498] = 0.0078125 X: 124.5 -Width: 18 N: 10 - X: 124.75 -inv_table[499] = 0.0078125 X: 124.75 -Width: 18 N: 10 - X: 125 -inv_table[500] = 0.0078125 X: 125 -Width: 18 N: 10 - X: 125.25 -inv_table[501] = 0.0078125 X: 125.25 -Width: 18 N: 10 - X: 125.5 -inv_table[502] = 0.0078125 X: 125.5 -Width: 18 N: 10 - X: 125.75 -inv_table[503] = 0.0078125 X: 125.75 -Width: 18 N: 10 - X: 126 -inv_table[504] = 0.0078125 X: 126 -Width: 18 N: 10 - X: 126.25 -inv_table[505] = 0.0078125 X: 126.25 -Width: 18 N: 10 - X: 126.5 -inv_table[506] = 0.0078125 X: 126.5 -Width: 18 N: 10 - X: 126.75 -inv_table[507] = 0.0078125 X: 126.75 -Width: 18 N: 10 - X: 127 -inv_table[508] = 0.0078125 X: 127 -Width: 18 N: 10 - X: 127.25 -inv_table[509] = 0.0078125 X: 127.25 -Width: 18 N: 10 - X: 127.5 -inv_table[510] = 0.0078125 X: 127.5 -Width: 18 N: 10 - X: 127.75 -inv_table[511] = 0.0078125 X: 127.75 -Width: 18 N: 10 - X: -128 -inv_table[512] = -0.0078125 X: -128 -Width: 18 N: 10 - X: -127.75 -inv_table[513] = -0.0078125 X: -127.75 -Width: 18 N: 10 - X: -127.5 -inv_table[514] = -0.0078125 X: -127.5 -Width: 18 N: 10 - X: -127.25 -inv_table[515] = -0.0078125 X: -127.25 -Width: 18 N: 10 - X: -127 -inv_table[516] = -0.0078125 X: -127 -Width: 18 N: 10 - X: -126.75 -inv_table[517] = -0.0078125 X: -126.75 -Width: 18 N: 10 - X: -126.5 -inv_table[518] = -0.0078125 X: -126.5 -Width: 18 N: 10 - X: -126.25 -inv_table[519] = -0.0078125 X: -126.25 -Width: 18 N: 10 - X: -126 -inv_table[520] = -0.0078125 X: -126 -Width: 18 N: 10 - X: -125.75 -inv_table[521] = -0.0078125 X: -125.75 -Width: 18 N: 10 - X: -125.5 -inv_table[522] = -0.0078125 X: -125.5 -Width: 18 N: 10 - X: -125.25 -inv_table[523] = -0.0078125 X: -125.25 -Width: 18 N: 10 - X: -125 -inv_table[524] = -0.0078125 X: -125 -Width: 18 N: 10 - X: -124.75 -inv_table[525] = -0.0078125 X: -124.75 -Width: 18 N: 10 - X: -124.5 -inv_table[526] = -0.0078125 X: -124.5 -Width: 18 N: 10 - X: -124.25 -inv_table[527] = -0.0078125 X: -124.25 -Width: 18 N: 10 - X: -124 -inv_table[528] = -0.0078125 X: -124 -Width: 18 N: 10 - X: -123.75 -inv_table[529] = -0.0078125 X: -123.75 -Width: 18 N: 10 - X: -123.5 -inv_table[530] = -0.0078125 X: -123.5 -Width: 18 N: 10 - X: -123.25 -inv_table[531] = -0.0078125 X: -123.25 -Width: 18 N: 10 - X: -123 -inv_table[532] = -0.0078125 X: -123 -Width: 18 N: 10 - X: -122.75 -inv_table[533] = -0.0078125 X: -122.75 -Width: 18 N: 10 - X: -122.5 -inv_table[534] = -0.0078125 X: -122.5 -Width: 18 N: 10 - X: -122.25 -inv_table[535] = -0.0078125 X: -122.25 -Width: 18 N: 10 - X: -122 -inv_table[536] = -0.0078125 X: -122 -Width: 18 N: 10 - X: -121.75 -inv_table[537] = -0.0078125 X: -121.75 -Width: 18 N: 10 - X: -121.5 -inv_table[538] = -0.0078125 X: -121.5 -Width: 18 N: 10 - X: -121.25 -inv_table[539] = -0.0078125 X: -121.25 -Width: 18 N: 10 - X: -121 -inv_table[540] = -0.0078125 X: -121 -Width: 18 N: 10 - X: -120.75 -inv_table[541] = -0.0078125 X: -120.75 -Width: 18 N: 10 - X: -120.5 -inv_table[542] = -0.0078125 X: -120.5 -Width: 18 N: 10 - X: -120.25 -inv_table[543] = -0.00878906 X: -120.25 -Width: 18 N: 10 - X: -120 -inv_table[544] = -0.00878906 X: -120 -Width: 18 N: 10 - X: -119.75 -inv_table[545] = -0.00878906 X: -119.75 -Width: 18 N: 10 - X: -119.5 -inv_table[546] = -0.00878906 X: -119.5 -Width: 18 N: 10 - X: -119.25 -inv_table[547] = -0.00878906 X: -119.25 -Width: 18 N: 10 - X: -119 -inv_table[548] = -0.00878906 X: -119 -Width: 18 N: 10 - X: -118.75 -inv_table[549] = -0.00878906 X: -118.75 -Width: 18 N: 10 - X: -118.5 -inv_table[550] = -0.00878906 X: -118.5 -Width: 18 N: 10 - X: -118.25 -inv_table[551] = -0.00878906 X: -118.25 -Width: 18 N: 10 - X: -118 -inv_table[552] = -0.00878906 X: -118 -Width: 18 N: 10 - X: -117.75 -inv_table[553] = -0.00878906 X: -117.75 -Width: 18 N: 10 - X: -117.5 -inv_table[554] = -0.00878906 X: -117.5 -Width: 18 N: 10 - X: -117.25 -inv_table[555] = -0.00878906 X: -117.25 -Width: 18 N: 10 - X: -117 -inv_table[556] = -0.00878906 X: -117 -Width: 18 N: 10 - X: -116.75 -inv_table[557] = -0.00878906 X: -116.75 -Width: 18 N: 10 - X: -116.5 -inv_table[558] = -0.00878906 X: -116.5 -Width: 18 N: 10 - X: -116.25 -inv_table[559] = -0.00878906 X: -116.25 -Width: 18 N: 10 - X: -116 -inv_table[560] = -0.00878906 X: -116 -Width: 18 N: 10 - X: -115.75 -inv_table[561] = -0.00878906 X: -115.75 -Width: 18 N: 10 - X: -115.5 -inv_table[562] = -0.00878906 X: -115.5 -Width: 18 N: 10 - X: -115.25 -inv_table[563] = -0.00878906 X: -115.25 -Width: 18 N: 10 - X: -115 -inv_table[564] = -0.00878906 X: -115 -Width: 18 N: 10 - X: -114.75 -inv_table[565] = -0.00878906 X: -114.75 -Width: 18 N: 10 - X: -114.5 -inv_table[566] = -0.00878906 X: -114.5 -Width: 18 N: 10 - X: -114.25 -inv_table[567] = -0.00878906 X: -114.25 -Width: 18 N: 10 - X: -114 -inv_table[568] = -0.00878906 X: -114 -Width: 18 N: 10 - X: -113.75 -inv_table[569] = -0.00878906 X: -113.75 -Width: 18 N: 10 - X: -113.5 -inv_table[570] = -0.00878906 X: -113.5 -Width: 18 N: 10 - X: -113.25 -inv_table[571] = -0.00878906 X: -113.25 -Width: 18 N: 10 - X: -113 -inv_table[572] = -0.00878906 X: -113 -Width: 18 N: 10 - X: -112.75 -inv_table[573] = -0.00878906 X: -112.75 -Width: 18 N: 10 - X: -112.5 -inv_table[574] = -0.00878906 X: -112.5 -Width: 18 N: 10 - X: -112.25 -inv_table[575] = -0.00878906 X: -112.25 -Width: 18 N: 10 - X: -112 -inv_table[576] = -0.00878906 X: -112 -Width: 18 N: 10 - X: -111.75 -inv_table[577] = -0.00878906 X: -111.75 -Width: 18 N: 10 - X: -111.5 -inv_table[578] = -0.00878906 X: -111.5 -Width: 18 N: 10 - X: -111.25 -inv_table[579] = -0.00878906 X: -111.25 -Width: 18 N: 10 - X: -111 -inv_table[580] = -0.00878906 X: -111 -Width: 18 N: 10 - X: -110.75 -inv_table[581] = -0.00878906 X: -110.75 -Width: 18 N: 10 - X: -110.5 -inv_table[582] = -0.00878906 X: -110.5 -Width: 18 N: 10 - X: -110.25 -inv_table[583] = -0.00878906 X: -110.25 -Width: 18 N: 10 - X: -110 -inv_table[584] = -0.00878906 X: -110 -Width: 18 N: 10 - X: -109.75 -inv_table[585] = -0.00878906 X: -109.75 -Width: 18 N: 10 - X: -109.5 -inv_table[586] = -0.00878906 X: -109.5 -Width: 18 N: 10 - X: -109.25 -inv_table[587] = -0.00878906 X: -109.25 -Width: 18 N: 10 - X: -109 -inv_table[588] = -0.00878906 X: -109 -Width: 18 N: 10 - X: -108.75 -inv_table[589] = -0.00878906 X: -108.75 -Width: 18 N: 10 - X: -108.5 -inv_table[590] = -0.00878906 X: -108.5 -Width: 18 N: 10 - X: -108.25 -inv_table[591] = -0.00878906 X: -108.25 -Width: 18 N: 10 - X: -108 -inv_table[592] = -0.00878906 X: -108 -Width: 18 N: 10 - X: -107.75 -inv_table[593] = -0.00976563 X: -107.75 -Width: 18 N: 10 - X: -107.5 -inv_table[594] = -0.00976563 X: -107.5 -Width: 18 N: 10 - X: -107.25 -inv_table[595] = -0.00976563 X: -107.25 -Width: 18 N: 10 - X: -107 -inv_table[596] = -0.00976563 X: -107 -Width: 18 N: 10 - X: -106.75 -inv_table[597] = -0.00976563 X: -106.75 -Width: 18 N: 10 - X: -106.5 -inv_table[598] = -0.00976563 X: -106.5 -Width: 18 N: 10 - X: -106.25 -inv_table[599] = -0.00976563 X: -106.25 -Width: 18 N: 10 - X: -106 -inv_table[600] = -0.00976563 X: -106 -Width: 18 N: 10 - X: -105.75 -inv_table[601] = -0.00976563 X: -105.75 -Width: 18 N: 10 - X: -105.5 -inv_table[602] = -0.00976563 X: -105.5 -Width: 18 N: 10 - X: -105.25 -inv_table[603] = -0.00976563 X: -105.25 -Width: 18 N: 10 - X: -105 -inv_table[604] = -0.00976563 X: -105 -Width: 18 N: 10 - X: -104.75 -inv_table[605] = -0.00976563 X: -104.75 -Width: 18 N: 10 - X: -104.5 -inv_table[606] = -0.00976563 X: -104.5 -Width: 18 N: 10 - X: -104.25 -inv_table[607] = -0.00976563 X: -104.25 -Width: 18 N: 10 - X: -104 -inv_table[608] = -0.00976563 X: -104 -Width: 18 N: 10 - X: -103.75 -inv_table[609] = -0.00976563 X: -103.75 -Width: 18 N: 10 - X: -103.5 -inv_table[610] = -0.00976563 X: -103.5 -Width: 18 N: 10 - X: -103.25 -inv_table[611] = -0.00976563 X: -103.25 -Width: 18 N: 10 - X: -103 -inv_table[612] = -0.00976563 X: -103 -Width: 18 N: 10 - X: -102.75 -inv_table[613] = -0.00976563 X: -102.75 -Width: 18 N: 10 - X: -102.5 -inv_table[614] = -0.00976563 X: -102.5 -Width: 18 N: 10 - X: -102.25 -inv_table[615] = -0.00976563 X: -102.25 -Width: 18 N: 10 - X: -102 -inv_table[616] = -0.00976563 X: -102 -Width: 18 N: 10 - X: -101.75 -inv_table[617] = -0.00976563 X: -101.75 -Width: 18 N: 10 - X: -101.5 -inv_table[618] = -0.00976563 X: -101.5 -Width: 18 N: 10 - X: -101.25 -inv_table[619] = -0.00976563 X: -101.25 -Width: 18 N: 10 - X: -101 -inv_table[620] = -0.00976563 X: -101 -Width: 18 N: 10 - X: -100.75 -inv_table[621] = -0.00976563 X: -100.75 -Width: 18 N: 10 - X: -100.5 -inv_table[622] = -0.00976563 X: -100.5 -Width: 18 N: 10 - X: -100.25 -inv_table[623] = -0.00976563 X: -100.25 -Width: 18 N: 10 - X: -100 -inv_table[624] = -0.00976563 X: -100 -Width: 18 N: 10 - X: -99.75 -inv_table[625] = -0.00976563 X: -99.75 -Width: 18 N: 10 - X: -99.5 -inv_table[626] = -0.00976563 X: -99.5 -Width: 18 N: 10 - X: -99.25 -inv_table[627] = -0.00976563 X: -99.25 -Width: 18 N: 10 - X: -99 -inv_table[628] = -0.00976563 X: -99 -Width: 18 N: 10 - X: -98.75 -inv_table[629] = -0.00976563 X: -98.75 -Width: 18 N: 10 - X: -98.5 -inv_table[630] = -0.00976563 X: -98.5 -Width: 18 N: 10 - X: -98.25 -inv_table[631] = -0.00976563 X: -98.25 -Width: 18 N: 10 - X: -98 -inv_table[632] = -0.00976563 X: -98 -Width: 18 N: 10 - X: -97.75 -inv_table[633] = -0.00976563 X: -97.75 -Width: 18 N: 10 - X: -97.5 -inv_table[634] = -0.0107422 X: -97.5 -Width: 18 N: 10 - X: -97.25 -inv_table[635] = -0.0107422 X: -97.25 -Width: 18 N: 10 - X: -97 -inv_table[636] = -0.0107422 X: -97 -Width: 18 N: 10 - X: -96.75 -inv_table[637] = -0.0107422 X: -96.75 -Width: 18 N: 10 - X: -96.5 -inv_table[638] = -0.0107422 X: -96.5 -Width: 18 N: 10 - X: -96.25 -inv_table[639] = -0.0107422 X: -96.25 -Width: 18 N: 10 - X: -96 -inv_table[640] = -0.0107422 X: -96 -Width: 18 N: 10 - X: -95.75 -inv_table[641] = -0.0107422 X: -95.75 -Width: 18 N: 10 - X: -95.5 -inv_table[642] = -0.0107422 X: -95.5 -Width: 18 N: 10 - X: -95.25 -inv_table[643] = -0.0107422 X: -95.25 -Width: 18 N: 10 - X: -95 -inv_table[644] = -0.0107422 X: -95 -Width: 18 N: 10 - X: -94.75 -inv_table[645] = -0.0107422 X: -94.75 -Width: 18 N: 10 - X: -94.5 -inv_table[646] = -0.0107422 X: -94.5 -Width: 18 N: 10 - X: -94.25 -inv_table[647] = -0.0107422 X: -94.25 -Width: 18 N: 10 - X: -94 -inv_table[648] = -0.0107422 X: -94 -Width: 18 N: 10 - X: -93.75 -inv_table[649] = -0.0107422 X: -93.75 -Width: 18 N: 10 - X: -93.5 -inv_table[650] = -0.0107422 X: -93.5 -Width: 18 N: 10 - X: -93.25 -inv_table[651] = -0.0107422 X: -93.25 -Width: 18 N: 10 - X: -93 -inv_table[652] = -0.0107422 X: -93 -Width: 18 N: 10 - X: -92.75 -inv_table[653] = -0.0107422 X: -92.75 -Width: 18 N: 10 - X: -92.5 -inv_table[654] = -0.0107422 X: -92.5 -Width: 18 N: 10 - X: -92.25 -inv_table[655] = -0.0107422 X: -92.25 -Width: 18 N: 10 - X: -92 -inv_table[656] = -0.0107422 X: -92 -Width: 18 N: 10 - X: -91.75 -inv_table[657] = -0.0107422 X: -91.75 -Width: 18 N: 10 - X: -91.5 -inv_table[658] = -0.0107422 X: -91.5 -Width: 18 N: 10 - X: -91.25 -inv_table[659] = -0.0107422 X: -91.25 -Width: 18 N: 10 - X: -91 -inv_table[660] = -0.0107422 X: -91 -Width: 18 N: 10 - X: -90.75 -inv_table[661] = -0.0107422 X: -90.75 -Width: 18 N: 10 - X: -90.5 -inv_table[662] = -0.0107422 X: -90.5 -Width: 18 N: 10 - X: -90.25 -inv_table[663] = -0.0107422 X: -90.25 -Width: 18 N: 10 - X: -90 -inv_table[664] = -0.0107422 X: -90 -Width: 18 N: 10 - X: -89.75 -inv_table[665] = -0.0107422 X: -89.75 -Width: 18 N: 10 - X: -89.5 -inv_table[666] = -0.0107422 X: -89.5 -Width: 18 N: 10 - X: -89.25 -inv_table[667] = -0.0107422 X: -89.25 -Width: 18 N: 10 - X: -89 -inv_table[668] = -0.0117188 X: -89 -Width: 18 N: 10 - X: -88.75 -inv_table[669] = -0.0117188 X: -88.75 -Width: 18 N: 10 - X: -88.5 -inv_table[670] = -0.0117188 X: -88.5 -Width: 18 N: 10 - X: -88.25 -inv_table[671] = -0.0117188 X: -88.25 -Width: 18 N: 10 - X: -88 -inv_table[672] = -0.0117188 X: -88 -Width: 18 N: 10 - X: -87.75 -inv_table[673] = -0.0117188 X: -87.75 -Width: 18 N: 10 - X: -87.5 -inv_table[674] = -0.0117188 X: -87.5 -Width: 18 N: 10 - X: -87.25 -inv_table[675] = -0.0117188 X: -87.25 -Width: 18 N: 10 - X: -87 -inv_table[676] = -0.0117188 X: -87 -Width: 18 N: 10 - X: -86.75 -inv_table[677] = -0.0117188 X: -86.75 -Width: 18 N: 10 - X: -86.5 -inv_table[678] = -0.0117188 X: -86.5 -Width: 18 N: 10 - X: -86.25 -inv_table[679] = -0.0117188 X: -86.25 -Width: 18 N: 10 - X: -86 -inv_table[680] = -0.0117188 X: -86 -Width: 18 N: 10 - X: -85.75 -inv_table[681] = -0.0117188 X: -85.75 -Width: 18 N: 10 - X: -85.5 -inv_table[682] = -0.0117188 X: -85.5 -Width: 18 N: 10 - X: -85.25 -inv_table[683] = -0.0117188 X: -85.25 -Width: 18 N: 10 - X: -85 -inv_table[684] = -0.0117188 X: -85 -Width: 18 N: 10 - X: -84.75 -inv_table[685] = -0.0117188 X: -84.75 -Width: 18 N: 10 - X: -84.5 -inv_table[686] = -0.0117188 X: -84.5 -Width: 18 N: 10 - X: -84.25 -inv_table[687] = -0.0117188 X: -84.25 -Width: 18 N: 10 - X: -84 -inv_table[688] = -0.0117188 X: -84 -Width: 18 N: 10 - X: -83.75 -inv_table[689] = -0.0117188 X: -83.75 -Width: 18 N: 10 - X: -83.5 -inv_table[690] = -0.0117188 X: -83.5 -Width: 18 N: 10 - X: -83.25 -inv_table[691] = -0.0117188 X: -83.25 -Width: 18 N: 10 - X: -83 -inv_table[692] = -0.0117188 X: -83 -Width: 18 N: 10 - X: -82.75 -inv_table[693] = -0.0117188 X: -82.75 -Width: 18 N: 10 - X: -82.5 -inv_table[694] = -0.0117188 X: -82.5 -Width: 18 N: 10 - X: -82.25 -inv_table[695] = -0.0117188 X: -82.25 -Width: 18 N: 10 - X: -82 -inv_table[696] = -0.0117188 X: -82 -Width: 18 N: 10 - X: -81.75 -inv_table[697] = -0.0126953 X: -81.75 -Width: 18 N: 10 - X: -81.5 -inv_table[698] = -0.0126953 X: -81.5 -Width: 18 N: 10 - X: -81.25 -inv_table[699] = -0.0126953 X: -81.25 -Width: 18 N: 10 - X: -81 -inv_table[700] = -0.0126953 X: -81 -Width: 18 N: 10 - X: -80.75 -inv_table[701] = -0.0126953 X: -80.75 -Width: 18 N: 10 - X: -80.5 -inv_table[702] = -0.0126953 X: -80.5 -Width: 18 N: 10 - X: -80.25 -inv_table[703] = -0.0126953 X: -80.25 -Width: 18 N: 10 - X: -80 -inv_table[704] = -0.0126953 X: -80 -Width: 18 N: 10 - X: -79.75 -inv_table[705] = -0.0126953 X: -79.75 -Width: 18 N: 10 - X: -79.5 -inv_table[706] = -0.0126953 X: -79.5 -Width: 18 N: 10 - X: -79.25 -inv_table[707] = -0.0126953 X: -79.25 -Width: 18 N: 10 - X: -79 -inv_table[708] = -0.0126953 X: -79 -Width: 18 N: 10 - X: -78.75 -inv_table[709] = -0.0126953 X: -78.75 -Width: 18 N: 10 - X: -78.5 -inv_table[710] = -0.0126953 X: -78.5 -Width: 18 N: 10 - X: -78.25 -inv_table[711] = -0.0126953 X: -78.25 -Width: 18 N: 10 - X: -78 -inv_table[712] = -0.0126953 X: -78 -Width: 18 N: 10 - X: -77.75 -inv_table[713] = -0.0126953 X: -77.75 -Width: 18 N: 10 - X: -77.5 -inv_table[714] = -0.0126953 X: -77.5 -Width: 18 N: 10 - X: -77.25 -inv_table[715] = -0.0126953 X: -77.25 -Width: 18 N: 10 - X: -77 -inv_table[716] = -0.0126953 X: -77 -Width: 18 N: 10 - X: -76.75 -inv_table[717] = -0.0126953 X: -76.75 -Width: 18 N: 10 - X: -76.5 -inv_table[718] = -0.0126953 X: -76.5 -Width: 18 N: 10 - X: -76.25 -inv_table[719] = -0.0126953 X: -76.25 -Width: 18 N: 10 - X: -76 -inv_table[720] = -0.0126953 X: -76 -Width: 18 N: 10 - X: -75.75 -inv_table[721] = -0.0136719 X: -75.75 -Width: 18 N: 10 - X: -75.5 -inv_table[722] = -0.0136719 X: -75.5 -Width: 18 N: 10 - X: -75.25 -inv_table[723] = -0.0136719 X: -75.25 -Width: 18 N: 10 - X: -75 -inv_table[724] = -0.0136719 X: -75 -Width: 18 N: 10 - X: -74.75 -inv_table[725] = -0.0136719 X: -74.75 -Width: 18 N: 10 - X: -74.5 -inv_table[726] = -0.0136719 X: -74.5 -Width: 18 N: 10 - X: -74.25 -inv_table[727] = -0.0136719 X: -74.25 -Width: 18 N: 10 - X: -74 -inv_table[728] = -0.0136719 X: -74 -Width: 18 N: 10 - X: -73.75 -inv_table[729] = -0.0136719 X: -73.75 -Width: 18 N: 10 - X: -73.5 -inv_table[730] = -0.0136719 X: -73.5 -Width: 18 N: 10 - X: -73.25 -inv_table[731] = -0.0136719 X: -73.25 -Width: 18 N: 10 - X: -73 -inv_table[732] = -0.0136719 X: -73 -Width: 18 N: 10 - X: -72.75 -inv_table[733] = -0.0136719 X: -72.75 -Width: 18 N: 10 - X: -72.5 -inv_table[734] = -0.0136719 X: -72.5 -Width: 18 N: 10 - X: -72.25 -inv_table[735] = -0.0136719 X: -72.25 -Width: 18 N: 10 - X: -72 -inv_table[736] = -0.0136719 X: -72 -Width: 18 N: 10 - X: -71.75 -inv_table[737] = -0.0136719 X: -71.75 -Width: 18 N: 10 - X: -71.5 -inv_table[738] = -0.0136719 X: -71.5 -Width: 18 N: 10 - X: -71.25 -inv_table[739] = -0.0136719 X: -71.25 -Width: 18 N: 10 - X: -71 -inv_table[740] = -0.0136719 X: -71 -Width: 18 N: 10 - X: -70.75 -inv_table[741] = -0.0136719 X: -70.75 -Width: 18 N: 10 - X: -70.5 -inv_table[742] = -0.0146484 X: -70.5 -Width: 18 N: 10 - X: -70.25 -inv_table[743] = -0.0146484 X: -70.25 -Width: 18 N: 10 - X: -70 -inv_table[744] = -0.0146484 X: -70 -Width: 18 N: 10 - X: -69.75 -inv_table[745] = -0.0146484 X: -69.75 -Width: 18 N: 10 - X: -69.5 -inv_table[746] = -0.0146484 X: -69.5 -Width: 18 N: 10 - X: -69.25 -inv_table[747] = -0.0146484 X: -69.25 -Width: 18 N: 10 - X: -69 -inv_table[748] = -0.0146484 X: -69 -Width: 18 N: 10 - X: -68.75 -inv_table[749] = -0.0146484 X: -68.75 -Width: 18 N: 10 - X: -68.5 -inv_table[750] = -0.0146484 X: -68.5 -Width: 18 N: 10 - X: -68.25 -inv_table[751] = -0.0146484 X: -68.25 -Width: 18 N: 10 - X: -68 -inv_table[752] = -0.0146484 X: -68 -Width: 18 N: 10 - X: -67.75 -inv_table[753] = -0.0146484 X: -67.75 -Width: 18 N: 10 - X: -67.5 -inv_table[754] = -0.0146484 X: -67.5 -Width: 18 N: 10 - X: -67.25 -inv_table[755] = -0.0146484 X: -67.25 -Width: 18 N: 10 - X: -67 -inv_table[756] = -0.0146484 X: -67 -Width: 18 N: 10 - X: -66.75 -inv_table[757] = -0.0146484 X: -66.75 -Width: 18 N: 10 - X: -66.5 -inv_table[758] = -0.0146484 X: -66.5 -Width: 18 N: 10 - X: -66.25 -inv_table[759] = -0.0146484 X: -66.25 -Width: 18 N: 10 - X: -66 -inv_table[760] = -0.015625 X: -66 -Width: 18 N: 10 - X: -65.75 -inv_table[761] = -0.015625 X: -65.75 -Width: 18 N: 10 - X: -65.5 -inv_table[762] = -0.015625 X: -65.5 -Width: 18 N: 10 - X: -65.25 -inv_table[763] = -0.015625 X: -65.25 -Width: 18 N: 10 - X: -65 -inv_table[764] = -0.015625 X: -65 -Width: 18 N: 10 - X: -64.75 -inv_table[765] = -0.015625 X: -64.75 -Width: 18 N: 10 - X: -64.5 -inv_table[766] = -0.015625 X: -64.5 -Width: 18 N: 10 - X: -64.25 -inv_table[767] = -0.015625 X: -64.25 -Width: 18 N: 10 - X: -64 -inv_table[768] = -0.015625 X: -64 -Width: 18 N: 10 - X: -63.75 -inv_table[769] = -0.015625 X: -63.75 -Width: 18 N: 10 - X: -63.5 -inv_table[770] = -0.015625 X: -63.5 -Width: 18 N: 10 - X: -63.25 -inv_table[771] = -0.015625 X: -63.25 -Width: 18 N: 10 - X: -63 -inv_table[772] = -0.015625 X: -63 -Width: 18 N: 10 - X: -62.75 -inv_table[773] = -0.015625 X: -62.75 -Width: 18 N: 10 - X: -62.5 -inv_table[774] = -0.015625 X: -62.5 -Width: 18 N: 10 - X: -62.25 -inv_table[775] = -0.015625 X: -62.25 -Width: 18 N: 10 - X: -62 -inv_table[776] = -0.0166016 X: -62 -Width: 18 N: 10 - X: -61.75 -inv_table[777] = -0.0166016 X: -61.75 -Width: 18 N: 10 - X: -61.5 -inv_table[778] = -0.0166016 X: -61.5 -Width: 18 N: 10 - X: -61.25 -inv_table[779] = -0.0166016 X: -61.25 -Width: 18 N: 10 - X: -61 -inv_table[780] = -0.0166016 X: -61 -Width: 18 N: 10 - X: -60.75 -inv_table[781] = -0.0166016 X: -60.75 -Width: 18 N: 10 - X: -60.5 -inv_table[782] = -0.0166016 X: -60.5 -Width: 18 N: 10 - X: -60.25 -inv_table[783] = -0.0166016 X: -60.25 -Width: 18 N: 10 - X: -60 -inv_table[784] = -0.0166016 X: -60 -Width: 18 N: 10 - X: -59.75 -inv_table[785] = -0.0166016 X: -59.75 -Width: 18 N: 10 - X: -59.5 -inv_table[786] = -0.0166016 X: -59.5 -Width: 18 N: 10 - X: -59.25 -inv_table[787] = -0.0166016 X: -59.25 -Width: 18 N: 10 - X: -59 -inv_table[788] = -0.0166016 X: -59 -Width: 18 N: 10 - X: -58.75 -inv_table[789] = -0.0166016 X: -58.75 -Width: 18 N: 10 - X: -58.5 -inv_table[790] = -0.0175781 X: -58.5 -Width: 18 N: 10 - X: -58.25 -inv_table[791] = -0.0175781 X: -58.25 -Width: 18 N: 10 - X: -58 -inv_table[792] = -0.0175781 X: -58 -Width: 18 N: 10 - X: -57.75 -inv_table[793] = -0.0175781 X: -57.75 -Width: 18 N: 10 - X: -57.5 -inv_table[794] = -0.0175781 X: -57.5 -Width: 18 N: 10 - X: -57.25 -inv_table[795] = -0.0175781 X: -57.25 -Width: 18 N: 10 - X: -57 -inv_table[796] = -0.0175781 X: -57 -Width: 18 N: 10 - X: -56.75 -inv_table[797] = -0.0175781 X: -56.75 -Width: 18 N: 10 - X: -56.5 -inv_table[798] = -0.0175781 X: -56.5 -Width: 18 N: 10 - X: -56.25 -inv_table[799] = -0.0175781 X: -56.25 -Width: 18 N: 10 - X: -56 -inv_table[800] = -0.0175781 X: -56 -Width: 18 N: 10 - X: -55.75 -inv_table[801] = -0.0175781 X: -55.75 -Width: 18 N: 10 - X: -55.5 -inv_table[802] = -0.0175781 X: -55.5 -Width: 18 N: 10 - X: -55.25 -inv_table[803] = -0.0185547 X: -55.25 -Width: 18 N: 10 - X: -55 -inv_table[804] = -0.0185547 X: -55 -Width: 18 N: 10 - X: -54.75 -inv_table[805] = -0.0185547 X: -54.75 -Width: 18 N: 10 - X: -54.5 -inv_table[806] = -0.0185547 X: -54.5 -Width: 18 N: 10 - X: -54.25 -inv_table[807] = -0.0185547 X: -54.25 -Width: 18 N: 10 - X: -54 -inv_table[808] = -0.0185547 X: -54 -Width: 18 N: 10 - X: -53.75 -inv_table[809] = -0.0185547 X: -53.75 -Width: 18 N: 10 - X: -53.5 -inv_table[810] = -0.0185547 X: -53.5 -Width: 18 N: 10 - X: -53.25 -inv_table[811] = -0.0185547 X: -53.25 -Width: 18 N: 10 - X: -53 -inv_table[812] = -0.0185547 X: -53 -Width: 18 N: 10 - X: -52.75 -inv_table[813] = -0.0185547 X: -52.75 -Width: 18 N: 10 - X: -52.5 -inv_table[814] = -0.0195313 X: -52.5 -Width: 18 N: 10 - X: -52.25 -inv_table[815] = -0.0195313 X: -52.25 -Width: 18 N: 10 - X: -52 -inv_table[816] = -0.0195313 X: -52 -Width: 18 N: 10 - X: -51.75 -inv_table[817] = -0.0195313 X: -51.75 -Width: 18 N: 10 - X: -51.5 -inv_table[818] = -0.0195313 X: -51.5 -Width: 18 N: 10 - X: -51.25 -inv_table[819] = -0.0195313 X: -51.25 -Width: 18 N: 10 - X: -51 -inv_table[820] = -0.0195313 X: -51 -Width: 18 N: 10 - X: -50.75 -inv_table[821] = -0.0195313 X: -50.75 -Width: 18 N: 10 - X: -50.5 -inv_table[822] = -0.0195313 X: -50.5 -Width: 18 N: 10 - X: -50.25 -inv_table[823] = -0.0195313 X: -50.25 -Width: 18 N: 10 - X: -50 -inv_table[824] = -0.0195313 X: -50 -Width: 18 N: 10 - X: -49.75 -inv_table[825] = -0.0205078 X: -49.75 -Width: 18 N: 10 - X: -49.5 -inv_table[826] = -0.0205078 X: -49.5 -Width: 18 N: 10 - X: -49.25 -inv_table[827] = -0.0205078 X: -49.25 -Width: 18 N: 10 - X: -49 -inv_table[828] = -0.0205078 X: -49 -Width: 18 N: 10 - X: -48.75 -inv_table[829] = -0.0205078 X: -48.75 -Width: 18 N: 10 - X: -48.5 -inv_table[830] = -0.0205078 X: -48.5 -Width: 18 N: 10 - X: -48.25 -inv_table[831] = -0.0205078 X: -48.25 -Width: 18 N: 10 - X: -48 -inv_table[832] = -0.0205078 X: -48 -Width: 18 N: 10 - X: -47.75 -inv_table[833] = -0.0205078 X: -47.75 -Width: 18 N: 10 - X: -47.5 -inv_table[834] = -0.0214844 X: -47.5 -Width: 18 N: 10 - X: -47.25 -inv_table[835] = -0.0214844 X: -47.25 -Width: 18 N: 10 - X: -47 -inv_table[836] = -0.0214844 X: -47 -Width: 18 N: 10 - X: -46.75 -inv_table[837] = -0.0214844 X: -46.75 -Width: 18 N: 10 - X: -46.5 -inv_table[838] = -0.0214844 X: -46.5 -Width: 18 N: 10 - X: -46.25 -inv_table[839] = -0.0214844 X: -46.25 -Width: 18 N: 10 - X: -46 -inv_table[840] = -0.0214844 X: -46 -Width: 18 N: 10 - X: -45.75 -inv_table[841] = -0.0214844 X: -45.75 -Width: 18 N: 10 - X: -45.5 -inv_table[842] = -0.0224609 X: -45.5 -Width: 18 N: 10 - X: -45.25 -inv_table[843] = -0.0224609 X: -45.25 -Width: 18 N: 10 - X: -45 -inv_table[844] = -0.0224609 X: -45 -Width: 18 N: 10 - X: -44.75 -inv_table[845] = -0.0224609 X: -44.75 -Width: 18 N: 10 - X: -44.5 -inv_table[846] = -0.0224609 X: -44.5 -Width: 18 N: 10 - X: -44.25 -inv_table[847] = -0.0224609 X: -44.25 -Width: 18 N: 10 - X: -44 -inv_table[848] = -0.0224609 X: -44 -Width: 18 N: 10 - X: -43.75 -inv_table[849] = -0.0224609 X: -43.75 -Width: 18 N: 10 - X: -43.5 -inv_table[850] = -0.0234375 X: -43.5 -Width: 18 N: 10 - X: -43.25 -inv_table[851] = -0.0234375 X: -43.25 -Width: 18 N: 10 - X: -43 -inv_table[852] = -0.0234375 X: -43 -Width: 18 N: 10 - X: -42.75 -inv_table[853] = -0.0234375 X: -42.75 -Width: 18 N: 10 - X: -42.5 -inv_table[854] = -0.0234375 X: -42.5 -Width: 18 N: 10 - X: -42.25 -inv_table[855] = -0.0234375 X: -42.25 -Width: 18 N: 10 - X: -42 -inv_table[856] = -0.0234375 X: -42 -Width: 18 N: 10 - X: -41.75 -inv_table[857] = -0.0244141 X: -41.75 -Width: 18 N: 10 - X: -41.5 -inv_table[858] = -0.0244141 X: -41.5 -Width: 18 N: 10 - X: -41.25 -inv_table[859] = -0.0244141 X: -41.25 -Width: 18 N: 10 - X: -41 -inv_table[860] = -0.0244141 X: -41 -Width: 18 N: 10 - X: -40.75 -inv_table[861] = -0.0244141 X: -40.75 -Width: 18 N: 10 - X: -40.5 -inv_table[862] = -0.0244141 X: -40.5 -Width: 18 N: 10 - X: -40.25 -inv_table[863] = -0.0244141 X: -40.25 -Width: 18 N: 10 - X: -40 -inv_table[864] = -0.0253906 X: -40 -Width: 18 N: 10 - X: -39.75 -inv_table[865] = -0.0253906 X: -39.75 -Width: 18 N: 10 - X: -39.5 -inv_table[866] = -0.0253906 X: -39.5 -Width: 18 N: 10 - X: -39.25 -inv_table[867] = -0.0253906 X: -39.25 -Width: 18 N: 10 - X: -39 -inv_table[868] = -0.0253906 X: -39 -Width: 18 N: 10 - X: -38.75 -inv_table[869] = -0.0253906 X: -38.75 -Width: 18 N: 10 - X: -38.5 -inv_table[870] = -0.0263672 X: -38.5 -Width: 18 N: 10 - X: -38.25 -inv_table[871] = -0.0263672 X: -38.25 -Width: 18 N: 10 - X: -38 -inv_table[872] = -0.0263672 X: -38 -Width: 18 N: 10 - X: -37.75 -inv_table[873] = -0.0263672 X: -37.75 -Width: 18 N: 10 - X: -37.5 -inv_table[874] = -0.0263672 X: -37.5 -Width: 18 N: 10 - X: -37.25 -inv_table[875] = -0.0263672 X: -37.25 -Width: 18 N: 10 - X: -37 -inv_table[876] = -0.0273438 X: -37 -Width: 18 N: 10 - X: -36.75 -inv_table[877] = -0.0273438 X: -36.75 -Width: 18 N: 10 - X: -36.5 -inv_table[878] = -0.0273438 X: -36.5 -Width: 18 N: 10 - X: -36.25 -inv_table[879] = -0.0273438 X: -36.25 -Width: 18 N: 10 - X: -36 -inv_table[880] = -0.0273438 X: -36 -Width: 18 N: 10 - X: -35.75 -inv_table[881] = -0.0283203 X: -35.75 -Width: 18 N: 10 - X: -35.5 -inv_table[882] = -0.0283203 X: -35.5 -Width: 18 N: 10 - X: -35.25 -inv_table[883] = -0.0283203 X: -35.25 -Width: 18 N: 10 - X: -35 -inv_table[884] = -0.0283203 X: -35 -Width: 18 N: 10 - X: -34.75 -inv_table[885] = -0.0283203 X: -34.75 -Width: 18 N: 10 - X: -34.5 -inv_table[886] = -0.0292969 X: -34.5 -Width: 18 N: 10 - X: -34.25 -inv_table[887] = -0.0292969 X: -34.25 -Width: 18 N: 10 - X: -34 -inv_table[888] = -0.0292969 X: -34 -Width: 18 N: 10 - X: -33.75 -inv_table[889] = -0.0292969 X: -33.75 -Width: 18 N: 10 - X: -33.5 -inv_table[890] = -0.0302734 X: -33.5 -Width: 18 N: 10 - X: -33.25 -inv_table[891] = -0.0302734 X: -33.25 -Width: 18 N: 10 - X: -33 -inv_table[892] = -0.0302734 X: -33 -Width: 18 N: 10 - X: -32.75 -inv_table[893] = -0.0302734 X: -32.75 -Width: 18 N: 10 - X: -32.5 -inv_table[894] = -0.03125 X: -32.5 -Width: 18 N: 10 - X: -32.25 -inv_table[895] = -0.03125 X: -32.25 -Width: 18 N: 10 - X: -32 -inv_table[896] = -0.03125 X: -32 -Width: 18 N: 10 - X: -31.75 -inv_table[897] = -0.03125 X: -31.75 -Width: 18 N: 10 - X: -31.5 -inv_table[898] = -0.0322266 X: -31.5 -Width: 18 N: 10 - X: -31.25 -inv_table[899] = -0.0322266 X: -31.25 -Width: 18 N: 10 - X: -31 -inv_table[900] = -0.0322266 X: -31 -Width: 18 N: 10 - X: -30.75 -inv_table[901] = -0.0322266 X: -30.75 -Width: 18 N: 10 - X: -30.5 -inv_table[902] = -0.0332031 X: -30.5 -Width: 18 N: 10 - X: -30.25 -inv_table[903] = -0.0332031 X: -30.25 -Width: 18 N: 10 - X: -30 -inv_table[904] = -0.0332031 X: -30 -Width: 18 N: 10 - X: -29.75 -inv_table[905] = -0.0332031 X: -29.75 -Width: 18 N: 10 - X: -29.5 -inv_table[906] = -0.0341797 X: -29.5 -Width: 18 N: 10 - X: -29.25 -inv_table[907] = -0.0341797 X: -29.25 -Width: 18 N: 10 - X: -29 -inv_table[908] = -0.0341797 X: -29 -Width: 18 N: 10 - X: -28.75 -inv_table[909] = -0.0351563 X: -28.75 -Width: 18 N: 10 - X: -28.5 -inv_table[910] = -0.0351563 X: -28.5 -Width: 18 N: 10 - X: -28.25 -inv_table[911] = -0.0351563 X: -28.25 -Width: 18 N: 10 - X: -28 -inv_table[912] = -0.0361328 X: -28 -Width: 18 N: 10 - X: -27.75 -inv_table[913] = -0.0361328 X: -27.75 -Width: 18 N: 10 - X: -27.5 -inv_table[914] = -0.0361328 X: -27.5 -Width: 18 N: 10 - X: -27.25 -inv_table[915] = -0.0371094 X: -27.25 -Width: 18 N: 10 - X: -27 -inv_table[916] = -0.0371094 X: -27 -Width: 18 N: 10 - X: -26.75 -inv_table[917] = -0.0371094 X: -26.75 -Width: 18 N: 10 - X: -26.5 -inv_table[918] = -0.0380859 X: -26.5 -Width: 18 N: 10 - X: -26.25 -inv_table[919] = -0.0380859 X: -26.25 -Width: 18 N: 10 - X: -26 -inv_table[920] = -0.0380859 X: -26 -Width: 18 N: 10 - X: -25.75 -inv_table[921] = -0.0390625 X: -25.75 -Width: 18 N: 10 - X: -25.5 -inv_table[922] = -0.0390625 X: -25.5 -Width: 18 N: 10 - X: -25.25 -inv_table[923] = -0.0400391 X: -25.25 -Width: 18 N: 10 - X: -25 -inv_table[924] = -0.0400391 X: -25 -Width: 18 N: 10 - X: -24.75 -inv_table[925] = -0.0400391 X: -24.75 -Width: 18 N: 10 - X: -24.5 -inv_table[926] = -0.0410156 X: -24.5 -Width: 18 N: 10 - X: -24.25 -inv_table[927] = -0.0410156 X: -24.25 -Width: 18 N: 10 - X: -24 -inv_table[928] = -0.0419922 X: -24 -Width: 18 N: 10 - X: -23.75 -inv_table[929] = -0.0419922 X: -23.75 -Width: 18 N: 10 - X: -23.5 -inv_table[930] = -0.0429688 X: -23.5 -Width: 18 N: 10 - X: -23.25 -inv_table[931] = -0.0429688 X: -23.25 -Width: 18 N: 10 - X: -23 -inv_table[932] = -0.0439453 X: -23 -Width: 18 N: 10 - X: -22.75 -inv_table[933] = -0.0439453 X: -22.75 -Width: 18 N: 10 - X: -22.5 -inv_table[934] = -0.0449219 X: -22.5 -Width: 18 N: 10 - X: -22.25 -inv_table[935] = -0.0449219 X: -22.25 -Width: 18 N: 10 - X: -22 -inv_table[936] = -0.0458984 X: -22 -Width: 18 N: 10 - X: -21.75 -inv_table[937] = -0.0458984 X: -21.75 -Width: 18 N: 10 - X: -21.5 -inv_table[938] = -0.046875 X: -21.5 -Width: 18 N: 10 - X: -21.25 -inv_table[939] = -0.046875 X: -21.25 -Width: 18 N: 10 - X: -21 -inv_table[940] = -0.0478516 X: -21 -Width: 18 N: 10 - X: -20.75 -inv_table[941] = -0.0478516 X: -20.75 -Width: 18 N: 10 - X: -20.5 -inv_table[942] = -0.0488281 X: -20.5 -Width: 18 N: 10 - X: -20.25 -inv_table[943] = -0.0498047 X: -20.25 -Width: 18 N: 10 - X: -20 -inv_table[944] = -0.0498047 X: -20 -Width: 18 N: 10 - X: -19.75 -inv_table[945] = -0.0507813 X: -19.75 -Width: 18 N: 10 - X: -19.5 -inv_table[946] = -0.0517578 X: -19.5 -Width: 18 N: 10 - X: -19.25 -inv_table[947] = -0.0517578 X: -19.25 -Width: 18 N: 10 - X: -19 -inv_table[948] = -0.0527344 X: -19 -Width: 18 N: 10 - X: -18.75 -inv_table[949] = -0.0537109 X: -18.75 -Width: 18 N: 10 - X: -18.5 -inv_table[950] = -0.0537109 X: -18.5 -Width: 18 N: 10 - X: -18.25 -inv_table[951] = -0.0546875 X: -18.25 -Width: 18 N: 10 - X: -18 -inv_table[952] = -0.0556641 X: -18 -Width: 18 N: 10 - X: -17.75 -inv_table[953] = -0.0566406 X: -17.75 -Width: 18 N: 10 - X: -17.5 -inv_table[954] = -0.0576172 X: -17.5 -Width: 18 N: 10 - X: -17.25 -inv_table[955] = -0.0576172 X: -17.25 -Width: 18 N: 10 - X: -17 -inv_table[956] = -0.0585938 X: -17 -Width: 18 N: 10 - X: -16.75 -inv_table[957] = -0.0595703 X: -16.75 -Width: 18 N: 10 - X: -16.5 -inv_table[958] = -0.0605469 X: -16.5 -Width: 18 N: 10 - X: -16.25 -inv_table[959] = -0.0615234 X: -16.25 -Width: 18 N: 10 - X: -16 -inv_table[960] = -0.0625 X: -16 -Width: 18 N: 10 - X: -15.75 -inv_table[961] = -0.0634766 X: -15.75 -Width: 18 N: 10 - X: -15.5 -inv_table[962] = -0.0644531 X: -15.5 -Width: 18 N: 10 - X: -15.25 -inv_table[963] = -0.0654297 X: -15.25 -Width: 18 N: 10 - X: -15 -inv_table[964] = -0.0664063 X: -15 -Width: 18 N: 10 - X: -14.75 -inv_table[965] = -0.0673828 X: -14.75 -Width: 18 N: 10 - X: -14.5 -inv_table[966] = -0.0693359 X: -14.5 -Width: 18 N: 10 - X: -14.25 -inv_table[967] = -0.0703125 X: -14.25 -Width: 18 N: 10 - X: -14 -inv_table[968] = -0.0712891 X: -14 -Width: 18 N: 10 - X: -13.75 -inv_table[969] = -0.0722656 X: -13.75 -Width: 18 N: 10 - X: -13.5 -inv_table[970] = -0.0742188 X: -13.5 -Width: 18 N: 10 - X: -13.25 -inv_table[971] = -0.0751953 X: -13.25 -Width: 18 N: 10 - X: -13 -inv_table[972] = -0.0771484 X: -13 -Width: 18 N: 10 - X: -12.75 -inv_table[973] = -0.078125 X: -12.75 -Width: 18 N: 10 - X: -12.5 -inv_table[974] = -0.0800781 X: -12.5 -Width: 18 N: 10 - X: -12.25 -inv_table[975] = -0.0820313 X: -12.25 -Width: 18 N: 10 - X: -12 -inv_table[976] = -0.0830078 X: -12 -Width: 18 N: 10 - X: -11.75 -inv_table[977] = -0.0849609 X: -11.75 -Width: 18 N: 10 - X: -11.5 -inv_table[978] = -0.0869141 X: -11.5 -Width: 18 N: 10 - X: -11.25 -inv_table[979] = -0.0888672 X: -11.25 -Width: 18 N: 10 - X: -11 -inv_table[980] = -0.0908203 X: -11 -Width: 18 N: 10 - X: -10.75 -inv_table[981] = -0.0927734 X: -10.75 -Width: 18 N: 10 - X: -10.5 -inv_table[982] = -0.0957031 X: -10.5 -Width: 18 N: 10 - X: -10.25 -inv_table[983] = -0.0976563 X: -10.25 -Width: 18 N: 10 - X: -10 -inv_table[984] = -0.0996094 X: -10 -Width: 18 N: 10 - X: -9.75 -inv_table[985] = -0.102539 X: -9.75 -Width: 18 N: 10 - X: -9.5 -inv_table[986] = -0.105469 X: -9.5 -Width: 18 N: 10 - X: -9.25 -inv_table[987] = -0.108398 X: -9.25 -Width: 18 N: 10 - X: -9 -inv_table[988] = -0.111328 X: -9 -Width: 18 N: 10 - X: -8.75 -inv_table[989] = -0.114258 X: -8.75 -Width: 18 N: 10 - X: -8.5 -inv_table[990] = -0.117188 X: -8.5 -Width: 18 N: 10 - X: -8.25 -inv_table[991] = -0.121094 X: -8.25 -Width: 18 N: 10 - X: -8 -inv_table[992] = -0.125 X: -8 -Width: 18 N: 10 - X: -7.75 -inv_table[993] = -0.128906 X: -7.75 -Width: 18 N: 10 - X: -7.5 -inv_table[994] = -0.133789 X: -7.5 -Width: 18 N: 10 - X: -7.25 -inv_table[995] = -0.137695 X: -7.25 -Width: 18 N: 10 - X: -7 -inv_table[996] = -0.142578 X: -7 -Width: 18 N: 10 - X: -6.75 -inv_table[997] = -0.148438 X: -6.75 -Width: 18 N: 10 - X: -6.5 -inv_table[998] = -0.154297 X: -6.5 -Width: 18 N: 10 - X: -6.25 -inv_table[999] = -0.160156 X: -6.25 -Width: 18 N: 10 - X: -6 -inv_table[1000] = -0.166992 X: -6 -Width: 18 N: 10 - X: -5.75 -inv_table[1001] = -0.173828 X: -5.75 -Width: 18 N: 10 - X: -5.5 -inv_table[1002] = -0.181641 X: -5.5 -Width: 18 N: 10 - X: -5.25 -inv_table[1003] = -0.19043 X: -5.25 -Width: 18 N: 10 - X: -5 -inv_table[1004] = -0.200195 X: -5 -Width: 18 N: 10 - X: -4.75 -inv_table[1005] = -0.210938 X: -4.75 -Width: 18 N: 10 - X: -4.5 -inv_table[1006] = -0.222656 X: -4.5 -Width: 18 N: 10 - X: -4.25 -inv_table[1007] = -0.235352 X: -4.25 -Width: 18 N: 10 - X: -4 -inv_table[1008] = -0.25 X: -4 -Width: 18 N: 10 - X: -3.75 -inv_table[1009] = -0.266602 X: -3.75 -Width: 18 N: 10 - X: -3.5 -inv_table[1010] = -0.286133 X: -3.5 -Width: 18 N: 10 - X: -3.25 -inv_table[1011] = -0.307617 X: -3.25 -Width: 18 N: 10 - X: -3 -inv_table[1012] = -0.333008 X: -3 -Width: 18 N: 10 - X: -2.75 -inv_table[1013] = -0.363281 X: -2.75 -Width: 18 N: 10 - X: -2.5 -inv_table[1014] = -0.400391 X: -2.5 -Width: 18 N: 10 - X: -2.25 -inv_table[1015] = -0.444336 X: -2.25 -Width: 18 N: 10 - X: -2 -inv_table[1016] = -0.5 X: -2 -Width: 18 N: 10 - X: -1.75 -inv_table[1017] = -0.571289 X: -1.75 -Width: 18 N: 10 - X: -1.5 -inv_table[1018] = -0.666992 X: -1.5 -Width: 18 N: 10 - X: -1.25 -inv_table[1019] = -0.799805 X: -1.25 -Width: 18 N: 10 - X: -1 -inv_table[1020] = -1 X: -1 -Width: 18 N: 10 - X: -0.75 -inv_table[1021] = -1.33301 X: -0.75 -Width: 18 N: 10 - X: -0.5 -inv_table[1022] = -2 X: -0.5 -Width: 18 N: 10 - X: -0.25 -inv_table[1023] = -4 X: -0.25 -NOW EXPONENT TIME -INDEX GOES BRRR: 907 X: -7.25684 -INDEX GOES BRRR: 138 X: 8.67676 -INDEX GOES BRRR: 192 X: 12.0244 -INDEX GOES BRRR: 210 X: 13.1416 -INDEX GOES BRRR: 166 X: 10.3945 -INDEX GOES BRRR: 99 X: 6.22266 -INDEX GOES BRRR: 88 X: 5.55078 -INDEX GOES BRRR: 332 X: 20.791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9824 -INDEX GOES BRRR: 842 X: -11.3447 -INDEX GOES BRRR: 265 X: 16.6201 -INDEX GOES BRRR: 398 X: 24.9102 -INDEX GOES BRRR: 205 X: 12.8643 -INDEX GOES BRRR: 800 X: -13.958 -INDEX GOES BRRR: 72 X: 4.51758 -INDEX GOES BRRR: 224 X: 14.0273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.39062 -INDEX GOES BRRR: 72 X: 4.54395 -INDEX GOES BRRR: 125 X: 7.8252 -INDEX GOES BRRR: 172 X: 10.7686 -INDEX GOES BRRR: 471 X: 29.4619 -INDEX GOES BRRR: 137 X: 8.56543 -INDEX GOES BRRR: 407 X: 25.458 -INDEX GOES BRRR: 109 X: 6.84961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2598 -INDEX GOES BRRR: 59 X: 3.74219 -INDEX GOES BRRR: 49 X: 3.09277 -INDEX GOES BRRR: 35 X: 2.22949 -INDEX GOES BRRR: 422 X: 26.4072 -INDEX GOES BRRR: 115 X: 7.20117 -INDEX GOES BRRR: 998 X: -1.60254 -INDEX GOES BRRR: 65 X: 4.06543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.33887 -INDEX GOES BRRR: 257 X: 16.0938 -INDEX GOES BRRR: 833 X: -11.8975 -INDEX GOES BRRR: 121 X: 7.56543 -INDEX GOES BRRR: 372 X: 23.2646 -INDEX GOES BRRR: 8 X: 0.555664 -INDEX GOES BRRR: 1011 X: -0.771484 -INDEX GOES BRRR: 229 X: 14.3301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 403 X: 25.2256 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 309 X: 19.3691 -INDEX GOES BRRR: 953 X: -4.38867 -INDEX GOES BRRR: 56 X: 3.55957 -INDEX GOES BRRR: 97 X: 6.0625 -INDEX GOES BRRR: 1008 X: -0.950195 -INDEX GOES BRRR: 68 X: 4.28809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.3301 -INDEX GOES BRRR: 7 X: 0.469727 -INDEX GOES BRRR: 258 X: 16.1719 -INDEX GOES BRRR: 178 X: 11.1318 -INDEX GOES BRRR: 32 X: 2.01465 -INDEX GOES BRRR: 733 X: -18.165 -INDEX GOES BRRR: 257 X: 16.0986 -INDEX GOES BRRR: 393 X: 24.6172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.21094 -INDEX GOES BRRR: 196 X: 12.2979 -INDEX GOES BRRR: 73 X: 4.60156 -INDEX GOES BRRR: 779 X: -15.2676 -INDEX GOES BRRR: 50 X: 3.13672 -INDEX GOES BRRR: 172 X: 10.791 -INDEX GOES BRRR: 158 X: 9.93652 -INDEX GOES BRRR: 126 X: 7.90723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.53223 -INDEX GOES BRRR: 168 X: 10.5518 -INDEX GOES BRRR: 146 X: 9.14258 -INDEX GOES BRRR: 22 X: 1.37988 -INDEX GOES BRRR: 805 X: -13.6289 -INDEX GOES BRRR: 162 X: 10.1455 -INDEX GOES BRRR: 268 X: 16.7559 -INDEX GOES BRRR: 25 X: 1.62402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.29199 -INDEX GOES BRRR: 185 X: 11.6211 -INDEX GOES BRRR: 324 X: 20.2666 -INDEX GOES BRRR: 348 X: 21.7646 -INDEX GOES BRRR: 144 X: 9.03125 -INDEX GOES BRRR: 110 X: 6.87988 -INDEX GOES BRRR: 256 X: 16.0029 -INDEX GOES BRRR: 12 X: 0.767578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.12695 -INDEX GOES BRRR: 203 X: 12.7158 -INDEX GOES BRRR: 415 X: 25.9834 -INDEX GOES BRRR: 87 X: 5.47949 -INDEX GOES BRRR: 76 X: 4.77832 -INDEX GOES BRRR: 320 X: 20.0225 -INDEX GOES BRRR: 59 X: 3.69922 -INDEX GOES BRRR: 223 X: 13.9717 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.69336 -INDEX GOES BRRR: 74 X: 4.62695 -INDEX GOES BRRR: 343 X: 21.4795 -INDEX GOES BRRR: 235 X: 14.7324 -INDEX GOES BRRR: 114 X: 7.17676 -INDEX GOES BRRR: 344 X: 21.5586 -INDEX GOES BRRR: 244 X: 15.29 -INDEX GOES BRRR: 150 X: 9.38281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.594727 -INDEX GOES BRRR: 235 X: 14.6885 -INDEX GOES BRRR: 288 X: 18.0469 -INDEX GOES BRRR: 193 X: 12.1035 -INDEX GOES BRRR: 915 X: -6.78516 -INDEX GOES BRRR: 229 X: 14.3125 -INDEX GOES BRRR: 175 X: 10.9629 -INDEX GOES BRRR: 238 X: 14.9297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.7598 -INDEX GOES BRRR: 188 X: 11.7578 -INDEX GOES BRRR: 289 X: 18.082 -INDEX GOES BRRR: 218 X: 13.6758 -INDEX GOES BRRR: 34 X: 2.16406 -INDEX GOES BRRR: 258 X: 16.1699 -INDEX GOES BRRR: 137 X: 8.61328 -INDEX GOES BRRR: 158 X: 9.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.40234 -INDEX GOES BRRR: 267 X: 16.7344 -INDEX GOES BRRR: 120 X: 7.53027 -INDEX GOES BRRR: 7 X: 0.451172 -INDEX GOES BRRR: 203 X: 12.6953 -INDEX GOES BRRR: 73 X: 4.59082 -INDEX GOES BRRR: 113 X: 7.09473 -INDEX GOES BRRR: 267 X: 16.6924 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 966 X: -3.62305 -INDEX GOES BRRR: 306 X: 19.1748 -INDEX GOES BRRR: 240 X: 15.0205 -INDEX GOES BRRR: 212 X: 13.2949 -INDEX GOES BRRR: 103 X: 6.47852 -INDEX GOES BRRR: 302 X: 18.9316 -INDEX GOES BRRR: 232 X: 14.5068 -INDEX GOES BRRR: 214 X: 13.4229 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 942 X: -5.1123 -INDEX GOES BRRR: 233 X: 14.6074 -INDEX GOES BRRR: 391 X: 24.459 -INDEX GOES BRRR: 74 X: 4.64746 -INDEX GOES BRRR: 199 X: 12.4971 -INDEX GOES BRRR: 31 X: 1.98535 -INDEX GOES BRRR: 9 X: 0.62207 -INDEX GOES BRRR: 49 X: 3.12402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 81 X: 5.11035 -INDEX GOES BRRR: 166 X: 10.4355 -INDEX GOES BRRR: 43 X: 2.70996 -INDEX GOES BRRR: 123 X: 7.70215 -INDEX GOES BRRR: 122 X: 7.62793 -INDEX GOES BRRR: 172 X: 10.7959 -INDEX GOES BRRR: 161 X: 10.1172 -INDEX GOES BRRR: 238 X: 14.9248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.50586 -INDEX GOES BRRR: 202 X: 12.6543 -INDEX GOES BRRR: 194 X: 12.126 -INDEX GOES BRRR: 126 X: 7.90918 -INDEX GOES BRRR: 108 X: 6.78809 -INDEX GOES BRRR: 87 X: 5.46777 -INDEX GOES BRRR: 225 X: 14.0879 -INDEX GOES BRRR: 824 X: -12.4766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8711 -INDEX GOES BRRR: 175 X: 10.9707 -INDEX GOES BRRR: 865 X: -9.89746 -INDEX GOES BRRR: 980 X: -2.72266 -INDEX GOES BRRR: 433 X: 27.082 -INDEX GOES BRRR: 335 X: 20.9834 -INDEX GOES BRRR: 274 X: 17.1611 -INDEX GOES BRRR: 980 X: -2.71875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 430 X: 26.9121 -INDEX GOES BRRR: 918 X: -6.62012 -INDEX GOES BRRR: 148 X: 9.30371 -INDEX GOES BRRR: 391 X: 24.4404 -INDEX GOES BRRR: 312 X: 19.5615 -INDEX GOES BRRR: 155 X: 9.71387 -INDEX GOES BRRR: 279 X: 17.4375 -INDEX GOES BRRR: 70 X: 4.42188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 367 X: 22.9824 -INDEX GOES BRRR: 48 X: 3.05859 -INDEX GOES BRRR: 947 X: -4.7959 -INDEX GOES BRRR: 245 X: 15.3369 -INDEX GOES BRRR: 55 X: 3.47363 -INDEX GOES BRRR: 472 X: 29.5479 -INDEX GOES BRRR: 285 X: 17.8418 -INDEX GOES BRRR: 143 X: 8.94336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 141 X: 8.86816 -INDEX GOES BRRR: 234 X: 14.6553 -INDEX GOES BRRR: 438 X: 27.4326 -INDEX GOES BRRR: 865 X: -9.91992 -INDEX GOES BRRR: 802 X: -13.8721 -INDEX GOES BRRR: 186 X: 11.6436 -INDEX GOES BRRR: 781 X: -15.1377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 933 X: -5.66602 -INDEX GOES BRRR: 287 X: 17.9844 -INDEX GOES BRRR: 304 X: 19.0469 -INDEX GOES BRRR: 817 X: -12.8896 -INDEX GOES BRRR: 28 X: 1.76367 -INDEX GOES BRRR: 177 X: 11.0742 -INDEX GOES BRRR: 313 X: 19.5771 -INDEX GOES BRRR: 180 X: 11.2744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 870 X: -9.61133 -INDEX GOES BRRR: 207 X: 12.9668 -INDEX GOES BRRR: 806 X: -13.625 -INDEX GOES BRRR: 127 X: 7.97461 -INDEX GOES BRRR: 107 X: 6.69727 -INDEX GOES BRRR: 168 X: 10.5264 -INDEX GOES BRRR: 19 X: 1.21484 -INDEX GOES BRRR: 249 X: 15.5859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.50391 -INDEX GOES BRRR: 116 X: 7.30859 -INDEX GOES BRRR: 33 X: 2.08496 -INDEX GOES BRRR: 128 X: 8.03516 -INDEX GOES BRRR: 299 X: 18.7012 -INDEX GOES BRRR: 233 X: 14.5625 -INDEX GOES BRRR: 228 X: 14.3076 -INDEX GOES BRRR: 88 X: 5.5127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.4082 -INDEX GOES BRRR: 370 X: 23.1309 -INDEX GOES BRRR: 248 X: 15.5498 -INDEX GOES BRRR: 236 X: 14.8018 -INDEX GOES BRRR: 1005 X: -1.1875 -INDEX GOES BRRR: 310 X: 19.3857 -INDEX GOES BRRR: 194 X: 12.1523 -INDEX GOES BRRR: 47 X: 2.9668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.49414 -INDEX GOES BRRR: 258 X: 16.1592 -INDEX GOES BRRR: 18 X: 1.12695 -INDEX GOES BRRR: 798 X: -14.1182 -INDEX GOES BRRR: 15 X: 0.964844 -INDEX GOES BRRR: 105 X: 6.62012 -INDEX GOES BRRR: 982 X: -2.61523 -INDEX GOES BRRR: 294 X: 18.4062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.1895 -INDEX GOES BRRR: 102 X: 6.40527 -INDEX GOES BRRR: 343 X: 21.4502 -INDEX GOES BRRR: 421 X: 26.3594 -INDEX GOES BRRR: 42 X: 2.6748 -INDEX GOES BRRR: 29 X: 1.84961 -INDEX GOES BRRR: 332 X: 20.7988 -INDEX GOES BRRR: 353 X: 22.0684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 932 X: -5.72949 -INDEX GOES BRRR: 996 X: -1.74414 -INDEX GOES BRRR: 942 X: -5.11914 -INDEX GOES BRRR: 980 X: -2.72168 -INDEX GOES BRRR: 121 X: 7.61621 -INDEX GOES BRRR: 978 X: -2.82812 -INDEX GOES BRRR: 228 X: 14.3047 -INDEX GOES BRRR: 69 X: 4.32129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3398 -INDEX GOES BRRR: 316 X: 19.8105 -INDEX GOES BRRR: 308 X: 19.2842 -INDEX GOES BRRR: 207 X: 12.9863 -INDEX GOES BRRR: 77 X: 4.85156 -INDEX GOES BRRR: 165 X: 10.3398 -INDEX GOES BRRR: 227 X: 14.2363 -INDEX GOES BRRR: 200 X: 12.5586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 932 X: -5.72559 -INDEX GOES BRRR: 215 X: 13.457 -INDEX GOES BRRR: 89 X: 5.58105 -INDEX GOES BRRR: 123 X: 7.71973 -INDEX GOES BRRR: 880 X: -8.98633 -INDEX GOES BRRR: 228 X: 14.2617 -INDEX GOES BRRR: 90 X: 5.67969 -INDEX GOES BRRR: 931 X: -5.7998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.63281 -INDEX GOES BRRR: 212 X: 13.2695 -INDEX GOES BRRR: 1005 X: -1.13574 -INDEX GOES BRRR: 66 X: 4.13672 -INDEX GOES BRRR: 240 X: 15.0107 -INDEX GOES BRRR: 857 X: -10.4248 -INDEX GOES BRRR: 280 X: 17.5508 -INDEX GOES BRRR: 269 X: 16.8447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 956 X: -4.20898 -INDEX GOES BRRR: 125 X: 7.85352 -INDEX GOES BRRR: 204 X: 12.7959 -INDEX GOES BRRR: 221 X: 13.8662 -INDEX GOES BRRR: 963 X: -3.78223 -INDEX GOES BRRR: 287 X: 17.9629 -INDEX GOES BRRR: 119 X: 7.48242 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.43359 -INDEX GOES BRRR: 195 X: 12.2041 -INDEX GOES BRRR: 94 X: 5.91113 -INDEX GOES BRRR: 316 X: 19.792 -INDEX GOES BRRR: 947 X: -4.7627 -INDEX GOES BRRR: 285 X: 17.8721 -INDEX GOES BRRR: 905 X: -7.3916 -INDEX GOES BRRR: 272 X: 17.0527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3301 -INDEX GOES BRRR: 322 X: 20.1348 -INDEX GOES BRRR: 124 X: 7.78711 -INDEX GOES BRRR: 1023 X: -0.0380859 -INDEX GOES BRRR: 98 X: 6.12793 -INDEX GOES BRRR: 248 X: 15.5215 -INDEX GOES BRRR: 82 X: 5.1709 -INDEX GOES BRRR: 203 X: 12.7285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8203 -INDEX GOES BRRR: 280 X: 17.5596 -INDEX GOES BRRR: 314 X: 19.6846 -INDEX GOES BRRR: 164 X: 10.2959 -INDEX GOES BRRR: 149 X: 9.35156 -INDEX GOES BRRR: 137 X: 8.59668 -INDEX GOES BRRR: 939 X: -5.25586 -INDEX GOES BRRR: 967 X: -3.55371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3242 -INDEX GOES BRRR: 179 X: 11.1953 -INDEX GOES BRRR: 123 X: 7.74805 -INDEX GOES BRRR: 182 X: 11.4023 -INDEX GOES BRRR: 43 X: 2.68848 -INDEX GOES BRRR: 967 X: -3.5293 -INDEX GOES BRRR: 214 X: 13.3789 -INDEX GOES BRRR: 320 X: 20.0352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.8047 -INDEX GOES BRRR: 127 X: 7.96289 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 32 X: 2.04199 -INDEX GOES BRRR: 379 X: 23.7021 -INDEX GOES BRRR: 15 X: 0.987305 -INDEX GOES BRRR: 204 X: 12.7764 -INDEX GOES BRRR: 190 X: 11.9307 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.78906 -INDEX GOES BRRR: 963 X: -3.80859 -INDEX GOES BRRR: 447 X: 27.9707 -INDEX GOES BRRR: 279 X: 17.498 -INDEX GOES BRRR: 441 X: 27.5889 -INDEX GOES BRRR: 194 X: 12.125 -INDEX GOES BRRR: 329 X: 20.5801 -INDEX GOES BRRR: 332 X: 20.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 90 X: 5.625 -INDEX GOES BRRR: 790 X: -14.6055 -INDEX GOES BRRR: 245 X: 15.3564 -INDEX GOES BRRR: 884 X: -8.69922 -INDEX GOES BRRR: 253 X: 15.8535 -INDEX GOES BRRR: 30 X: 1.90527 -INDEX GOES BRRR: 474 X: 29.6543 -INDEX GOES BRRR: 80 X: 5.01855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 451 X: 28.2217 -INDEX GOES BRRR: 144 X: 9.05957 -INDEX GOES BRRR: 643 X: -23.7939 -INDEX GOES BRRR: 963 X: -3.77051 -INDEX GOES BRRR: 23 X: 1.44336 -INDEX GOES BRRR: 202 X: 12.6865 -INDEX GOES BRRR: 97 X: 6.07617 -INDEX GOES BRRR: 198 X: 12.3916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.04297 -INDEX GOES BRRR: 56 X: 3.56055 -INDEX GOES BRRR: 30 X: 1.91211 -INDEX GOES BRRR: 236 X: 14.7578 -INDEX GOES BRRR: 290 X: 18.1748 -INDEX GOES BRRR: 245 X: 15.374 -INDEX GOES BRRR: 232 X: 14.5068 -INDEX GOES BRRR: 874 X: -9.3457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 992 X: -1.98633 -INDEX GOES BRRR: 1018 X: -0.375 -INDEX GOES BRRR: 17 X: 1.07617 -INDEX GOES BRRR: 363 X: 22.7363 -INDEX GOES BRRR: 7 X: 0.492188 -INDEX GOES BRRR: 134 X: 8.42969 -INDEX GOES BRRR: 18 X: 1.17578 -INDEX GOES BRRR: 4 X: 0.288086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.78516 -INDEX GOES BRRR: 199 X: 12.4902 -INDEX GOES BRRR: 830 X: -12.0889 -INDEX GOES BRRR: 64 X: 4.04004 -INDEX GOES BRRR: 276 X: 17.2646 -INDEX GOES BRRR: 114 X: 7.1543 -INDEX GOES BRRR: 974 X: -3.0957 -INDEX GOES BRRR: 104 X: 6.53418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.17578 -INDEX GOES BRRR: 411 X: 25.7363 -INDEX GOES BRRR: 186 X: 11.6416 -INDEX GOES BRRR: 64 X: 4.05762 -INDEX GOES BRRR: 240 X: 15.041 -INDEX GOES BRRR: 391 X: 24.4482 -INDEX GOES BRRR: 181 X: 11.332 -INDEX GOES BRRR: 103 X: 6.44238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.125977 -INDEX GOES BRRR: 190 X: 11.9336 -INDEX GOES BRRR: 354 X: 22.1855 -INDEX GOES BRRR: 473 X: 29.5791 -INDEX GOES BRRR: 215 X: 13.4443 -INDEX GOES BRRR: 993 X: -1.91699 -INDEX GOES BRRR: 153 X: 9.60547 -INDEX GOES BRRR: 59 X: 3.73633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 263 X: 16.4629 -INDEX GOES BRRR: 396 X: 24.793 -INDEX GOES BRRR: 280 X: 17.5371 -INDEX GOES BRRR: 46 X: 2.87891 -INDEX GOES BRRR: 121 X: 7.57812 -INDEX GOES BRRR: 273 X: 17.0762 -INDEX GOES BRRR: 223 X: 13.9561 -INDEX GOES BRRR: 57 X: 3.56348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0205 -INDEX GOES BRRR: 129 X: 8.07617 -INDEX GOES BRRR: 210 X: 13.126 -INDEX GOES BRRR: 42 X: 2.68555 -INDEX GOES BRRR: 124 X: 7.75293 -INDEX GOES BRRR: 309 X: 19.3477 -INDEX GOES BRRR: 157 X: 9.85352 -INDEX GOES BRRR: 306 X: 19.1504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.4873 -INDEX GOES BRRR: 80 X: 5.04199 -INDEX GOES BRRR: 915 X: -6.78809 -INDEX GOES BRRR: 294 X: 18.3926 -INDEX GOES BRRR: 313 X: 19.5732 -INDEX GOES BRRR: 406 X: 25.3975 -INDEX GOES BRRR: 1020 X: -0.231445 -INDEX GOES BRRR: 291 X: 18.1885 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3486 -INDEX GOES BRRR: 76 X: 4.80371 -INDEX GOES BRRR: 207 X: 12.9932 -INDEX GOES BRRR: 154 X: 9.67383 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 244 X: 15.3037 -INDEX GOES BRRR: 229 X: 14.3125 -INDEX GOES BRRR: 1020 X: -0.248047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 906 X: -7.32715 -INDEX GOES BRRR: 234 X: 14.6689 -INDEX GOES BRRR: 309 X: 19.3652 -INDEX GOES BRRR: 432 X: 27.0117 -INDEX GOES BRRR: 1004 X: -1.20312 -INDEX GOES BRRR: 242 X: 15.1309 -INDEX GOES BRRR: 98 X: 6.125 -INDEX GOES BRRR: 268 X: 16.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.51465 -INDEX GOES BRRR: 254 X: 15.9268 -INDEX GOES BRRR: 11 X: 0.695312 -INDEX GOES BRRR: 278 X: 17.4014 -INDEX GOES BRRR: 307 X: 19.2266 -INDEX GOES BRRR: 387 X: 24.1885 -INDEX GOES BRRR: 361 X: 22.5869 -INDEX GOES BRRR: 153 X: 9.5957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 64 X: 4.01953 -INDEX GOES BRRR: 107 X: 6.74902 -INDEX GOES BRRR: 1012 X: -0.697266 -INDEX GOES BRRR: 181 X: 11.3594 -INDEX GOES BRRR: 121 X: 7.6123 -INDEX GOES BRRR: 156 X: 9.80176 -INDEX GOES BRRR: 895 X: -8.00098 -INDEX GOES BRRR: 428 X: 26.7607 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7871 -INDEX GOES BRRR: 173 X: 10.8301 -INDEX GOES BRRR: 14 X: 0.922852 -INDEX GOES BRRR: 374 X: 23.4111 -INDEX GOES BRRR: 151 X: 9.46387 -INDEX GOES BRRR: 289 X: 18.0879 -INDEX GOES BRRR: 71 X: 4.45605 -INDEX GOES BRRR: 285 X: 17.8506 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1010 X: -0.870117 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 886 X: -8.60352 -INDEX GOES BRRR: 71 X: 4.48242 -INDEX GOES BRRR: 423 X: 26.4844 -INDEX GOES BRRR: 121 X: 7.57715 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 160 X: 10.0322 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 481 X: 30.0859 -INDEX GOES BRRR: 212 X: 13.2783 -INDEX GOES BRRR: 253 X: 15.8525 -INDEX GOES BRRR: 250 X: 15.6592 -INDEX GOES BRRR: 989 X: -2.18457 -INDEX GOES BRRR: 828 X: -12.21 -INDEX GOES BRRR: 92 X: 5.76953 -INDEX GOES BRRR: 991 X: -2.02539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0391 -INDEX GOES BRRR: 132 X: 8.27441 -INDEX GOES BRRR: 85 X: 5.34375 -INDEX GOES BRRR: 188 X: 11.8076 -INDEX GOES BRRR: 891 X: -8.2998 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 35 X: 2.2002 -INDEX GOES BRRR: 453 X: 28.3574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.90039 -INDEX GOES BRRR: 365 X: 22.8291 -INDEX GOES BRRR: 150 X: 9.39746 -INDEX GOES BRRR: 312 X: 19.54 -INDEX GOES BRRR: 115 X: 7.24805 -INDEX GOES BRRR: 362 X: 22.6484 -INDEX GOES BRRR: 98 X: 6.15625 -INDEX GOES BRRR: 112 X: 7.01855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.2666 -INDEX GOES BRRR: 176 X: 11.0332 -INDEX GOES BRRR: 411 X: 25.7275 -INDEX GOES BRRR: 999 X: -1.55664 -INDEX GOES BRRR: 24 X: 1.5166 -INDEX GOES BRRR: 194 X: 12.1777 -INDEX GOES BRRR: 261 X: 16.3516 -INDEX GOES BRRR: 184 X: 11.5605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 858 X: -10.3232 -INDEX GOES BRRR: 147 X: 9.2041 -INDEX GOES BRRR: 119 X: 7.4541 -INDEX GOES BRRR: 197 X: 12.3223 -INDEX GOES BRRR: 50 X: 3.15332 -INDEX GOES BRRR: 122 X: 7.64648 -INDEX GOES BRRR: 329 X: 20.5684 -INDEX GOES BRRR: 133 X: 8.3252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.43262 -INDEX GOES BRRR: 44 X: 2.75488 -INDEX GOES BRRR: 99 X: 6.22559 -INDEX GOES BRRR: 373 X: 23.3613 -INDEX GOES BRRR: 328 X: 20.5078 -INDEX GOES BRRR: 133 X: 8.3584 -INDEX GOES BRRR: 95 X: 5.94336 -INDEX GOES BRRR: 181 X: 11.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.43164 -INDEX GOES BRRR: 985 X: -2.42285 -INDEX GOES BRRR: 126 X: 7.875 -INDEX GOES BRRR: 206 X: 12.9326 -INDEX GOES BRRR: 222 X: 13.9365 -INDEX GOES BRRR: 172 X: 10.8008 -INDEX GOES BRRR: 945 X: -4.88086 -INDEX GOES BRRR: 335 X: 20.9463 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 200 X: 12.5547 -INDEX GOES BRRR: 120 X: 7.52344 -INDEX GOES BRRR: 136 X: 8.52441 -INDEX GOES BRRR: 1021 X: -0.183594 -INDEX GOES BRRR: 120 X: 7.52832 -INDEX GOES BRRR: 1019 X: -0.287109 -INDEX GOES BRRR: 368 X: 23.001 -INDEX GOES BRRR: 897 X: -7.88867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.25977 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 34 X: 2.14941 -INDEX GOES BRRR: 224 X: 14.0176 -INDEX GOES BRRR: 67 X: 4.19727 -INDEX GOES BRRR: 16 X: 1.03516 -INDEX GOES BRRR: 286 X: 17.915 -INDEX GOES BRRR: 146 X: 9.15234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.92188 -INDEX GOES BRRR: 172 X: 10.7939 -INDEX GOES BRRR: 12 X: 0.753906 -INDEX GOES BRRR: 1015 X: -0.544922 -INDEX GOES BRRR: 152 X: 9.50488 -INDEX GOES BRRR: 158 X: 9.88672 -INDEX GOES BRRR: 165 X: 10.3633 -INDEX GOES BRRR: 280 X: 17.5127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9473 -INDEX GOES BRRR: 290 X: 18.1738 -INDEX GOES BRRR: 386 X: 24.1514 -INDEX GOES BRRR: 282 X: 17.6846 -INDEX GOES BRRR: 123 X: 7.71191 -INDEX GOES BRRR: 870 X: -9.61914 -INDEX GOES BRRR: 298 X: 18.6406 -INDEX GOES BRRR: 465 X: 29.1064 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 960 X: -3.99902 -INDEX GOES BRRR: 209 X: 13.0977 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 354 X: 22.1553 -INDEX GOES BRRR: 481 X: 30.1211 -INDEX GOES BRRR: 913 X: -6.90918 -INDEX GOES BRRR: 1007 X: -1.0498 -INDEX GOES BRRR: 9 X: 0.580078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 962 X: -3.82715 -INDEX GOES BRRR: 177 X: 11.0801 -INDEX GOES BRRR: 87 X: 5.4707 -INDEX GOES BRRR: 402 X: 25.1475 -INDEX GOES BRRR: 98 X: 6.12598 -INDEX GOES BRRR: 218 X: 13.627 -INDEX GOES BRRR: 83 X: 5.20801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.1191 -INDEX GOES BRRR: 368 X: 23.0264 -INDEX GOES BRRR: 990 X: -2.09277 -INDEX GOES BRRR: 331 X: 20.7012 -INDEX GOES BRRR: 451 X: 28.1885 -INDEX GOES BRRR: 128 X: 8.00293 -INDEX GOES BRRR: 41 X: 2.59473 -INDEX GOES BRRR: 55 X: 3.47852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.29395 -INDEX GOES BRRR: 106 X: 6.625 -INDEX GOES BRRR: 178 X: 11.1846 -INDEX GOES BRRR: 303 X: 18.9541 -INDEX GOES BRRR: 196 X: 12.2861 -INDEX GOES BRRR: 130 X: 8.16504 -INDEX GOES BRRR: 79 X: 4.9502 -INDEX GOES BRRR: 428 X: 26.7539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.5166 -INDEX GOES BRRR: 465 X: 29.1104 -INDEX GOES BRRR: 101 X: 6.33398 -INDEX GOES BRRR: 940 X: -5.22754 -INDEX GOES BRRR: 1013 X: -0.625977 -INDEX GOES BRRR: 239 X: 14.9473 -INDEX GOES BRRR: 381 X: 23.8281 -INDEX GOES BRRR: 34 X: 2.15527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.59082 -INDEX GOES BRRR: 127 X: 7.94922 -INDEX GOES BRRR: 73 X: 4.61035 -INDEX GOES BRRR: 129 X: 8.07617 -INDEX GOES BRRR: 230 X: 14.377 -INDEX GOES BRRR: 140 X: 8.7627 -INDEX GOES BRRR: 319 X: 19.9795 -INDEX GOES BRRR: 848 X: -10.9941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.084 -INDEX GOES BRRR: 89 X: 5.60938 -INDEX GOES BRRR: 57 X: 3.60059 -INDEX GOES BRRR: 409 X: 25.5664 -INDEX GOES BRRR: 246 X: 15.375 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 140 X: 8.75781 -INDEX GOES BRRR: 275 X: 17.2373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.29 -INDEX GOES BRRR: 41 X: 2.60645 -INDEX GOES BRRR: 172 X: 10.7832 -INDEX GOES BRRR: 237 X: 14.8584 -INDEX GOES BRRR: 406 X: 25.3799 -INDEX GOES BRRR: 995 X: -1.79688 -INDEX GOES BRRR: 1020 X: -0.241211 -INDEX GOES BRRR: 99 X: 6.19141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.7285 -INDEX GOES BRRR: 285 X: 17.8604 -INDEX GOES BRRR: 237 X: 14.8506 -INDEX GOES BRRR: 344 X: 21.5244 -INDEX GOES BRRR: 1020 X: -0.227539 -INDEX GOES BRRR: 167 X: 10.4873 -INDEX GOES BRRR: 189 X: 11.8691 -INDEX GOES BRRR: 466 X: 29.1377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.37305 -INDEX GOES BRRR: 51 X: 3.19141 -INDEX GOES BRRR: 238 X: 14.9189 -INDEX GOES BRRR: 1008 X: -0.984375 -INDEX GOES BRRR: 32 X: 2.02246 -INDEX GOES BRRR: 26 X: 1.65527 -INDEX GOES BRRR: 118 X: 7.41699 -INDEX GOES BRRR: 350 X: 21.8848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.1953 -INDEX GOES BRRR: 852 X: -10.7178 -INDEX GOES BRRR: 101 X: 6.35742 -INDEX GOES BRRR: 874 X: -9.3457 -INDEX GOES BRRR: 426 X: 26.6279 -INDEX GOES BRRR: 116 X: 7.25879 -INDEX GOES BRRR: 194 X: 12.1396 -INDEX GOES BRRR: 911 X: -7.03223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.293 -INDEX GOES BRRR: 64 X: 4.00195 -INDEX GOES BRRR: 408 X: 25.5303 -INDEX GOES BRRR: 165 X: 10.3438 -INDEX GOES BRRR: 208 X: 13.0176 -INDEX GOES BRRR: 66 X: 4.17676 -INDEX GOES BRRR: 36 X: 2.30957 -INDEX GOES BRRR: 247 X: 15.4697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5508 -INDEX GOES BRRR: 71 X: 4.4502 -INDEX GOES BRRR: 980 X: -2.74609 -INDEX GOES BRRR: 70 X: 4.39062 -INDEX GOES BRRR: 419 X: 26.2109 -INDEX GOES BRRR: 208 X: 13.0537 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 234 X: 14.6553 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 5 X: 0.322266 -INDEX GOES BRRR: 242 X: 15.1611 -INDEX GOES BRRR: 223 X: 13.9678 -INDEX GOES BRRR: 355 X: 22.2061 -INDEX GOES BRRR: 192 X: 12.0557 -INDEX GOES BRRR: 479 X: 29.9414 -INDEX GOES BRRR: 949 X: -4.66602 -INDEX GOES BRRR: 131 X: 8.24609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.6895 -INDEX GOES BRRR: 23 X: 1.47852 -INDEX GOES BRRR: 215 X: 13.46 -INDEX GOES BRRR: 1013 X: -0.667969 -INDEX GOES BRRR: 872 X: -9.49121 -INDEX GOES BRRR: 174 X: 10.9229 -INDEX GOES BRRR: 113 X: 7.11816 -INDEX GOES BRRR: 208 X: 13.041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.22363 -INDEX GOES BRRR: 110 X: 6.90332 -INDEX GOES BRRR: 66 X: 4.1582 -INDEX GOES BRRR: 131 X: 8.21777 -INDEX GOES BRRR: 93 X: 5.86426 -INDEX GOES BRRR: 983 X: -2.55371 -INDEX GOES BRRR: 17 X: 1.11719 -INDEX GOES BRRR: 149 X: 9.34668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.0459 -INDEX GOES BRRR: 149 X: 9.3584 -INDEX GOES BRRR: 19 X: 1.23438 -INDEX GOES BRRR: 66 X: 4.1709 -INDEX GOES BRRR: 324 X: 20.2539 -INDEX GOES BRRR: 149 X: 9.36523 -INDEX GOES BRRR: 38 X: 2.40234 -INDEX GOES BRRR: 182 X: 11.4121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 200 X: 12.5312 -INDEX GOES BRRR: 245 X: 15.334 -INDEX GOES BRRR: 60 X: 3.76758 -INDEX GOES BRRR: 256 X: 16.0029 -INDEX GOES BRRR: 464 X: 29 -INDEX GOES BRRR: 269 X: 16.834 -INDEX GOES BRRR: 124 X: 7.7998 -INDEX GOES BRRR: 84 X: 5.27246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1013 X: -0.631836 -INDEX GOES BRRR: 374 X: 23.4346 -INDEX GOES BRRR: 86 X: 5.40332 -INDEX GOES BRRR: 872 X: -9.46875 -INDEX GOES BRRR: 442 X: 27.6611 -INDEX GOES BRRR: 134 X: 8.4043 -INDEX GOES BRRR: 121 X: 7.60938 -INDEX GOES BRRR: 480 X: 30.0479 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 18 X: 1.13672 -INDEX GOES BRRR: 306 X: 19.1621 -INDEX GOES BRRR: 971 X: -3.29688 -INDEX GOES BRRR: 352 X: 22.0381 -INDEX GOES BRRR: 181 X: 11.3711 -INDEX GOES BRRR: 103 X: 6.45605 -INDEX GOES BRRR: 317 X: 19.8271 -INDEX GOES BRRR: 153 X: 9.5752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.68945 -INDEX GOES BRRR: 245 X: 15.3408 -INDEX GOES BRRR: 254 X: 15.8828 -INDEX GOES BRRR: 981 X: -2.63867 -INDEX GOES BRRR: 1014 X: -0.608398 -INDEX GOES BRRR: 260 X: 16.2627 -INDEX GOES BRRR: 152 X: 9.53027 -INDEX GOES BRRR: 12 X: 0.804688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8623 -INDEX GOES BRRR: 201 X: 12.582 -INDEX GOES BRRR: 969 X: -3.37695 -INDEX GOES BRRR: 1 X: 0.113281 -INDEX GOES BRRR: 57 X: 3.58105 -INDEX GOES BRRR: 105 X: 6.58008 -INDEX GOES BRRR: 465 X: 29.1182 -INDEX GOES BRRR: 15 X: 0.963867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 409 X: 25.5762 -INDEX GOES BRRR: 240 X: 15.0498 -INDEX GOES BRRR: 150 X: 9.375 -INDEX GOES BRRR: 194 X: 12.1406 -INDEX GOES BRRR: 406 X: 25.3984 -INDEX GOES BRRR: 465 X: 29.0986 -INDEX GOES BRRR: 984 X: -2.48535 -INDEX GOES BRRR: 260 X: 16.2773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.292969 -INDEX GOES BRRR: 1006 X: -1.11914 -INDEX GOES BRRR: 191 X: 11.9854 -INDEX GOES BRRR: 242 X: 15.1719 -INDEX GOES BRRR: 274 X: 17.168 -INDEX GOES BRRR: 985 X: -2.40234 -INDEX GOES BRRR: 394 X: 24.6328 -INDEX GOES BRRR: 438 X: 27.4297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0283 -INDEX GOES BRRR: 189 X: 11.8271 -INDEX GOES BRRR: 309 X: 19.3721 -INDEX GOES BRRR: 237 X: 14.8613 -INDEX GOES BRRR: 227 X: 14.2471 -INDEX GOES BRRR: 180 X: 11.2607 -INDEX GOES BRRR: 119 X: 7.49023 -INDEX GOES BRRR: 215 X: 13.4912 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.38574 -INDEX GOES BRRR: 57 X: 3.57617 -INDEX GOES BRRR: 25 X: 1.57227 -INDEX GOES BRRR: 387 X: 24.2021 -INDEX GOES BRRR: 1006 X: -1.10254 -INDEX GOES BRRR: 123 X: 7.70605 -INDEX GOES BRRR: 944 X: -4.93848 -INDEX GOES BRRR: 488 X: 30.5225 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3125 -INDEX GOES BRRR: 180 X: 11.2812 -INDEX GOES BRRR: 357 X: 22.3584 -INDEX GOES BRRR: 417 X: 26.1152 -INDEX GOES BRRR: 991 X: -2.05078 -INDEX GOES BRRR: 20 X: 1.25781 -INDEX GOES BRRR: 239 X: 14.9883 -INDEX GOES BRRR: 42 X: 2.625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 862 X: -10.0947 -INDEX GOES BRRR: 150 X: 9.38086 -INDEX GOES BRRR: 96 X: 6.00586 -INDEX GOES BRRR: 164 X: 10.3018 -INDEX GOES BRRR: 255 X: 15.9688 -INDEX GOES BRRR: 31 X: 1.97168 -INDEX GOES BRRR: 122 X: 7.6748 -INDEX GOES BRRR: 169 X: 10.6064 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9814 -INDEX GOES BRRR: 245 X: 15.374 -INDEX GOES BRRR: 380 X: 23.7725 -INDEX GOES BRRR: 50 X: 3.13574 -INDEX GOES BRRR: 42 X: 2.67773 -INDEX GOES BRRR: 192 X: 12.0264 -INDEX GOES BRRR: 82 X: 5.14453 -INDEX GOES BRRR: 409 X: 25.6162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.41309 -INDEX GOES BRRR: 1006 X: -1.11523 -INDEX GOES BRRR: 908 X: -7.23047 -INDEX GOES BRRR: 293 X: 18.3477 -INDEX GOES BRRR: 137 X: 8.5918 -INDEX GOES BRRR: 214 X: 13.4297 -INDEX GOES BRRR: 990 X: -2.08398 -INDEX GOES BRRR: 488 X: 30.5234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.36816 -INDEX GOES BRRR: 789 X: -14.6387 -INDEX GOES BRRR: 223 X: 13.9736 -INDEX GOES BRRR: 136 X: 8.54688 -INDEX GOES BRRR: 205 X: 12.8564 -INDEX GOES BRRR: 198 X: 12.4199 -INDEX GOES BRRR: 113 X: 7.10352 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.748 -INDEX GOES BRRR: 945 X: -4.88184 -INDEX GOES BRRR: 1018 X: -0.371094 -INDEX GOES BRRR: 288 X: 18.0479 -INDEX GOES BRRR: 198 X: 12.3857 -INDEX GOES BRRR: 191 X: 11.9746 -INDEX GOES BRRR: 72 X: 4.53125 -INDEX GOES BRRR: 96 X: 6.04297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 236 X: 14.8086 -INDEX GOES BRRR: 117 X: 7.36328 -INDEX GOES BRRR: 185 X: 11.5771 -INDEX GOES BRRR: 188 X: 11.7539 -INDEX GOES BRRR: 340 X: 21.2598 -INDEX GOES BRRR: 323 X: 20.2432 -INDEX GOES BRRR: 88 X: 5.50684 -INDEX GOES BRRR: 168 X: 10.5088 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.7148 -INDEX GOES BRRR: 959 X: -4.04004 -INDEX GOES BRRR: 109 X: 6.83008 -INDEX GOES BRRR: 334 X: 20.8828 -INDEX GOES BRRR: 225 X: 14.1162 -INDEX GOES BRRR: 105 X: 6.61914 -INDEX GOES BRRR: 938 X: -5.37207 -INDEX GOES BRRR: 302 X: 18.9004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.2979 -INDEX GOES BRRR: 796 X: -14.2412 -INDEX GOES BRRR: 859 X: -10.2568 -INDEX GOES BRRR: 284 X: 17.79 -INDEX GOES BRRR: 418 X: 26.1348 -INDEX GOES BRRR: 150 X: 9.41113 -INDEX GOES BRRR: 168 X: 10.5039 -INDEX GOES BRRR: 1019 X: -0.297852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 233 X: 14.6221 -INDEX GOES BRRR: 320 X: 20.0498 -INDEX GOES BRRR: 285 X: 17.8604 -INDEX GOES BRRR: 197 X: 12.3203 -INDEX GOES BRRR: 217 X: 13.6211 -INDEX GOES BRRR: 171 X: 10.7383 -INDEX GOES BRRR: 264 X: 16.5244 -INDEX GOES BRRR: 153 X: 9.5752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 81 X: 5.07129 -INDEX GOES BRRR: 121 X: 7.61035 -INDEX GOES BRRR: 241 X: 15.1191 -INDEX GOES BRRR: 51 X: 3.19922 -INDEX GOES BRRR: 964 X: -3.7002 -INDEX GOES BRRR: 236 X: 14.7764 -INDEX GOES BRRR: 181 X: 11.3574 -INDEX GOES BRRR: 153 X: 9.59473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.70117 -INDEX GOES BRRR: 1009 X: -0.87793 -INDEX GOES BRRR: 340 X: 21.2539 -INDEX GOES BRRR: 968 X: -3.49121 -INDEX GOES BRRR: 89 X: 5.61914 -INDEX GOES BRRR: 411 X: 25.6924 -INDEX GOES BRRR: 184 X: 11.5215 -INDEX GOES BRRR: 230 X: 14.3887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.20312 -INDEX GOES BRRR: 197 X: 12.3613 -INDEX GOES BRRR: 448 X: 28.0049 -INDEX GOES BRRR: 107 X: 6.7334 -INDEX GOES BRRR: 206 X: 12.9023 -INDEX GOES BRRR: 956 X: -4.20996 -INDEX GOES BRRR: 247 X: 15.4561 -INDEX GOES BRRR: 48 X: 3.04785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 26 X: 1.66504 -INDEX GOES BRRR: 1019 X: -0.298828 -INDEX GOES BRRR: 107 X: 6.72363 -INDEX GOES BRRR: 7 X: 0.483398 -INDEX GOES BRRR: 11 X: 0.74707 -INDEX GOES BRRR: 254 X: 15.9316 -INDEX GOES BRRR: 40 X: 2.52539 -INDEX GOES BRRR: 107 X: 6.74805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 984 X: -2.44727 -INDEX GOES BRRR: 361 X: 22.6172 -INDEX GOES BRRR: 298 X: 18.6689 -INDEX GOES BRRR: 87 X: 5.47754 -INDEX GOES BRRR: 46 X: 2.88281 -INDEX GOES BRRR: 75 X: 4.70898 -INDEX GOES BRRR: 952 X: -4.4502 -INDEX GOES BRRR: 198 X: 12.415 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.68652 -INDEX GOES BRRR: 9 X: 0.599609 -INDEX GOES BRRR: 80 X: 5.00391 -INDEX GOES BRRR: 869 X: -9.64746 -INDEX GOES BRRR: 167 X: 10.4678 -INDEX GOES BRRR: 18 X: 1.13184 -INDEX GOES BRRR: 418 X: 26.1426 -INDEX GOES BRRR: 88 X: 5.55078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.603516 -INDEX GOES BRRR: 182 X: 11.4258 -INDEX GOES BRRR: 173 X: 10.8574 -INDEX GOES BRRR: 170 X: 10.6367 -INDEX GOES BRRR: 96 X: 6.04688 -INDEX GOES BRRR: 55 X: 3.48242 -INDEX GOES BRRR: 1006 X: -1.1123 -INDEX GOES BRRR: 256 X: 16.0088 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.8496 -INDEX GOES BRRR: 252 X: 15.7822 -INDEX GOES BRRR: 453 X: 28.335 -INDEX GOES BRRR: 347 X: 21.7373 -INDEX GOES BRRR: 45 X: 2.8252 -INDEX GOES BRRR: 214 X: 13.4072 -INDEX GOES BRRR: 21 X: 1.36328 -INDEX GOES BRRR: 352 X: 22.0225 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.68652 -INDEX GOES BRRR: 157 X: 9.85352 -INDEX GOES BRRR: 218 X: 13.6465 -INDEX GOES BRRR: 108 X: 6.77148 -INDEX GOES BRRR: 825 X: -12.376 -INDEX GOES BRRR: 237 X: 14.8438 -INDEX GOES BRRR: 283 X: 17.7041 -INDEX GOES BRRR: 208 X: 13.0605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 344 X: 21.5254 -INDEX GOES BRRR: 327 X: 20.4482 -INDEX GOES BRRR: 379 X: 23.7061 -INDEX GOES BRRR: 464 X: 29.0205 -INDEX GOES BRRR: 53 X: 3.31641 -INDEX GOES BRRR: 248 X: 15.5039 -INDEX GOES BRRR: 333 X: 20.8506 -INDEX GOES BRRR: 166 X: 10.3984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 894 X: -8.08984 -INDEX GOES BRRR: 242 X: 15.1367 -INDEX GOES BRRR: 262 X: 16.4121 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 224 X: 14.0342 -INDEX GOES BRRR: 66 X: 4.13477 -INDEX GOES BRRR: 879 X: -9.06055 -INDEX GOES BRRR: 233 X: 14.584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.48438 -INDEX GOES BRRR: 126 X: 7.9209 -INDEX GOES BRRR: 189 X: 11.8506 -INDEX GOES BRRR: 19 X: 1.20898 -INDEX GOES BRRR: 28 X: 1.78027 -INDEX GOES BRRR: 902 X: -7.59473 -INDEX GOES BRRR: 1005 X: -1.18164 -INDEX GOES BRRR: 888 X: -8.49707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.57422 -INDEX GOES BRRR: 89 X: 5.56836 -INDEX GOES BRRR: 301 X: 18.8408 -INDEX GOES BRRR: 268 X: 16.7832 -INDEX GOES BRRR: 7 X: 0.450195 -INDEX GOES BRRR: 349 X: 21.8232 -INDEX GOES BRRR: 215 X: 13.4453 -INDEX GOES BRRR: 246 X: 15.3965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.90137 -INDEX GOES BRRR: 116 X: 7.30273 -INDEX GOES BRRR: 100 X: 6.30469 -INDEX GOES BRRR: 457 X: 28.5781 -INDEX GOES BRRR: 176 X: 11.002 -INDEX GOES BRRR: 287 X: 17.9541 -INDEX GOES BRRR: 10 X: 0.674805 -INDEX GOES BRRR: 924 X: -6.19434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0742 -INDEX GOES BRRR: 915 X: -6.80176 -INDEX GOES BRRR: 142 X: 8.87695 -INDEX GOES BRRR: 1018 X: -0.354492 -INDEX GOES BRRR: 16 X: 1.02344 -INDEX GOES BRRR: 971 X: -3.26172 -INDEX GOES BRRR: 6 X: 0.435547 -INDEX GOES BRRR: 0 X: 0.00292969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.652344 -INDEX GOES BRRR: 156 X: 9.78418 -INDEX GOES BRRR: 88 X: 5.55566 -INDEX GOES BRRR: 22 X: 1.37988 -INDEX GOES BRRR: 419 X: 26.2451 -INDEX GOES BRRR: 275 X: 17.1973 -INDEX GOES BRRR: 179 X: 11.1875 -INDEX GOES BRRR: 221 X: 13.8545 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 60 X: 3.77637 -INDEX GOES BRRR: 455 X: 28.4902 -INDEX GOES BRRR: 100 X: 6.25586 -INDEX GOES BRRR: 943 X: -5.0498 -INDEX GOES BRRR: 195 X: 12.2031 -INDEX GOES BRRR: 145 X: 9.10352 -INDEX GOES BRRR: 988 X: -2.21289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0127 -INDEX GOES BRRR: 159 X: 9.99707 -INDEX GOES BRRR: 487 X: 30.4922 -INDEX GOES BRRR: 391 X: 24.4873 -INDEX GOES BRRR: 869 X: -9.68359 -INDEX GOES BRRR: 196 X: 12.2676 -INDEX GOES BRRR: 263 X: 16.46 -INDEX GOES BRRR: 442 X: 27.6768 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 297 X: 18.5869 -INDEX GOES BRRR: 45 X: 2.81445 -INDEX GOES BRRR: 147 X: 9.19434 -INDEX GOES BRRR: 293 X: 18.3369 -INDEX GOES BRRR: 301 X: 18.8301 -INDEX GOES BRRR: 986 X: -2.32031 -INDEX GOES BRRR: 215 X: 13.4492 -INDEX GOES BRRR: 884 X: -8.71289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.23047 -INDEX GOES BRRR: 125 X: 7.83594 -INDEX GOES BRRR: 251 X: 15.7148 -INDEX GOES BRRR: 83 X: 5.20898 -INDEX GOES BRRR: 892 X: -8.24023 -INDEX GOES BRRR: 215 X: 13.459 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 14 X: 0.933594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.28223 -INDEX GOES BRRR: 247 X: 15.4521 -INDEX GOES BRRR: 156 X: 9.80273 -INDEX GOES BRRR: 294 X: 18.4102 -INDEX GOES BRRR: 205 X: 12.8496 -INDEX GOES BRRR: 273 X: 17.1113 -INDEX GOES BRRR: 189 X: 11.8457 -INDEX GOES BRRR: 143 X: 8.96094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 75 X: 4.74219 -INDEX GOES BRRR: 283 X: 17.6924 -INDEX GOES BRRR: 119 X: 7.44336 -INDEX GOES BRRR: 233 X: 14.6201 -INDEX GOES BRRR: 144 X: 9.02637 -INDEX GOES BRRR: 164 X: 10.3066 -INDEX GOES BRRR: 15 X: 0.944336 -INDEX GOES BRRR: 142 X: 8.88477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.44043 -INDEX GOES BRRR: 992 X: -1.97656 -INDEX GOES BRRR: 36 X: 2.25781 -INDEX GOES BRRR: 237 X: 14.8477 -INDEX GOES BRRR: 900 X: -7.73633 -INDEX GOES BRRR: 303 X: 18.9844 -INDEX GOES BRRR: 99 X: 6.24805 -INDEX GOES BRRR: 271 X: 16.958 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7109 -INDEX GOES BRRR: 385 X: 24.0723 -INDEX GOES BRRR: 138 X: 8.66309 -INDEX GOES BRRR: 267 X: 16.7441 -INDEX GOES BRRR: 123 X: 7.73047 -INDEX GOES BRRR: 58 X: 3.65918 -INDEX GOES BRRR: 999 X: -1.5625 -INDEX GOES BRRR: 1010 X: -0.862305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.0625 -INDEX GOES BRRR: 882 X: -8.85742 -INDEX GOES BRRR: 364 X: 22.752 -INDEX GOES BRRR: 108 X: 6.77051 -INDEX GOES BRRR: 84 X: 5.27637 -INDEX GOES BRRR: 79 X: 4.99023 -INDEX GOES BRRR: 205 X: 12.8379 -INDEX GOES BRRR: 1018 X: -0.329102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.91211 -INDEX GOES BRRR: 45 X: 2.83691 -INDEX GOES BRRR: 95 X: 5.95703 -INDEX GOES BRRR: 349 X: 21.8682 -INDEX GOES BRRR: 207 X: 12.9922 -INDEX GOES BRRR: 142 X: 8.89941 -INDEX GOES BRRR: 132 X: 8.25684 -INDEX GOES BRRR: 956 X: -4.19727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.74121 -INDEX GOES BRRR: 109 X: 6.87012 -INDEX GOES BRRR: 237 X: 14.8682 -INDEX GOES BRRR: 155 X: 9.7373 -INDEX GOES BRRR: 213 X: 13.3623 -INDEX GOES BRRR: 36 X: 2.30078 -INDEX GOES BRRR: 213 X: 13.3652 -INDEX GOES BRRR: 253 X: 15.8359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7891 -INDEX GOES BRRR: 931 X: -5.7793 -INDEX GOES BRRR: 123 X: 7.72754 -INDEX GOES BRRR: 217 X: 13.5947 -INDEX GOES BRRR: 279 X: 17.458 -INDEX GOES BRRR: 233 X: 14.6055 -INDEX GOES BRRR: 790 X: -14.5811 -INDEX GOES BRRR: 258 X: 16.1602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 233 X: 14.623 -INDEX GOES BRRR: 218 X: 13.6348 -INDEX GOES BRRR: 60 X: 3.78516 -INDEX GOES BRRR: 266 X: 16.6309 -INDEX GOES BRRR: 855 X: -10.5488 -INDEX GOES BRRR: 925 X: -6.13086 -INDEX GOES BRRR: 42 X: 2.63184 -INDEX GOES BRRR: 94 X: 5.89551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.6885 -INDEX GOES BRRR: 195 X: 12.1934 -INDEX GOES BRRR: 185 X: 11.6143 -INDEX GOES BRRR: 150 X: 9.40723 -INDEX GOES BRRR: 1010 X: -0.847656 -INDEX GOES BRRR: 893 X: -8.1416 -INDEX GOES BRRR: 229 X: 14.3574 -INDEX GOES BRRR: 121 X: 7.60742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.54004 -INDEX GOES BRRR: 11 X: 0.694336 -INDEX GOES BRRR: 167 X: 10.4863 -INDEX GOES BRRR: 69 X: 4.35059 -INDEX GOES BRRR: 43 X: 2.69824 -INDEX GOES BRRR: 776 X: -15.4629 -INDEX GOES BRRR: 127 X: 7.99121 -INDEX GOES BRRR: 247 X: 15.4473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.18262 -INDEX GOES BRRR: 153 X: 9.56738 -INDEX GOES BRRR: 264 X: 16.5527 -INDEX GOES BRRR: 212 X: 13.3037 -INDEX GOES BRRR: 937 X: -5.40039 -INDEX GOES BRRR: 137 X: 8.56641 -INDEX GOES BRRR: 932 X: -5.69922 -INDEX GOES BRRR: 378 X: 23.626 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9414 -INDEX GOES BRRR: 154 X: 9.67383 -INDEX GOES BRRR: 350 X: 21.8975 -INDEX GOES BRRR: 17 X: 1.08789 -INDEX GOES BRRR: 195 X: 12.2266 -INDEX GOES BRRR: 23 X: 1.44727 -INDEX GOES BRRR: 230 X: 14.3926 -INDEX GOES BRRR: 285 X: 17.8203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.29492 -INDEX GOES BRRR: 169 X: 10.5752 -INDEX GOES BRRR: 183 X: 11.4824 -INDEX GOES BRRR: 72 X: 4.52148 -INDEX GOES BRRR: 248 X: 15.5254 -INDEX GOES BRRR: 879 X: -9.04102 -INDEX GOES BRRR: 348 X: 21.7939 -INDEX GOES BRRR: 96 X: 6.05078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3164 -INDEX GOES BRRR: 115 X: 7.2207 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 9 X: 0.603516 -INDEX GOES BRRR: 230 X: 14.4121 -INDEX GOES BRRR: 257 X: 16.082 -INDEX GOES BRRR: 221 X: 13.8135 -INDEX GOES BRRR: 52 X: 3.26074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 90 X: 5.62695 -INDEX GOES BRRR: 410 X: 25.6826 -INDEX GOES BRRR: 923 X: -6.26074 -INDEX GOES BRRR: 320 X: 20.0352 -INDEX GOES BRRR: 198 X: 12.4277 -INDEX GOES BRRR: 32 X: 2.05762 -INDEX GOES BRRR: 190 X: 11.9082 -INDEX GOES BRRR: 44 X: 2.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1001 X: -1.39648 -INDEX GOES BRRR: 35 X: 2.23438 -INDEX GOES BRRR: 61 X: 3.83887 -INDEX GOES BRRR: 18 X: 1.14355 -INDEX GOES BRRR: 6 X: 0.423828 -INDEX GOES BRRR: 105 X: 6.57422 -INDEX GOES BRRR: 53 X: 3.32422 -INDEX GOES BRRR: 153 X: 9.5957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 335 X: 20.9941 -INDEX GOES BRRR: 204 X: 12.7949 -INDEX GOES BRRR: 467 X: 29.2051 -INDEX GOES BRRR: 286 X: 17.8926 -INDEX GOES BRRR: 237 X: 14.8438 -INDEX GOES BRRR: 175 X: 10.9619 -INDEX GOES BRRR: 264 X: 16.5098 -INDEX GOES BRRR: 306 X: 19.1553 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4805 -INDEX GOES BRRR: 143 X: 8.99707 -INDEX GOES BRRR: 429 X: 26.8154 -INDEX GOES BRRR: 238 X: 14.9082 -INDEX GOES BRRR: 831 X: -12.001 -INDEX GOES BRRR: 103 X: 6.46582 -INDEX GOES BRRR: 126 X: 7.91211 -INDEX GOES BRRR: 138 X: 8.64062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.4307 -INDEX GOES BRRR: 133 X: 8.31738 -INDEX GOES BRRR: 194 X: 12.1758 -INDEX GOES BRRR: 137 X: 8.58203 -INDEX GOES BRRR: 318 X: 19.9053 -INDEX GOES BRRR: 403 X: 25.2461 -INDEX GOES BRRR: 203 X: 12.6914 -INDEX GOES BRRR: 404 X: 25.3018 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.43945 -INDEX GOES BRRR: 76 X: 4.75586 -INDEX GOES BRRR: 77 X: 4.84863 -INDEX GOES BRRR: 110 X: 6.93262 -INDEX GOES BRRR: 271 X: 16.9824 -INDEX GOES BRRR: 324 X: 20.3066 -INDEX GOES BRRR: 243 X: 15.2305 -INDEX GOES BRRR: 913 X: -6.90137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.78223 -INDEX GOES BRRR: 241 X: 15.0732 -INDEX GOES BRRR: 56 X: 3.53516 -INDEX GOES BRRR: 125 X: 7.86719 -INDEX GOES BRRR: 966 X: -3.58496 -INDEX GOES BRRR: 161 X: 10.1074 -INDEX GOES BRRR: 71 X: 4.49512 -INDEX GOES BRRR: 934 X: -5.59766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9805 -INDEX GOES BRRR: 107 X: 6.6875 -INDEX GOES BRRR: 312 X: 19.5078 -INDEX GOES BRRR: 968 X: -3.4834 -INDEX GOES BRRR: 240 X: 15.0479 -INDEX GOES BRRR: 295 X: 18.4434 -INDEX GOES BRRR: 93 X: 5.82227 -INDEX GOES BRRR: 156 X: 9.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.29492 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 59 X: 3.71094 -INDEX GOES BRRR: 102 X: 6.4209 -INDEX GOES BRRR: 228 X: 14.3066 -INDEX GOES BRRR: 365 X: 22.8379 -INDEX GOES BRRR: 11 X: 0.744141 -INDEX GOES BRRR: 35 X: 2.22461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 341 X: 21.3213 -INDEX GOES BRRR: 109 X: 6.82617 -INDEX GOES BRRR: 261 X: 16.3643 -INDEX GOES BRRR: 125 X: 7.82324 -INDEX GOES BRRR: 420 X: 26.2959 -INDEX GOES BRRR: 274 X: 17.167 -INDEX GOES BRRR: 70 X: 4.41992 -INDEX GOES BRRR: 40 X: 2.55371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 288 X: 18.0449 -INDEX GOES BRRR: 73 X: 4.59473 -INDEX GOES BRRR: 260 X: 16.2773 -INDEX GOES BRRR: 155 X: 9.7207 -INDEX GOES BRRR: 181 X: 11.333 -INDEX GOES BRRR: 98 X: 6.16895 -INDEX GOES BRRR: 93 X: 5.83203 -INDEX GOES BRRR: 152 X: 9.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 277 X: 17.3564 -INDEX GOES BRRR: 957 X: -4.12598 -INDEX GOES BRRR: 165 X: 10.3232 -INDEX GOES BRRR: 310 X: 19.3867 -INDEX GOES BRRR: 83 X: 5.24805 -INDEX GOES BRRR: 421 X: 26.3242 -INDEX GOES BRRR: 385 X: 24.0645 -INDEX GOES BRRR: 182 X: 11.4014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.42676 -INDEX GOES BRRR: 982 X: -2.57422 -INDEX GOES BRRR: 114 X: 7.15234 -INDEX GOES BRRR: 116 X: 7.29492 -INDEX GOES BRRR: 20 X: 1.2832 -INDEX GOES BRRR: 88 X: 5.50879 -INDEX GOES BRRR: 991 X: -2.01758 -INDEX GOES BRRR: 40 X: 2.5 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.23438 -INDEX GOES BRRR: 77 X: 4.83105 -INDEX GOES BRRR: 136 X: 8.51074 -INDEX GOES BRRR: 997 X: -1.64453 -INDEX GOES BRRR: 286 X: 17.9268 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 174 X: 10.875 -INDEX GOES BRRR: 34 X: 2.15527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.01465 -INDEX GOES BRRR: 23 X: 1.46973 -INDEX GOES BRRR: 213 X: 13.3223 -INDEX GOES BRRR: 189 X: 11.8223 -INDEX GOES BRRR: 5 X: 0.326172 -INDEX GOES BRRR: 946 X: -4.83105 -INDEX GOES BRRR: 962 X: -3.83398 -INDEX GOES BRRR: 370 X: 23.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.8477 -INDEX GOES BRRR: 161 X: 10.0938 -INDEX GOES BRRR: 227 X: 14.2129 -INDEX GOES BRRR: 277 X: 17.3213 -INDEX GOES BRRR: 415 X: 25.9375 -INDEX GOES BRRR: 304 X: 19.001 -INDEX GOES BRRR: 32 X: 2.00098 -INDEX GOES BRRR: 352 X: 22.0332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.07812 -INDEX GOES BRRR: 193 X: 12.0664 -INDEX GOES BRRR: 338 X: 21.1289 -INDEX GOES BRRR: 318 X: 19.8936 -INDEX GOES BRRR: 46 X: 2.92773 -INDEX GOES BRRR: 419 X: 26.2363 -INDEX GOES BRRR: 48 X: 3.01758 -INDEX GOES BRRR: 394 X: 24.6582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 881 X: -8.88281 -INDEX GOES BRRR: 949 X: -4.62891 -INDEX GOES BRRR: 272 X: 17.041 -INDEX GOES BRRR: 1006 X: -1.09863 -INDEX GOES BRRR: 959 X: -4.05176 -INDEX GOES BRRR: 246 X: 15.3945 -INDEX GOES BRRR: 134 X: 8.40527 -INDEX GOES BRRR: 234 X: 14.6777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.3125 -INDEX GOES BRRR: 199 X: 12.4414 -INDEX GOES BRRR: 148 X: 9.26367 -INDEX GOES BRRR: 154 X: 9.64551 -INDEX GOES BRRR: 271 X: 16.9854 -INDEX GOES BRRR: 12 X: 0.797852 -INDEX GOES BRRR: 272 X: 17.0439 -INDEX GOES BRRR: 62 X: 3.87891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5508 -INDEX GOES BRRR: 184 X: 11.5225 -INDEX GOES BRRR: 268 X: 16.7812 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 976 X: -2.94727 -INDEX GOES BRRR: 229 X: 14.3613 -INDEX GOES BRRR: 121 X: 7.56445 -INDEX GOES BRRR: 473 X: 29.6025 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 654 X: -23.1201 -INDEX GOES BRRR: 132 X: 8.26465 -INDEX GOES BRRR: 204 X: 12.7773 -INDEX GOES BRRR: 375 X: 23.4463 -INDEX GOES BRRR: 965 X: -3.66211 -INDEX GOES BRRR: 937 X: -5.41016 -INDEX GOES BRRR: 119 X: 7.46094 -INDEX GOES BRRR: 406 X: 25.4141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9717 -INDEX GOES BRRR: 262 X: 16.4199 -INDEX GOES BRRR: 40 X: 2.5166 -INDEX GOES BRRR: 173 X: 10.8496 -INDEX GOES BRRR: 800 X: -13.9609 -INDEX GOES BRRR: 115 X: 7.24316 -INDEX GOES BRRR: 236 X: 14.8105 -INDEX GOES BRRR: 978 X: -2.83008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.1895 -INDEX GOES BRRR: 46 X: 2.88379 -INDEX GOES BRRR: 953 X: -4.42773 -INDEX GOES BRRR: 166 X: 10.3906 -INDEX GOES BRRR: 225 X: 14.0771 -INDEX GOES BRRR: 330 X: 20.6289 -INDEX GOES BRRR: 167 X: 10.4502 -INDEX GOES BRRR: 128 X: 8.02441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.56836 -INDEX GOES BRRR: 228 X: 14.2764 -INDEX GOES BRRR: 161 X: 10.1064 -INDEX GOES BRRR: 1003 X: -1.26855 -INDEX GOES BRRR: 993 X: -1.88965 -INDEX GOES BRRR: 132 X: 8.26074 -INDEX GOES BRRR: 341 X: 21.3672 -INDEX GOES BRRR: 100 X: 6.26758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 977 X: -2.8877 -INDEX GOES BRRR: 119 X: 7.46289 -INDEX GOES BRRR: 1016 X: -0.458984 -INDEX GOES BRRR: 265 X: 16.6045 -INDEX GOES BRRR: 71 X: 4.48145 -INDEX GOES BRRR: 998 X: -1.60449 -INDEX GOES BRRR: 75 X: 4.6875 -INDEX GOES BRRR: 265 X: 16.6123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2031 -INDEX GOES BRRR: 187 X: 11.7148 -INDEX GOES BRRR: 210 X: 13.1729 -INDEX GOES BRRR: 144 X: 9.04004 -INDEX GOES BRRR: 111 X: 6.95605 -INDEX GOES BRRR: 124 X: 7.76367 -INDEX GOES BRRR: 225 X: 14.0977 -INDEX GOES BRRR: 317 X: 19.8223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.05371 -INDEX GOES BRRR: 84 X: 5.26367 -INDEX GOES BRRR: 272 X: 17.0293 -INDEX GOES BRRR: 72 X: 4.51953 -INDEX GOES BRRR: 445 X: 27.8418 -INDEX GOES BRRR: 224 X: 14.0186 -INDEX GOES BRRR: 422 X: 26.3828 -INDEX GOES BRRR: 481 X: 30.1123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 843 X: -11.251 -INDEX GOES BRRR: 17 X: 1.11133 -INDEX GOES BRRR: 344 X: 21.5029 -INDEX GOES BRRR: 402 X: 25.1455 -INDEX GOES BRRR: 298 X: 18.6777 -INDEX GOES BRRR: 66 X: 4.15137 -INDEX GOES BRRR: 192 X: 12.0449 -INDEX GOES BRRR: 947 X: -4.80078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.09375 -INDEX GOES BRRR: 204 X: 12.7705 -INDEX GOES BRRR: 38 X: 2.42188 -INDEX GOES BRRR: 119 X: 7.48242 -INDEX GOES BRRR: 186 X: 11.6855 -INDEX GOES BRRR: 372 X: 23.2715 -INDEX GOES BRRR: 58 X: 3.6709 -INDEX GOES BRRR: 428 X: 26.8096 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.44238 -INDEX GOES BRRR: 375 X: 23.4375 -INDEX GOES BRRR: 11 X: 0.696289 -INDEX GOES BRRR: 16 X: 1.02637 -INDEX GOES BRRR: 206 X: 12.8789 -INDEX GOES BRRR: 143 X: 8.97656 -INDEX GOES BRRR: 75 X: 4.69727 -INDEX GOES BRRR: 68 X: 4.26758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 298 X: 18.6406 -INDEX GOES BRRR: 155 X: 9.74316 -INDEX GOES BRRR: 134 X: 8.38086 -INDEX GOES BRRR: 455 X: 28.4541 -INDEX GOES BRRR: 723 X: -18.791 -INDEX GOES BRRR: 287 X: 17.9648 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 154 X: 9.62793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5312 -INDEX GOES BRRR: 123 X: 7.71387 -INDEX GOES BRRR: 988 X: -2.24023 -INDEX GOES BRRR: 264 X: 16.54 -INDEX GOES BRRR: 105 X: 6.56641 -INDEX GOES BRRR: 317 X: 19.8311 -INDEX GOES BRRR: 89 X: 5.60059 -INDEX GOES BRRR: 34 X: 2.14746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.570312 -INDEX GOES BRRR: 305 X: 19.1172 -INDEX GOES BRRR: 123 X: 7.71973 -INDEX GOES BRRR: 82 X: 5.17578 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 385 X: 24.1064 -INDEX GOES BRRR: 787 X: -14.7676 -INDEX GOES BRRR: 143 X: 8.98145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.61719 -INDEX GOES BRRR: 21 X: 1.3584 -INDEX GOES BRRR: 52 X: 3.30664 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 133 X: 8.34961 -INDEX GOES BRRR: 401 X: 25.0996 -INDEX GOES BRRR: 260 X: 16.2979 -INDEX GOES BRRR: 148 X: 9.30762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.67578 -INDEX GOES BRRR: 95 X: 5.99609 -INDEX GOES BRRR: 235 X: 14.6963 -INDEX GOES BRRR: 197 X: 12.3379 -INDEX GOES BRRR: 88 X: 5.56152 -INDEX GOES BRRR: 219 X: 13.7119 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 75 X: 4.6875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3711 -INDEX GOES BRRR: 15 X: 0.96875 -INDEX GOES BRRR: 279 X: 17.4707 -INDEX GOES BRRR: 111 X: 6.94141 -INDEX GOES BRRR: 393 X: 24.5918 -INDEX GOES BRRR: 210 X: 13.1436 -INDEX GOES BRRR: 87 X: 5.48535 -INDEX GOES BRRR: 20 X: 1.26953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8691 -INDEX GOES BRRR: 132 X: 8.26465 -INDEX GOES BRRR: 104 X: 6.5332 -INDEX GOES BRRR: 314 X: 19.6494 -INDEX GOES BRRR: 265 X: 16.5625 -INDEX GOES BRRR: 924 X: -6.19922 -INDEX GOES BRRR: 85 X: 5.32422 -INDEX GOES BRRR: 460 X: 28.7949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.60059 -INDEX GOES BRRR: 246 X: 15.3887 -INDEX GOES BRRR: 43 X: 2.69824 -INDEX GOES BRRR: 137 X: 8.61328 -INDEX GOES BRRR: 148 X: 9.25098 -INDEX GOES BRRR: 390 X: 24.3818 -INDEX GOES BRRR: 226 X: 14.1699 -INDEX GOES BRRR: 135 X: 8.45801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 989 X: -2.12793 -INDEX GOES BRRR: 981 X: -2.64258 -INDEX GOES BRRR: 323 X: 20.2393 -INDEX GOES BRRR: 289 X: 18.1084 -INDEX GOES BRRR: 113 X: 7.0957 -INDEX GOES BRRR: 965 X: -3.68555 -INDEX GOES BRRR: 333 X: 20.8652 -INDEX GOES BRRR: 266 X: 16.6523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.3398 -INDEX GOES BRRR: 1012 X: -0.720703 -INDEX GOES BRRR: 107 X: 6.71484 -INDEX GOES BRRR: 80 X: 5.03223 -INDEX GOES BRRR: 381 X: 23.8135 -INDEX GOES BRRR: 335 X: 20.9482 -INDEX GOES BRRR: 994 X: -1.84082 -INDEX GOES BRRR: 258 X: 16.1768 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.12598 -INDEX GOES BRRR: 127 X: 7.96191 -INDEX GOES BRRR: 103 X: 6.49707 -INDEX GOES BRRR: 133 X: 8.3252 -INDEX GOES BRRR: 348 X: 21.7783 -INDEX GOES BRRR: 426 X: 26.6514 -INDEX GOES BRRR: 319 X: 19.96 -INDEX GOES BRRR: 137 X: 8.60645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.21973 -INDEX GOES BRRR: 199 X: 12.4756 -INDEX GOES BRRR: 140 X: 8.78613 -INDEX GOES BRRR: 52 X: 3.27832 -INDEX GOES BRRR: 182 X: 11.4229 -INDEX GOES BRRR: 415 X: 25.9697 -INDEX GOES BRRR: 425 X: 26.6104 -INDEX GOES BRRR: 877 X: -9.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5107 -INDEX GOES BRRR: 168 X: 10.5547 -INDEX GOES BRRR: 90 X: 5.66992 -INDEX GOES BRRR: 56 X: 3.5127 -INDEX GOES BRRR: 218 X: 13.6855 -INDEX GOES BRRR: 301 X: 18.873 -INDEX GOES BRRR: 314 X: 19.6836 -INDEX GOES BRRR: 124 X: 7.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.2666 -INDEX GOES BRRR: 859 X: -10.2617 -INDEX GOES BRRR: 60 X: 3.7832 -INDEX GOES BRRR: 117 X: 7.31934 -INDEX GOES BRRR: 19 X: 1.21777 -INDEX GOES BRRR: 350 X: 21.8984 -INDEX GOES BRRR: 177 X: 11.0693 -INDEX GOES BRRR: 288 X: 18.0479 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.6875 -INDEX GOES BRRR: 226 X: 14.1367 -INDEX GOES BRRR: 946 X: -4.85352 -INDEX GOES BRRR: 239 X: 14.9805 -INDEX GOES BRRR: 1 X: 0.0634766 -INDEX GOES BRRR: 166 X: 10.4209 -INDEX GOES BRRR: 272 X: 17.0557 -INDEX GOES BRRR: 310 X: 19.3867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1309 -INDEX GOES BRRR: 463 X: 28.9902 -INDEX GOES BRRR: 185 X: 11.5762 -INDEX GOES BRRR: 19 X: 1.20605 -INDEX GOES BRRR: 235 X: 14.71 -INDEX GOES BRRR: 308 X: 19.2734 -INDEX GOES BRRR: 253 X: 15.8525 -INDEX GOES BRRR: 173 X: 10.8252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4141 -INDEX GOES BRRR: 149 X: 9.31543 -INDEX GOES BRRR: 19 X: 1.22656 -INDEX GOES BRRR: 72 X: 4.55078 -INDEX GOES BRRR: 235 X: 14.7051 -INDEX GOES BRRR: 79 X: 4.9375 -INDEX GOES BRRR: 172 X: 10.7939 -INDEX GOES BRRR: 149 X: 9.36719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.44727 -INDEX GOES BRRR: 33 X: 2.0918 -INDEX GOES BRRR: 338 X: 21.127 -INDEX GOES BRRR: 140 X: 8.80273 -INDEX GOES BRRR: 83 X: 5.22559 -INDEX GOES BRRR: 265 X: 16.584 -INDEX GOES BRRR: 110 X: 6.91797 -INDEX GOES BRRR: 145 X: 9.12305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0059 -INDEX GOES BRRR: 184 X: 11.5166 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 92 X: 5.78711 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 253 X: 15.8662 -INDEX GOES BRRR: 1013 X: -0.647461 -INDEX GOES BRRR: 69 X: 4.35059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4121 -INDEX GOES BRRR: 939 X: -5.3125 -INDEX GOES BRRR: 83 X: 5.21387 -INDEX GOES BRRR: 182 X: 11.3936 -INDEX GOES BRRR: 145 X: 9.08984 -INDEX GOES BRRR: 265 X: 16.5713 -INDEX GOES BRRR: 166 X: 10.4209 -INDEX GOES BRRR: 1 X: 0.0761719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 318 X: 19.9004 -INDEX GOES BRRR: 441 X: 27.5742 -INDEX GOES BRRR: 169 X: 10.5654 -INDEX GOES BRRR: 193 X: 12.0947 -INDEX GOES BRRR: 180 X: 11.2646 -INDEX GOES BRRR: 124 X: 7.78125 -INDEX GOES BRRR: 147 X: 9.19043 -INDEX GOES BRRR: 124 X: 7.80957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1553 -INDEX GOES BRRR: 144 X: 9.04883 -INDEX GOES BRRR: 928 X: -5.97559 -INDEX GOES BRRR: 249 X: 15.5625 -INDEX GOES BRRR: 146 X: 9.1416 -INDEX GOES BRRR: 261 X: 16.3301 -INDEX GOES BRRR: 949 X: -4.64453 -INDEX GOES BRRR: 41 X: 2.58008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 257 X: 16.0996 -INDEX GOES BRRR: 440 X: 27.5195 -INDEX GOES BRRR: 362 X: 22.626 -INDEX GOES BRRR: 927 X: -6.00586 -INDEX GOES BRRR: 171 X: 10.6943 -INDEX GOES BRRR: 188 X: 11.7979 -INDEX GOES BRRR: 423 X: 26.46 -INDEX GOES BRRR: 98 X: 6.16113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.9316 -INDEX GOES BRRR: 969 X: -3.39355 -INDEX GOES BRRR: 995 X: -1.7627 -INDEX GOES BRRR: 978 X: -2.875 -INDEX GOES BRRR: 382 X: 23.9336 -INDEX GOES BRRR: 80 X: 5.05566 -INDEX GOES BRRR: 306 X: 19.1406 -INDEX GOES BRRR: 445 X: 27.8535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1020 X: -0.205078 -INDEX GOES BRRR: 294 X: 18.3779 -INDEX GOES BRRR: 968 X: -3.43848 -INDEX GOES BRRR: 309 X: 19.334 -INDEX GOES BRRR: 415 X: 25.9473 -INDEX GOES BRRR: 255 X: 15.957 -INDEX GOES BRRR: 313 X: 19.5859 -INDEX GOES BRRR: 81 X: 5.07129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0361 -INDEX GOES BRRR: 11 X: 0.706055 -INDEX GOES BRRR: 78 X: 4.92773 -INDEX GOES BRRR: 984 X: -2.44824 -INDEX GOES BRRR: 83 X: 5.19043 -INDEX GOES BRRR: 131 X: 8.20703 -INDEX GOES BRRR: 81 X: 5.09668 -INDEX GOES BRRR: 364 X: 22.8115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6631 -INDEX GOES BRRR: 31 X: 1.94727 -INDEX GOES BRRR: 119 X: 7.47656 -INDEX GOES BRRR: 399 X: 24.9482 -INDEX GOES BRRR: 819 X: -12.7617 -INDEX GOES BRRR: 38 X: 2.38867 -INDEX GOES BRRR: 270 X: 16.8916 -INDEX GOES BRRR: 256 X: 16.0371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1017 X: -0.411133 -INDEX GOES BRRR: 279 X: 17.4688 -INDEX GOES BRRR: 101 X: 6.36328 -INDEX GOES BRRR: 341 X: 21.334 -INDEX GOES BRRR: 269 X: 16.8672 -INDEX GOES BRRR: 125 X: 7.81445 -INDEX GOES BRRR: 37 X: 2.31934 -INDEX GOES BRRR: 297 X: 18.5967 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1426 -INDEX GOES BRRR: 154 X: 9.63574 -INDEX GOES BRRR: 250 X: 15.6826 -INDEX GOES BRRR: 911 X: -7.02539 -INDEX GOES BRRR: 238 X: 14.9326 -INDEX GOES BRRR: 141 X: 8.8252 -INDEX GOES BRRR: 76 X: 4.7832 -INDEX GOES BRRR: 353 X: 22.1006 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 13 X: 0.858398 -INDEX GOES BRRR: 151 X: 9.48242 -INDEX GOES BRRR: 201 X: 12.6221 -INDEX GOES BRRR: 362 X: 22.6807 -INDEX GOES BRRR: 59 X: 3.73145 -INDEX GOES BRRR: 96 X: 6.04199 -INDEX GOES BRRR: 188 X: 11.7529 -INDEX GOES BRRR: 121 X: 7.58789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.683594 -INDEX GOES BRRR: 399 X: 24.9619 -INDEX GOES BRRR: 783 X: -15.0615 -INDEX GOES BRRR: 267 X: 16.7383 -INDEX GOES BRRR: 245 X: 15.3652 -INDEX GOES BRRR: 185 X: 11.6055 -INDEX GOES BRRR: 340 X: 21.2637 -INDEX GOES BRRR: 875 X: -9.27441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.29785 -INDEX GOES BRRR: 451 X: 28.1963 -INDEX GOES BRRR: 72 X: 4.55273 -INDEX GOES BRRR: 79 X: 4.96094 -INDEX GOES BRRR: 138 X: 8.68555 -INDEX GOES BRRR: 128 X: 8.04785 -INDEX GOES BRRR: 226 X: 14.1719 -INDEX GOES BRRR: 371 X: 23.21 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.82715 -INDEX GOES BRRR: 114 X: 7.15234 -INDEX GOES BRRR: 154 X: 9.63281 -INDEX GOES BRRR: 107 X: 6.73926 -INDEX GOES BRRR: 308 X: 19.2969 -INDEX GOES BRRR: 260 X: 16.3105 -INDEX GOES BRRR: 43 X: 2.73145 -INDEX GOES BRRR: 294 X: 18.3818 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.02832 -INDEX GOES BRRR: 848 X: -10.9473 -INDEX GOES BRRR: 494 X: 30.8896 -INDEX GOES BRRR: 367 X: 22.9697 -INDEX GOES BRRR: 88 X: 5.52734 -INDEX GOES BRRR: 176 X: 11.0371 -INDEX GOES BRRR: 74 X: 4.67773 -INDEX GOES BRRR: 314 X: 19.6631 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.13477 -INDEX GOES BRRR: 359 X: 22.4453 -INDEX GOES BRRR: 394 X: 24.6553 -INDEX GOES BRRR: 878 X: -9.10645 -INDEX GOES BRRR: 67 X: 4.24023 -INDEX GOES BRRR: 187 X: 11.7363 -INDEX GOES BRRR: 364 X: 22.7666 -INDEX GOES BRRR: 163 X: 10.2344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1816 -INDEX GOES BRRR: 198 X: 12.3887 -INDEX GOES BRRR: 191 X: 11.9561 -INDEX GOES BRRR: 354 X: 22.1406 -INDEX GOES BRRR: 95 X: 5.98145 -INDEX GOES BRRR: 356 X: 22.2549 -INDEX GOES BRRR: 106 X: 6.64062 -INDEX GOES BRRR: 81 X: 5.10938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 287 X: 17.9814 -INDEX GOES BRRR: 331 X: 20.7227 -INDEX GOES BRRR: 61 X: 3.86816 -INDEX GOES BRRR: 114 X: 7.12988 -INDEX GOES BRRR: 201 X: 12.6045 -INDEX GOES BRRR: 80 X: 5.00977 -INDEX GOES BRRR: 219 X: 13.6904 -INDEX GOES BRRR: 298 X: 18.6396 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.8457 -INDEX GOES BRRR: 118 X: 7.38184 -INDEX GOES BRRR: 454 X: 28.4141 -INDEX GOES BRRR: 360 X: 22.5479 -INDEX GOES BRRR: 1011 X: -0.772461 -INDEX GOES BRRR: 79 X: 4.9834 -INDEX GOES BRRR: 240 X: 15.0186 -INDEX GOES BRRR: 244 X: 15.2734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.877 -INDEX GOES BRRR: 269 X: 16.8691 -INDEX GOES BRRR: 313 X: 19.5635 -INDEX GOES BRRR: 109 X: 6.84375 -INDEX GOES BRRR: 137 X: 8.58789 -INDEX GOES BRRR: 904 X: -7.45996 -INDEX GOES BRRR: 50 X: 3.17773 -INDEX GOES BRRR: 44 X: 2.80762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 877 X: -9.13281 -INDEX GOES BRRR: 107 X: 6.70801 -INDEX GOES BRRR: 172 X: 10.7725 -INDEX GOES BRRR: 162 X: 10.1533 -INDEX GOES BRRR: 990 X: -2.10449 -INDEX GOES BRRR: 152 X: 9.55273 -INDEX GOES BRRR: 6 X: 0.402344 -INDEX GOES BRRR: 178 X: 11.1709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6445 -INDEX GOES BRRR: 252 X: 15.7627 -INDEX GOES BRRR: 317 X: 19.8418 -INDEX GOES BRRR: 161 X: 10.083 -INDEX GOES BRRR: 250 X: 15.668 -INDEX GOES BRRR: 61 X: 3.84277 -INDEX GOES BRRR: 817 X: -12.9355 -INDEX GOES BRRR: 146 X: 9.17188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.39453 -INDEX GOES BRRR: 214 X: 13.4072 -INDEX GOES BRRR: 163 X: 10.209 -INDEX GOES BRRR: 1007 X: -1.02539 -INDEX GOES BRRR: 304 X: 19.041 -INDEX GOES BRRR: 101 X: 6.32715 -INDEX GOES BRRR: 1007 X: -1.0332 -INDEX GOES BRRR: 350 X: 21.9121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 933 X: -5.65527 -INDEX GOES BRRR: 184 X: 11.5088 -INDEX GOES BRRR: 1002 X: -1.37109 -INDEX GOES BRRR: 198 X: 12.375 -INDEX GOES BRRR: 110 X: 6.89453 -INDEX GOES BRRR: 172 X: 10.7988 -INDEX GOES BRRR: 164 X: 10.2598 -INDEX GOES BRRR: 0 X: 0.0292969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.7539 -INDEX GOES BRRR: 471 X: 29.4434 -INDEX GOES BRRR: 335 X: 20.9482 -INDEX GOES BRRR: 129 X: 8.08691 -INDEX GOES BRRR: 283 X: 17.7373 -INDEX GOES BRRR: 83 X: 5.24414 -INDEX GOES BRRR: 220 X: 13.791 -INDEX GOES BRRR: 873 X: -9.41211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.42188 -INDEX GOES BRRR: 330 X: 20.6533 -INDEX GOES BRRR: 910 X: -7.08789 -INDEX GOES BRRR: 326 X: 20.4336 -INDEX GOES BRRR: 960 X: -3.95117 -INDEX GOES BRRR: 293 X: 18.3359 -INDEX GOES BRRR: 288 X: 18.0068 -INDEX GOES BRRR: 359 X: 22.4541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6387 -INDEX GOES BRRR: 105 X: 6.56934 -INDEX GOES BRRR: 279 X: 17.4893 -INDEX GOES BRRR: 16 X: 1.0332 -INDEX GOES BRRR: 202 X: 12.6641 -INDEX GOES BRRR: 75 X: 4.7041 -INDEX GOES BRRR: 931 X: -5.78613 -INDEX GOES BRRR: 997 X: -1.64941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.77637 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 831 X: -12.0303 -INDEX GOES BRRR: 356 X: 22.2754 -INDEX GOES BRRR: 160 X: 10.0244 -INDEX GOES BRRR: 45 X: 2.85938 -INDEX GOES BRRR: 86 X: 5.38086 -INDEX GOES BRRR: 188 X: 11.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.6221 -INDEX GOES BRRR: 979 X: -2.79688 -INDEX GOES BRRR: 170 X: 10.6797 -INDEX GOES BRRR: 171 X: 10.7256 -INDEX GOES BRRR: 992 X: -1.98633 -INDEX GOES BRRR: 103 X: 6.45703 -INDEX GOES BRRR: 8 X: 0.560547 -INDEX GOES BRRR: 880 X: -8.95703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.81152 -INDEX GOES BRRR: 299 X: 18.7109 -INDEX GOES BRRR: 204 X: 12.7891 -INDEX GOES BRRR: 950 X: -4.57324 -INDEX GOES BRRR: 91 X: 5.7334 -INDEX GOES BRRR: 161 X: 10.0928 -INDEX GOES BRRR: 203 X: 12.7119 -INDEX GOES BRRR: 373 X: 23.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 366 X: 22.8779 -INDEX GOES BRRR: 43 X: 2.73242 -INDEX GOES BRRR: 952 X: -4.48633 -INDEX GOES BRRR: 103 X: 6.45605 -INDEX GOES BRRR: 280 X: 17.541 -INDEX GOES BRRR: 24 X: 1.50293 -INDEX GOES BRRR: 899 X: -7.78516 -INDEX GOES BRRR: 143 X: 8.99902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2383 -INDEX GOES BRRR: 847 X: -11.0244 -INDEX GOES BRRR: 264 X: 16.5322 -INDEX GOES BRRR: 46 X: 2.92285 -INDEX GOES BRRR: 348 X: 21.8096 -INDEX GOES BRRR: 190 X: 11.9014 -INDEX GOES BRRR: 176 X: 11.0146 -INDEX GOES BRRR: 130 X: 8.18359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.11426 -INDEX GOES BRRR: 300 X: 18.7744 -INDEX GOES BRRR: 220 X: 13.7764 -INDEX GOES BRRR: 861 X: -10.1855 -INDEX GOES BRRR: 100 X: 6.28613 -INDEX GOES BRRR: 259 X: 16.1963 -INDEX GOES BRRR: 63 X: 3.94531 -INDEX GOES BRRR: 188 X: 11.7734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.35645 -INDEX GOES BRRR: 95 X: 5.97559 -INDEX GOES BRRR: 999 X: -1.50879 -INDEX GOES BRRR: 885 X: -8.64062 -INDEX GOES BRRR: 444 X: 27.751 -INDEX GOES BRRR: 103 X: 6.47852 -INDEX GOES BRRR: 71 X: 4.46289 -INDEX GOES BRRR: 242 X: 15.1484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 97 X: 6.08691 -INDEX GOES BRRR: 78 X: 4.90332 -INDEX GOES BRRR: 33 X: 2.07617 -INDEX GOES BRRR: 128 X: 8.05566 -INDEX GOES BRRR: 146 X: 9.1582 -INDEX GOES BRRR: 191 X: 11.9678 -INDEX GOES BRRR: 206 X: 12.9014 -INDEX GOES BRRR: 465 X: 29.1074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4639 -INDEX GOES BRRR: 867 X: -9.76172 -INDEX GOES BRRR: 233 X: 14.5869 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 363 X: 22.7373 -INDEX GOES BRRR: 50 X: 3.13965 -INDEX GOES BRRR: 881 X: -8.92578 -INDEX GOES BRRR: 925 X: -6.15332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.1953 -INDEX GOES BRRR: 28 X: 1.77832 -INDEX GOES BRRR: 120 X: 7.53125 -INDEX GOES BRRR: 83 X: 5.23535 -INDEX GOES BRRR: 219 X: 13.7393 -INDEX GOES BRRR: 942 X: -5.11035 -INDEX GOES BRRR: 119 X: 7.46777 -INDEX GOES BRRR: 1010 X: -0.825195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 358 X: 22.418 -INDEX GOES BRRR: 249 X: 15.5986 -INDEX GOES BRRR: 399 X: 24.96 -INDEX GOES BRRR: 891 X: -8.30273 -INDEX GOES BRRR: 291 X: 18.2168 -INDEX GOES BRRR: 985 X: -2.41211 -INDEX GOES BRRR: 1012 X: -0.714844 -INDEX GOES BRRR: 145 X: 9.12305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 326 X: 20.417 -INDEX GOES BRRR: 147 X: 9.23926 -INDEX GOES BRRR: 939 X: -5.2832 -INDEX GOES BRRR: 365 X: 22.8594 -INDEX GOES BRRR: 134 X: 8.42676 -INDEX GOES BRRR: 223 X: 13.9902 -INDEX GOES BRRR: 128 X: 8.06055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 960 X: -3.95898 -INDEX GOES BRRR: 155 X: 9.6875 -INDEX GOES BRRR: 773 X: -15.626 -INDEX GOES BRRR: 305 X: 19.0996 -INDEX GOES BRRR: 28 X: 1.79492 -INDEX GOES BRRR: 730 X: -18.3516 -INDEX GOES BRRR: 282 X: 17.6279 -INDEX GOES BRRR: 324 X: 20.2939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 977 X: -2.93457 -INDEX GOES BRRR: 963 X: -3.75586 -INDEX GOES BRRR: 115 X: 7.23242 -INDEX GOES BRRR: 135 X: 8.49121 -INDEX GOES BRRR: 308 X: 19.2598 -INDEX GOES BRRR: 254 X: 15.915 -INDEX GOES BRRR: 191 X: 11.9629 -INDEX GOES BRRR: 68 X: 4.2666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.4111 -INDEX GOES BRRR: 186 X: 11.6309 -INDEX GOES BRRR: 955 X: -4.29199 -INDEX GOES BRRR: 66 X: 4.14062 -INDEX GOES BRRR: 163 X: 10.2148 -INDEX GOES BRRR: 273 X: 17.0625 -INDEX GOES BRRR: 232 X: 14.5293 -INDEX GOES BRRR: 364 X: 22.7822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 274 X: 17.1455 -INDEX GOES BRRR: 193 X: 12.0996 -INDEX GOES BRRR: 305 X: 19.1211 -INDEX GOES BRRR: 212 X: 13.3027 -INDEX GOES BRRR: 30 X: 1.87891 -INDEX GOES BRRR: 126 X: 7.91016 -INDEX GOES BRRR: 940 X: -5.24219 -INDEX GOES BRRR: 117 X: 7.32129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1011 X: -0.776367 -INDEX GOES BRRR: 953 X: -4.42578 -INDEX GOES BRRR: 110 X: 6.88379 -INDEX GOES BRRR: 125 X: 7.84277 -INDEX GOES BRRR: 65 X: 4.12109 -INDEX GOES BRRR: 259 X: 16.2012 -INDEX GOES BRRR: 769 X: -15.9346 -INDEX GOES BRRR: 1 X: 0.123047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 334 X: 20.8818 -INDEX GOES BRRR: 40 X: 2.55664 -INDEX GOES BRRR: 258 X: 16.1846 -INDEX GOES BRRR: 975 X: -3.05371 -INDEX GOES BRRR: 181 X: 11.3438 -INDEX GOES BRRR: 369 X: 23.083 -INDEX GOES BRRR: 379 X: 23.7188 -INDEX GOES BRRR: 94 X: 5.88477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.2373 -INDEX GOES BRRR: 472 X: 29.5146 -INDEX GOES BRRR: 28 X: 1.76074 -INDEX GOES BRRR: 320 X: 20.0547 -INDEX GOES BRRR: 175 X: 10.9863 -INDEX GOES BRRR: 456 X: 28.5146 -INDEX GOES BRRR: 137 X: 8.59082 -INDEX GOES BRRR: 878 X: -9.07422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.96484 -INDEX GOES BRRR: 233 X: 14.582 -INDEX GOES BRRR: 61 X: 3.86914 -INDEX GOES BRRR: 956 X: -4.23828 -INDEX GOES BRRR: 1015 X: -0.537109 -INDEX GOES BRRR: 136 X: 8.55469 -INDEX GOES BRRR: 1007 X: -1.01758 -INDEX GOES BRRR: 924 X: -6.19141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.933594 -INDEX GOES BRRR: 309 X: 19.3613 -INDEX GOES BRRR: 108 X: 6.78711 -INDEX GOES BRRR: 318 X: 19.9268 -INDEX GOES BRRR: 308 X: 19.252 -INDEX GOES BRRR: 1018 X: -0.353516 -INDEX GOES BRRR: 22 X: 1.41699 -INDEX GOES BRRR: 160 X: 10.0264 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 324 X: 20.2598 -INDEX GOES BRRR: 445 X: 27.8721 -INDEX GOES BRRR: 841 X: -11.3809 -INDEX GOES BRRR: 304 X: 19.0186 -INDEX GOES BRRR: 987 X: -2.30078 -INDEX GOES BRRR: 415 X: 25.9443 -INDEX GOES BRRR: 367 X: 22.9785 -INDEX GOES BRRR: 417 X: 26.1055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 445 X: 27.8516 -INDEX GOES BRRR: 305 X: 19.0811 -INDEX GOES BRRR: 94 X: 5.91602 -INDEX GOES BRRR: 218 X: 13.6465 -INDEX GOES BRRR: 868 X: -9.70605 -INDEX GOES BRRR: 379 X: 23.6973 -INDEX GOES BRRR: 3 X: 0.201172 -INDEX GOES BRRR: 900 X: -7.74902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4688 -INDEX GOES BRRR: 370 X: 23.1475 -INDEX GOES BRRR: 224 X: 14.0049 -INDEX GOES BRRR: 177 X: 11.1035 -INDEX GOES BRRR: 429 X: 26.8477 -INDEX GOES BRRR: 236 X: 14.8037 -INDEX GOES BRRR: 182 X: 11.417 -INDEX GOES BRRR: 898 X: -7.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.27637 -INDEX GOES BRRR: 227 X: 14.1943 -INDEX GOES BRRR: 121 X: 7.57324 -INDEX GOES BRRR: 140 X: 8.77832 -INDEX GOES BRRR: 358 X: 22.376 -INDEX GOES BRRR: 1018 X: -0.327148 -INDEX GOES BRRR: 150 X: 9.38184 -INDEX GOES BRRR: 91 X: 5.69824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.19922 -INDEX GOES BRRR: 983 X: -2.54688 -INDEX GOES BRRR: 37 X: 2.32617 -INDEX GOES BRRR: 213 X: 13.3535 -INDEX GOES BRRR: 326 X: 20.3955 -INDEX GOES BRRR: 46 X: 2.8916 -INDEX GOES BRRR: 369 X: 23.1172 -INDEX GOES BRRR: 372 X: 23.2959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5137 -INDEX GOES BRRR: 293 X: 18.3428 -INDEX GOES BRRR: 155 X: 9.70996 -INDEX GOES BRRR: 325 X: 20.3203 -INDEX GOES BRRR: 301 X: 18.8193 -INDEX GOES BRRR: 153 X: 9.62207 -INDEX GOES BRRR: 333 X: 20.8525 -INDEX GOES BRRR: 852 X: -10.6904 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.564453 -INDEX GOES BRRR: 201 X: 12.5645 -INDEX GOES BRRR: 164 X: 10.2568 -INDEX GOES BRRR: 50 X: 3.12695 -INDEX GOES BRRR: 67 X: 4.2168 -INDEX GOES BRRR: 169 X: 10.6074 -INDEX GOES BRRR: 411 X: 25.7402 -INDEX GOES BRRR: 155 X: 9.73633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.0742 -INDEX GOES BRRR: 198 X: 12.4014 -INDEX GOES BRRR: 320 X: 20.0156 -INDEX GOES BRRR: 1015 X: -0.510742 -INDEX GOES BRRR: 222 X: 13.9014 -INDEX GOES BRRR: 210 X: 13.1738 -INDEX GOES BRRR: 429 X: 26.8662 -INDEX GOES BRRR: 81 X: 5.11719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1309 -INDEX GOES BRRR: 371 X: 23.2461 -INDEX GOES BRRR: 973 X: -3.15625 -INDEX GOES BRRR: 143 X: 8.94824 -INDEX GOES BRRR: 251 X: 15.7295 -INDEX GOES BRRR: 945 X: -4.88965 -INDEX GOES BRRR: 61 X: 3.81934 -INDEX GOES BRRR: 359 X: 22.4512 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5781 -INDEX GOES BRRR: 975 X: -3.02148 -INDEX GOES BRRR: 965 X: -3.65137 -INDEX GOES BRRR: 283 X: 17.71 -INDEX GOES BRRR: 70 X: 4.40918 -INDEX GOES BRRR: 921 X: -6.40527 -INDEX GOES BRRR: 143 X: 8.97656 -INDEX GOES BRRR: 108 X: 6.78809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3193 -INDEX GOES BRRR: 375 X: 23.4531 -INDEX GOES BRRR: 99 X: 6.2168 -INDEX GOES BRRR: 78 X: 4.87598 -INDEX GOES BRRR: 271 X: 16.96 -INDEX GOES BRRR: 43 X: 2.72852 -INDEX GOES BRRR: 115 X: 7.18945 -INDEX GOES BRRR: 168 X: 10.5176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.78418 -INDEX GOES BRRR: 249 X: 15.584 -INDEX GOES BRRR: 240 X: 15.0381 -INDEX GOES BRRR: 287 X: 17.9648 -INDEX GOES BRRR: 187 X: 11.7363 -INDEX GOES BRRR: 2 X: 0.146484 -INDEX GOES BRRR: 216 X: 13.5488 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.88379 -INDEX GOES BRRR: 1020 X: -0.206055 -INDEX GOES BRRR: 4 X: 0.275391 -INDEX GOES BRRR: 187 X: 11.7373 -INDEX GOES BRRR: 392 X: 24.5488 -INDEX GOES BRRR: 108 X: 6.7627 -INDEX GOES BRRR: 1 X: 0.0878906 -INDEX GOES BRRR: 276 X: 17.3115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 833 X: -11.8936 -INDEX GOES BRRR: 991 X: -2.03027 -INDEX GOES BRRR: 187 X: 11.7471 -INDEX GOES BRRR: 166 X: 10.377 -INDEX GOES BRRR: 75 X: 4.70312 -INDEX GOES BRRR: 191 X: 11.958 -INDEX GOES BRRR: 255 X: 15.9492 -INDEX GOES BRRR: 184 X: 11.5391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5 -INDEX GOES BRRR: 967 X: -3.5166 -INDEX GOES BRRR: 160 X: 10.0117 -INDEX GOES BRRR: 366 X: 22.9346 -INDEX GOES BRRR: 880 X: -8.96094 -INDEX GOES BRRR: 196 X: 12.251 -INDEX GOES BRRR: 108 X: 6.76855 -INDEX GOES BRRR: 710 X: -19.6143 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.5918 -INDEX GOES BRRR: 130 X: 8.18066 -INDEX GOES BRRR: 235 X: 14.6924 -INDEX GOES BRRR: 230 X: 14.3916 -INDEX GOES BRRR: 337 X: 21.0752 -INDEX GOES BRRR: 308 X: 19.2695 -INDEX GOES BRRR: 73 X: 4.5791 -INDEX GOES BRRR: 80 X: 5.00195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8145 -INDEX GOES BRRR: 162 X: 10.125 -INDEX GOES BRRR: 209 X: 13.0928 -INDEX GOES BRRR: 240 X: 15.0068 -INDEX GOES BRRR: 25 X: 1.62402 -INDEX GOES BRRR: 65 X: 4.09961 -INDEX GOES BRRR: 55 X: 3.46777 -INDEX GOES BRRR: 47 X: 2.94336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.09277 -INDEX GOES BRRR: 268 X: 16.752 -INDEX GOES BRRR: 328 X: 20.5186 -INDEX GOES BRRR: 115 X: 7.24414 -INDEX GOES BRRR: 150 X: 9.41504 -INDEX GOES BRRR: 200 X: 12.501 -INDEX GOES BRRR: 212 X: 13.3047 -INDEX GOES BRRR: 180 X: 11.2773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7158 -INDEX GOES BRRR: 1023 X: -0.000976562 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 165 X: 10.3359 -INDEX GOES BRRR: 194 X: 12.1582 -INDEX GOES BRRR: 286 X: 17.918 -INDEX GOES BRRR: 279 X: 17.4502 -INDEX GOES BRRR: 91 X: 5.72852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 0 X: 0.0537109 -INDEX GOES BRRR: 78 X: 4.89941 -INDEX GOES BRRR: 1011 X: -0.755859 -INDEX GOES BRRR: 106 X: 6.64258 -INDEX GOES BRRR: 25 X: 1.60547 -INDEX GOES BRRR: 71 X: 4.4707 -INDEX GOES BRRR: 8 X: 0.500977 -INDEX GOES BRRR: 280 X: 17.5469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 451 X: 28.2422 -INDEX GOES BRRR: 77 X: 4.85645 -INDEX GOES BRRR: 966 X: -3.57715 -INDEX GOES BRRR: 323 X: 20.1963 -INDEX GOES BRRR: 248 X: 15.5273 -INDEX GOES BRRR: 163 X: 10.2344 -INDEX GOES BRRR: 104 X: 6.53613 -INDEX GOES BRRR: 996 X: -1.73145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 999 X: -1.52148 -INDEX GOES BRRR: 159 X: 9.95605 -INDEX GOES BRRR: 153 X: 9.60645 -INDEX GOES BRRR: 96 X: 6 -INDEX GOES BRRR: 240 X: 15.0459 -INDEX GOES BRRR: 961 X: -3.9082 -INDEX GOES BRRR: 203 X: 12.7314 -INDEX GOES BRRR: 394 X: 24.6504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.5088 -INDEX GOES BRRR: 977 X: -2.88574 -INDEX GOES BRRR: 362 X: 22.667 -INDEX GOES BRRR: 197 X: 12.3457 -INDEX GOES BRRR: 1016 X: -0.479492 -INDEX GOES BRRR: 46 X: 2.89551 -INDEX GOES BRRR: 969 X: -3.41211 -INDEX GOES BRRR: 237 X: 14.8135 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 298 X: 18.625 -INDEX GOES BRRR: 143 X: 8.97168 -INDEX GOES BRRR: 26 X: 1.63379 -INDEX GOES BRRR: 327 X: 20.4619 -INDEX GOES BRRR: 258 X: 16.1309 -INDEX GOES BRRR: 144 X: 9.02441 -INDEX GOES BRRR: 182 X: 11.4189 -INDEX GOES BRRR: 74 X: 4.66699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.3926 -INDEX GOES BRRR: 982 X: -2.58398 -INDEX GOES BRRR: 12 X: 0.800781 -INDEX GOES BRRR: 990 X: -2.10938 -INDEX GOES BRRR: 118 X: 7.40039 -INDEX GOES BRRR: 167 X: 10.4424 -INDEX GOES BRRR: 222 X: 13.9092 -INDEX GOES BRRR: 226 X: 14.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6836 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 354 X: 22.1387 -INDEX GOES BRRR: 190 X: 11.8848 -INDEX GOES BRRR: 170 X: 10.6592 -INDEX GOES BRRR: 1005 X: -1.15332 -INDEX GOES BRRR: 289 X: 18.0967 -INDEX GOES BRRR: 421 X: 26.3232 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 960 X: -3.94727 -INDEX GOES BRRR: 960 X: -3.95605 -INDEX GOES BRRR: 268 X: 16.8115 -INDEX GOES BRRR: 255 X: 15.9658 -INDEX GOES BRRR: 202 X: 12.6504 -INDEX GOES BRRR: 308 X: 19.2705 -INDEX GOES BRRR: 226 X: 14.1426 -INDEX GOES BRRR: 220 X: 13.8066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.05469 -INDEX GOES BRRR: 927 X: -6.00391 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 177 X: 11.1123 -INDEX GOES BRRR: 128 X: 8.01953 -INDEX GOES BRRR: 389 X: 24.335 -INDEX GOES BRRR: 727 X: -18.54 -INDEX GOES BRRR: 61 X: 3.83789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1017 X: -0.405273 -INDEX GOES BRRR: 335 X: 20.9824 -INDEX GOES BRRR: 111 X: 6.97559 -INDEX GOES BRRR: 312 X: 19.5508 -INDEX GOES BRRR: 1021 X: -0.132812 -INDEX GOES BRRR: 236 X: 14.751 -INDEX GOES BRRR: 317 X: 19.8701 -INDEX GOES BRRR: 73 X: 4.57129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.1875 -INDEX GOES BRRR: 441 X: 27.5791 -INDEX GOES BRRR: 186 X: 11.6396 -INDEX GOES BRRR: 105 X: 6.58398 -INDEX GOES BRRR: 312 X: 19.5049 -INDEX GOES BRRR: 392 X: 24.5205 -INDEX GOES BRRR: 243 X: 15.2363 -INDEX GOES BRRR: 97 X: 6.12402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.7871 -INDEX GOES BRRR: 309 X: 19.3145 -INDEX GOES BRRR: 84 X: 5.28125 -INDEX GOES BRRR: 173 X: 10.8564 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 407 X: 25.4678 -INDEX GOES BRRR: 66 X: 4.12695 -INDEX GOES BRRR: 67 X: 4.24023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3633 -INDEX GOES BRRR: 272 X: 17.0605 -INDEX GOES BRRR: 151 X: 9.47461 -INDEX GOES BRRR: 1010 X: -0.837891 -INDEX GOES BRRR: 200 X: 12.5459 -INDEX GOES BRRR: 365 X: 22.8418 -INDEX GOES BRRR: 41 X: 2.59961 -INDEX GOES BRRR: 341 X: 21.3594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5146 -INDEX GOES BRRR: 265 X: 16.5771 -INDEX GOES BRRR: 195 X: 12.2002 -INDEX GOES BRRR: 102 X: 6.3877 -INDEX GOES BRRR: 353 X: 22.1104 -INDEX GOES BRRR: 115 X: 7.2207 -INDEX GOES BRRR: 181 X: 11.3594 -INDEX GOES BRRR: 286 X: 17.9209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.000976562 -INDEX GOES BRRR: 242 X: 15.1855 -INDEX GOES BRRR: 416 X: 26.0195 -INDEX GOES BRRR: 311 X: 19.4531 -INDEX GOES BRRR: 914 X: -6.82422 -INDEX GOES BRRR: 359 X: 22.4492 -INDEX GOES BRRR: 267 X: 16.7402 -INDEX GOES BRRR: 129 X: 8.08203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.7334 -INDEX GOES BRRR: 291 X: 18.2266 -INDEX GOES BRRR: 145 X: 9.09668 -INDEX GOES BRRR: 250 X: 15.6719 -INDEX GOES BRRR: 375 X: 23.4639 -INDEX GOES BRRR: 983 X: -2.55762 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 311 X: 19.4961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.87305 -INDEX GOES BRRR: 464 X: 29.0156 -INDEX GOES BRRR: 373 X: 23.3633 -INDEX GOES BRRR: 1018 X: -0.374023 -INDEX GOES BRRR: 200 X: 12.5527 -INDEX GOES BRRR: 997 X: -1.67871 -INDEX GOES BRRR: 101 X: 6.32617 -INDEX GOES BRRR: 122 X: 7.6709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.2109 -INDEX GOES BRRR: 241 X: 15.0996 -INDEX GOES BRRR: 70 X: 4.38379 -INDEX GOES BRRR: 278 X: 17.3828 -INDEX GOES BRRR: 181 X: 11.3203 -INDEX GOES BRRR: 203 X: 12.6914 -INDEX GOES BRRR: 439 X: 27.4648 -INDEX GOES BRRR: 179 X: 11.2354 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.179688 -INDEX GOES BRRR: 997 X: -1.63965 -INDEX GOES BRRR: 98 X: 6.15234 -INDEX GOES BRRR: 918 X: -6.58301 -INDEX GOES BRRR: 208 X: 13.0488 -INDEX GOES BRRR: 978 X: -2.85645 -INDEX GOES BRRR: 121 X: 7.62109 -INDEX GOES BRRR: 197 X: 12.3652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.00293 -INDEX GOES BRRR: 67 X: 4.23926 -INDEX GOES BRRR: 331 X: 20.7197 -INDEX GOES BRRR: 56 X: 3.50195 -INDEX GOES BRRR: 175 X: 10.9668 -INDEX GOES BRRR: 195 X: 12.2441 -INDEX GOES BRRR: 136 X: 8.52051 -INDEX GOES BRRR: 218 X: 13.6426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4629 -INDEX GOES BRRR: 237 X: 14.8145 -INDEX GOES BRRR: 165 X: 10.3477 -INDEX GOES BRRR: 985 X: -2.42773 -INDEX GOES BRRR: 930 X: -5.87305 -INDEX GOES BRRR: 993 X: -1.91211 -INDEX GOES BRRR: 78 X: 4.9209 -INDEX GOES BRRR: 206 X: 12.9189 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.8057 -INDEX GOES BRRR: 33 X: 2.08008 -INDEX GOES BRRR: 163 X: 10.1904 -INDEX GOES BRRR: 128 X: 8.00391 -INDEX GOES BRRR: 210 X: 13.1699 -INDEX GOES BRRR: 182 X: 11.4023 -INDEX GOES BRRR: 187 X: 11.7256 -INDEX GOES BRRR: 387 X: 24.2168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 669 X: -22.1377 -INDEX GOES BRRR: 420 X: 26.2656 -INDEX GOES BRRR: 403 X: 25.2383 -INDEX GOES BRRR: 44 X: 2.75586 -INDEX GOES BRRR: 374 X: 23.4336 -INDEX GOES BRRR: 198 X: 12.3916 -INDEX GOES BRRR: 389 X: 24.3223 -INDEX GOES BRRR: 407 X: 25.4727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1348 -INDEX GOES BRRR: 232 X: 14.5596 -INDEX GOES BRRR: 20 X: 1.30957 -INDEX GOES BRRR: 310 X: 19.4189 -INDEX GOES BRRR: 168 X: 10.5186 -INDEX GOES BRRR: 120 X: 7.5498 -INDEX GOES BRRR: 230 X: 14.4287 -INDEX GOES BRRR: 284 X: 17.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.67285 -INDEX GOES BRRR: 297 X: 18.5742 -INDEX GOES BRRR: 167 X: 10.4609 -INDEX GOES BRRR: 169 X: 10.5908 -INDEX GOES BRRR: 34 X: 2.18359 -INDEX GOES BRRR: 76 X: 4.79297 -INDEX GOES BRRR: 939 X: -5.30176 -INDEX GOES BRRR: 106 X: 6.65918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 319 X: 19.9863 -INDEX GOES BRRR: 197 X: 12.3428 -INDEX GOES BRRR: 181 X: 11.3428 -INDEX GOES BRRR: 18 X: 1.15039 -INDEX GOES BRRR: 302 X: 18.8877 -INDEX GOES BRRR: 415 X: 25.9766 -INDEX GOES BRRR: 183 X: 11.4902 -INDEX GOES BRRR: 933 X: -5.64355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 955 X: -4.28223 -INDEX GOES BRRR: 302 X: 18.8936 -INDEX GOES BRRR: 244 X: 15.2529 -INDEX GOES BRRR: 332 X: 20.7773 -INDEX GOES BRRR: 149 X: 9.32812 -INDEX GOES BRRR: 162 X: 10.1543 -INDEX GOES BRRR: 365 X: 22.835 -INDEX GOES BRRR: 999 X: -1.50879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 940 X: -5.20508 -INDEX GOES BRRR: 211 X: 13.1914 -INDEX GOES BRRR: 69 X: 4.37012 -INDEX GOES BRRR: 126 X: 7.87793 -INDEX GOES BRRR: 935 X: -5.53613 -INDEX GOES BRRR: 232 X: 14.5205 -INDEX GOES BRRR: 17 X: 1.10352 -INDEX GOES BRRR: 11 X: 0.703125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 456 X: 28.5098 -INDEX GOES BRRR: 69 X: 4.36621 -INDEX GOES BRRR: 370 X: 23.1592 -INDEX GOES BRRR: 961 X: -3.91895 -INDEX GOES BRRR: 142 X: 8.9082 -INDEX GOES BRRR: 63 X: 3.93945 -INDEX GOES BRRR: 234 X: 14.6641 -INDEX GOES BRRR: 307 X: 19.2168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2188 -INDEX GOES BRRR: 297 X: 18.5908 -INDEX GOES BRRR: 268 X: 16.8018 -INDEX GOES BRRR: 123 X: 7.70117 -INDEX GOES BRRR: 348 X: 21.7578 -INDEX GOES BRRR: 264 X: 16.5176 -INDEX GOES BRRR: 122 X: 7.6377 -INDEX GOES BRRR: 405 X: 25.3203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.29 -INDEX GOES BRRR: 156 X: 9.75 -INDEX GOES BRRR: 83 X: 5.21191 -INDEX GOES BRRR: 191 X: 11.9893 -INDEX GOES BRRR: 439 X: 27.4375 -INDEX GOES BRRR: 150 X: 9.41016 -INDEX GOES BRRR: 271 X: 16.9707 -INDEX GOES BRRR: 389 X: 24.3447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.86621 -INDEX GOES BRRR: 206 X: 12.8916 -INDEX GOES BRRR: 1021 X: -0.128906 -INDEX GOES BRRR: 60 X: 3.76465 -INDEX GOES BRRR: 766 X: -16.1143 -INDEX GOES BRRR: 317 X: 19.8389 -INDEX GOES BRRR: 165 X: 10.3359 -INDEX GOES BRRR: 40 X: 2.53613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 956 X: -4.21094 -INDEX GOES BRRR: 51 X: 3.20898 -INDEX GOES BRRR: 15 X: 0.956055 -INDEX GOES BRRR: 142 X: 8.93066 -INDEX GOES BRRR: 219 X: 13.6924 -INDEX GOES BRRR: 309 X: 19.3584 -INDEX GOES BRRR: 232 X: 14.5361 -INDEX GOES BRRR: 192 X: 12.0059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.4014 -INDEX GOES BRRR: 105 X: 6.58203 -INDEX GOES BRRR: 67 X: 4.24512 -INDEX GOES BRRR: 181 X: 11.3301 -INDEX GOES BRRR: 901 X: -7.66797 -INDEX GOES BRRR: 113 X: 7.08984 -INDEX GOES BRRR: 938 X: -5.35352 -INDEX GOES BRRR: 949 X: -4.64551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 130 X: 8.16895 -INDEX GOES BRRR: 213 X: 13.3594 -INDEX GOES BRRR: 294 X: 18.3799 -INDEX GOES BRRR: 276 X: 17.2832 -INDEX GOES BRRR: 229 X: 14.3281 -INDEX GOES BRRR: 197 X: 12.3389 -INDEX GOES BRRR: 310 X: 19.3984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.30859 -INDEX GOES BRRR: 95 X: 5.97168 -INDEX GOES BRRR: 27 X: 1.73145 -INDEX GOES BRRR: 164 X: 10.3115 -INDEX GOES BRRR: 90 X: 5.65234 -INDEX GOES BRRR: 89 X: 5.59863 -INDEX GOES BRRR: 960 X: -3.99512 -INDEX GOES BRRR: 109 X: 6.87109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 323 X: 20.1924 -INDEX GOES BRRR: 220 X: 13.7617 -INDEX GOES BRRR: 175 X: 10.9414 -INDEX GOES BRRR: 15 X: 0.952148 -INDEX GOES BRRR: 374 X: 23.3945 -INDEX GOES BRRR: 119 X: 7.4375 -INDEX GOES BRRR: 83 X: 5.19238 -INDEX GOES BRRR: 97 X: 6.06641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9072 -INDEX GOES BRRR: 113 X: 7.08301 -INDEX GOES BRRR: 310 X: 19.3896 -INDEX GOES BRRR: 1016 X: -0.498047 -INDEX GOES BRRR: 152 X: 9.51367 -INDEX GOES BRRR: 936 X: -5.48828 -INDEX GOES BRRR: 206 X: 12.8838 -INDEX GOES BRRR: 991 X: -2.06152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.7734 -INDEX GOES BRRR: 187 X: 11.7412 -INDEX GOES BRRR: 16 X: 1.05273 -INDEX GOES BRRR: 873 X: -9.41699 -INDEX GOES BRRR: 374 X: 23.3867 -INDEX GOES BRRR: 17 X: 1.06543 -INDEX GOES BRRR: 1014 X: -0.587891 -INDEX GOES BRRR: 176 X: 11.0137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 268 X: 16.751 -INDEX GOES BRRR: 186 X: 11.6748 -INDEX GOES BRRR: 28 X: 1.79395 -INDEX GOES BRRR: 361 X: 22.6094 -INDEX GOES BRRR: 992 X: -1.94922 -INDEX GOES BRRR: 990 X: -2.11426 -INDEX GOES BRRR: 81 X: 5.06543 -INDEX GOES BRRR: 193 X: 12.082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.33008 -INDEX GOES BRRR: 186 X: 11.666 -INDEX GOES BRRR: 148 X: 9.30762 -INDEX GOES BRRR: 186 X: 11.6387 -INDEX GOES BRRR: 187 X: 11.71 -INDEX GOES BRRR: 110 X: 6.91992 -INDEX GOES BRRR: 1015 X: -0.533203 -INDEX GOES BRRR: 131 X: 8.23535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.12012 -INDEX GOES BRRR: 24 X: 1.50391 -INDEX GOES BRRR: 293 X: 18.373 -INDEX GOES BRRR: 872 X: -9.46582 -INDEX GOES BRRR: 411 X: 25.707 -INDEX GOES BRRR: 998 X: -1.61035 -INDEX GOES BRRR: 240 X: 15.0352 -INDEX GOES BRRR: 61 X: 3.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 311 X: 19.4756 -INDEX GOES BRRR: 179 X: 11.207 -INDEX GOES BRRR: 980 X: -2.70801 -INDEX GOES BRRR: 146 X: 9.17188 -INDEX GOES BRRR: 226 X: 14.1787 -INDEX GOES BRRR: 2 X: 0.171875 -INDEX GOES BRRR: 109 X: 6.84766 -INDEX GOES BRRR: 871 X: -9.53418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.00195312 -INDEX GOES BRRR: 310 X: 19.3887 -INDEX GOES BRRR: 44 X: 2.7793 -INDEX GOES BRRR: 123 X: 7.70215 -INDEX GOES BRRR: 172 X: 10.7881 -INDEX GOES BRRR: 286 X: 17.8779 -INDEX GOES BRRR: 308 X: 19.2529 -INDEX GOES BRRR: 255 X: 15.9805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.4492 -INDEX GOES BRRR: 71 X: 4.45703 -INDEX GOES BRRR: 244 X: 15.2832 -INDEX GOES BRRR: 248 X: 15.5205 -INDEX GOES BRRR: 350 X: 21.8838 -INDEX GOES BRRR: 273 X: 17.1201 -INDEX GOES BRRR: 195 X: 12.2393 -INDEX GOES BRRR: 313 X: 19.5674 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.54 -INDEX GOES BRRR: 175 X: 10.958 -INDEX GOES BRRR: 242 X: 15.1748 -INDEX GOES BRRR: 191 X: 11.9639 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 240 X: 15.0166 -INDEX GOES BRRR: 285 X: 17.8711 -INDEX GOES BRRR: 262 X: 16.4277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1768 -INDEX GOES BRRR: 400 X: 25.0029 -INDEX GOES BRRR: 881 X: -8.9375 -INDEX GOES BRRR: 203 X: 12.7217 -INDEX GOES BRRR: 85 X: 5.36133 -INDEX GOES BRRR: 32 X: 2.04199 -INDEX GOES BRRR: 980 X: -2.69238 -INDEX GOES BRRR: 20 X: 1.28613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 368 X: 23.0527 -INDEX GOES BRRR: 350 X: 21.8818 -INDEX GOES BRRR: 59 X: 3.72266 -INDEX GOES BRRR: 225 X: 14.0654 -INDEX GOES BRRR: 220 X: 13.7754 -INDEX GOES BRRR: 217 X: 13.5781 -INDEX GOES BRRR: 77 X: 4.83008 -INDEX GOES BRRR: 236 X: 14.7695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.2344 -INDEX GOES BRRR: 1013 X: -0.667969 -INDEX GOES BRRR: 368 X: 23.0576 -INDEX GOES BRRR: 23 X: 1.4707 -INDEX GOES BRRR: 173 X: 10.8604 -INDEX GOES BRRR: 114 X: 7.16504 -INDEX GOES BRRR: 1019 X: -0.304688 -INDEX GOES BRRR: 263 X: 16.4561 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 873 X: -9.41016 -INDEX GOES BRRR: 134 X: 8.4082 -INDEX GOES BRRR: 102 X: 6.42285 -INDEX GOES BRRR: 136 X: 8.54395 -INDEX GOES BRRR: 21 X: 1.31641 -INDEX GOES BRRR: 253 X: 15.8418 -INDEX GOES BRRR: 178 X: 11.1631 -INDEX GOES BRRR: 960 X: -3.95605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7158 -INDEX GOES BRRR: 313 X: 19.5674 -INDEX GOES BRRR: 411 X: 25.7041 -INDEX GOES BRRR: 181 X: 11.3662 -INDEX GOES BRRR: 867 X: -9.78027 -INDEX GOES BRRR: 70 X: 4.3877 -INDEX GOES BRRR: 82 X: 5.15234 -INDEX GOES BRRR: 345 X: 21.5762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5371 -INDEX GOES BRRR: 24 X: 1.52344 -INDEX GOES BRRR: 945 X: -4.93164 -INDEX GOES BRRR: 63 X: 3.95703 -INDEX GOES BRRR: 198 X: 12.3994 -INDEX GOES BRRR: 291 X: 18.1973 -INDEX GOES BRRR: 289 X: 18.0918 -INDEX GOES BRRR: 243 X: 15.2129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.74316 -INDEX GOES BRRR: 381 X: 23.8232 -INDEX GOES BRRR: 118 X: 7.37695 -INDEX GOES BRRR: 202 X: 12.6406 -INDEX GOES BRRR: 163 X: 10.1904 -INDEX GOES BRRR: 210 X: 13.1494 -INDEX GOES BRRR: 118 X: 7.39355 -INDEX GOES BRRR: 972 X: -3.23145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4727 -INDEX GOES BRRR: 301 X: 18.8496 -INDEX GOES BRRR: 819 X: -12.7969 -INDEX GOES BRRR: 798 X: -14.1201 -INDEX GOES BRRR: 338 X: 21.1436 -INDEX GOES BRRR: 802 X: -13.8232 -INDEX GOES BRRR: 15 X: 0.986328 -INDEX GOES BRRR: 180 X: 11.2705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8477 -INDEX GOES BRRR: 153 X: 9.60254 -INDEX GOES BRRR: 228 X: 14.2598 -INDEX GOES BRRR: 376 X: 23.5557 -INDEX GOES BRRR: 965 X: -3.66699 -INDEX GOES BRRR: 145 X: 9.0791 -INDEX GOES BRRR: 50 X: 3.17188 -INDEX GOES BRRR: 93 X: 5.87109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.2666 -INDEX GOES BRRR: 361 X: 22.5732 -INDEX GOES BRRR: 235 X: 14.6943 -INDEX GOES BRRR: 310 X: 19.4365 -INDEX GOES BRRR: 80 X: 5.05469 -INDEX GOES BRRR: 253 X: 15.8691 -INDEX GOES BRRR: 1010 X: -0.813477 -INDEX GOES BRRR: 286 X: 17.8799 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.66113 -INDEX GOES BRRR: 98 X: 6.17188 -INDEX GOES BRRR: 346 X: 21.6855 -INDEX GOES BRRR: 270 X: 16.9346 -INDEX GOES BRRR: 1010 X: -0.814453 -INDEX GOES BRRR: 144 X: 9.02637 -INDEX GOES BRRR: 915 X: -6.77051 -INDEX GOES BRRR: 420 X: 26.2939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.35742 -INDEX GOES BRRR: 296 X: 18.5176 -INDEX GOES BRRR: 1012 X: -0.723633 -INDEX GOES BRRR: 326 X: 20.3789 -INDEX GOES BRRR: 149 X: 9.36328 -INDEX GOES BRRR: 270 X: 16.876 -INDEX GOES BRRR: 314 X: 19.6338 -INDEX GOES BRRR: 47 X: 2.98242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0352 -INDEX GOES BRRR: 350 X: 21.9062 -INDEX GOES BRRR: 110 X: 6.92285 -INDEX GOES BRRR: 159 X: 9.95215 -INDEX GOES BRRR: 992 X: -1.96289 -INDEX GOES BRRR: 125 X: 7.84863 -INDEX GOES BRRR: 279 X: 17.4414 -INDEX GOES BRRR: 423 X: 26.4678 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5137 -INDEX GOES BRRR: 94 X: 5.91406 -INDEX GOES BRRR: 695 X: -20.5342 -INDEX GOES BRRR: 414 X: 25.8994 -INDEX GOES BRRR: 247 X: 15.4521 -INDEX GOES BRRR: 168 X: 10.5547 -INDEX GOES BRRR: 17 X: 1.06836 -INDEX GOES BRRR: 485 X: 30.374 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.96289 -INDEX GOES BRRR: 238 X: 14.9346 -INDEX GOES BRRR: 31 X: 1.97754 -INDEX GOES BRRR: 296 X: 18.5342 -INDEX GOES BRRR: 405 X: 25.3232 -INDEX GOES BRRR: 209 X: 13.0918 -INDEX GOES BRRR: 342 X: 21.4189 -INDEX GOES BRRR: 963 X: -3.79297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1533 -INDEX GOES BRRR: 268 X: 16.7764 -INDEX GOES BRRR: 924 X: -6.22754 -INDEX GOES BRRR: 170 X: 10.6719 -INDEX GOES BRRR: 426 X: 26.6514 -INDEX GOES BRRR: 248 X: 15.5088 -INDEX GOES BRRR: 232 X: 14.5576 -INDEX GOES BRRR: 159 X: 9.96582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 469 X: 29.3691 -INDEX GOES BRRR: 156 X: 9.75195 -INDEX GOES BRRR: 149 X: 9.36816 -INDEX GOES BRRR: 428 X: 26.7744 -INDEX GOES BRRR: 54 X: 3.39844 -INDEX GOES BRRR: 922 X: -6.3252 -INDEX GOES BRRR: 114 X: 7.17578 -INDEX GOES BRRR: 51 X: 3.23145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.2168 -INDEX GOES BRRR: 168 X: 10.5264 -INDEX GOES BRRR: 142 X: 8.88379 -INDEX GOES BRRR: 21 X: 1.31641 -INDEX GOES BRRR: 399 X: 24.9707 -INDEX GOES BRRR: 240 X: 15.0254 -INDEX GOES BRRR: 185 X: 11.5918 -INDEX GOES BRRR: 47 X: 2.9375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.51074 -INDEX GOES BRRR: 50 X: 3.13574 -INDEX GOES BRRR: 280 X: 17.5547 -INDEX GOES BRRR: 149 X: 9.3418 -INDEX GOES BRRR: 338 X: 21.1602 -INDEX GOES BRRR: 953 X: -4.4248 -INDEX GOES BRRR: 9 X: 0.584961 -INDEX GOES BRRR: 56 X: 3.54004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.334 -INDEX GOES BRRR: 943 X: -5.00977 -INDEX GOES BRRR: 75 X: 4.71875 -INDEX GOES BRRR: 993 X: -1.89746 -INDEX GOES BRRR: 222 X: 13.8789 -INDEX GOES BRRR: 212 X: 13.2939 -INDEX GOES BRRR: 132 X: 8.26953 -INDEX GOES BRRR: 401 X: 25.1025 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1504 -INDEX GOES BRRR: 91 X: 5.74316 -INDEX GOES BRRR: 103 X: 6.46094 -INDEX GOES BRRR: 50 X: 3.18652 -INDEX GOES BRRR: 106 X: 6.6416 -INDEX GOES BRRR: 82 X: 5.125 -INDEX GOES BRRR: 34 X: 2.15234 -INDEX GOES BRRR: 148 X: 9.25781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4697 -INDEX GOES BRRR: 964 X: -3.73047 -INDEX GOES BRRR: 1018 X: -0.362305 -INDEX GOES BRRR: 230 X: 14.4082 -INDEX GOES BRRR: 189 X: 11.8721 -INDEX GOES BRRR: 83 X: 5.2334 -INDEX GOES BRRR: 263 X: 16.4746 -INDEX GOES BRRR: 220 X: 13.8018 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 30 X: 1.89355 -INDEX GOES BRRR: 346 X: 21.625 -INDEX GOES BRRR: 136 X: 8.50098 -INDEX GOES BRRR: 376 X: 23.5049 -INDEX GOES BRRR: 883 X: -8.80273 -INDEX GOES BRRR: 314 X: 19.6689 -INDEX GOES BRRR: 925 X: -6.13965 -INDEX GOES BRRR: 244 X: 15.2822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8242 -INDEX GOES BRRR: 358 X: 22.4199 -INDEX GOES BRRR: 673 X: -21.8838 -INDEX GOES BRRR: 174 X: 10.8867 -INDEX GOES BRRR: 246 X: 15.3887 -INDEX GOES BRRR: 87 X: 5.4668 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 894 X: -8.08594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5947 -INDEX GOES BRRR: 254 X: 15.9092 -INDEX GOES BRRR: 155 X: 9.70312 -INDEX GOES BRRR: 241 X: 15.1055 -INDEX GOES BRRR: 127 X: 7.96777 -INDEX GOES BRRR: 155 X: 9.74805 -INDEX GOES BRRR: 345 X: 21.6025 -INDEX GOES BRRR: 254 X: 15.8848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.2188 -INDEX GOES BRRR: 833 X: -11.8799 -INDEX GOES BRRR: 140 X: 8.7666 -INDEX GOES BRRR: 179 X: 11.2324 -INDEX GOES BRRR: 343 X: 21.4746 -INDEX GOES BRRR: 244 X: 15.2617 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 300 X: 18.7549 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.79297 -INDEX GOES BRRR: 979 X: -2.76758 -INDEX GOES BRRR: 417 X: 26.0889 -INDEX GOES BRRR: 343 X: 21.459 -INDEX GOES BRRR: 241 X: 15.0762 -INDEX GOES BRRR: 972 X: -3.20117 -INDEX GOES BRRR: 77 X: 4.82031 -INDEX GOES BRRR: 1005 X: -1.15723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9082 -INDEX GOES BRRR: 229 X: 14.3574 -INDEX GOES BRRR: 411 X: 25.7305 -INDEX GOES BRRR: 230 X: 14.4248 -INDEX GOES BRRR: 168 X: 10.5322 -INDEX GOES BRRR: 12 X: 0.768555 -INDEX GOES BRRR: 1022 X: -0.0742188 -INDEX GOES BRRR: 398 X: 24.8789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0537 -INDEX GOES BRRR: 8 X: 0.535156 -INDEX GOES BRRR: 948 X: -4.74414 -INDEX GOES BRRR: 142 X: 8.93066 -INDEX GOES BRRR: 197 X: 12.3633 -INDEX GOES BRRR: 186 X: 11.6777 -INDEX GOES BRRR: 30 X: 1.9082 -INDEX GOES BRRR: 142 X: 8.88086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 894 X: -8.08984 -INDEX GOES BRRR: 46 X: 2.8877 -INDEX GOES BRRR: 146 X: 9.17969 -INDEX GOES BRRR: 1001 X: -1.40918 -INDEX GOES BRRR: 214 X: 13.4248 -INDEX GOES BRRR: 781 X: -15.1816 -INDEX GOES BRRR: 969 X: -3.37988 -INDEX GOES BRRR: 260 X: 16.2832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.79199 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 321 X: 20.0947 -INDEX GOES BRRR: 955 X: -4.30664 -INDEX GOES BRRR: 230 X: 14.4141 -INDEX GOES BRRR: 38 X: 2.38281 -INDEX GOES BRRR: 130 X: 8.1377 -INDEX GOES BRRR: 375 X: 23.4902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5303 -INDEX GOES BRRR: 328 X: 20.5498 -INDEX GOES BRRR: 69 X: 4.33203 -INDEX GOES BRRR: 185 X: 11.6074 -INDEX GOES BRRR: 214 X: 13.3965 -INDEX GOES BRRR: 69 X: 4.37207 -INDEX GOES BRRR: 931 X: -5.79297 -INDEX GOES BRRR: 213 X: 13.333 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.32715 -INDEX GOES BRRR: 132 X: 8.26465 -INDEX GOES BRRR: 255 X: 15.9619 -INDEX GOES BRRR: 435 X: 27.2451 -INDEX GOES BRRR: 325 X: 20.3613 -INDEX GOES BRRR: 980 X: -2.72461 -INDEX GOES BRRR: 244 X: 15.2705 -INDEX GOES BRRR: 116 X: 7.27734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 331 X: 20.7119 -INDEX GOES BRRR: 869 X: -9.66895 -INDEX GOES BRRR: 90 X: 5.66016 -INDEX GOES BRRR: 133 X: 8.33008 -INDEX GOES BRRR: 181 X: 11.3438 -INDEX GOES BRRR: 440 X: 27.5244 -INDEX GOES BRRR: 118 X: 7.41309 -INDEX GOES BRRR: 963 X: -3.8125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.660156 -INDEX GOES BRRR: 183 X: 11.4482 -INDEX GOES BRRR: 992 X: -2 -INDEX GOES BRRR: 196 X: 12.2754 -INDEX GOES BRRR: 185 X: 11.6104 -INDEX GOES BRRR: 247 X: 15.499 -INDEX GOES BRRR: 748 X: -17.2441 -INDEX GOES BRRR: 294 X: 18.4014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5234 -INDEX GOES BRRR: 276 X: 17.2979 -INDEX GOES BRRR: 936 X: -5.45801 -INDEX GOES BRRR: 878 X: -9.12402 -INDEX GOES BRRR: 138 X: 8.64453 -INDEX GOES BRRR: 254 X: 15.9033 -INDEX GOES BRRR: 491 X: 30.6895 -INDEX GOES BRRR: 90 X: 5.68262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9639 -INDEX GOES BRRR: 14 X: 0.900391 -INDEX GOES BRRR: 200 X: 12.5576 -INDEX GOES BRRR: 801 X: -13.8936 -INDEX GOES BRRR: 54 X: 3.40234 -INDEX GOES BRRR: 13 X: 0.855469 -INDEX GOES BRRR: 205 X: 12.8564 -INDEX GOES BRRR: 260 X: 16.2588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 915 X: -6.76855 -INDEX GOES BRRR: 143 X: 8.98926 -INDEX GOES BRRR: 273 X: 17.0928 -INDEX GOES BRRR: 170 X: 10.6309 -INDEX GOES BRRR: 207 X: 12.9717 -INDEX GOES BRRR: 200 X: 12.5127 -INDEX GOES BRRR: 363 X: 22.7246 -INDEX GOES BRRR: 201 X: 12.6094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 378 X: 23.6475 -INDEX GOES BRRR: 320 X: 20.0605 -INDEX GOES BRRR: 45 X: 2.85645 -INDEX GOES BRRR: 103 X: 6.47461 -INDEX GOES BRRR: 303 X: 18.9492 -INDEX GOES BRRR: 888 X: -8.45508 -INDEX GOES BRRR: 103 X: 6.46094 -INDEX GOES BRRR: 989 X: -2.13184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.51855 -INDEX GOES BRRR: 69 X: 4.34863 -INDEX GOES BRRR: 240 X: 15.0537 -INDEX GOES BRRR: 82 X: 5.14844 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 209 X: 13.0928 -INDEX GOES BRRR: 106 X: 6.68555 -INDEX GOES BRRR: 214 X: 13.3877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.74121 -INDEX GOES BRRR: 183 X: 11.458 -INDEX GOES BRRR: 208 X: 13.0508 -INDEX GOES BRRR: 927 X: -6.06152 -INDEX GOES BRRR: 331 X: 20.7041 -INDEX GOES BRRR: 201 X: 12.5957 -INDEX GOES BRRR: 951 X: -4.52246 -INDEX GOES BRRR: 346 X: 21.6719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 430 X: 26.8984 -INDEX GOES BRRR: 141 X: 8.83008 -INDEX GOES BRRR: 191 X: 11.957 -INDEX GOES BRRR: 172 X: 10.75 -INDEX GOES BRRR: 11 X: 0.741211 -INDEX GOES BRRR: 225 X: 14.0664 -INDEX GOES BRRR: 19 X: 1.24902 -INDEX GOES BRRR: 867 X: -9.79883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.44824 -INDEX GOES BRRR: 47 X: 2.93848 -INDEX GOES BRRR: 124 X: 7.77832 -INDEX GOES BRRR: 341 X: 21.3564 -INDEX GOES BRRR: 258 X: 16.1553 -INDEX GOES BRRR: 50 X: 3.18652 -INDEX GOES BRRR: 259 X: 16.2295 -INDEX GOES BRRR: 237 X: 14.8271 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.09375 -INDEX GOES BRRR: 67 X: 4.24805 -INDEX GOES BRRR: 203 X: 12.7256 -INDEX GOES BRRR: 236 X: 14.7969 -INDEX GOES BRRR: 175 X: 10.958 -INDEX GOES BRRR: 9 X: 0.611328 -INDEX GOES BRRR: 258 X: 16.1455 -INDEX GOES BRRR: 121 X: 7.59082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 349 X: 21.874 -INDEX GOES BRRR: 190 X: 11.8809 -INDEX GOES BRRR: 138 X: 8.67773 -INDEX GOES BRRR: 997 X: -1.63477 -INDEX GOES BRRR: 325 X: 20.3486 -INDEX GOES BRRR: 177 X: 11.0977 -INDEX GOES BRRR: 343 X: 21.4746 -INDEX GOES BRRR: 210 X: 13.1289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.15527 -INDEX GOES BRRR: 920 X: -6.46289 -INDEX GOES BRRR: 471 X: 29.4688 -INDEX GOES BRRR: 64 X: 4.02344 -INDEX GOES BRRR: 99 X: 6.20801 -INDEX GOES BRRR: 980 X: -2.7041 -INDEX GOES BRRR: 197 X: 12.3506 -INDEX GOES BRRR: 935 X: -5.50879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 943 X: -5.04395 -INDEX GOES BRRR: 156 X: 9.77148 -INDEX GOES BRRR: 297 X: 18.6162 -INDEX GOES BRRR: 39 X: 2.45312 -INDEX GOES BRRR: 263 X: 16.4629 -INDEX GOES BRRR: 422 X: 26.4336 -INDEX GOES BRRR: 63 X: 3.98926 -INDEX GOES BRRR: 245 X: 15.3242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.2109 -INDEX GOES BRRR: 171 X: 10.7148 -INDEX GOES BRRR: 196 X: 12.2979 -INDEX GOES BRRR: 11 X: 0.71582 -INDEX GOES BRRR: 327 X: 20.4766 -INDEX GOES BRRR: 54 X: 3.41895 -INDEX GOES BRRR: 221 X: 13.8652 -INDEX GOES BRRR: 236 X: 14.7812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 435 X: 27.2168 -INDEX GOES BRRR: 101 X: 6.35742 -INDEX GOES BRRR: 351 X: 21.9609 -INDEX GOES BRRR: 66 X: 4.16309 -INDEX GOES BRRR: 23 X: 1.45801 -INDEX GOES BRRR: 2 X: 0.167969 -INDEX GOES BRRR: 158 X: 9.88672 -INDEX GOES BRRR: 257 X: 16.1172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 159 X: 9.94043 -INDEX GOES BRRR: 142 X: 8.89648 -INDEX GOES BRRR: 20 X: 1.27148 -INDEX GOES BRRR: 942 X: -5.06348 -INDEX GOES BRRR: 231 X: 14.459 -INDEX GOES BRRR: 1014 X: -0.588867 -INDEX GOES BRRR: 388 X: 24.2959 -INDEX GOES BRRR: 202 X: 12.6367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.06055 -INDEX GOES BRRR: 823 X: -12.5625 -INDEX GOES BRRR: 99 X: 6.18945 -INDEX GOES BRRR: 988 X: -2.22949 -INDEX GOES BRRR: 145 X: 9.11816 -INDEX GOES BRRR: 907 X: -7.27637 -INDEX GOES BRRR: 988 X: -2.19922 -INDEX GOES BRRR: 312 X: 19.5449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7588 -INDEX GOES BRRR: 112 X: 7 -INDEX GOES BRRR: 20 X: 1.29102 -INDEX GOES BRRR: 237 X: 14.8564 -INDEX GOES BRRR: 414 X: 25.8984 -INDEX GOES BRRR: 404 X: 25.2637 -INDEX GOES BRRR: 239 X: 14.9424 -INDEX GOES BRRR: 201 X: 12.5713 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.6924 -INDEX GOES BRRR: 481 X: 30.1221 -INDEX GOES BRRR: 492 X: 30.7549 -INDEX GOES BRRR: 102 X: 6.40918 -INDEX GOES BRRR: 109 X: 6.83594 -INDEX GOES BRRR: 240 X: 15.0342 -INDEX GOES BRRR: 768 X: -15.9453 -INDEX GOES BRRR: 107 X: 6.69238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.93945 -INDEX GOES BRRR: 1007 X: -1.05859 -INDEX GOES BRRR: 87 X: 5.49023 -INDEX GOES BRRR: 316 X: 19.8115 -INDEX GOES BRRR: 202 X: 12.6768 -INDEX GOES BRRR: 250 X: 15.666 -INDEX GOES BRRR: 54 X: 3.43359 -INDEX GOES BRRR: 56 X: 3.51074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.68945 -INDEX GOES BRRR: 394 X: 24.6641 -INDEX GOES BRRR: 167 X: 10.4824 -INDEX GOES BRRR: 184 X: 11.542 -INDEX GOES BRRR: 329 X: 20.5908 -INDEX GOES BRRR: 1017 X: -0.415039 -INDEX GOES BRRR: 53 X: 3.37305 -INDEX GOES BRRR: 59 X: 3.7334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 921 X: -6.41895 -INDEX GOES BRRR: 185 X: 11.5859 -INDEX GOES BRRR: 79 X: 4.97656 -INDEX GOES BRRR: 883 X: -8.79297 -INDEX GOES BRRR: 342 X: 21.3984 -INDEX GOES BRRR: 867 X: -9.7793 -INDEX GOES BRRR: 1000 X: -1.49902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.45215 -INDEX GOES BRRR: 252 X: 15.8086 -INDEX GOES BRRR: 236 X: 14.792 -INDEX GOES BRRR: 189 X: 11.8682 -INDEX GOES BRRR: 253 X: 15.8125 -INDEX GOES BRRR: 1004 X: -1.21289 -INDEX GOES BRRR: 100 X: 6.28223 -INDEX GOES BRRR: 236 X: 14.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.71387 -INDEX GOES BRRR: 490 X: 30.6689 -INDEX GOES BRRR: 67 X: 4.19141 -INDEX GOES BRRR: 1002 X: -1.31836 -INDEX GOES BRRR: 310 X: 19.4033 -INDEX GOES BRRR: 63 X: 3.95215 -INDEX GOES BRRR: 439 X: 27.458 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8281 -INDEX GOES BRRR: 149 X: 9.36621 -INDEX GOES BRRR: 333 X: 20.8398 -INDEX GOES BRRR: 358 X: 22.4131 -INDEX GOES BRRR: 154 X: 9.66992 -INDEX GOES BRRR: 975 X: -3.04102 -INDEX GOES BRRR: 25 X: 1.60742 -INDEX GOES BRRR: 967 X: -3.50586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 963 X: -3.79102 -INDEX GOES BRRR: 118 X: 7.42871 -INDEX GOES BRRR: 98 X: 6.13867 -INDEX GOES BRRR: 438 X: 27.4004 -INDEX GOES BRRR: 94 X: 5.92188 -INDEX GOES BRRR: 440 X: 27.5254 -INDEX GOES BRRR: 491 X: 30.7012 -INDEX GOES BRRR: 209 X: 13.085 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9951 -INDEX GOES BRRR: 118 X: 7.39746 -INDEX GOES BRRR: 236 X: 14.7676 -INDEX GOES BRRR: 950 X: -4.58887 -INDEX GOES BRRR: 363 X: 22.7285 -INDEX GOES BRRR: 65 X: 4.07324 -INDEX GOES BRRR: 49 X: 3.11035 -INDEX GOES BRRR: 920 X: -6.44043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 320 X: 20.0342 -INDEX GOES BRRR: 39 X: 2.44336 -INDEX GOES BRRR: 196 X: 12.2617 -INDEX GOES BRRR: 85 X: 5.36328 -INDEX GOES BRRR: 998 X: -1.62305 -INDEX GOES BRRR: 375 X: 23.4951 -INDEX GOES BRRR: 938 X: -5.32715 -INDEX GOES BRRR: 171 X: 10.7266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5449 -INDEX GOES BRRR: 166 X: 10.4102 -INDEX GOES BRRR: 86 X: 5.38086 -INDEX GOES BRRR: 370 X: 23.127 -INDEX GOES BRRR: 30 X: 1.9209 -INDEX GOES BRRR: 269 X: 16.8135 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 290 X: 18.1865 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 941 X: -5.1543 -INDEX GOES BRRR: 279 X: 17.4658 -INDEX GOES BRRR: 103 X: 6.44824 -INDEX GOES BRRR: 314 X: 19.6602 -INDEX GOES BRRR: 62 X: 3.92871 -INDEX GOES BRRR: 48 X: 3.04785 -INDEX GOES BRRR: 319 X: 19.96 -INDEX GOES BRRR: 150 X: 9.43555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.1377 -INDEX GOES BRRR: 435 X: 27.1973 -INDEX GOES BRRR: 391 X: 24.4863 -INDEX GOES BRRR: 318 X: 19.8809 -INDEX GOES BRRR: 38 X: 2.38086 -INDEX GOES BRRR: 67 X: 4.19141 -INDEX GOES BRRR: 988 X: -2.22168 -INDEX GOES BRRR: 174 X: 10.8896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.688477 -INDEX GOES BRRR: 988 X: -2.2168 -INDEX GOES BRRR: 469 X: 29.3447 -INDEX GOES BRRR: 13 X: 0.821289 -INDEX GOES BRRR: 1012 X: -0.701172 -INDEX GOES BRRR: 121 X: 7.60156 -INDEX GOES BRRR: 361 X: 22.5674 -INDEX GOES BRRR: 157 X: 9.83984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 965 X: -3.62695 -INDEX GOES BRRR: 288 X: 18.0508 -INDEX GOES BRRR: 145 X: 9.0791 -INDEX GOES BRRR: 360 X: 22.5537 -INDEX GOES BRRR: 241 X: 15.0693 -INDEX GOES BRRR: 948 X: -4.69922 -INDEX GOES BRRR: 56 X: 3.50781 -INDEX GOES BRRR: 245 X: 15.3682 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7793 -INDEX GOES BRRR: 217 X: 13.584 -INDEX GOES BRRR: 234 X: 14.6855 -INDEX GOES BRRR: 80 X: 5.00293 -INDEX GOES BRRR: 79 X: 4.99805 -INDEX GOES BRRR: 249 X: 15.5977 -INDEX GOES BRRR: 60 X: 3.81152 -INDEX GOES BRRR: 294 X: 18.4365 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5088 -INDEX GOES BRRR: 55 X: 3.44336 -INDEX GOES BRRR: 380 X: 23.7939 -INDEX GOES BRRR: 353 X: 22.1006 -INDEX GOES BRRR: 872 X: -9.47461 -INDEX GOES BRRR: 209 X: 13.1025 -INDEX GOES BRRR: 988 X: -2.19434 -INDEX GOES BRRR: 115 X: 7.23535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4961 -INDEX GOES BRRR: 219 X: 13.7324 -INDEX GOES BRRR: 54 X: 3.43066 -INDEX GOES BRRR: 331 X: 20.71 -INDEX GOES BRRR: 331 X: 20.7344 -INDEX GOES BRRR: 372 X: 23.251 -INDEX GOES BRRR: 107 X: 6.74805 -INDEX GOES BRRR: 176 X: 11.002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6416 -INDEX GOES BRRR: 118 X: 7.37891 -INDEX GOES BRRR: 112 X: 7.02539 -INDEX GOES BRRR: 95 X: 5.95117 -INDEX GOES BRRR: 970 X: -3.36133 -INDEX GOES BRRR: 989 X: -2.17383 -INDEX GOES BRRR: 256 X: 16 -INDEX GOES BRRR: 226 X: 14.1523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.7158 -INDEX GOES BRRR: 151 X: 9.44336 -INDEX GOES BRRR: 47 X: 2.94141 -INDEX GOES BRRR: 195 X: 12.2246 -INDEX GOES BRRR: 6 X: 0.389648 -INDEX GOES BRRR: 155 X: 9.74023 -INDEX GOES BRRR: 75 X: 4.73633 -INDEX GOES BRRR: 950 X: -4.61328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1013 X: -0.629883 -INDEX GOES BRRR: 764 X: -16.1904 -INDEX GOES BRRR: 140 X: 8.76367 -INDEX GOES BRRR: 122 X: 7.67383 -INDEX GOES BRRR: 153 X: 9.58105 -INDEX GOES BRRR: 48 X: 3 -INDEX GOES BRRR: 158 X: 9.91309 -INDEX GOES BRRR: 84 X: 5.29883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.45508 -INDEX GOES BRRR: 236 X: 14.7754 -INDEX GOES BRRR: 101 X: 6.3291 -INDEX GOES BRRR: 73 X: 4.56836 -INDEX GOES BRRR: 243 X: 15.1982 -INDEX GOES BRRR: 297 X: 18.6064 -INDEX GOES BRRR: 94 X: 5.91211 -INDEX GOES BRRR: 309 X: 19.3564 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.33105 -INDEX GOES BRRR: 195 X: 12.1953 -INDEX GOES BRRR: 940 X: -5.24023 -INDEX GOES BRRR: 57 X: 3.59863 -INDEX GOES BRRR: 48 X: 3.0166 -INDEX GOES BRRR: 977 X: -2.88965 -INDEX GOES BRRR: 384 X: 24.0391 -INDEX GOES BRRR: 473 X: 29.5654 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0469 -INDEX GOES BRRR: 25 X: 1.61035 -INDEX GOES BRRR: 99 X: 6.2373 -INDEX GOES BRRR: 945 X: -4.9043 -INDEX GOES BRRR: 258 X: 16.1689 -INDEX GOES BRRR: 192 X: 12.0449 -INDEX GOES BRRR: 149 X: 9.36816 -INDEX GOES BRRR: 404 X: 25.2549 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0059 -INDEX GOES BRRR: 219 X: 13.7275 -INDEX GOES BRRR: 313 X: 19.5713 -INDEX GOES BRRR: 229 X: 14.3359 -INDEX GOES BRRR: 228 X: 14.2979 -INDEX GOES BRRR: 244 X: 15.2539 -INDEX GOES BRRR: 319 X: 19.958 -INDEX GOES BRRR: 325 X: 20.3184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1416 -INDEX GOES BRRR: 405 X: 25.3242 -INDEX GOES BRRR: 64 X: 4.00293 -INDEX GOES BRRR: 13 X: 0.828125 -INDEX GOES BRRR: 133 X: 8.3457 -INDEX GOES BRRR: 882 X: -8.82227 -INDEX GOES BRRR: 188 X: 11.7959 -INDEX GOES BRRR: 252 X: 15.8027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.19434 -INDEX GOES BRRR: 121 X: 7.57031 -INDEX GOES BRRR: 145 X: 9.0791 -INDEX GOES BRRR: 390 X: 24.3965 -INDEX GOES BRRR: 142 X: 8.91113 -INDEX GOES BRRR: 225 X: 14.0635 -INDEX GOES BRRR: 936 X: -5.45996 -INDEX GOES BRRR: 231 X: 14.4795 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.67188 -INDEX GOES BRRR: 894 X: -8.09668 -INDEX GOES BRRR: 390 X: 24.375 -INDEX GOES BRRR: 166 X: 10.3877 -INDEX GOES BRRR: 468 X: 29.2744 -INDEX GOES BRRR: 403 X: 25.2305 -INDEX GOES BRRR: 164 X: 10.251 -INDEX GOES BRRR: 920 X: -6.47461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4512 -INDEX GOES BRRR: 266 X: 16.665 -INDEX GOES BRRR: 143 X: 8.96094 -INDEX GOES BRRR: 186 X: 11.6309 -INDEX GOES BRRR: 20 X: 1.26562 -INDEX GOES BRRR: 374 X: 23.3887 -INDEX GOES BRRR: 432 X: 27.0596 -INDEX GOES BRRR: 264 X: 16.5146 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.98242 -INDEX GOES BRRR: 283 X: 17.7383 -INDEX GOES BRRR: 433 X: 27.082 -INDEX GOES BRRR: 915 X: -6.75391 -INDEX GOES BRRR: 337 X: 21.0859 -INDEX GOES BRRR: 53 X: 3.31641 -INDEX GOES BRRR: 946 X: -4.84961 -INDEX GOES BRRR: 286 X: 17.9082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.20508 -INDEX GOES BRRR: 114 X: 7.18457 -INDEX GOES BRRR: 274 X: 17.1504 -INDEX GOES BRRR: 205 X: 12.874 -INDEX GOES BRRR: 360 X: 22.502 -INDEX GOES BRRR: 473 X: 29.5977 -INDEX GOES BRRR: 269 X: 16.8574 -INDEX GOES BRRR: 192 X: 12.0322 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8652 -INDEX GOES BRRR: 90 X: 5.67871 -INDEX GOES BRRR: 31 X: 1.95508 -INDEX GOES BRRR: 226 X: 14.1797 -INDEX GOES BRRR: 269 X: 16.874 -INDEX GOES BRRR: 237 X: 14.8506 -INDEX GOES BRRR: 991 X: -2.00879 -INDEX GOES BRRR: 439 X: 27.4873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.9277 -INDEX GOES BRRR: 1006 X: -1.0791 -INDEX GOES BRRR: 955 X: -4.31152 -INDEX GOES BRRR: 66 X: 4.15527 -INDEX GOES BRRR: 299 X: 18.7119 -INDEX GOES BRRR: 342 X: 21.3906 -INDEX GOES BRRR: 292 X: 18.2588 -INDEX GOES BRRR: 184 X: 11.5576 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.21387 -INDEX GOES BRRR: 34 X: 2.14746 -INDEX GOES BRRR: 211 X: 13.2207 -INDEX GOES BRRR: 987 X: -2.30176 -INDEX GOES BRRR: 997 X: -1.66797 -INDEX GOES BRRR: 185 X: 11.6211 -INDEX GOES BRRR: 59 X: 3.70605 -INDEX GOES BRRR: 290 X: 18.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.999 -INDEX GOES BRRR: 82 X: 5.1416 -INDEX GOES BRRR: 171 X: 10.7227 -INDEX GOES BRRR: 241 X: 15.1172 -INDEX GOES BRRR: 122 X: 7.6709 -INDEX GOES BRRR: 932 X: -5.75 -INDEX GOES BRRR: 321 X: 20.0928 -INDEX GOES BRRR: 6 X: 0.383789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8711 -INDEX GOES BRRR: 930 X: -5.875 -INDEX GOES BRRR: 166 X: 10.4316 -INDEX GOES BRRR: 417 X: 26.0762 -INDEX GOES BRRR: 47 X: 2.95312 -INDEX GOES BRRR: 65 X: 4.10449 -INDEX GOES BRRR: 87 X: 5.46777 -INDEX GOES BRRR: 450 X: 28.127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.19629 -INDEX GOES BRRR: 120 X: 7.50098 -INDEX GOES BRRR: 361 X: 22.6045 -INDEX GOES BRRR: 336 X: 21.0137 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 289 X: 18.1084 -INDEX GOES BRRR: 1012 X: -0.708008 -INDEX GOES BRRR: 6 X: 0.392578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5244 -INDEX GOES BRRR: 296 X: 18.543 -INDEX GOES BRRR: 243 X: 15.2451 -INDEX GOES BRRR: 318 X: 19.9014 -INDEX GOES BRRR: 96 X: 6.01562 -INDEX GOES BRRR: 45 X: 2.86133 -INDEX GOES BRRR: 978 X: -2.86914 -INDEX GOES BRRR: 206 X: 12.8896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.78906 -INDEX GOES BRRR: 919 X: -6.52246 -INDEX GOES BRRR: 225 X: 14.0859 -INDEX GOES BRRR: 440 X: 27.5566 -INDEX GOES BRRR: 95 X: 5.9873 -INDEX GOES BRRR: 402 X: 25.1416 -INDEX GOES BRRR: 985 X: -2.37598 -INDEX GOES BRRR: 22 X: 1.38281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.68164 -INDEX GOES BRRR: 196 X: 12.2656 -INDEX GOES BRRR: 211 X: 13.2266 -INDEX GOES BRRR: 281 X: 17.6055 -INDEX GOES BRRR: 893 X: -8.16797 -INDEX GOES BRRR: 222 X: 13.9023 -INDEX GOES BRRR: 251 X: 15.7178 -INDEX GOES BRRR: 489 X: 30.6094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 392 X: 24.5449 -INDEX GOES BRRR: 249 X: 15.5625 -INDEX GOES BRRR: 344 X: 21.5537 -INDEX GOES BRRR: 178 X: 11.1641 -INDEX GOES BRRR: 979 X: -2.77539 -INDEX GOES BRRR: 365 X: 22.8164 -INDEX GOES BRRR: 493 X: 30.8555 -INDEX GOES BRRR: 258 X: 16.165 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.00586 -INDEX GOES BRRR: 211 X: 13.2305 -INDEX GOES BRRR: 878 X: -9.12207 -INDEX GOES BRRR: 1002 X: -1.32715 -INDEX GOES BRRR: 49 X: 3.08008 -INDEX GOES BRRR: 124 X: 7.75293 -INDEX GOES BRRR: 114 X: 7.15039 -INDEX GOES BRRR: 198 X: 12.4062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 356 X: 22.3008 -INDEX GOES BRRR: 12 X: 0.807617 -INDEX GOES BRRR: 148 X: 9.28613 -INDEX GOES BRRR: 9 X: 0.569336 -INDEX GOES BRRR: 952 X: -4.45215 -INDEX GOES BRRR: 119 X: 7.49707 -INDEX GOES BRRR: 196 X: 12.292 -INDEX GOES BRRR: 114 X: 7.17969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.99707 -INDEX GOES BRRR: 877 X: -9.12793 -INDEX GOES BRRR: 280 X: 17.5205 -INDEX GOES BRRR: 185 X: 11.6211 -INDEX GOES BRRR: 78 X: 4.89648 -INDEX GOES BRRR: 245 X: 15.3555 -INDEX GOES BRRR: 88 X: 5.56055 -INDEX GOES BRRR: 203 X: 12.749 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.71973 -INDEX GOES BRRR: 213 X: 13.3184 -INDEX GOES BRRR: 237 X: 14.8262 -INDEX GOES BRRR: 367 X: 22.9941 -INDEX GOES BRRR: 228 X: 14.2764 -INDEX GOES BRRR: 197 X: 12.3701 -INDEX GOES BRRR: 9 X: 0.603516 -INDEX GOES BRRR: 281 X: 17.5713 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 444 X: 27.8086 -INDEX GOES BRRR: 115 X: 7.23535 -INDEX GOES BRRR: 938 X: -5.3291 -INDEX GOES BRRR: 131 X: 8.19141 -INDEX GOES BRRR: 395 X: 24.7461 -INDEX GOES BRRR: 247 X: 15.4395 -INDEX GOES BRRR: 66 X: 4.17676 -INDEX GOES BRRR: 90 X: 5.66895 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7207 -INDEX GOES BRRR: 24 X: 1.5127 -INDEX GOES BRRR: 34 X: 2.15918 -INDEX GOES BRRR: 146 X: 9.17188 -INDEX GOES BRRR: 5 X: 0.347656 -INDEX GOES BRRR: 395 X: 24.748 -INDEX GOES BRRR: 870 X: -9.59668 -INDEX GOES BRRR: 172 X: 10.7783 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.53906 -INDEX GOES BRRR: 248 X: 15.501 -INDEX GOES BRRR: 220 X: 13.7939 -INDEX GOES BRRR: 235 X: 14.7246 -INDEX GOES BRRR: 113 X: 7.08594 -INDEX GOES BRRR: 180 X: 11.2637 -INDEX GOES BRRR: 115 X: 7.20312 -INDEX GOES BRRR: 78 X: 4.91602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.04004 -INDEX GOES BRRR: 472 X: 29.5322 -INDEX GOES BRRR: 122 X: 7.68457 -INDEX GOES BRRR: 171 X: 10.7383 -INDEX GOES BRRR: 171 X: 10.7373 -INDEX GOES BRRR: 274 X: 17.1768 -INDEX GOES BRRR: 97 X: 6.07422 -INDEX GOES BRRR: 90 X: 5.6377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 134 X: 8.40527 -INDEX GOES BRRR: 976 X: -2.97363 -INDEX GOES BRRR: 1013 X: -0.642578 -INDEX GOES BRRR: 370 X: 23.166 -INDEX GOES BRRR: 978 X: -2.84277 -INDEX GOES BRRR: 137 X: 8.57812 -INDEX GOES BRRR: 277 X: 17.3643 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 887 X: -8.5332 -INDEX GOES BRRR: 222 X: 13.9082 -INDEX GOES BRRR: 15 X: 0.987305 -INDEX GOES BRRR: 980 X: -2.71387 -INDEX GOES BRRR: 961 X: -3.91602 -INDEX GOES BRRR: 138 X: 8.64746 -INDEX GOES BRRR: 109 X: 6.84668 -INDEX GOES BRRR: 206 X: 12.9268 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0654 -INDEX GOES BRRR: 320 X: 20.0479 -INDEX GOES BRRR: 159 X: 9.97754 -INDEX GOES BRRR: 254 X: 15.8994 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 151 X: 9.49316 -INDEX GOES BRRR: 254 X: 15.8916 -INDEX GOES BRRR: 82 X: 5.14453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.34082 -INDEX GOES BRRR: 61 X: 3.84766 -INDEX GOES BRRR: 411 X: 25.6934 -INDEX GOES BRRR: 211 X: 13.1934 -INDEX GOES BRRR: 1001 X: -1.41406 -INDEX GOES BRRR: 212 X: 13.2607 -INDEX GOES BRRR: 42 X: 2.65723 -INDEX GOES BRRR: 10 X: 0.655273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 324 X: 20.2627 -INDEX GOES BRRR: 200 X: 12.5039 -INDEX GOES BRRR: 149 X: 9.36816 -INDEX GOES BRRR: 1017 X: -0.422852 -INDEX GOES BRRR: 229 X: 14.3711 -INDEX GOES BRRR: 399 X: 24.9951 -INDEX GOES BRRR: 909 X: -7.16797 -INDEX GOES BRRR: 1010 X: -0.851562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4316 -INDEX GOES BRRR: 245 X: 15.3633 -INDEX GOES BRRR: 962 X: -3.85449 -INDEX GOES BRRR: 77 X: 4.84863 -INDEX GOES BRRR: 105 X: 6.59375 -INDEX GOES BRRR: 151 X: 9.47559 -INDEX GOES BRRR: 452 X: 28.2734 -INDEX GOES BRRR: 147 X: 9.22266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.6201 -INDEX GOES BRRR: 191 X: 11.9443 -INDEX GOES BRRR: 495 X: 30.9912 -INDEX GOES BRRR: 206 X: 12.9004 -INDEX GOES BRRR: 892 X: -8.19238 -INDEX GOES BRRR: 54 X: 3.4082 -INDEX GOES BRRR: 818 X: -12.8252 -INDEX GOES BRRR: 131 X: 8.22266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1020 X: -0.197266 -INDEX GOES BRRR: 321 X: 20.123 -INDEX GOES BRRR: 46 X: 2.87598 -INDEX GOES BRRR: 986 X: -2.34766 -INDEX GOES BRRR: 167 X: 10.4697 -INDEX GOES BRRR: 1018 X: -0.330078 -INDEX GOES BRRR: 346 X: 21.6758 -INDEX GOES BRRR: 51 X: 3.22266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.1123 -INDEX GOES BRRR: 222 X: 13.9043 -INDEX GOES BRRR: 269 X: 16.8584 -INDEX GOES BRRR: 381 X: 23.8242 -INDEX GOES BRRR: 127 X: 7.97363 -INDEX GOES BRRR: 488 X: 30.5371 -INDEX GOES BRRR: 1011 X: -0.797852 -INDEX GOES BRRR: 138 X: 8.67578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.60352 -INDEX GOES BRRR: 995 X: -1.78125 -INDEX GOES BRRR: 961 X: -3.90234 -INDEX GOES BRRR: 267 X: 16.7197 -INDEX GOES BRRR: 208 X: 13.0615 -INDEX GOES BRRR: 274 X: 17.1299 -INDEX GOES BRRR: 113 X: 7.06445 -INDEX GOES BRRR: 229 X: 14.3184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.53027 -INDEX GOES BRRR: 230 X: 14.3877 -INDEX GOES BRRR: 274 X: 17.1367 -INDEX GOES BRRR: 245 X: 15.3359 -INDEX GOES BRRR: 259 X: 16.2236 -INDEX GOES BRRR: 166 X: 10.3838 -INDEX GOES BRRR: 194 X: 12.1729 -INDEX GOES BRRR: 341 X: 21.3682 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.51953 -INDEX GOES BRRR: 117 X: 7.31445 -INDEX GOES BRRR: 258 X: 16.1279 -INDEX GOES BRRR: 400 X: 25.0029 -INDEX GOES BRRR: 349 X: 21.8691 -INDEX GOES BRRR: 260 X: 16.3096 -INDEX GOES BRRR: 105 X: 6.57129 -INDEX GOES BRRR: 109 X: 6.85742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.9502 -INDEX GOES BRRR: 188 X: 11.7559 -INDEX GOES BRRR: 112 X: 7.01465 -INDEX GOES BRRR: 82 X: 5.12695 -INDEX GOES BRRR: 175 X: 10.9561 -INDEX GOES BRRR: 260 X: 16.3027 -INDEX GOES BRRR: 142 X: 8.91504 -INDEX GOES BRRR: 71 X: 4.46387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.00195 -INDEX GOES BRRR: 879 X: -9.0625 -INDEX GOES BRRR: 320 X: 20.0098 -INDEX GOES BRRR: 246 X: 15.3955 -INDEX GOES BRRR: 483 X: 30.2139 -INDEX GOES BRRR: 960 X: -3.96094 -INDEX GOES BRRR: 311 X: 19.4619 -INDEX GOES BRRR: 96 X: 6.0459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 106 X: 6.68457 -INDEX GOES BRRR: 175 X: 10.9561 -INDEX GOES BRRR: 149 X: 9.34375 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 234 X: 14.6797 -INDEX GOES BRRR: 999 X: -1.54297 -INDEX GOES BRRR: 985 X: -2.41309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 425 X: 26.5771 -INDEX GOES BRRR: 871 X: -9.54199 -INDEX GOES BRRR: 82 X: 5.18262 -INDEX GOES BRRR: 133 X: 8.3418 -INDEX GOES BRRR: 82 X: 5.14258 -INDEX GOES BRRR: 990 X: -2.10645 -INDEX GOES BRRR: 995 X: -1.79785 -INDEX GOES BRRR: 130 X: 8.12695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.80176 -INDEX GOES BRRR: 304 X: 19.0557 -INDEX GOES BRRR: 227 X: 14.2471 -INDEX GOES BRRR: 970 X: -3.3623 -INDEX GOES BRRR: 265 X: 16.6113 -INDEX GOES BRRR: 263 X: 16.4512 -INDEX GOES BRRR: 1015 X: -0.541016 -INDEX GOES BRRR: 48 X: 3.0293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.64258 -INDEX GOES BRRR: 207 X: 12.9492 -INDEX GOES BRRR: 360 X: 22.5371 -INDEX GOES BRRR: 15 X: 0.998047 -INDEX GOES BRRR: 66 X: 4.17188 -INDEX GOES BRRR: 147 X: 9.21484 -INDEX GOES BRRR: 27 X: 1.7207 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.0752 -INDEX GOES BRRR: 944 X: -4.97754 -INDEX GOES BRRR: 64 X: 4.02734 -INDEX GOES BRRR: 349 X: 21.8213 -INDEX GOES BRRR: 244 X: 15.3096 -INDEX GOES BRRR: 423 X: 26.4658 -INDEX GOES BRRR: 19 X: 1.19141 -INDEX GOES BRRR: 881 X: -8.90234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 939 X: -5.26562 -INDEX GOES BRRR: 201 X: 12.5918 -INDEX GOES BRRR: 149 X: 9.36816 -INDEX GOES BRRR: 963 X: -3.79199 -INDEX GOES BRRR: 191 X: 11.9961 -INDEX GOES BRRR: 113 X: 7.0625 -INDEX GOES BRRR: 27 X: 1.72656 -INDEX GOES BRRR: 47 X: 2.97949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.4111 -INDEX GOES BRRR: 810 X: -13.3467 -INDEX GOES BRRR: 206 X: 12.9102 -INDEX GOES BRRR: 91 X: 5.7168 -INDEX GOES BRRR: 16 X: 1.01465 -INDEX GOES BRRR: 952 X: -4.46289 -INDEX GOES BRRR: 955 X: -4.25879 -INDEX GOES BRRR: 410 X: 25.6309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.3779 -INDEX GOES BRRR: 48 X: 3.04004 -INDEX GOES BRRR: 419 X: 26.2168 -INDEX GOES BRRR: 100 X: 6.27832 -INDEX GOES BRRR: 419 X: 26.2334 -INDEX GOES BRRR: 392 X: 24.5049 -INDEX GOES BRRR: 407 X: 25.4678 -INDEX GOES BRRR: 144 X: 9.03906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4971 -INDEX GOES BRRR: 126 X: 7.87891 -INDEX GOES BRRR: 251 X: 15.7295 -INDEX GOES BRRR: 278 X: 17.4199 -INDEX GOES BRRR: 255 X: 15.9414 -INDEX GOES BRRR: 109 X: 6.83008 -INDEX GOES BRRR: 154 X: 9.64453 -INDEX GOES BRRR: 138 X: 8.66797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.6934 -INDEX GOES BRRR: 292 X: 18.3066 -INDEX GOES BRRR: 355 X: 22.2266 -INDEX GOES BRRR: 130 X: 8.13281 -INDEX GOES BRRR: 156 X: 9.79395 -INDEX GOES BRRR: 466 X: 29.1738 -INDEX GOES BRRR: 1006 X: -1.11328 -INDEX GOES BRRR: 116 X: 7.26562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 905 X: -7.42188 -INDEX GOES BRRR: 147 X: 9.20703 -INDEX GOES BRRR: 1017 X: -0.399414 -INDEX GOES BRRR: 162 X: 10.1289 -INDEX GOES BRRR: 813 X: -13.1611 -INDEX GOES BRRR: 301 X: 18.874 -INDEX GOES BRRR: 76 X: 4.79297 -INDEX GOES BRRR: 920 X: -6.46777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 852 X: -10.708 -INDEX GOES BRRR: 159 X: 9.96875 -INDEX GOES BRRR: 212 X: 13.2959 -INDEX GOES BRRR: 182 X: 11.3848 -INDEX GOES BRRR: 107 X: 6.69824 -INDEX GOES BRRR: 197 X: 12.3398 -INDEX GOES BRRR: 995 X: -1.78613 -INDEX GOES BRRR: 109 X: 6.85156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.44922 -INDEX GOES BRRR: 764 X: -16.2256 -INDEX GOES BRRR: 394 X: 24.6826 -INDEX GOES BRRR: 38 X: 2.42383 -INDEX GOES BRRR: 218 X: 13.6455 -INDEX GOES BRRR: 185 X: 11.5928 -INDEX GOES BRRR: 78 X: 4.92383 -INDEX GOES BRRR: 1019 X: -0.292969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.6416 -INDEX GOES BRRR: 165 X: 10.3662 -INDEX GOES BRRR: 133 X: 8.32715 -INDEX GOES BRRR: 889 X: -8.39062 -INDEX GOES BRRR: 965 X: -3.67578 -INDEX GOES BRRR: 943 X: -5.04102 -INDEX GOES BRRR: 892 X: -8.23633 -INDEX GOES BRRR: 282 X: 17.6562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.55566 -INDEX GOES BRRR: 301 X: 18.8682 -INDEX GOES BRRR: 205 X: 12.8193 -INDEX GOES BRRR: 467 X: 29.249 -INDEX GOES BRRR: 328 X: 20.5029 -INDEX GOES BRRR: 208 X: 13.0332 -INDEX GOES BRRR: 195 X: 12.1904 -INDEX GOES BRRR: 75 X: 4.7334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5967 -INDEX GOES BRRR: 237 X: 14.8486 -INDEX GOES BRRR: 346 X: 21.6797 -INDEX GOES BRRR: 253 X: 15.8125 -INDEX GOES BRRR: 84 X: 5.2998 -INDEX GOES BRRR: 928 X: -5.94824 -INDEX GOES BRRR: 351 X: 21.9727 -INDEX GOES BRRR: 153 X: 9.61328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6445 -INDEX GOES BRRR: 316 X: 19.7695 -INDEX GOES BRRR: 309 X: 19.3525 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 240 X: 15.001 -INDEX GOES BRRR: 69 X: 4.34668 -INDEX GOES BRRR: 111 X: 6.98926 -INDEX GOES BRRR: 153 X: 9.56445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5391 -INDEX GOES BRRR: 313 X: 19.5635 -INDEX GOES BRRR: 39 X: 2.46191 -INDEX GOES BRRR: 216 X: 13.502 -INDEX GOES BRRR: 24 X: 1.53223 -INDEX GOES BRRR: 351 X: 21.9414 -INDEX GOES BRRR: 177 X: 11.0801 -INDEX GOES BRRR: 138 X: 8.66895 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 14 X: 0.922852 -INDEX GOES BRRR: 186 X: 11.6855 -INDEX GOES BRRR: 839 X: -11.5068 -INDEX GOES BRRR: 230 X: 14.4229 -INDEX GOES BRRR: 1012 X: -0.696289 -INDEX GOES BRRR: 91 X: 5.72266 -INDEX GOES BRRR: 1019 X: -0.271484 -INDEX GOES BRRR: 1016 X: -0.496094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.50293 -INDEX GOES BRRR: 88 X: 5.54102 -INDEX GOES BRRR: 95 X: 5.9668 -INDEX GOES BRRR: 78 X: 4.92188 -INDEX GOES BRRR: 260 X: 16.2705 -INDEX GOES BRRR: 46 X: 2.91504 -INDEX GOES BRRR: 138 X: 8.67871 -INDEX GOES BRRR: 172 X: 10.7891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 29 X: 1.84473 -INDEX GOES BRRR: 68 X: 4.30469 -INDEX GOES BRRR: 200 X: 12.5391 -INDEX GOES BRRR: 104 X: 6.51367 -INDEX GOES BRRR: 287 X: 17.9814 -INDEX GOES BRRR: 392 X: 24.5098 -INDEX GOES BRRR: 114 X: 7.14355 -INDEX GOES BRRR: 291 X: 18.2295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.24316 -INDEX GOES BRRR: 124 X: 7.80371 -INDEX GOES BRRR: 427 X: 26.6943 -INDEX GOES BRRR: 55 X: 3.49902 -INDEX GOES BRRR: 98 X: 6.17676 -INDEX GOES BRRR: 335 X: 20.9707 -INDEX GOES BRRR: 403 X: 25.2373 -INDEX GOES BRRR: 94 X: 5.90625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.313477 -INDEX GOES BRRR: 113 X: 7.12109 -INDEX GOES BRRR: 253 X: 15.8701 -INDEX GOES BRRR: 880 X: -8.98047 -INDEX GOES BRRR: 96 X: 6.04102 -INDEX GOES BRRR: 238 X: 14.8887 -INDEX GOES BRRR: 76 X: 4.77832 -INDEX GOES BRRR: 267 X: 16.7471 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.06055 -INDEX GOES BRRR: 74 X: 4.62891 -INDEX GOES BRRR: 93 X: 5.86328 -INDEX GOES BRRR: 89 X: 5.58594 -INDEX GOES BRRR: 354 X: 22.1602 -INDEX GOES BRRR: 328 X: 20.5068 -INDEX GOES BRRR: 71 X: 4.4668 -INDEX GOES BRRR: 346 X: 21.6621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 305 X: 19.1025 -INDEX GOES BRRR: 363 X: 22.7178 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 135 X: 8.45117 -INDEX GOES BRRR: 77 X: 4.82227 -INDEX GOES BRRR: 451 X: 28.2441 -INDEX GOES BRRR: 183 X: 11.4902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.79102 -INDEX GOES BRRR: 350 X: 21.9365 -INDEX GOES BRRR: 41 X: 2.61523 -INDEX GOES BRRR: 350 X: 21.8867 -INDEX GOES BRRR: 376 X: 23.5352 -INDEX GOES BRRR: 226 X: 14.1416 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 212 X: 13.2676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1865 -INDEX GOES BRRR: 58 X: 3.65332 -INDEX GOES BRRR: 437 X: 27.3135 -INDEX GOES BRRR: 280 X: 17.5107 -INDEX GOES BRRR: 234 X: 14.6758 -INDEX GOES BRRR: 1022 X: -0.110352 -INDEX GOES BRRR: 92 X: 5.76562 -INDEX GOES BRRR: 973 X: -3.16113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 417 X: 26.0635 -INDEX GOES BRRR: 318 X: 19.8984 -INDEX GOES BRRR: 70 X: 4.40234 -INDEX GOES BRRR: 104 X: 6.53223 -INDEX GOES BRRR: 981 X: -2.65332 -INDEX GOES BRRR: 163 X: 10.2021 -INDEX GOES BRRR: 90 X: 5.67188 -INDEX GOES BRRR: 149 X: 9.34473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.67773 -INDEX GOES BRRR: 316 X: 19.8047 -INDEX GOES BRRR: 1008 X: -0.958984 -INDEX GOES BRRR: 315 X: 19.7344 -INDEX GOES BRRR: 212 X: 13.2568 -INDEX GOES BRRR: 104 X: 6.55371 -INDEX GOES BRRR: 21 X: 1.3418 -INDEX GOES BRRR: 25 X: 1.61914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2588 -INDEX GOES BRRR: 465 X: 29.0889 -INDEX GOES BRRR: 67 X: 4.22852 -INDEX GOES BRRR: 210 X: 13.1357 -INDEX GOES BRRR: 340 X: 21.3037 -INDEX GOES BRRR: 156 X: 9.75977 -INDEX GOES BRRR: 39 X: 2.44727 -INDEX GOES BRRR: 136 X: 8.50098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.9023 -INDEX GOES BRRR: 183 X: 11.4766 -INDEX GOES BRRR: 1013 X: -0.632812 -INDEX GOES BRRR: 330 X: 20.6533 -INDEX GOES BRRR: 281 X: 17.5811 -INDEX GOES BRRR: 132 X: 8.28125 -INDEX GOES BRRR: 215 X: 13.4707 -INDEX GOES BRRR: 22 X: 1.41797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.45215 -INDEX GOES BRRR: 240 X: 15.0605 -INDEX GOES BRRR: 993 X: -1.90234 -INDEX GOES BRRR: 115 X: 7.21484 -INDEX GOES BRRR: 118 X: 7.4209 -INDEX GOES BRRR: 397 X: 24.8564 -INDEX GOES BRRR: 239 X: 14.9512 -INDEX GOES BRRR: 263 X: 16.4561 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3574 -INDEX GOES BRRR: 122 X: 7.68359 -INDEX GOES BRRR: 13 X: 0.856445 -INDEX GOES BRRR: 198 X: 12.3906 -INDEX GOES BRRR: 51 X: 3.2207 -INDEX GOES BRRR: 300 X: 18.7861 -INDEX GOES BRRR: 17 X: 1.08496 -INDEX GOES BRRR: 42 X: 2.68262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.6484 -INDEX GOES BRRR: 238 X: 14.9092 -INDEX GOES BRRR: 168 X: 10.5117 -INDEX GOES BRRR: 113 X: 7.10938 -INDEX GOES BRRR: 966 X: -3.6123 -INDEX GOES BRRR: 932 X: -5.7373 -INDEX GOES BRRR: 328 X: 20.5 -INDEX GOES BRRR: 101 X: 6.31348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.61914 -INDEX GOES BRRR: 408 X: 25.5127 -INDEX GOES BRRR: 151 X: 9.47852 -INDEX GOES BRRR: 259 X: 16.1904 -INDEX GOES BRRR: 224 X: 14.0498 -INDEX GOES BRRR: 284 X: 17.8115 -INDEX GOES BRRR: 353 X: 22.0928 -INDEX GOES BRRR: 134 X: 8.43066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.6885 -INDEX GOES BRRR: 983 X: -2.51465 -INDEX GOES BRRR: 952 X: -4.45312 -INDEX GOES BRRR: 118 X: 7.39746 -INDEX GOES BRRR: 318 X: 19.9023 -INDEX GOES BRRR: 164 X: 10.3086 -INDEX GOES BRRR: 47 X: 2.96973 -INDEX GOES BRRR: 473 X: 29.5703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.5879 -INDEX GOES BRRR: 271 X: 16.9697 -INDEX GOES BRRR: 72 X: 4.5498 -INDEX GOES BRRR: 483 X: 30.2441 -INDEX GOES BRRR: 970 X: -3.32129 -INDEX GOES BRRR: 107 X: 6.7207 -INDEX GOES BRRR: 160 X: 10.0459 -INDEX GOES BRRR: 299 X: 18.6982 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4863 -INDEX GOES BRRR: 343 X: 21.4775 -INDEX GOES BRRR: 200 X: 12.5557 -INDEX GOES BRRR: 311 X: 19.4707 -INDEX GOES BRRR: 123 X: 7.72949 -INDEX GOES BRRR: 415 X: 25.9766 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1012 X: -0.694336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.84766 -INDEX GOES BRRR: 412 X: 25.7764 -INDEX GOES BRRR: 205 X: 12.8643 -INDEX GOES BRRR: 327 X: 20.499 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 232 X: 14.5029 -INDEX GOES BRRR: 35 X: 2.20605 -INDEX GOES BRRR: 109 X: 6.85352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 294 X: 18.4043 -INDEX GOES BRRR: 808 X: -13.4434 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 220 X: 13.7715 -INDEX GOES BRRR: 36 X: 2.2793 -INDEX GOES BRRR: 127 X: 7.97852 -INDEX GOES BRRR: 77 X: 4.81738 -INDEX GOES BRRR: 171 X: 10.748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.9668 -INDEX GOES BRRR: 404 X: 25.2842 -INDEX GOES BRRR: 380 X: 23.8115 -INDEX GOES BRRR: 250 X: 15.6475 -INDEX GOES BRRR: 378 X: 23.6299 -INDEX GOES BRRR: 89 X: 5.57715 -INDEX GOES BRRR: 291 X: 18.2012 -INDEX GOES BRRR: 62 X: 3.87598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.209 -INDEX GOES BRRR: 50 X: 3.14941 -INDEX GOES BRRR: 13 X: 0.834961 -INDEX GOES BRRR: 998 X: -1.6123 -INDEX GOES BRRR: 915 X: -6.75977 -INDEX GOES BRRR: 1009 X: -0.891602 -INDEX GOES BRRR: 398 X: 24.8877 -INDEX GOES BRRR: 199 X: 12.459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.65234 -INDEX GOES BRRR: 174 X: 10.915 -INDEX GOES BRRR: 261 X: 16.3398 -INDEX GOES BRRR: 877 X: -9.1709 -INDEX GOES BRRR: 222 X: 13.917 -INDEX GOES BRRR: 103 X: 6.4834 -INDEX GOES BRRR: 153 X: 9.60938 -INDEX GOES BRRR: 171 X: 10.7314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.86719 -INDEX GOES BRRR: 81 X: 5.06543 -INDEX GOES BRRR: 28 X: 1.75098 -INDEX GOES BRRR: 216 X: 13.5488 -INDEX GOES BRRR: 329 X: 20.6162 -INDEX GOES BRRR: 50 X: 3.14844 -INDEX GOES BRRR: 239 X: 14.9551 -INDEX GOES BRRR: 119 X: 7.45605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.39453 -INDEX GOES BRRR: 51 X: 3.19824 -INDEX GOES BRRR: 493 X: 30.8486 -INDEX GOES BRRR: 290 X: 18.1299 -INDEX GOES BRRR: 88 X: 5.53125 -INDEX GOES BRRR: 985 X: -2.40918 -INDEX GOES BRRR: 822 X: -12.5654 -INDEX GOES BRRR: 239 X: 14.9893 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.082 -INDEX GOES BRRR: 146 X: 9.18555 -INDEX GOES BRRR: 937 X: -5.38672 -INDEX GOES BRRR: 933 X: -5.67578 -INDEX GOES BRRR: 315 X: 19.6914 -INDEX GOES BRRR: 188 X: 11.8115 -INDEX GOES BRRR: 166 X: 10.3809 -INDEX GOES BRRR: 217 X: 13.5977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.4004 -INDEX GOES BRRR: 239 X: 14.9443 -INDEX GOES BRRR: 273 X: 17.0674 -INDEX GOES BRRR: 71 X: 4.4668 -INDEX GOES BRRR: 73 X: 4.57031 -INDEX GOES BRRR: 190 X: 11.8779 -INDEX GOES BRRR: 231 X: 14.4424 -INDEX GOES BRRR: 296 X: 18.5186 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 429 X: 26.8438 -INDEX GOES BRRR: 801 X: -13.8789 -INDEX GOES BRRR: 51 X: 3.20215 -INDEX GOES BRRR: 162 X: 10.1855 -INDEX GOES BRRR: 87 X: 5.49316 -INDEX GOES BRRR: 394 X: 24.625 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 399 X: 24.958 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 703 X: -20.0293 -INDEX GOES BRRR: 335 X: 20.9717 -INDEX GOES BRRR: 449 X: 28.1162 -INDEX GOES BRRR: 227 X: 14.2031 -INDEX GOES BRRR: 959 X: -4.05078 -INDEX GOES BRRR: 303 X: 18.9678 -INDEX GOES BRRR: 102 X: 6.39062 -INDEX GOES BRRR: 282 X: 17.6465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.66992 -INDEX GOES BRRR: 38 X: 2.42188 -INDEX GOES BRRR: 131 X: 8.2373 -INDEX GOES BRRR: 1003 X: -1.27051 -INDEX GOES BRRR: 190 X: 11.8984 -INDEX GOES BRRR: 43 X: 2.74414 -INDEX GOES BRRR: 143 X: 8.95801 -INDEX GOES BRRR: 863 X: -10.0352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 75 X: 4.6875 -INDEX GOES BRRR: 12 X: 0.807617 -INDEX GOES BRRR: 113 X: 7.07617 -INDEX GOES BRRR: 999 X: -1.54199 -INDEX GOES BRRR: 224 X: 14.0322 -INDEX GOES BRRR: 207 X: 12.9512 -INDEX GOES BRRR: 77 X: 4.86133 -INDEX GOES BRRR: 7 X: 0.466797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.041 -INDEX GOES BRRR: 129 X: 8.11523 -INDEX GOES BRRR: 318 X: 19.9229 -INDEX GOES BRRR: 281 X: 17.6074 -INDEX GOES BRRR: 18 X: 1.15723 -INDEX GOES BRRR: 124 X: 7.79004 -INDEX GOES BRRR: 252 X: 15.752 -INDEX GOES BRRR: 122 X: 7.68262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3506 -INDEX GOES BRRR: 142 X: 8.90332 -INDEX GOES BRRR: 311 X: 19.4629 -INDEX GOES BRRR: 302 X: 18.8945 -INDEX GOES BRRR: 176 X: 11.0234 -INDEX GOES BRRR: 167 X: 10.457 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 969 X: -3.38574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.56836 -INDEX GOES BRRR: 401 X: 25.1006 -INDEX GOES BRRR: 277 X: 17.3486 -INDEX GOES BRRR: 321 X: 20.1094 -INDEX GOES BRRR: 329 X: 20.583 -INDEX GOES BRRR: 33 X: 2.06738 -INDEX GOES BRRR: 86 X: 5.43359 -INDEX GOES BRRR: 115 X: 7.23438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.8086 -INDEX GOES BRRR: 177 X: 11.0713 -INDEX GOES BRRR: 36 X: 2.28906 -INDEX GOES BRRR: 311 X: 19.4375 -INDEX GOES BRRR: 17 X: 1.08398 -INDEX GOES BRRR: 290 X: 18.1289 -INDEX GOES BRRR: 324 X: 20.2832 -INDEX GOES BRRR: 961 X: -3.90039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 894 X: -8.08398 -INDEX GOES BRRR: 252 X: 15.7959 -INDEX GOES BRRR: 855 X: -10.5352 -INDEX GOES BRRR: 111 X: 6.99902 -INDEX GOES BRRR: 188 X: 11.7705 -INDEX GOES BRRR: 74 X: 4.63477 -INDEX GOES BRRR: 988 X: -2.23633 -INDEX GOES BRRR: 920 X: -6.44727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6514 -INDEX GOES BRRR: 161 X: 10.0781 -INDEX GOES BRRR: 260 X: 16.2988 -INDEX GOES BRRR: 170 X: 10.6465 -INDEX GOES BRRR: 138 X: 8.6709 -INDEX GOES BRRR: 32 X: 2.02734 -INDEX GOES BRRR: 256 X: 16.0098 -INDEX GOES BRRR: 396 X: 24.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 994 X: -1.83105 -INDEX GOES BRRR: 252 X: 15.7549 -INDEX GOES BRRR: 931 X: -5.77148 -INDEX GOES BRRR: 137 X: 8.58984 -INDEX GOES BRRR: 316 X: 19.7559 -INDEX GOES BRRR: 144 X: 9.0166 -INDEX GOES BRRR: 76 X: 4.7666 -INDEX GOES BRRR: 239 X: 14.9736 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 370 X: 23.1807 -INDEX GOES BRRR: 308 X: 19.2979 -INDEX GOES BRRR: 109 X: 6.81836 -INDEX GOES BRRR: 83 X: 5.21484 -INDEX GOES BRRR: 330 X: 20.6855 -INDEX GOES BRRR: 103 X: 6.4834 -INDEX GOES BRRR: 13 X: 0.870117 -INDEX GOES BRRR: 103 X: 6.44922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.7383 -INDEX GOES BRRR: 891 X: -8.26367 -INDEX GOES BRRR: 769 X: -15.876 -INDEX GOES BRRR: 303 X: 18.9668 -INDEX GOES BRRR: 123 X: 7.73828 -INDEX GOES BRRR: 236 X: 14.7852 -INDEX GOES BRRR: 990 X: -2.11328 -INDEX GOES BRRR: 196 X: 12.2715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.8975 -INDEX GOES BRRR: 174 X: 10.877 -INDEX GOES BRRR: 158 X: 9.87598 -INDEX GOES BRRR: 365 X: 22.8604 -INDEX GOES BRRR: 303 X: 18.9727 -INDEX GOES BRRR: 198 X: 12.4043 -INDEX GOES BRRR: 86 X: 5.38574 -INDEX GOES BRRR: 129 X: 8.08496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9248 -INDEX GOES BRRR: 951 X: -4.5459 -INDEX GOES BRRR: 95 X: 5.98438 -INDEX GOES BRRR: 81 X: 5.07227 -INDEX GOES BRRR: 239 X: 14.9531 -INDEX GOES BRRR: 94 X: 5.88574 -INDEX GOES BRRR: 340 X: 21.2832 -INDEX GOES BRRR: 146 X: 9.12598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 300 X: 18.79 -INDEX GOES BRRR: 1000 X: -1.49805 -INDEX GOES BRRR: 262 X: 16.375 -INDEX GOES BRRR: 196 X: 12.2725 -INDEX GOES BRRR: 168 X: 10.54 -INDEX GOES BRRR: 264 X: 16.5381 -INDEX GOES BRRR: 0 X: 0.00488281 -INDEX GOES BRRR: 405 X: 25.3516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 898 X: -7.87012 -INDEX GOES BRRR: 910 X: -7.12402 -INDEX GOES BRRR: 122 X: 7.68652 -INDEX GOES BRRR: 382 X: 23.915 -INDEX GOES BRRR: 286 X: 17.9121 -INDEX GOES BRRR: 1015 X: -0.533203 -INDEX GOES BRRR: 232 X: 14.5479 -INDEX GOES BRRR: 207 X: 12.9658 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 274 X: 17.1699 -INDEX GOES BRRR: 335 X: 20.9648 -INDEX GOES BRRR: 25 X: 1.58984 -INDEX GOES BRRR: 240 X: 15.0449 -INDEX GOES BRRR: 108 X: 6.77832 -INDEX GOES BRRR: 1004 X: -1.24023 -INDEX GOES BRRR: 238 X: 14.8916 -INDEX GOES BRRR: 223 X: 13.9678 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.75 -INDEX GOES BRRR: 252 X: 15.7578 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 261 X: 16.334 -INDEX GOES BRRR: 361 X: 22.5811 -INDEX GOES BRRR: 205 X: 12.8555 -INDEX GOES BRRR: 204 X: 12.7656 -INDEX GOES BRRR: 359 X: 22.4951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3701 -INDEX GOES BRRR: 141 X: 8.81543 -INDEX GOES BRRR: 12 X: 0.804688 -INDEX GOES BRRR: 50 X: 3.14941 -INDEX GOES BRRR: 87 X: 5.47363 -INDEX GOES BRRR: 846 X: -11.0967 -INDEX GOES BRRR: 207 X: 12.9971 -INDEX GOES BRRR: 1008 X: -0.967773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 892 X: -8.19531 -INDEX GOES BRRR: 102 X: 6.40137 -INDEX GOES BRRR: 304 X: 19.0078 -INDEX GOES BRRR: 129 X: 8.06738 -INDEX GOES BRRR: 343 X: 21.4541 -INDEX GOES BRRR: 384 X: 24.0459 -INDEX GOES BRRR: 432 X: 27.0098 -INDEX GOES BRRR: 101 X: 6.3252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 18 X: 1.14453 -INDEX GOES BRRR: 212 X: 13.251 -INDEX GOES BRRR: 911 X: -7.03027 -INDEX GOES BRRR: 428 X: 26.7725 -INDEX GOES BRRR: 119 X: 7.48047 -INDEX GOES BRRR: 150 X: 9.4209 -INDEX GOES BRRR: 949 X: -4.66699 -INDEX GOES BRRR: 44 X: 2.79297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.8428 -INDEX GOES BRRR: 127 X: 7.95312 -INDEX GOES BRRR: 270 X: 16.9238 -INDEX GOES BRRR: 165 X: 10.3516 -INDEX GOES BRRR: 222 X: 13.8926 -INDEX GOES BRRR: 1002 X: -1.31738 -INDEX GOES BRRR: 452 X: 28.3057 -INDEX GOES BRRR: 1022 X: -0.112305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5273 -INDEX GOES BRRR: 935 X: -5.52539 -INDEX GOES BRRR: 945 X: -4.92383 -INDEX GOES BRRR: 216 X: 13.5586 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 922 X: -6.36621 -INDEX GOES BRRR: 472 X: 29.5381 -INDEX GOES BRRR: 915 X: -6.7998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.47949 -INDEX GOES BRRR: 179 X: 11.2051 -INDEX GOES BRRR: 287 X: 17.9434 -INDEX GOES BRRR: 92 X: 5.77051 -INDEX GOES BRRR: 131 X: 8.2168 -INDEX GOES BRRR: 225 X: 14.1055 -INDEX GOES BRRR: 125 X: 7.85645 -INDEX GOES BRRR: 924 X: -6.22363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1514 -INDEX GOES BRRR: 131 X: 8.24121 -INDEX GOES BRRR: 919 X: -6.51758 -INDEX GOES BRRR: 226 X: 14.1504 -INDEX GOES BRRR: 440 X: 27.5449 -INDEX GOES BRRR: 197 X: 12.3613 -INDEX GOES BRRR: 169 X: 10.583 -INDEX GOES BRRR: 113 X: 7.11426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 905 X: -7.41309 -INDEX GOES BRRR: 151 X: 9.46387 -INDEX GOES BRRR: 330 X: 20.6602 -INDEX GOES BRRR: 112 X: 7.01562 -INDEX GOES BRRR: 339 X: 21.2217 -INDEX GOES BRRR: 208 X: 13.0254 -INDEX GOES BRRR: 167 X: 10.4648 -INDEX GOES BRRR: 780 X: -15.2129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 4 X: 0.300781 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 134 X: 8.3916 -INDEX GOES BRRR: 278 X: 17.4004 -INDEX GOES BRRR: 8 X: 0.500977 -INDEX GOES BRRR: 167 X: 10.4541 -INDEX GOES BRRR: 55 X: 3.45312 -INDEX GOES BRRR: 1010 X: -0.850586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 268 X: 16.8105 -INDEX GOES BRRR: 35 X: 2.24609 -INDEX GOES BRRR: 355 X: 22.2451 -INDEX GOES BRRR: 264 X: 16.5068 -INDEX GOES BRRR: 120 X: 7.50488 -INDEX GOES BRRR: 205 X: 12.8428 -INDEX GOES BRRR: 304 X: 19.0605 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0205 -INDEX GOES BRRR: 291 X: 18.2207 -INDEX GOES BRRR: 186 X: 11.6572 -INDEX GOES BRRR: 99 X: 6.22754 -INDEX GOES BRRR: 990 X: -2.06348 -INDEX GOES BRRR: 1008 X: -0.974609 -INDEX GOES BRRR: 35 X: 2.2002 -INDEX GOES BRRR: 956 X: -4.18848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 81 X: 5.07422 -INDEX GOES BRRR: 470 X: 29.4307 -INDEX GOES BRRR: 202 X: 12.6738 -INDEX GOES BRRR: 371 X: 23.2373 -INDEX GOES BRRR: 955 X: -4.27441 -INDEX GOES BRRR: 65 X: 4.11719 -INDEX GOES BRRR: 967 X: -3.51172 -INDEX GOES BRRR: 893 X: -8.14551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.0947 -INDEX GOES BRRR: 237 X: 14.8213 -INDEX GOES BRRR: 182 X: 11.3994 -INDEX GOES BRRR: 170 X: 10.6602 -INDEX GOES BRRR: 183 X: 11.4521 -INDEX GOES BRRR: 112 X: 7.02539 -INDEX GOES BRRR: 131 X: 8.19238 -INDEX GOES BRRR: 68 X: 4.29785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.80469 -INDEX GOES BRRR: 105 X: 6.58105 -INDEX GOES BRRR: 85 X: 5.35645 -INDEX GOES BRRR: 4 X: 0.262695 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 30 X: 1.89941 -INDEX GOES BRRR: 927 X: -6.02344 -INDEX GOES BRRR: 402 X: 25.1768 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 420 X: 26.3086 -INDEX GOES BRRR: 279 X: 17.498 -INDEX GOES BRRR: 105 X: 6.57031 -INDEX GOES BRRR: 429 X: 26.8662 -INDEX GOES BRRR: 198 X: 12.3916 -INDEX GOES BRRR: 308 X: 19.3008 -INDEX GOES BRRR: 305 X: 19.0869 -INDEX GOES BRRR: 153 X: 9.61426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.332 -INDEX GOES BRRR: 389 X: 24.3262 -INDEX GOES BRRR: 81 X: 5.10254 -INDEX GOES BRRR: 121 X: 7.59082 -INDEX GOES BRRR: 159 X: 9.99707 -INDEX GOES BRRR: 267 X: 16.7334 -INDEX GOES BRRR: 154 X: 9.6582 -INDEX GOES BRRR: 1008 X: -0.976562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.539062 -INDEX GOES BRRR: 220 X: 13.7969 -INDEX GOES BRRR: 243 X: 15.2227 -INDEX GOES BRRR: 59 X: 3.7168 -INDEX GOES BRRR: 222 X: 13.9209 -INDEX GOES BRRR: 210 X: 13.165 -INDEX GOES BRRR: 400 X: 25.0254 -INDEX GOES BRRR: 926 X: -6.11816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.8086 -INDEX GOES BRRR: 234 X: 14.6279 -INDEX GOES BRRR: 61 X: 3.82031 -INDEX GOES BRRR: 197 X: 12.335 -INDEX GOES BRRR: 488 X: 30.54 -INDEX GOES BRRR: 107 X: 6.69434 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 172 X: 10.7764 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.082 -INDEX GOES BRRR: 146 X: 9.15234 -INDEX GOES BRRR: 173 X: 10.8574 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 332 X: 20.792 -INDEX GOES BRRR: 110 X: 6.88867 -INDEX GOES BRRR: 25 X: 1.57227 -INDEX GOES BRRR: 53 X: 3.35547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.0635 -INDEX GOES BRRR: 151 X: 9.47461 -INDEX GOES BRRR: 950 X: -4.61719 -INDEX GOES BRRR: 160 X: 10.0244 -INDEX GOES BRRR: 396 X: 24.792 -INDEX GOES BRRR: 27 X: 1.73535 -INDEX GOES BRRR: 227 X: 14.2021 -INDEX GOES BRRR: 307 X: 19.2168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 765 X: -16.165 -INDEX GOES BRRR: 119 X: 7.46582 -INDEX GOES BRRR: 54 X: 3.39746 -INDEX GOES BRRR: 170 X: 10.6738 -INDEX GOES BRRR: 999 X: -1.5166 -INDEX GOES BRRR: 954 X: -4.33691 -INDEX GOES BRRR: 235 X: 14.7246 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.58105 -INDEX GOES BRRR: 66 X: 4.18262 -INDEX GOES BRRR: 894 X: -8.11719 -INDEX GOES BRRR: 338 X: 21.1602 -INDEX GOES BRRR: 180 X: 11.2666 -INDEX GOES BRRR: 54 X: 3.37891 -INDEX GOES BRRR: 236 X: 14.79 -INDEX GOES BRRR: 167 X: 10.4951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 161 X: 10.1201 -INDEX GOES BRRR: 135 X: 8.4375 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 145 X: 9.08789 -INDEX GOES BRRR: 192 X: 12.0029 -INDEX GOES BRRR: 323 X: 20.2246 -INDEX GOES BRRR: 13 X: 0.816406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.71582 -INDEX GOES BRRR: 361 X: 22.5645 -INDEX GOES BRRR: 123 X: 7.69629 -INDEX GOES BRRR: 196 X: 12.2842 -INDEX GOES BRRR: 259 X: 16.2246 -INDEX GOES BRRR: 153 X: 9.60254 -INDEX GOES BRRR: 282 X: 17.626 -INDEX GOES BRRR: 281 X: 17.5635 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.99512 -INDEX GOES BRRR: 38 X: 2.42578 -INDEX GOES BRRR: 47 X: 2.95312 -INDEX GOES BRRR: 288 X: 18.0244 -INDEX GOES BRRR: 354 X: 22.1406 -INDEX GOES BRRR: 224 X: 14.043 -INDEX GOES BRRR: 282 X: 17.6299 -INDEX GOES BRRR: 160 X: 10.0391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.5293 -INDEX GOES BRRR: 68 X: 4.2998 -INDEX GOES BRRR: 235 X: 14.7285 -INDEX GOES BRRR: 188 X: 11.7715 -INDEX GOES BRRR: 7 X: 0.49707 -INDEX GOES BRRR: 162 X: 10.1514 -INDEX GOES BRRR: 258 X: 16.167 -INDEX GOES BRRR: 251 X: 15.6895 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.70801 -INDEX GOES BRRR: 176 X: 11.0156 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 277 X: 17.3584 -INDEX GOES BRRR: 878 X: -9.06836 -INDEX GOES BRRR: 90 X: 5.64062 -INDEX GOES BRRR: 244 X: 15.2764 -INDEX GOES BRRR: 82 X: 5.16309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.19922 -INDEX GOES BRRR: 66 X: 4.17773 -INDEX GOES BRRR: 7 X: 0.49707 -INDEX GOES BRRR: 159 X: 9.94434 -INDEX GOES BRRR: 939 X: -5.30176 -INDEX GOES BRRR: 15 X: 0.99707 -INDEX GOES BRRR: 58 X: 3.65039 -INDEX GOES BRRR: 291 X: 18.1943 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 22 X: 1.42773 -INDEX GOES BRRR: 193 X: 12.0996 -INDEX GOES BRRR: 280 X: 17.5176 -INDEX GOES BRRR: 205 X: 12.8252 -INDEX GOES BRRR: 888 X: -8.49902 -INDEX GOES BRRR: 197 X: 12.3477 -INDEX GOES BRRR: 93 X: 5.81738 -INDEX GOES BRRR: 1015 X: -0.503906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 990 X: -2.11133 -INDEX GOES BRRR: 241 X: 15.1162 -INDEX GOES BRRR: 126 X: 7.93262 -INDEX GOES BRRR: 169 X: 10.582 -INDEX GOES BRRR: 89 X: 5.62012 -INDEX GOES BRRR: 933 X: -5.65234 -INDEX GOES BRRR: 115 X: 7.24316 -INDEX GOES BRRR: 970 X: -3.36133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.10059 -INDEX GOES BRRR: 184 X: 11.5107 -INDEX GOES BRRR: 230 X: 14.4033 -INDEX GOES BRRR: 490 X: 30.6846 -INDEX GOES BRRR: 77 X: 4.84473 -INDEX GOES BRRR: 180 X: 11.3018 -INDEX GOES BRRR: 14 X: 0.93457 -INDEX GOES BRRR: 65 X: 4.08789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.63379 -INDEX GOES BRRR: 219 X: 13.7266 -INDEX GOES BRRR: 44 X: 2.80664 -INDEX GOES BRRR: 122 X: 7.66309 -INDEX GOES BRRR: 417 X: 26.1055 -INDEX GOES BRRR: 99 X: 6.24414 -INDEX GOES BRRR: 165 X: 10.3242 -INDEX GOES BRRR: 92 X: 5.80566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.20801 -INDEX GOES BRRR: 890 X: -8.36133 -INDEX GOES BRRR: 201 X: 12.6035 -INDEX GOES BRRR: 55 X: 3.49805 -INDEX GOES BRRR: 210 X: 13.1738 -INDEX GOES BRRR: 177 X: 11.0938 -INDEX GOES BRRR: 180 X: 11.2881 -INDEX GOES BRRR: 28 X: 1.80078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.7363 -INDEX GOES BRRR: 274 X: 17.1807 -INDEX GOES BRRR: 26 X: 1.66406 -INDEX GOES BRRR: 208 X: 13.0068 -INDEX GOES BRRR: 299 X: 18.6992 -INDEX GOES BRRR: 485 X: 30.3652 -INDEX GOES BRRR: 106 X: 6.67676 -INDEX GOES BRRR: 486 X: 30.4033 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.61816 -INDEX GOES BRRR: 372 X: 23.3018 -INDEX GOES BRRR: 109 X: 6.86426 -INDEX GOES BRRR: 1016 X: -0.466797 -INDEX GOES BRRR: 242 X: 15.166 -INDEX GOES BRRR: 1004 X: -1.23926 -INDEX GOES BRRR: 224 X: 14.0195 -INDEX GOES BRRR: 30 X: 1.92188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.5732 -INDEX GOES BRRR: 329 X: 20.6035 -INDEX GOES BRRR: 789 X: -14.6729 -INDEX GOES BRRR: 222 X: 13.9199 -INDEX GOES BRRR: 266 X: 16.6357 -INDEX GOES BRRR: 224 X: 14.0254 -INDEX GOES BRRR: 1 X: 0.0908203 -INDEX GOES BRRR: 276 X: 17.2705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4072 -INDEX GOES BRRR: 274 X: 17.1807 -INDEX GOES BRRR: 895 X: -8.02832 -INDEX GOES BRRR: 204 X: 12.8027 -INDEX GOES BRRR: 77 X: 4.81641 -INDEX GOES BRRR: 1001 X: -1.38477 -INDEX GOES BRRR: 379 X: 23.7119 -INDEX GOES BRRR: 120 X: 7.53711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.28418 -INDEX GOES BRRR: 214 X: 13.3984 -INDEX GOES BRRR: 365 X: 22.8438 -INDEX GOES BRRR: 314 X: 19.6328 -INDEX GOES BRRR: 14 X: 0.878906 -INDEX GOES BRRR: 160 X: 10.0176 -INDEX GOES BRRR: 317 X: 19.835 -INDEX GOES BRRR: 117 X: 7.3623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 873 X: -9.38477 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 358 X: 22.3896 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 191 X: 11.9854 -INDEX GOES BRRR: 236 X: 14.751 -INDEX GOES BRRR: 35 X: 2.20703 -INDEX GOES BRRR: 138 X: 8.6543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 997 X: -1.65918 -INDEX GOES BRRR: 212 X: 13.3057 -INDEX GOES BRRR: 983 X: -2.52832 -INDEX GOES BRRR: 96 X: 6.02832 -INDEX GOES BRRR: 108 X: 6.79199 -INDEX GOES BRRR: 264 X: 16.5527 -INDEX GOES BRRR: 280 X: 17.5264 -INDEX GOES BRRR: 141 X: 8.83984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.749 -INDEX GOES BRRR: 264 X: 16.5459 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 261 X: 16.3477 -INDEX GOES BRRR: 274 X: 17.1445 -INDEX GOES BRRR: 246 X: 15.3994 -INDEX GOES BRRR: 186 X: 11.666 -INDEX GOES BRRR: 35 X: 2.2334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1017 X: -0.401367 -INDEX GOES BRRR: 381 X: 23.8164 -INDEX GOES BRRR: 282 X: 17.6377 -INDEX GOES BRRR: 304 X: 19.0225 -INDEX GOES BRRR: 52 X: 3.29102 -INDEX GOES BRRR: 122 X: 7.67383 -INDEX GOES BRRR: 871 X: -9.52734 -INDEX GOES BRRR: 106 X: 6.63672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 339 X: 21.1934 -INDEX GOES BRRR: 263 X: 16.4766 -INDEX GOES BRRR: 843 X: -11.3047 -INDEX GOES BRRR: 119 X: 7.47168 -INDEX GOES BRRR: 335 X: 20.9375 -INDEX GOES BRRR: 302 X: 18.8789 -INDEX GOES BRRR: 73 X: 4.61816 -INDEX GOES BRRR: 975 X: -3.0293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.10449 -INDEX GOES BRRR: 352 X: 22.0107 -INDEX GOES BRRR: 23 X: 1.44531 -INDEX GOES BRRR: 898 X: -7.81934 -INDEX GOES BRRR: 194 X: 12.125 -INDEX GOES BRRR: 211 X: 13.2139 -INDEX GOES BRRR: 970 X: -3.32422 -INDEX GOES BRRR: 399 X: 24.9883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 916 X: -6.72559 -INDEX GOES BRRR: 130 X: 8.125 -INDEX GOES BRRR: 939 X: -5.25098 -INDEX GOES BRRR: 262 X: 16.3779 -INDEX GOES BRRR: 387 X: 24.2256 -INDEX GOES BRRR: 48 X: 3.03223 -INDEX GOES BRRR: 281 X: 17.5625 -INDEX GOES BRRR: 995 X: -1.75391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.14551 -INDEX GOES BRRR: 866 X: -9.81934 -INDEX GOES BRRR: 954 X: -4.33594 -INDEX GOES BRRR: 299 X: 18.71 -INDEX GOES BRRR: 304 X: 19.0254 -INDEX GOES BRRR: 240 X: 15.0469 -INDEX GOES BRRR: 170 X: 10.6279 -INDEX GOES BRRR: 105 X: 6.60938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 779 X: -15.2812 -INDEX GOES BRRR: 63 X: 3.93848 -INDEX GOES BRRR: 348 X: 21.791 -INDEX GOES BRRR: 962 X: -3.83496 -INDEX GOES BRRR: 195 X: 12.2139 -INDEX GOES BRRR: 350 X: 21.9238 -INDEX GOES BRRR: 1021 X: -0.18457 -INDEX GOES BRRR: 9 X: 0.575195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.87305 -INDEX GOES BRRR: 246 X: 15.3848 -INDEX GOES BRRR: 380 X: 23.8066 -INDEX GOES BRRR: 385 X: 24.0703 -INDEX GOES BRRR: 959 X: -4.00586 -INDEX GOES BRRR: 37 X: 2.3125 -INDEX GOES BRRR: 381 X: 23.8369 -INDEX GOES BRRR: 151 X: 9.48438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7695 -INDEX GOES BRRR: 996 X: -1.70898 -INDEX GOES BRRR: 154 X: 9.67285 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 177 X: 11.0703 -INDEX GOES BRRR: 476 X: 29.7676 -INDEX GOES BRRR: 44 X: 2.78809 -INDEX GOES BRRR: 32 X: 2.00488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1020 X: -0.191406 -INDEX GOES BRRR: 32 X: 2.05566 -INDEX GOES BRRR: 407 X: 25.4414 -INDEX GOES BRRR: 273 X: 17.1006 -INDEX GOES BRRR: 141 X: 8.85449 -INDEX GOES BRRR: 190 X: 11.9277 -INDEX GOES BRRR: 810 X: -13.3438 -INDEX GOES BRRR: 421 X: 26.3262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 984 X: -2.48633 -INDEX GOES BRRR: 369 X: 23.0996 -INDEX GOES BRRR: 981 X: -2.67773 -INDEX GOES BRRR: 122 X: 7.68164 -INDEX GOES BRRR: 13 X: 0.831055 -INDEX GOES BRRR: 323 X: 20.2158 -INDEX GOES BRRR: 354 X: 22.1445 -INDEX GOES BRRR: 233 X: 14.6064 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.21094 -INDEX GOES BRRR: 944 X: -4.94727 -INDEX GOES BRRR: 61 X: 3.87402 -INDEX GOES BRRR: 335 X: 20.9873 -INDEX GOES BRRR: 142 X: 8.93262 -INDEX GOES BRRR: 878 X: -9.09473 -INDEX GOES BRRR: 356 X: 22.2773 -INDEX GOES BRRR: 429 X: 26.8311 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.88281 -INDEX GOES BRRR: 422 X: 26.4004 -INDEX GOES BRRR: 123 X: 7.69238 -INDEX GOES BRRR: 234 X: 14.6572 -INDEX GOES BRRR: 222 X: 13.9189 -INDEX GOES BRRR: 1016 X: -0.44043 -INDEX GOES BRRR: 201 X: 12.5664 -INDEX GOES BRRR: 349 X: 21.8652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 406 X: 25.3936 -INDEX GOES BRRR: 137 X: 8.60938 -INDEX GOES BRRR: 417 X: 26.0684 -INDEX GOES BRRR: 408 X: 25.5 -INDEX GOES BRRR: 89 X: 5.58398 -INDEX GOES BRRR: 174 X: 10.9229 -INDEX GOES BRRR: 245 X: 15.3281 -INDEX GOES BRRR: 137 X: 8.5625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5342 -INDEX GOES BRRR: 2 X: 0.141602 -INDEX GOES BRRR: 114 X: 7.1543 -INDEX GOES BRRR: 81 X: 5.09961 -INDEX GOES BRRR: 101 X: 6.33496 -INDEX GOES BRRR: 15 X: 0.985352 -INDEX GOES BRRR: 250 X: 15.6484 -INDEX GOES BRRR: 131 X: 8.19531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 942 X: -5.11133 -INDEX GOES BRRR: 171 X: 10.7471 -INDEX GOES BRRR: 225 X: 14.0859 -INDEX GOES BRRR: 160 X: 10.0156 -INDEX GOES BRRR: 350 X: 21.8867 -INDEX GOES BRRR: 980 X: -2.71484 -INDEX GOES BRRR: 163 X: 10.2373 -INDEX GOES BRRR: 250 X: 15.6797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 97 X: 6.09863 -INDEX GOES BRRR: 232 X: 14.5479 -INDEX GOES BRRR: 68 X: 4.26172 -INDEX GOES BRRR: 32 X: 2.00586 -INDEX GOES BRRR: 1000 X: -1.47852 -INDEX GOES BRRR: 34 X: 2.15234 -INDEX GOES BRRR: 439 X: 27.4678 -INDEX GOES BRRR: 276 X: 17.2637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.334 -INDEX GOES BRRR: 325 X: 20.3662 -INDEX GOES BRRR: 255 X: 15.9795 -INDEX GOES BRRR: 275 X: 17.2451 -INDEX GOES BRRR: 374 X: 23.4297 -INDEX GOES BRRR: 85 X: 5.33301 -INDEX GOES BRRR: 77 X: 4.85059 -INDEX GOES BRRR: 173 X: 10.8398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.77148 -INDEX GOES BRRR: 33 X: 2.07617 -INDEX GOES BRRR: 114 X: 7.13965 -INDEX GOES BRRR: 951 X: -4.53613 -INDEX GOES BRRR: 151 X: 9.49707 -INDEX GOES BRRR: 82 X: 5.15527 -INDEX GOES BRRR: 147 X: 9.19336 -INDEX GOES BRRR: 244 X: 15.2598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 189 X: 11.8555 -INDEX GOES BRRR: 976 X: -2.95312 -INDEX GOES BRRR: 71 X: 4.48828 -INDEX GOES BRRR: 9 X: 0.620117 -INDEX GOES BRRR: 222 X: 13.876 -INDEX GOES BRRR: 332 X: 20.7852 -INDEX GOES BRRR: 172 X: 10.7695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 995 X: -1.79883 -INDEX GOES BRRR: 261 X: 16.3398 -INDEX GOES BRRR: 56 X: 3.52051 -INDEX GOES BRRR: 284 X: 17.8008 -INDEX GOES BRRR: 341 X: 21.3496 -INDEX GOES BRRR: 205 X: 12.8701 -INDEX GOES BRRR: 103 X: 6.47266 -INDEX GOES BRRR: 291 X: 18.2266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.0684 -INDEX GOES BRRR: 281 X: 17.623 -INDEX GOES BRRR: 49 X: 3.09766 -INDEX GOES BRRR: 189 X: 11.8691 -INDEX GOES BRRR: 988 X: -2.2334 -INDEX GOES BRRR: 204 X: 12.7539 -INDEX GOES BRRR: 324 X: 20.2969 -INDEX GOES BRRR: 1011 X: -0.785156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 495 X: 30.9395 -INDEX GOES BRRR: 336 X: 21.0127 -INDEX GOES BRRR: 772 X: -15.7168 -INDEX GOES BRRR: 218 X: 13.6436 -INDEX GOES BRRR: 123 X: 7.71582 -INDEX GOES BRRR: 23 X: 1.47461 -INDEX GOES BRRR: 985 X: -2.39648 -INDEX GOES BRRR: 157 X: 9.86426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5107 -INDEX GOES BRRR: 133 X: 8.36816 -INDEX GOES BRRR: 453 X: 28.3535 -INDEX GOES BRRR: 982 X: -2.60742 -INDEX GOES BRRR: 180 X: 11.2559 -INDEX GOES BRRR: 11 X: 0.71875 -INDEX GOES BRRR: 468 X: 29.2881 -INDEX GOES BRRR: 57 X: 3.60449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4502 -INDEX GOES BRRR: 132 X: 8.26367 -INDEX GOES BRRR: 63 X: 3.99609 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 345 X: 21.5674 -INDEX GOES BRRR: 1006 X: -1.08203 -INDEX GOES BRRR: 176 X: 11.0547 -INDEX GOES BRRR: 88 X: 5.54199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 372 X: 23.2803 -INDEX GOES BRRR: 297 X: 18.5859 -INDEX GOES BRRR: 385 X: 24.1074 -INDEX GOES BRRR: 436 X: 27.2988 -INDEX GOES BRRR: 379 X: 23.7158 -INDEX GOES BRRR: 328 X: 20.5176 -INDEX GOES BRRR: 137 X: 8.5625 -INDEX GOES BRRR: 40 X: 2.52832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2334 -INDEX GOES BRRR: 51 X: 3.20605 -INDEX GOES BRRR: 392 X: 24.5361 -INDEX GOES BRRR: 890 X: -8.35156 -INDEX GOES BRRR: 231 X: 14.4688 -INDEX GOES BRRR: 265 X: 16.6162 -INDEX GOES BRRR: 249 X: 15.5908 -INDEX GOES BRRR: 132 X: 8.25684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.86816 -INDEX GOES BRRR: 956 X: -4.19727 -INDEX GOES BRRR: 354 X: 22.1514 -INDEX GOES BRRR: 161 X: 10.0879 -INDEX GOES BRRR: 217 X: 13.5635 -INDEX GOES BRRR: 942 X: -5.06836 -INDEX GOES BRRR: 954 X: -4.33496 -INDEX GOES BRRR: 937 X: -5.43164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.60742 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 376 X: 23.5488 -INDEX GOES BRRR: 373 X: 23.3682 -INDEX GOES BRRR: 975 X: -3.0293 -INDEX GOES BRRR: 294 X: 18.4277 -INDEX GOES BRRR: 145 X: 9.09375 -INDEX GOES BRRR: 896 X: -7.97754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.81055 -INDEX GOES BRRR: 100 X: 6.29395 -INDEX GOES BRRR: 266 X: 16.6797 -INDEX GOES BRRR: 883 X: -8.75391 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 962 X: -3.85645 -INDEX GOES BRRR: 253 X: 15.834 -INDEX GOES BRRR: 86 X: 5.38184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.72656 -INDEX GOES BRRR: 385 X: 24.0723 -INDEX GOES BRRR: 115 X: 7.23926 -INDEX GOES BRRR: 296 X: 18.5449 -INDEX GOES BRRR: 940 X: -5.19629 -INDEX GOES BRRR: 42 X: 2.67676 -INDEX GOES BRRR: 158 X: 9.88867 -INDEX GOES BRRR: 218 X: 13.6768 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 200 X: 12.5488 -INDEX GOES BRRR: 302 X: 18.8984 -INDEX GOES BRRR: 324 X: 20.291 -INDEX GOES BRRR: 357 X: 22.3125 -INDEX GOES BRRR: 13 X: 0.860352 -INDEX GOES BRRR: 932 X: -5.69336 -INDEX GOES BRRR: 437 X: 27.3213 -INDEX GOES BRRR: 220 X: 13.7754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.807617 -INDEX GOES BRRR: 945 X: -4.87793 -INDEX GOES BRRR: 92 X: 5.7959 -INDEX GOES BRRR: 131 X: 8.2334 -INDEX GOES BRRR: 256 X: 16 -INDEX GOES BRRR: 846 X: -11.0938 -INDEX GOES BRRR: 180 X: 11.2744 -INDEX GOES BRRR: 80 X: 5.02051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 974 X: -3.10352 -INDEX GOES BRRR: 154 X: 9.6543 -INDEX GOES BRRR: 909 X: -7.17188 -INDEX GOES BRRR: 94 X: 5.88867 -INDEX GOES BRRR: 220 X: 13.7539 -INDEX GOES BRRR: 357 X: 22.3711 -INDEX GOES BRRR: 110 X: 6.88281 -INDEX GOES BRRR: 980 X: -2.74219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.34766 -INDEX GOES BRRR: 874 X: -9.32812 -INDEX GOES BRRR: 322 X: 20.1611 -INDEX GOES BRRR: 202 X: 12.626 -INDEX GOES BRRR: 44 X: 2.78418 -INDEX GOES BRRR: 883 X: -8.75781 -INDEX GOES BRRR: 38 X: 2.41211 -INDEX GOES BRRR: 299 X: 18.7373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7061 -INDEX GOES BRRR: 107 X: 6.70508 -INDEX GOES BRRR: 109 X: 6.81641 -INDEX GOES BRRR: 177 X: 11.0928 -INDEX GOES BRRR: 347 X: 21.7002 -INDEX GOES BRRR: 131 X: 8.2334 -INDEX GOES BRRR: 53 X: 3.34082 -INDEX GOES BRRR: 917 X: -6.63086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 391 X: 24.4736 -INDEX GOES BRRR: 204 X: 12.7578 -INDEX GOES BRRR: 41 X: 2.58301 -INDEX GOES BRRR: 943 X: -5.04199 -INDEX GOES BRRR: 451 X: 28.1904 -INDEX GOES BRRR: 90 X: 5.67285 -INDEX GOES BRRR: 92 X: 5.80469 -INDEX GOES BRRR: 387 X: 24.2432 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 31 X: 1.94922 -INDEX GOES BRRR: 186 X: 11.6445 -INDEX GOES BRRR: 148 X: 9.26758 -INDEX GOES BRRR: 445 X: 27.8213 -INDEX GOES BRRR: 143 X: 8.96387 -INDEX GOES BRRR: 933 X: -5.68359 -INDEX GOES BRRR: 253 X: 15.832 -INDEX GOES BRRR: 212 X: 13.2607 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 339 X: 21.2168 -INDEX GOES BRRR: 175 X: 10.9668 -INDEX GOES BRRR: 168 X: 10.5391 -INDEX GOES BRRR: 359 X: 22.4395 -INDEX GOES BRRR: 251 X: 15.7324 -INDEX GOES BRRR: 985 X: -2.37793 -INDEX GOES BRRR: 375 X: 23.499 -INDEX GOES BRRR: 249 X: 15.6172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.3916 -INDEX GOES BRRR: 327 X: 20.4385 -INDEX GOES BRRR: 219 X: 13.7002 -INDEX GOES BRRR: 236 X: 14.792 -INDEX GOES BRRR: 813 X: -13.1543 -INDEX GOES BRRR: 992 X: -1.99414 -INDEX GOES BRRR: 295 X: 18.4424 -INDEX GOES BRRR: 104 X: 6.53613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1328 -INDEX GOES BRRR: 997 X: -1.64551 -INDEX GOES BRRR: 308 X: 19.2822 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 151 X: 9.4873 -INDEX GOES BRRR: 117 X: 7.35254 -INDEX GOES BRRR: 84 X: 5.31055 -INDEX GOES BRRR: 72 X: 4.52148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 968 X: -3.46484 -INDEX GOES BRRR: 99 X: 6.22363 -INDEX GOES BRRR: 130 X: 8.17676 -INDEX GOES BRRR: 184 X: 11.5488 -INDEX GOES BRRR: 926 X: -6.0918 -INDEX GOES BRRR: 44 X: 2.80664 -INDEX GOES BRRR: 306 X: 19.1387 -INDEX GOES BRRR: 191 X: 11.9502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.78223 -INDEX GOES BRRR: 207 X: 12.9883 -INDEX GOES BRRR: 160 X: 10.041 -INDEX GOES BRRR: 403 X: 25.2354 -INDEX GOES BRRR: 54 X: 3.42773 -INDEX GOES BRRR: 130 X: 8.16113 -INDEX GOES BRRR: 143 X: 8.94434 -INDEX GOES BRRR: 104 X: 6.51562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 64 X: 4.0498 -INDEX GOES BRRR: 51 X: 3.22949 -INDEX GOES BRRR: 275 X: 17.2227 -INDEX GOES BRRR: 976 X: -2.9873 -INDEX GOES BRRR: 19 X: 1.24023 -INDEX GOES BRRR: 206 X: 12.9277 -INDEX GOES BRRR: 892 X: -8.20215 -INDEX GOES BRRR: 298 X: 18.6699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0527 -INDEX GOES BRRR: 475 X: 29.7373 -INDEX GOES BRRR: 175 X: 10.9922 -INDEX GOES BRRR: 203 X: 12.7354 -INDEX GOES BRRR: 952 X: -4.49316 -INDEX GOES BRRR: 390 X: 24.4189 -INDEX GOES BRRR: 43 X: 2.7334 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 999 X: -1.50879 -INDEX GOES BRRR: 3 X: 0.217773 -INDEX GOES BRRR: 327 X: 20.4922 -INDEX GOES BRRR: 305 X: 19.1006 -INDEX GOES BRRR: 19 X: 1.24023 -INDEX GOES BRRR: 471 X: 29.498 -INDEX GOES BRRR: 264 X: 16.5332 -INDEX GOES BRRR: 282 X: 17.6758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 78 X: 4.93457 -INDEX GOES BRRR: 913 X: -6.91113 -INDEX GOES BRRR: 343 X: 21.4688 -INDEX GOES BRRR: 971 X: -3.29492 -INDEX GOES BRRR: 166 X: 10.3799 -INDEX GOES BRRR: 115 X: 7.2334 -INDEX GOES BRRR: 190 X: 11.9033 -INDEX GOES BRRR: 218 X: 13.6738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0078 -INDEX GOES BRRR: 119 X: 7.49121 -INDEX GOES BRRR: 288 X: 18.0117 -INDEX GOES BRRR: 228 X: 14.2832 -INDEX GOES BRRR: 177 X: 11.0889 -INDEX GOES BRRR: 192 X: 12.0332 -INDEX GOES BRRR: 34 X: 2.17285 -INDEX GOES BRRR: 163 X: 10.1982 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.38184 -INDEX GOES BRRR: 32 X: 2.00586 -INDEX GOES BRRR: 189 X: 11.8174 -INDEX GOES BRRR: 136 X: 8.55176 -INDEX GOES BRRR: 167 X: 10.4932 -INDEX GOES BRRR: 404 X: 25.2656 -INDEX GOES BRRR: 314 X: 19.6475 -INDEX GOES BRRR: 342 X: 21.3896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.667 -INDEX GOES BRRR: 137 X: 8.60742 -INDEX GOES BRRR: 53 X: 3.33203 -INDEX GOES BRRR: 216 X: 13.5156 -INDEX GOES BRRR: 166 X: 10.4033 -INDEX GOES BRRR: 230 X: 14.4238 -INDEX GOES BRRR: 279 X: 17.4707 -INDEX GOES BRRR: 28 X: 1.77344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 729 X: -18.3828 -INDEX GOES BRRR: 201 X: 12.5781 -INDEX GOES BRRR: 286 X: 17.9209 -INDEX GOES BRRR: 95 X: 5.97266 -INDEX GOES BRRR: 330 X: 20.6572 -INDEX GOES BRRR: 267 X: 16.6914 -INDEX GOES BRRR: 447 X: 27.9824 -INDEX GOES BRRR: 133 X: 8.35352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.41797 -INDEX GOES BRRR: 270 X: 16.8906 -INDEX GOES BRRR: 156 X: 9.7793 -INDEX GOES BRRR: 927 X: -6.05176 -INDEX GOES BRRR: 286 X: 17.9336 -INDEX GOES BRRR: 171 X: 10.6963 -INDEX GOES BRRR: 126 X: 7.90723 -INDEX GOES BRRR: 286 X: 17.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.79102 -INDEX GOES BRRR: 278 X: 17.3799 -INDEX GOES BRRR: 257 X: 16.1211 -INDEX GOES BRRR: 63 X: 3.99805 -INDEX GOES BRRR: 250 X: 15.6611 -INDEX GOES BRRR: 262 X: 16.4346 -INDEX GOES BRRR: 1012 X: -0.742188 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.37402 -INDEX GOES BRRR: 306 X: 19.125 -INDEX GOES BRRR: 490 X: 30.6514 -INDEX GOES BRRR: 182 X: 11.4082 -INDEX GOES BRRR: 99 X: 6.20996 -INDEX GOES BRRR: 336 X: 21.0098 -INDEX GOES BRRR: 94 X: 5.92871 -INDEX GOES BRRR: 346 X: 21.6455 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 441 X: 27.5664 -INDEX GOES BRRR: 197 X: 12.3389 -INDEX GOES BRRR: 423 X: 26.4473 -INDEX GOES BRRR: 109 X: 6.83398 -INDEX GOES BRRR: 1022 X: -0.100586 -INDEX GOES BRRR: 77 X: 4.81738 -INDEX GOES BRRR: 52 X: 3.29688 -INDEX GOES BRRR: 108 X: 6.79688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.0966797 -INDEX GOES BRRR: 204 X: 12.7598 -INDEX GOES BRRR: 14 X: 0.882812 -INDEX GOES BRRR: 271 X: 16.9541 -INDEX GOES BRRR: 355 X: 22.2441 -INDEX GOES BRRR: 63 X: 3.94043 -INDEX GOES BRRR: 406 X: 25.3848 -INDEX GOES BRRR: 287 X: 17.9551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.085 -INDEX GOES BRRR: 53 X: 3.36719 -INDEX GOES BRRR: 95 X: 5.99316 -INDEX GOES BRRR: 882 X: -8.8252 -INDEX GOES BRRR: 252 X: 15.7734 -INDEX GOES BRRR: 316 X: 19.7861 -INDEX GOES BRRR: 983 X: -2.50977 -INDEX GOES BRRR: 237 X: 14.8252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 710 X: -19.5977 -INDEX GOES BRRR: 195 X: 12.1953 -INDEX GOES BRRR: 103 X: 6.45508 -INDEX GOES BRRR: 199 X: 12.4795 -INDEX GOES BRRR: 193 X: 12.1006 -INDEX GOES BRRR: 145 X: 9.10547 -INDEX GOES BRRR: 163 X: 10.209 -INDEX GOES BRRR: 987 X: -2.2998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.9033 -INDEX GOES BRRR: 265 X: 16.6172 -INDEX GOES BRRR: 1012 X: -0.748047 -INDEX GOES BRRR: 191 X: 11.9473 -INDEX GOES BRRR: 871 X: -9.54785 -INDEX GOES BRRR: 170 X: 10.6787 -INDEX GOES BRRR: 164 X: 10.3008 -INDEX GOES BRRR: 927 X: -6.00781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 70 X: 4.41895 -INDEX GOES BRRR: 78 X: 4.91113 -INDEX GOES BRRR: 307 X: 19.2461 -INDEX GOES BRRR: 1022 X: -0.0634766 -INDEX GOES BRRR: 427 X: 26.707 -INDEX GOES BRRR: 99 X: 6.22461 -INDEX GOES BRRR: 219 X: 13.7373 -INDEX GOES BRRR: 364 X: 22.7812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 257 X: 16.0654 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 855 X: -10.501 -INDEX GOES BRRR: 815 X: -13.0361 -INDEX GOES BRRR: 1002 X: -1.3584 -INDEX GOES BRRR: 152 X: 9.54199 -INDEX GOES BRRR: 162 X: 10.1426 -INDEX GOES BRRR: 15 X: 0.944336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.3877 -INDEX GOES BRRR: 452 X: 28.29 -INDEX GOES BRRR: 327 X: 20.4395 -INDEX GOES BRRR: 280 X: 17.542 -INDEX GOES BRRR: 59 X: 3.74609 -INDEX GOES BRRR: 69 X: 4.31543 -INDEX GOES BRRR: 435 X: 27.2178 -INDEX GOES BRRR: 200 X: 12.5449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 856 X: -10.4883 -INDEX GOES BRRR: 977 X: -2.92188 -INDEX GOES BRRR: 1021 X: -0.135742 -INDEX GOES BRRR: 430 X: 26.9014 -INDEX GOES BRRR: 175 X: 10.9912 -INDEX GOES BRRR: 867 X: -9.80566 -INDEX GOES BRRR: 223 X: 13.9951 -INDEX GOES BRRR: 25 X: 1.61914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2227 -INDEX GOES BRRR: 85 X: 5.32422 -INDEX GOES BRRR: 100 X: 6.30566 -INDEX GOES BRRR: 294 X: 18.4121 -INDEX GOES BRRR: 43 X: 2.72461 -INDEX GOES BRRR: 17 X: 1.07812 -INDEX GOES BRRR: 969 X: -3.38184 -INDEX GOES BRRR: 271 X: 16.9697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1377 -INDEX GOES BRRR: 137 X: 8.58984 -INDEX GOES BRRR: 384 X: 24.0254 -INDEX GOES BRRR: 253 X: 15.8271 -INDEX GOES BRRR: 223 X: 13.9863 -INDEX GOES BRRR: 965 X: -3.64746 -INDEX GOES BRRR: 165 X: 10.3145 -INDEX GOES BRRR: 75 X: 4.70508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 921 X: -6.39258 -INDEX GOES BRRR: 83 X: 5.22852 -INDEX GOES BRRR: 243 X: 15.2256 -INDEX GOES BRRR: 11 X: 0.691406 -INDEX GOES BRRR: 268 X: 16.7705 -INDEX GOES BRRR: 681 X: -21.4238 -INDEX GOES BRRR: 81 X: 5.06836 -INDEX GOES BRRR: 146 X: 9.16113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.75293 -INDEX GOES BRRR: 970 X: -3.3584 -INDEX GOES BRRR: 339 X: 21.2383 -INDEX GOES BRRR: 228 X: 14.2539 -INDEX GOES BRRR: 265 X: 16.6094 -INDEX GOES BRRR: 58 X: 3.63086 -INDEX GOES BRRR: 340 X: 21.2568 -INDEX GOES BRRR: 954 X: -4.37012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 906 X: -7.37305 -INDEX GOES BRRR: 907 X: -7.27051 -INDEX GOES BRRR: 69 X: 4.3623 -INDEX GOES BRRR: 20 X: 1.27832 -INDEX GOES BRRR: 298 X: 18.6738 -INDEX GOES BRRR: 270 X: 16.8965 -INDEX GOES BRRR: 208 X: 13.0537 -INDEX GOES BRRR: 53 X: 3.33008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1020 X: -0.24707 -INDEX GOES BRRR: 123 X: 7.71582 -INDEX GOES BRRR: 48 X: 3.04102 -INDEX GOES BRRR: 223 X: 13.9854 -INDEX GOES BRRR: 122 X: 7.66309 -INDEX GOES BRRR: 246 X: 15.4287 -INDEX GOES BRRR: 277 X: 17.3545 -INDEX GOES BRRR: 220 X: 13.7861 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.50684 -INDEX GOES BRRR: 407 X: 25.4609 -INDEX GOES BRRR: 102 X: 6.41699 -INDEX GOES BRRR: 46 X: 2.92383 -INDEX GOES BRRR: 38 X: 2.37988 -INDEX GOES BRRR: 88 X: 5.51758 -INDEX GOES BRRR: 117 X: 7.34082 -INDEX GOES BRRR: 253 X: 15.8438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 785 X: -14.8818 -INDEX GOES BRRR: 1005 X: -1.15723 -INDEX GOES BRRR: 111 X: 6.97754 -INDEX GOES BRRR: 300 X: 18.7686 -INDEX GOES BRRR: 67 X: 4.21191 -INDEX GOES BRRR: 364 X: 22.7539 -INDEX GOES BRRR: 174 X: 10.9355 -INDEX GOES BRRR: 128 X: 8.04492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5254 -INDEX GOES BRRR: 390 X: 24.3994 -INDEX GOES BRRR: 225 X: 14.1006 -INDEX GOES BRRR: 107 X: 6.69531 -INDEX GOES BRRR: 79 X: 4.95703 -INDEX GOES BRRR: 110 X: 6.88867 -INDEX GOES BRRR: 188 X: 11.751 -INDEX GOES BRRR: 973 X: -3.1416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 380 X: 23.7598 -INDEX GOES BRRR: 99 X: 6.2373 -INDEX GOES BRRR: 218 X: 13.6289 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 37 X: 2.3457 -INDEX GOES BRRR: 352 X: 22.0166 -INDEX GOES BRRR: 995 X: -1.8125 -INDEX GOES BRRR: 7 X: 0.493164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3574 -INDEX GOES BRRR: 189 X: 11.8701 -INDEX GOES BRRR: 904 X: -7.49121 -INDEX GOES BRRR: 824 X: -12.4482 -INDEX GOES BRRR: 238 X: 14.8857 -INDEX GOES BRRR: 126 X: 7.89844 -INDEX GOES BRRR: 192 X: 12.0205 -INDEX GOES BRRR: 222 X: 13.8799 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 298 X: 18.6387 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 314 X: 19.6348 -INDEX GOES BRRR: 152 X: 9.51953 -INDEX GOES BRRR: 239 X: 14.9873 -INDEX GOES BRRR: 341 X: 21.3184 -INDEX GOES BRRR: 96 X: 6.00879 -INDEX GOES BRRR: 462 X: 28.9268 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 330 X: 20.6289 -INDEX GOES BRRR: 50 X: 3.17188 -INDEX GOES BRRR: 351 X: 21.9814 -INDEX GOES BRRR: 173 X: 10.8242 -INDEX GOES BRRR: 965 X: -3.64941 -INDEX GOES BRRR: 63 X: 3.94043 -INDEX GOES BRRR: 235 X: 14.7373 -INDEX GOES BRRR: 1005 X: -1.16797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5127 -INDEX GOES BRRR: 194 X: 12.1758 -INDEX GOES BRRR: 206 X: 12.8965 -INDEX GOES BRRR: 422 X: 26.3877 -INDEX GOES BRRR: 697 X: -20.4209 -INDEX GOES BRRR: 161 X: 10.0938 -INDEX GOES BRRR: 150 X: 9.40625 -INDEX GOES BRRR: 272 X: 17.0439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.15918 -INDEX GOES BRRR: 300 X: 18.791 -INDEX GOES BRRR: 322 X: 20.1592 -INDEX GOES BRRR: 220 X: 13.8096 -INDEX GOES BRRR: 238 X: 14.9209 -INDEX GOES BRRR: 185 X: 11.5762 -INDEX GOES BRRR: 316 X: 19.7852 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.5859 -INDEX GOES BRRR: 0 X: 0.0390625 -INDEX GOES BRRR: 910 X: -7.0918 -INDEX GOES BRRR: 221 X: 13.8691 -INDEX GOES BRRR: 409 X: 25.5938 -INDEX GOES BRRR: 924 X: -6.23047 -INDEX GOES BRRR: 241 X: 15.1064 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4893 -INDEX GOES BRRR: 173 X: 10.8213 -INDEX GOES BRRR: 117 X: 7.35352 -INDEX GOES BRRR: 63 X: 3.97461 -INDEX GOES BRRR: 121 X: 7.61133 -INDEX GOES BRRR: 1010 X: -0.814453 -INDEX GOES BRRR: 105 X: 6.6123 -INDEX GOES BRRR: 317 X: 19.8223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.5127 -INDEX GOES BRRR: 302 X: 18.9092 -INDEX GOES BRRR: 113 X: 7.11035 -INDEX GOES BRRR: 86 X: 5.41602 -INDEX GOES BRRR: 288 X: 18.0186 -INDEX GOES BRRR: 57 X: 3.56641 -INDEX GOES BRRR: 9 X: 0.624023 -INDEX GOES BRRR: 345 X: 21.6152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2988 -INDEX GOES BRRR: 176 X: 11.0615 -INDEX GOES BRRR: 144 X: 9.04785 -INDEX GOES BRRR: 240 X: 15.0391 -INDEX GOES BRRR: 890 X: -8.35547 -INDEX GOES BRRR: 218 X: 13.6504 -INDEX GOES BRRR: 130 X: 8.15625 -INDEX GOES BRRR: 791 X: -14.5273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.09766 -INDEX GOES BRRR: 92 X: 5.81152 -INDEX GOES BRRR: 81 X: 5.08984 -INDEX GOES BRRR: 86 X: 5.37793 -INDEX GOES BRRR: 992 X: -1.96289 -INDEX GOES BRRR: 148 X: 9.31152 -INDEX GOES BRRR: 988 X: -2.19531 -INDEX GOES BRRR: 937 X: -5.39844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.41797 -INDEX GOES BRRR: 293 X: 18.3184 -INDEX GOES BRRR: 148 X: 9.26562 -INDEX GOES BRRR: 90 X: 5.66309 -INDEX GOES BRRR: 43 X: 2.74805 -INDEX GOES BRRR: 302 X: 18.877 -INDEX GOES BRRR: 34 X: 2.1416 -INDEX GOES BRRR: 330 X: 20.6436 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.1094 -INDEX GOES BRRR: 971 X: -3.3125 -INDEX GOES BRRR: 153 X: 9.61621 -INDEX GOES BRRR: 85 X: 5.32227 -INDEX GOES BRRR: 234 X: 14.6562 -INDEX GOES BRRR: 221 X: 13.8623 -INDEX GOES BRRR: 288 X: 18.0312 -INDEX GOES BRRR: 184 X: 11.542 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 997 X: -1.66211 -INDEX GOES BRRR: 351 X: 21.96 -INDEX GOES BRRR: 194 X: 12.1494 -INDEX GOES BRRR: 298 X: 18.6748 -INDEX GOES BRRR: 446 X: 27.8848 -INDEX GOES BRRR: 315 X: 19.7344 -INDEX GOES BRRR: 128 X: 8.02539 -INDEX GOES BRRR: 168 X: 10.5156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.7324 -INDEX GOES BRRR: 190 X: 11.9014 -INDEX GOES BRRR: 121 X: 7.58594 -INDEX GOES BRRR: 159 X: 9.98633 -INDEX GOES BRRR: 175 X: 10.9619 -INDEX GOES BRRR: 228 X: 14.2783 -INDEX GOES BRRR: 411 X: 25.7256 -INDEX GOES BRRR: 156 X: 9.77539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0458984 -INDEX GOES BRRR: 246 X: 15.4219 -INDEX GOES BRRR: 99 X: 6.24219 -INDEX GOES BRRR: 23 X: 1.48828 -INDEX GOES BRRR: 161 X: 10.1035 -INDEX GOES BRRR: 150 X: 9.38965 -INDEX GOES BRRR: 243 X: 15.2461 -INDEX GOES BRRR: 436 X: 27.2539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.0957 -INDEX GOES BRRR: 1011 X: -0.797852 -INDEX GOES BRRR: 360 X: 22.5205 -INDEX GOES BRRR: 100 X: 6.30664 -INDEX GOES BRRR: 0 X: 0.0205078 -INDEX GOES BRRR: 944 X: -4.95215 -INDEX GOES BRRR: 143 X: 8.96191 -INDEX GOES BRRR: 54 X: 3.39062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.35449 -INDEX GOES BRRR: 114 X: 7.13379 -INDEX GOES BRRR: 1013 X: -0.633789 -INDEX GOES BRRR: 323 X: 20.2119 -INDEX GOES BRRR: 74 X: 4.6582 -INDEX GOES BRRR: 186 X: 11.6807 -INDEX GOES BRRR: 203 X: 12.7344 -INDEX GOES BRRR: 197 X: 12.3359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.78516 -INDEX GOES BRRR: 223 X: 13.9805 -INDEX GOES BRRR: 907 X: -7.26953 -INDEX GOES BRRR: 434 X: 27.1396 -INDEX GOES BRRR: 92 X: 5.80469 -INDEX GOES BRRR: 149 X: 9.34668 -INDEX GOES BRRR: 169 X: 10.6143 -INDEX GOES BRRR: 119 X: 7.47168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 902 X: -7.58105 -INDEX GOES BRRR: 407 X: 25.498 -INDEX GOES BRRR: 343 X: 21.4863 -INDEX GOES BRRR: 25 X: 1.5752 -INDEX GOES BRRR: 999 X: -1.54395 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 156 X: 9.80957 -INDEX GOES BRRR: 907 X: -7.29102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.540039 -INDEX GOES BRRR: 182 X: 11.3857 -INDEX GOES BRRR: 130 X: 8.14648 -INDEX GOES BRRR: 139 X: 8.70703 -INDEX GOES BRRR: 287 X: 17.9434 -INDEX GOES BRRR: 286 X: 17.9287 -INDEX GOES BRRR: 366 X: 22.9043 -INDEX GOES BRRR: 1012 X: -0.713867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 884 X: -8.69922 -INDEX GOES BRRR: 273 X: 17.0957 -INDEX GOES BRRR: 878 X: -9.08105 -INDEX GOES BRRR: 319 X: 19.9854 -INDEX GOES BRRR: 40 X: 2.53809 -INDEX GOES BRRR: 315 X: 19.7158 -INDEX GOES BRRR: 106 X: 6.65625 -INDEX GOES BRRR: 255 X: 15.96 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9834 -INDEX GOES BRRR: 315 X: 19.7383 -INDEX GOES BRRR: 308 X: 19.2891 -INDEX GOES BRRR: 193 X: 12.1162 -INDEX GOES BRRR: 344 X: 21.5186 -INDEX GOES BRRR: 216 X: 13.5273 -INDEX GOES BRRR: 72 X: 4.5459 -INDEX GOES BRRR: 163 X: 10.208 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.23438 -INDEX GOES BRRR: 344 X: 21.5234 -INDEX GOES BRRR: 225 X: 14.0898 -INDEX GOES BRRR: 66 X: 4.15723 -INDEX GOES BRRR: 184 X: 11.5576 -INDEX GOES BRRR: 58 X: 3.65039 -INDEX GOES BRRR: 407 X: 25.4424 -INDEX GOES BRRR: 333 X: 20.8564 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 871 X: -9.51465 -INDEX GOES BRRR: 1000 X: -1.44727 -INDEX GOES BRRR: 471 X: 29.4375 -INDEX GOES BRRR: 370 X: 23.1816 -INDEX GOES BRRR: 100 X: 6.25488 -INDEX GOES BRRR: 257 X: 16.0928 -INDEX GOES BRRR: 216 X: 13.5498 -INDEX GOES BRRR: 77 X: 4.83887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.2373 -INDEX GOES BRRR: 921 X: -6.37988 -INDEX GOES BRRR: 294 X: 18.4258 -INDEX GOES BRRR: 211 X: 13.2354 -INDEX GOES BRRR: 31 X: 1.95117 -INDEX GOES BRRR: 308 X: 19.2881 -INDEX GOES BRRR: 415 X: 25.9385 -INDEX GOES BRRR: 1014 X: -0.62207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 64 X: 4.02539 -INDEX GOES BRRR: 186 X: 11.6631 -INDEX GOES BRRR: 477 X: 29.834 -INDEX GOES BRRR: 127 X: 7.94629 -INDEX GOES BRRR: 113 X: 7.10742 -INDEX GOES BRRR: 326 X: 20.3809 -INDEX GOES BRRR: 470 X: 29.4336 -INDEX GOES BRRR: 409 X: 25.5938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5 -INDEX GOES BRRR: 310 X: 19.4062 -INDEX GOES BRRR: 240 X: 15.0176 -INDEX GOES BRRR: 103 X: 6.48047 -INDEX GOES BRRR: 121 X: 7.59277 -INDEX GOES BRRR: 111 X: 6.9707 -INDEX GOES BRRR: 155 X: 9.69434 -INDEX GOES BRRR: 268 X: 16.7842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.2832 -INDEX GOES BRRR: 1009 X: -0.915039 -INDEX GOES BRRR: 305 X: 19.0635 -INDEX GOES BRRR: 60 X: 3.7959 -INDEX GOES BRRR: 930 X: -5.875 -INDEX GOES BRRR: 853 X: -10.6494 -INDEX GOES BRRR: 444 X: 27.8018 -INDEX GOES BRRR: 167 X: 10.4531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 942 X: -5.07227 -INDEX GOES BRRR: 73 X: 4.59375 -INDEX GOES BRRR: 271 X: 16.9883 -INDEX GOES BRRR: 58 X: 3.64258 -INDEX GOES BRRR: 52 X: 3.28613 -INDEX GOES BRRR: 299 X: 18.7188 -INDEX GOES BRRR: 63 X: 3.94434 -INDEX GOES BRRR: 269 X: 16.8535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 320 X: 20.0508 -INDEX GOES BRRR: 159 X: 9.95801 -INDEX GOES BRRR: 196 X: 12.2939 -INDEX GOES BRRR: 154 X: 9.64746 -INDEX GOES BRRR: 247 X: 15.4512 -INDEX GOES BRRR: 105 X: 6.60254 -INDEX GOES BRRR: 988 X: -2.19336 -INDEX GOES BRRR: 328 X: 20.501 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 789 X: -14.6865 -INDEX GOES BRRR: 157 X: 9.84082 -INDEX GOES BRRR: 180 X: 11.2607 -INDEX GOES BRRR: 1023 X: -0.00390625 -INDEX GOES BRRR: 259 X: 16.2305 -INDEX GOES BRRR: 1004 X: -1.2002 -INDEX GOES BRRR: 976 X: -2.9834 -INDEX GOES BRRR: 962 X: -3.84277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1836 -INDEX GOES BRRR: 107 X: 6.70801 -INDEX GOES BRRR: 169 X: 10.6201 -INDEX GOES BRRR: 279 X: 17.498 -INDEX GOES BRRR: 183 X: 11.4873 -INDEX GOES BRRR: 59 X: 3.69824 -INDEX GOES BRRR: 297 X: 18.624 -INDEX GOES BRRR: 85 X: 5.33789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.4082 -INDEX GOES BRRR: 164 X: 10.2715 -INDEX GOES BRRR: 39 X: 2.44434 -INDEX GOES BRRR: 285 X: 17.8691 -INDEX GOES BRRR: 209 X: 13.1016 -INDEX GOES BRRR: 999 X: -1.53418 -INDEX GOES BRRR: 137 X: 8.57422 -INDEX GOES BRRR: 282 X: 17.667 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.51758 -INDEX GOES BRRR: 184 X: 11.541 -INDEX GOES BRRR: 251 X: 15.7305 -INDEX GOES BRRR: 261 X: 16.3467 -INDEX GOES BRRR: 391 X: 24.4775 -INDEX GOES BRRR: 142 X: 8.90918 -INDEX GOES BRRR: 139 X: 8.71484 -INDEX GOES BRRR: 133 X: 8.31738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 428 X: 26.7686 -INDEX GOES BRRR: 6 X: 0.424805 -INDEX GOES BRRR: 243 X: 15.2363 -INDEX GOES BRRR: 81 X: 5.12012 -INDEX GOES BRRR: 30 X: 1.89355 -INDEX GOES BRRR: 94 X: 5.89551 -INDEX GOES BRRR: 1020 X: -0.207031 -INDEX GOES BRRR: 182 X: 11.4258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2275 -INDEX GOES BRRR: 271 X: 16.9492 -INDEX GOES BRRR: 1015 X: -0.542969 -INDEX GOES BRRR: 78 X: 4.87988 -INDEX GOES BRRR: 93 X: 5.82324 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 144 X: 9.02051 -INDEX GOES BRRR: 295 X: 18.4902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0039 -INDEX GOES BRRR: 259 X: 16.2275 -INDEX GOES BRRR: 81 X: 5.09863 -INDEX GOES BRRR: 387 X: 24.2354 -INDEX GOES BRRR: 288 X: 18.0605 -INDEX GOES BRRR: 38 X: 2.42871 -INDEX GOES BRRR: 134 X: 8.39355 -INDEX GOES BRRR: 203 X: 12.6973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 871 X: -9.55273 -INDEX GOES BRRR: 102 X: 6.40039 -INDEX GOES BRRR: 41 X: 2.57129 -INDEX GOES BRRR: 364 X: 22.7832 -INDEX GOES BRRR: 81 X: 5.10938 -INDEX GOES BRRR: 167 X: 10.4668 -INDEX GOES BRRR: 59 X: 3.69629 -INDEX GOES BRRR: 243 X: 15.2188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 396 X: 24.7891 -INDEX GOES BRRR: 941 X: -5.18262 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 140 X: 8.79199 -INDEX GOES BRRR: 146 X: 9.17871 -INDEX GOES BRRR: 102 X: 6.41406 -INDEX GOES BRRR: 174 X: 10.8779 -INDEX GOES BRRR: 989 X: -2.16113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6494 -INDEX GOES BRRR: 241 X: 15.1162 -INDEX GOES BRRR: 363 X: 22.7451 -INDEX GOES BRRR: 9 X: 0.615234 -INDEX GOES BRRR: 1001 X: -1.37891 -INDEX GOES BRRR: 19 X: 1.21777 -INDEX GOES BRRR: 208 X: 13.0137 -INDEX GOES BRRR: 231 X: 14.4414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.88965 -INDEX GOES BRRR: 425 X: 26.5801 -INDEX GOES BRRR: 153 X: 9.62402 -INDEX GOES BRRR: 960 X: -3.94531 -INDEX GOES BRRR: 813 X: -13.1465 -INDEX GOES BRRR: 6 X: 0.429688 -INDEX GOES BRRR: 323 X: 20.1943 -INDEX GOES BRRR: 835 X: -11.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.2578 -INDEX GOES BRRR: 189 X: 11.8125 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 862 X: -10.1211 -INDEX GOES BRRR: 1017 X: -0.407227 -INDEX GOES BRRR: 307 X: 19.2305 -INDEX GOES BRRR: 130 X: 8.14844 -INDEX GOES BRRR: 482 X: 30.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.9941 -INDEX GOES BRRR: 225 X: 14.0625 -INDEX GOES BRRR: 27 X: 1.6875 -INDEX GOES BRRR: 136 X: 8.54102 -INDEX GOES BRRR: 136 X: 8.5459 -INDEX GOES BRRR: 996 X: -1.7002 -INDEX GOES BRRR: 841 X: -11.4346 -INDEX GOES BRRR: 57 X: 3.58887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.25488 -INDEX GOES BRRR: 995 X: -1.80273 -INDEX GOES BRRR: 349 X: 21.8232 -INDEX GOES BRRR: 208 X: 13.0107 -INDEX GOES BRRR: 272 X: 17.0312 -INDEX GOES BRRR: 325 X: 20.3418 -INDEX GOES BRRR: 19 X: 1.22559 -INDEX GOES BRRR: 82 X: 5.1543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.05371 -INDEX GOES BRRR: 79 X: 4.94629 -INDEX GOES BRRR: 256 X: 16.0303 -INDEX GOES BRRR: 857 X: -10.4209 -INDEX GOES BRRR: 191 X: 11.9756 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 338 X: 21.1768 -INDEX GOES BRRR: 49 X: 3.09863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.148438 -INDEX GOES BRRR: 150 X: 9.43164 -INDEX GOES BRRR: 115 X: 7.20312 -INDEX GOES BRRR: 322 X: 20.1719 -INDEX GOES BRRR: 393 X: 24.5859 -INDEX GOES BRRR: 300 X: 18.7988 -INDEX GOES BRRR: 867 X: -9.80957 -INDEX GOES BRRR: 928 X: -5.97656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4961 -INDEX GOES BRRR: 301 X: 18.8662 -INDEX GOES BRRR: 171 X: 10.7119 -INDEX GOES BRRR: 172 X: 10.792 -INDEX GOES BRRR: 950 X: -4.625 -INDEX GOES BRRR: 110 X: 6.9209 -INDEX GOES BRRR: 22 X: 1.42773 -INDEX GOES BRRR: 214 X: 13.3926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 337 X: 21.1006 -INDEX GOES BRRR: 76 X: 4.76465 -INDEX GOES BRRR: 872 X: -9.46094 -INDEX GOES BRRR: 1014 X: -0.608398 -INDEX GOES BRRR: 373 X: 23.3496 -INDEX GOES BRRR: 905 X: -7.41895 -INDEX GOES BRRR: 308 X: 19.2705 -INDEX GOES BRRR: 838 X: -11.5947 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.96484 -INDEX GOES BRRR: 227 X: 14.2119 -INDEX GOES BRRR: 317 X: 19.8721 -INDEX GOES BRRR: 14 X: 0.936523 -INDEX GOES BRRR: 132 X: 8.31055 -INDEX GOES BRRR: 796 X: -14.25 -INDEX GOES BRRR: 403 X: 25.2256 -INDEX GOES BRRR: 263 X: 16.4932 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.8008 -INDEX GOES BRRR: 905 X: -7.40039 -INDEX GOES BRRR: 145 X: 9.10254 -INDEX GOES BRRR: 63 X: 3.97266 -INDEX GOES BRRR: 323 X: 20.1924 -INDEX GOES BRRR: 929 X: -5.92773 -INDEX GOES BRRR: 344 X: 21.5615 -INDEX GOES BRRR: 241 X: 15.1025 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.52148 -INDEX GOES BRRR: 228 X: 14.251 -INDEX GOES BRRR: 231 X: 14.4541 -INDEX GOES BRRR: 161 X: 10.1123 -INDEX GOES BRRR: 871 X: -9.50195 -INDEX GOES BRRR: 151 X: 9.48535 -INDEX GOES BRRR: 210 X: 13.1318 -INDEX GOES BRRR: 175 X: 10.9414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.8994 -INDEX GOES BRRR: 121 X: 7.59863 -INDEX GOES BRRR: 58 X: 3.64453 -INDEX GOES BRRR: 204 X: 12.7969 -INDEX GOES BRRR: 242 X: 15.1562 -INDEX GOES BRRR: 338 X: 21.1318 -INDEX GOES BRRR: 470 X: 29.418 -INDEX GOES BRRR: 69 X: 4.33887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.085 -INDEX GOES BRRR: 199 X: 12.4678 -INDEX GOES BRRR: 418 X: 26.1836 -INDEX GOES BRRR: 349 X: 21.8662 -INDEX GOES BRRR: 950 X: -4.61621 -INDEX GOES BRRR: 244 X: 15.2607 -INDEX GOES BRRR: 78 X: 4.89355 -INDEX GOES BRRR: 81 X: 5.08203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1426 -INDEX GOES BRRR: 967 X: -3.56055 -INDEX GOES BRRR: 234 X: 14.6719 -INDEX GOES BRRR: 202 X: 12.6572 -INDEX GOES BRRR: 123 X: 7.71875 -INDEX GOES BRRR: 890 X: -8.3291 -INDEX GOES BRRR: 34 X: 2.13965 -INDEX GOES BRRR: 33 X: 2.06445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.8125 -INDEX GOES BRRR: 60 X: 3.79883 -INDEX GOES BRRR: 193 X: 12.0811 -INDEX GOES BRRR: 87 X: 5.49902 -INDEX GOES BRRR: 934 X: -5.60156 -INDEX GOES BRRR: 243 X: 15.2051 -INDEX GOES BRRR: 60 X: 3.7666 -INDEX GOES BRRR: 227 X: 14.2217 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.625977 -INDEX GOES BRRR: 425 X: 26.5674 -INDEX GOES BRRR: 136 X: 8.51172 -INDEX GOES BRRR: 8 X: 0.519531 -INDEX GOES BRRR: 221 X: 13.8516 -INDEX GOES BRRR: 391 X: 24.4551 -INDEX GOES BRRR: 96 X: 6.05664 -INDEX GOES BRRR: 257 X: 16.1133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 861 X: -10.166 -INDEX GOES BRRR: 342 X: 21.4062 -INDEX GOES BRRR: 353 X: 22.1006 -INDEX GOES BRRR: 44 X: 2.75684 -INDEX GOES BRRR: 121 X: 7.61523 -INDEX GOES BRRR: 113 X: 7.10254 -INDEX GOES BRRR: 61 X: 3.81641 -INDEX GOES BRRR: 47 X: 2.9502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1289 -INDEX GOES BRRR: 278 X: 17.3877 -INDEX GOES BRRR: 80 X: 5 -INDEX GOES BRRR: 239 X: 14.9629 -INDEX GOES BRRR: 983 X: -2.54102 -INDEX GOES BRRR: 402 X: 25.1719 -INDEX GOES BRRR: 91 X: 5.69238 -INDEX GOES BRRR: 987 X: -2.27148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 380 X: 23.8018 -INDEX GOES BRRR: 263 X: 16.4912 -INDEX GOES BRRR: 226 X: 14.1387 -INDEX GOES BRRR: 965 X: -3.68359 -INDEX GOES BRRR: 46 X: 2.91309 -INDEX GOES BRRR: 339 X: 21.2344 -INDEX GOES BRRR: 388 X: 24.2725 -INDEX GOES BRRR: 954 X: -4.31738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 987 X: -2.26953 -INDEX GOES BRRR: 145 X: 9.08594 -INDEX GOES BRRR: 112 X: 7.00977 -INDEX GOES BRRR: 232 X: 14.5586 -INDEX GOES BRRR: 911 X: -7.03711 -INDEX GOES BRRR: 236 X: 14.7588 -INDEX GOES BRRR: 323 X: 20.2461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.002 -INDEX GOES BRRR: 218 X: 13.668 -INDEX GOES BRRR: 1016 X: -0.498047 -INDEX GOES BRRR: 165 X: 10.332 -INDEX GOES BRRR: 291 X: 18.2246 -INDEX GOES BRRR: 121 X: 7.57129 -INDEX GOES BRRR: 208 X: 13.0381 -INDEX GOES BRRR: 1022 X: -0.109375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.68164 -INDEX GOES BRRR: 257 X: 16.0957 -INDEX GOES BRRR: 183 X: 11.4941 -INDEX GOES BRRR: 819 X: -12.791 -INDEX GOES BRRR: 268 X: 16.7783 -INDEX GOES BRRR: 219 X: 13.7129 -INDEX GOES BRRR: 197 X: 12.3389 -INDEX GOES BRRR: 136 X: 8.52539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.714844 -INDEX GOES BRRR: 19 X: 1.22168 -INDEX GOES BRRR: 210 X: 13.1572 -INDEX GOES BRRR: 968 X: -3.49414 -INDEX GOES BRRR: 459 X: 28.708 -INDEX GOES BRRR: 998 X: -1.625 -INDEX GOES BRRR: 98 X: 6.17383 -INDEX GOES BRRR: 242 X: 15.1611 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.581055 -INDEX GOES BRRR: 358 X: 22.4189 -INDEX GOES BRRR: 352 X: 22.0293 -INDEX GOES BRRR: 79 X: 4.96387 -INDEX GOES BRRR: 384 X: 24.0186 -INDEX GOES BRRR: 48 X: 3.00879 -INDEX GOES BRRR: 306 X: 19.1787 -INDEX GOES BRRR: 109 X: 6.86914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.7031 -INDEX GOES BRRR: 291 X: 18.2129 -INDEX GOES BRRR: 226 X: 14.1641 -INDEX GOES BRRR: 251 X: 15.7031 -INDEX GOES BRRR: 334 X: 20.918 -INDEX GOES BRRR: 197 X: 12.3252 -INDEX GOES BRRR: 151 X: 9.44922 -INDEX GOES BRRR: 50 X: 3.12695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.99316 -INDEX GOES BRRR: 794 X: -14.3711 -INDEX GOES BRRR: 332 X: 20.7764 -INDEX GOES BRRR: 94 X: 5.92773 -INDEX GOES BRRR: 189 X: 11.8584 -INDEX GOES BRRR: 921 X: -6.39746 -INDEX GOES BRRR: 236 X: 14.7959 -INDEX GOES BRRR: 148 X: 9.25977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.31348 -INDEX GOES BRRR: 575 X: -28.0352 -INDEX GOES BRRR: 221 X: 13.8389 -INDEX GOES BRRR: 947 X: -4.7998 -INDEX GOES BRRR: 272 X: 17.0293 -INDEX GOES BRRR: 374 X: 23.3906 -INDEX GOES BRRR: 206 X: 12.9316 -INDEX GOES BRRR: 333 X: 20.8506 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 452 X: 28.3096 -INDEX GOES BRRR: 108 X: 6.77832 -INDEX GOES BRRR: 211 X: 13.1943 -INDEX GOES BRRR: 195 X: 12.21 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 259 X: 16.2119 -INDEX GOES BRRR: 341 X: 21.3574 -INDEX GOES BRRR: 139 X: 8.73145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.85938 -INDEX GOES BRRR: 127 X: 7.9707 -INDEX GOES BRRR: 170 X: 10.625 -INDEX GOES BRRR: 55 X: 3.46191 -INDEX GOES BRRR: 340 X: 21.2676 -INDEX GOES BRRR: 899 X: -7.75488 -INDEX GOES BRRR: 209 X: 13.0859 -INDEX GOES BRRR: 157 X: 9.87305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.21191 -INDEX GOES BRRR: 102 X: 6.43262 -INDEX GOES BRRR: 871 X: -9.50977 -INDEX GOES BRRR: 150 X: 9.41113 -INDEX GOES BRRR: 932 X: -5.70117 -INDEX GOES BRRR: 140 X: 8.77344 -INDEX GOES BRRR: 256 X: 16.0352 -INDEX GOES BRRR: 100 X: 6.25586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.0459 -INDEX GOES BRRR: 184 X: 11.5127 -INDEX GOES BRRR: 12 X: 0.782227 -INDEX GOES BRRR: 329 X: 20.6143 -INDEX GOES BRRR: 231 X: 14.4551 -INDEX GOES BRRR: 488 X: 30.5527 -INDEX GOES BRRR: 256 X: 16.0205 -INDEX GOES BRRR: 233 X: 14.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.808594 -INDEX GOES BRRR: 181 X: 11.3301 -INDEX GOES BRRR: 184 X: 11.5459 -INDEX GOES BRRR: 1019 X: -0.273438 -INDEX GOES BRRR: 1013 X: -0.65918 -INDEX GOES BRRR: 215 X: 13.4775 -INDEX GOES BRRR: 175 X: 10.9756 -INDEX GOES BRRR: 976 X: -2.97461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 429 X: 26.8154 -INDEX GOES BRRR: 896 X: -7.98242 -INDEX GOES BRRR: 280 X: 17.5576 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 172 X: 10.7578 -INDEX GOES BRRR: 147 X: 9.24316 -INDEX GOES BRRR: 217 X: 13.5703 -INDEX GOES BRRR: 261 X: 16.3252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.376 -INDEX GOES BRRR: 908 X: -7.19141 -INDEX GOES BRRR: 125 X: 7.86035 -INDEX GOES BRRR: 99 X: 6.20605 -INDEX GOES BRRR: 211 X: 13.2285 -INDEX GOES BRRR: 169 X: 10.624 -INDEX GOES BRRR: 184 X: 11.502 -INDEX GOES BRRR: 233 X: 14.6006 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 445 X: 27.8486 -INDEX GOES BRRR: 155 X: 9.74512 -INDEX GOES BRRR: 108 X: 6.80176 -INDEX GOES BRRR: 986 X: -2.35938 -INDEX GOES BRRR: 272 X: 17.0439 -INDEX GOES BRRR: 336 X: 21.0449 -INDEX GOES BRRR: 805 X: -13.6611 -INDEX GOES BRRR: 233 X: 14.6143 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 822 X: -12.6162 -INDEX GOES BRRR: 125 X: 7.86523 -INDEX GOES BRRR: 44 X: 2.81055 -INDEX GOES BRRR: 170 X: 10.6328 -INDEX GOES BRRR: 188 X: 11.751 -INDEX GOES BRRR: 399 X: 24.999 -INDEX GOES BRRR: 181 X: 11.3535 -INDEX GOES BRRR: 81 X: 5.10254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.76172 -INDEX GOES BRRR: 29 X: 1.85938 -INDEX GOES BRRR: 1002 X: -1.37207 -INDEX GOES BRRR: 267 X: 16.6914 -INDEX GOES BRRR: 729 X: -18.4043 -INDEX GOES BRRR: 45 X: 2.82324 -INDEX GOES BRRR: 363 X: 22.7246 -INDEX GOES BRRR: 13 X: 0.87207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2705 -INDEX GOES BRRR: 987 X: -2.2666 -INDEX GOES BRRR: 100 X: 6.28809 -INDEX GOES BRRR: 231 X: 14.4727 -INDEX GOES BRRR: 983 X: -2.50977 -INDEX GOES BRRR: 87 X: 5.45605 -INDEX GOES BRRR: 336 X: 21.0498 -INDEX GOES BRRR: 18 X: 1.15918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 23 X: 1.44141 -INDEX GOES BRRR: 160 X: 10.0439 -INDEX GOES BRRR: 77 X: 4.84668 -INDEX GOES BRRR: 312 X: 19.542 -INDEX GOES BRRR: 131 X: 8.22559 -INDEX GOES BRRR: 61 X: 3.84766 -INDEX GOES BRRR: 939 X: -5.28223 -INDEX GOES BRRR: 402 X: 25.1689 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.759766 -INDEX GOES BRRR: 45 X: 2.83496 -INDEX GOES BRRR: 126 X: 7.92188 -INDEX GOES BRRR: 940 X: -5.2168 -INDEX GOES BRRR: 245 X: 15.3721 -INDEX GOES BRRR: 437 X: 27.3252 -INDEX GOES BRRR: 322 X: 20.1611 -INDEX GOES BRRR: 426 X: 26.6582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.51367 -INDEX GOES BRRR: 36 X: 2.30566 -INDEX GOES BRRR: 322 X: 20.1738 -INDEX GOES BRRR: 232 X: 14.5479 -INDEX GOES BRRR: 989 X: -2.16602 -INDEX GOES BRRR: 322 X: 20.1289 -INDEX GOES BRRR: 81 X: 5.09082 -INDEX GOES BRRR: 227 X: 14.2012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0557 -INDEX GOES BRRR: 326 X: 20.4111 -INDEX GOES BRRR: 1022 X: -0.100586 -INDEX GOES BRRR: 36 X: 2.28613 -INDEX GOES BRRR: 189 X: 11.8623 -INDEX GOES BRRR: 286 X: 17.8857 -INDEX GOES BRRR: 236 X: 14.7559 -INDEX GOES BRRR: 1019 X: -0.270508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.59863 -INDEX GOES BRRR: 343 X: 21.4824 -INDEX GOES BRRR: 46 X: 2.9248 -INDEX GOES BRRR: 759 X: -16.5078 -INDEX GOES BRRR: 306 X: 19.1826 -INDEX GOES BRRR: 148 X: 9.29004 -INDEX GOES BRRR: 306 X: 19.1562 -INDEX GOES BRRR: 212 X: 13.2871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.81934 -INDEX GOES BRRR: 352 X: 22.0381 -INDEX GOES BRRR: 47 X: 2.94141 -INDEX GOES BRRR: 17 X: 1.0957 -INDEX GOES BRRR: 35 X: 2.20312 -INDEX GOES BRRR: 345 X: 21.5967 -INDEX GOES BRRR: 347 X: 21.7363 -INDEX GOES BRRR: 188 X: 11.7783 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 470 X: 29.375 -INDEX GOES BRRR: 238 X: 14.9199 -INDEX GOES BRRR: 145 X: 9.11133 -INDEX GOES BRRR: 134 X: 8.38086 -INDEX GOES BRRR: 173 X: 10.8438 -INDEX GOES BRRR: 270 X: 16.9004 -INDEX GOES BRRR: 241 X: 15.1211 -INDEX GOES BRRR: 1018 X: -0.373047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6338 -INDEX GOES BRRR: 274 X: 17.1357 -INDEX GOES BRRR: 873 X: -9.38281 -INDEX GOES BRRR: 109 X: 6.87109 -INDEX GOES BRRR: 262 X: 16.3848 -INDEX GOES BRRR: 218 X: 13.6689 -INDEX GOES BRRR: 135 X: 8.45703 -INDEX GOES BRRR: 183 X: 11.4922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 957 X: -4.14746 -INDEX GOES BRRR: 105 X: 6.60156 -INDEX GOES BRRR: 348 X: 21.7588 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 231 X: 14.4629 -INDEX GOES BRRR: 73 X: 4.61133 -INDEX GOES BRRR: 45 X: 2.83008 -INDEX GOES BRRR: 181 X: 11.3213 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.0967 -INDEX GOES BRRR: 27 X: 1.69238 -INDEX GOES BRRR: 393 X: 24.5898 -INDEX GOES BRRR: 270 X: 16.9209 -INDEX GOES BRRR: 374 X: 23.4258 -INDEX GOES BRRR: 131 X: 8.21191 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 30 X: 1.93262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.77832 -INDEX GOES BRRR: 182 X: 11.4346 -INDEX GOES BRRR: 187 X: 11.6943 -INDEX GOES BRRR: 91 X: 5.71973 -INDEX GOES BRRR: 162 X: 10.1367 -INDEX GOES BRRR: 267 X: 16.7451 -INDEX GOES BRRR: 282 X: 17.6318 -INDEX GOES BRRR: 55 X: 3.44043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.9111 -INDEX GOES BRRR: 364 X: 22.7539 -INDEX GOES BRRR: 219 X: 13.708 -INDEX GOES BRRR: 187 X: 11.6875 -INDEX GOES BRRR: 930 X: -5.85645 -INDEX GOES BRRR: 306 X: 19.1553 -INDEX GOES BRRR: 126 X: 7.875 -INDEX GOES BRRR: 906 X: -7.37305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 393 X: 24.6006 -INDEX GOES BRRR: 182 X: 11.3857 -INDEX GOES BRRR: 156 X: 9.78516 -INDEX GOES BRRR: 327 X: 20.4873 -INDEX GOES BRRR: 226 X: 14.1807 -INDEX GOES BRRR: 797 X: -14.1436 -INDEX GOES BRRR: 984 X: -2.45117 -INDEX GOES BRRR: 229 X: 14.3555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.430664 -INDEX GOES BRRR: 102 X: 6.40137 -INDEX GOES BRRR: 836 X: -11.708 -INDEX GOES BRRR: 112 X: 7.05859 -INDEX GOES BRRR: 964 X: -3.70801 -INDEX GOES BRRR: 249 X: 15.5723 -INDEX GOES BRRR: 14 X: 0.923828 -INDEX GOES BRRR: 1023 X: -0.0175781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.31055 -INDEX GOES BRRR: 853 X: -10.667 -INDEX GOES BRRR: 703 X: -20.0186 -INDEX GOES BRRR: 192 X: 12.0381 -INDEX GOES BRRR: 362 X: 22.6514 -INDEX GOES BRRR: 7 X: 0.47168 -INDEX GOES BRRR: 124 X: 7.79883 -INDEX GOES BRRR: 206 X: 12.917 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6465 -INDEX GOES BRRR: 150 X: 9.43457 -INDEX GOES BRRR: 103 X: 6.44141 -INDEX GOES BRRR: 888 X: -8.47852 -INDEX GOES BRRR: 371 X: 23.2314 -INDEX GOES BRRR: 131 X: 8.24414 -INDEX GOES BRRR: 118 X: 7.37695 -INDEX GOES BRRR: 41 X: 2.57617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 991 X: -2.05859 -INDEX GOES BRRR: 18 X: 1.13574 -INDEX GOES BRRR: 100 X: 6.2998 -INDEX GOES BRRR: 275 X: 17.2383 -INDEX GOES BRRR: 293 X: 18.3291 -INDEX GOES BRRR: 266 X: 16.6299 -INDEX GOES BRRR: 145 X: 9.06445 -INDEX GOES BRRR: 300 X: 18.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 361 X: 22.5791 -INDEX GOES BRRR: 135 X: 8.46387 -INDEX GOES BRRR: 41 X: 2.6123 -INDEX GOES BRRR: 108 X: 6.77539 -INDEX GOES BRRR: 169 X: 10.5947 -INDEX GOES BRRR: 44 X: 2.78223 -INDEX GOES BRRR: 970 X: -3.36816 -INDEX GOES BRRR: 123 X: 7.71289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.9043 -INDEX GOES BRRR: 402 X: 25.1338 -INDEX GOES BRRR: 264 X: 16.502 -INDEX GOES BRRR: 203 X: 12.7227 -INDEX GOES BRRR: 278 X: 17.3838 -INDEX GOES BRRR: 172 X: 10.7998 -INDEX GOES BRRR: 488 X: 30.5459 -INDEX GOES BRRR: 18 X: 1.18066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5312 -INDEX GOES BRRR: 253 X: 15.8164 -INDEX GOES BRRR: 155 X: 9.68848 -INDEX GOES BRRR: 144 X: 9.01465 -INDEX GOES BRRR: 253 X: 15.8301 -INDEX GOES BRRR: 39 X: 2.48926 -INDEX GOES BRRR: 940 X: -5.2334 -INDEX GOES BRRR: 136 X: 8.50488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.68652 -INDEX GOES BRRR: 169 X: 10.6152 -INDEX GOES BRRR: 396 X: 24.8096 -INDEX GOES BRRR: 131 X: 8.22461 -INDEX GOES BRRR: 49 X: 3.10156 -INDEX GOES BRRR: 930 X: -5.87109 -INDEX GOES BRRR: 903 X: -7.54785 -INDEX GOES BRRR: 378 X: 23.665 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.80371 -INDEX GOES BRRR: 1014 X: -0.599609 -INDEX GOES BRRR: 321 X: 20.0996 -INDEX GOES BRRR: 70 X: 4.43262 -INDEX GOES BRRR: 999 X: -1.53125 -INDEX GOES BRRR: 160 X: 10.0225 -INDEX GOES BRRR: 992 X: -1.94531 -INDEX GOES BRRR: 104 X: 6.55273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 896 X: -7.96777 -INDEX GOES BRRR: 274 X: 17.166 -INDEX GOES BRRR: 115 X: 7.21777 -INDEX GOES BRRR: 937 X: -5.37793 -INDEX GOES BRRR: 116 X: 7.26855 -INDEX GOES BRRR: 286 X: 17.8877 -INDEX GOES BRRR: 298 X: 18.6367 -INDEX GOES BRRR: 250 X: 15.6709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.672852 -INDEX GOES BRRR: 127 X: 7.99121 -INDEX GOES BRRR: 492 X: 30.8008 -INDEX GOES BRRR: 106 X: 6.62891 -INDEX GOES BRRR: 76 X: 4.79492 -INDEX GOES BRRR: 161 X: 10.1035 -INDEX GOES BRRR: 954 X: -4.3291 -INDEX GOES BRRR: 470 X: 29.3945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 861 X: -10.1426 -INDEX GOES BRRR: 13 X: 0.856445 -INDEX GOES BRRR: 253 X: 15.8467 -INDEX GOES BRRR: 64 X: 4.00879 -INDEX GOES BRRR: 365 X: 22.8525 -INDEX GOES BRRR: 469 X: 29.3545 -INDEX GOES BRRR: 1023 X: -0.0625 -INDEX GOES BRRR: 223 X: 13.9697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.87598 -INDEX GOES BRRR: 183 X: 11.4727 -INDEX GOES BRRR: 255 X: 15.9521 -INDEX GOES BRRR: 325 X: 20.3447 -INDEX GOES BRRR: 199 X: 12.46 -INDEX GOES BRRR: 393 X: 24.584 -INDEX GOES BRRR: 124 X: 7.77246 -INDEX GOES BRRR: 258 X: 16.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 929 X: -5.87598 -INDEX GOES BRRR: 98 X: 6.16113 -INDEX GOES BRRR: 79 X: 4.94629 -INDEX GOES BRRR: 111 X: 6.99219 -INDEX GOES BRRR: 16 X: 1.02246 -INDEX GOES BRRR: 333 X: 20.8301 -INDEX GOES BRRR: 109 X: 6.85254 -INDEX GOES BRRR: 970 X: -3.37402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 14 X: 0.876953 -INDEX GOES BRRR: 103 X: 6.49023 -INDEX GOES BRRR: 997 X: -1.65234 -INDEX GOES BRRR: 158 X: 9.93164 -INDEX GOES BRRR: 237 X: 14.8193 -INDEX GOES BRRR: 251 X: 15.7451 -INDEX GOES BRRR: 248 X: 15.543 -INDEX GOES BRRR: 341 X: 21.373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.3809 -INDEX GOES BRRR: 188 X: 11.7627 -INDEX GOES BRRR: 302 X: 18.8896 -INDEX GOES BRRR: 338 X: 21.1777 -INDEX GOES BRRR: 297 X: 18.5693 -INDEX GOES BRRR: 311 X: 19.4443 -INDEX GOES BRRR: 322 X: 20.167 -INDEX GOES BRRR: 135 X: 8.49316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 287 X: 17.9463 -INDEX GOES BRRR: 30 X: 1.91016 -INDEX GOES BRRR: 145 X: 9.11133 -INDEX GOES BRRR: 309 X: 19.3135 -INDEX GOES BRRR: 254 X: 15.9082 -INDEX GOES BRRR: 371 X: 23.1914 -INDEX GOES BRRR: 271 X: 16.9668 -INDEX GOES BRRR: 288 X: 18.0078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.58301 -INDEX GOES BRRR: 228 X: 14.3096 -INDEX GOES BRRR: 981 X: -2.67969 -INDEX GOES BRRR: 306 X: 19.1318 -INDEX GOES BRRR: 380 X: 23.751 -INDEX GOES BRRR: 1 X: 0.0742188 -INDEX GOES BRRR: 963 X: -3.77441 -INDEX GOES BRRR: 137 X: 8.56641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.792 -INDEX GOES BRRR: 38 X: 2.43262 -INDEX GOES BRRR: 408 X: 25.5098 -INDEX GOES BRRR: 255 X: 15.9561 -INDEX GOES BRRR: 897 X: -7.91504 -INDEX GOES BRRR: 177 X: 11.0869 -INDEX GOES BRRR: 340 X: 21.2998 -INDEX GOES BRRR: 59 X: 3.7002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 931 X: -5.80078 -INDEX GOES BRRR: 231 X: 14.4854 -INDEX GOES BRRR: 221 X: 13.8594 -INDEX GOES BRRR: 989 X: -2.12598 -INDEX GOES BRRR: 221 X: 13.8428 -INDEX GOES BRRR: 38 X: 2.41602 -INDEX GOES BRRR: 205 X: 12.8145 -INDEX GOES BRRR: 90 X: 5.68555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 420 X: 26.3018 -INDEX GOES BRRR: 241 X: 15.0996 -INDEX GOES BRRR: 170 X: 10.6338 -INDEX GOES BRRR: 404 X: 25.25 -INDEX GOES BRRR: 75 X: 4.69043 -INDEX GOES BRRR: 130 X: 8.16406 -INDEX GOES BRRR: 96 X: 6.01953 -INDEX GOES BRRR: 50 X: 3.18359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6416 -INDEX GOES BRRR: 273 X: 17.1025 -INDEX GOES BRRR: 287 X: 17.958 -INDEX GOES BRRR: 150 X: 9.40723 -INDEX GOES BRRR: 177 X: 11.0732 -INDEX GOES BRRR: 307 X: 19.1953 -INDEX GOES BRRR: 137 X: 8.59277 -INDEX GOES BRRR: 139 X: 8.72559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2139 -INDEX GOES BRRR: 244 X: 15.3076 -INDEX GOES BRRR: 377 X: 23.5781 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 21 X: 1.31348 -INDEX GOES BRRR: 978 X: -2.875 -INDEX GOES BRRR: 399 X: 24.957 -INDEX GOES BRRR: 234 X: 14.6494 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9619 -INDEX GOES BRRR: 159 X: 9.95215 -INDEX GOES BRRR: 6 X: 0.390625 -INDEX GOES BRRR: 428 X: 26.7842 -INDEX GOES BRRR: 148 X: 9.2793 -INDEX GOES BRRR: 311 X: 19.4375 -INDEX GOES BRRR: 2 X: 0.161133 -INDEX GOES BRRR: 151 X: 9.49707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5547 -INDEX GOES BRRR: 374 X: 23.3828 -INDEX GOES BRRR: 109 X: 6.84082 -INDEX GOES BRRR: 86 X: 5.39062 -INDEX GOES BRRR: 36 X: 2.30078 -INDEX GOES BRRR: 984 X: -2.48633 -INDEX GOES BRRR: 383 X: 23.958 -INDEX GOES BRRR: 365 X: 22.8477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.55566 -INDEX GOES BRRR: 75 X: 4.73242 -INDEX GOES BRRR: 134 X: 8.38086 -INDEX GOES BRRR: 257 X: 16.1201 -INDEX GOES BRRR: 12 X: 0.78125 -INDEX GOES BRRR: 389 X: 24.374 -INDEX GOES BRRR: 228 X: 14.2822 -INDEX GOES BRRR: 967 X: -3.50195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 974 X: -3.09766 -INDEX GOES BRRR: 355 X: 22.1924 -INDEX GOES BRRR: 312 X: 19.5225 -INDEX GOES BRRR: 227 X: 14.2314 -INDEX GOES BRRR: 935 X: -5.54102 -INDEX GOES BRRR: 202 X: 12.6836 -INDEX GOES BRRR: 109 X: 6.87012 -INDEX GOES BRRR: 364 X: 22.8027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 835 X: -11.7949 -INDEX GOES BRRR: 163 X: 10.2207 -INDEX GOES BRRR: 224 X: 14.0498 -INDEX GOES BRRR: 184 X: 11.5498 -INDEX GOES BRRR: 14 X: 0.895508 -INDEX GOES BRRR: 69 X: 4.34277 -INDEX GOES BRRR: 460 X: 28.8086 -INDEX GOES BRRR: 401 X: 25.1191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.563477 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 311 X: 19.4453 -INDEX GOES BRRR: 69 X: 4.35254 -INDEX GOES BRRR: 264 X: 16.5234 -INDEX GOES BRRR: 280 X: 17.5137 -INDEX GOES BRRR: 37 X: 2.32129 -INDEX GOES BRRR: 85 X: 5.35645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.623 -INDEX GOES BRRR: 93 X: 5.82812 -INDEX GOES BRRR: 295 X: 18.4834 -INDEX GOES BRRR: 1006 X: -1.0752 -INDEX GOES BRRR: 255 X: 15.9854 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 480 X: 30.0078 -INDEX GOES BRRR: 264 X: 16.5449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 449 X: 28.0645 -INDEX GOES BRRR: 221 X: 13.8174 -INDEX GOES BRRR: 271 X: 16.9912 -INDEX GOES BRRR: 134 X: 8.41309 -INDEX GOES BRRR: 868 X: -9.72559 -INDEX GOES BRRR: 192 X: 12.0176 -INDEX GOES BRRR: 969 X: -3.38281 -INDEX GOES BRRR: 128 X: 8.0498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.2324 -INDEX GOES BRRR: 982 X: -2.625 -INDEX GOES BRRR: 218 X: 13.6279 -INDEX GOES BRRR: 39 X: 2.47461 -INDEX GOES BRRR: 223 X: 13.9648 -INDEX GOES BRRR: 249 X: 15.5859 -INDEX GOES BRRR: 124 X: 7.80957 -INDEX GOES BRRR: 419 X: 26.1982 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 401 X: 25.0947 -INDEX GOES BRRR: 125 X: 7.8418 -INDEX GOES BRRR: 293 X: 18.3594 -INDEX GOES BRRR: 143 X: 8.95508 -INDEX GOES BRRR: 137 X: 8.61914 -INDEX GOES BRRR: 11 X: 0.71875 -INDEX GOES BRRR: 117 X: 7.32715 -INDEX GOES BRRR: 23 X: 1.44141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0615 -INDEX GOES BRRR: 320 X: 20.0479 -INDEX GOES BRRR: 193 X: 12.0703 -INDEX GOES BRRR: 768 X: -15.9883 -INDEX GOES BRRR: 170 X: 10.6445 -INDEX GOES BRRR: 159 X: 9.9668 -INDEX GOES BRRR: 147 X: 9.21094 -INDEX GOES BRRR: 204 X: 12.7793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.29395 -INDEX GOES BRRR: 920 X: -6.48926 -INDEX GOES BRRR: 145 X: 9.10547 -INDEX GOES BRRR: 872 X: -9.48047 -INDEX GOES BRRR: 225 X: 14.1211 -INDEX GOES BRRR: 152 X: 9.54297 -INDEX GOES BRRR: 161 X: 10.0957 -INDEX GOES BRRR: 219 X: 13.749 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.3682 -INDEX GOES BRRR: 103 X: 6.4375 -INDEX GOES BRRR: 430 X: 26.9219 -INDEX GOES BRRR: 924 X: -6.24609 -INDEX GOES BRRR: 37 X: 2.31934 -INDEX GOES BRRR: 113 X: 7.07129 -INDEX GOES BRRR: 357 X: 22.3555 -INDEX GOES BRRR: 236 X: 14.7686 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.1064 -INDEX GOES BRRR: 33 X: 2.0957 -INDEX GOES BRRR: 88 X: 5.53906 -INDEX GOES BRRR: 421 X: 26.3652 -INDEX GOES BRRR: 306 X: 19.1445 -INDEX GOES BRRR: 956 X: -4.19922 -INDEX GOES BRRR: 249 X: 15.5674 -INDEX GOES BRRR: 76 X: 4.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 299 X: 18.749 -INDEX GOES BRRR: 57 X: 3.61035 -INDEX GOES BRRR: 288 X: 18.0029 -INDEX GOES BRRR: 217 X: 13.6104 -INDEX GOES BRRR: 460 X: 28.7969 -INDEX GOES BRRR: 6 X: 0.405273 -INDEX GOES BRRR: 136 X: 8.54785 -INDEX GOES BRRR: 109 X: 6.83008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.7773 -INDEX GOES BRRR: 356 X: 22.2764 -INDEX GOES BRRR: 33 X: 2.12109 -INDEX GOES BRRR: 188 X: 11.7549 -INDEX GOES BRRR: 17 X: 1.10645 -INDEX GOES BRRR: 159 X: 9.9873 -INDEX GOES BRRR: 203 X: 12.7334 -INDEX GOES BRRR: 822 X: -12.5791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9941 -INDEX GOES BRRR: 737 X: -17.9336 -INDEX GOES BRRR: 117 X: 7.31641 -INDEX GOES BRRR: 224 X: 14.0439 -INDEX GOES BRRR: 165 X: 10.3164 -INDEX GOES BRRR: 144 X: 9.02539 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 136 X: 8.55859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.29199 -INDEX GOES BRRR: 193 X: 12.0801 -INDEX GOES BRRR: 196 X: 12.2773 -INDEX GOES BRRR: 5 X: 0.337891 -INDEX GOES BRRR: 137 X: 8.58301 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 273 X: 17.0977 -INDEX GOES BRRR: 379 X: 23.707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 984 X: -2.47363 -INDEX GOES BRRR: 259 X: 16.1934 -INDEX GOES BRRR: 1022 X: -0.0820312 -INDEX GOES BRRR: 981 X: -2.66113 -INDEX GOES BRRR: 1018 X: -0.313477 -INDEX GOES BRRR: 306 X: 19.1523 -INDEX GOES BRRR: 178 X: 11.1309 -INDEX GOES BRRR: 980 X: -2.73926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.86719 -INDEX GOES BRRR: 981 X: -2.66602 -INDEX GOES BRRR: 393 X: 24.623 -INDEX GOES BRRR: 763 X: -16.251 -INDEX GOES BRRR: 131 X: 8.23242 -INDEX GOES BRRR: 232 X: 14.542 -INDEX GOES BRRR: 807 X: -13.5537 -INDEX GOES BRRR: 228 X: 14.2646 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.25098 -INDEX GOES BRRR: 242 X: 15.1836 -INDEX GOES BRRR: 374 X: 23.4092 -INDEX GOES BRRR: 26 X: 1.64941 -INDEX GOES BRRR: 1006 X: -1.12012 -INDEX GOES BRRR: 435 X: 27.2266 -INDEX GOES BRRR: 211 X: 13.1904 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.223633 -INDEX GOES BRRR: 160 X: 10.04 -INDEX GOES BRRR: 13 X: 0.867188 -INDEX GOES BRRR: 213 X: 13.374 -INDEX GOES BRRR: 182 X: 11.3877 -INDEX GOES BRRR: 362 X: 22.6455 -INDEX GOES BRRR: 152 X: 9.54492 -INDEX GOES BRRR: 72 X: 4.54102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.1895 -INDEX GOES BRRR: 228 X: 14.2568 -INDEX GOES BRRR: 389 X: 24.3623 -INDEX GOES BRRR: 240 X: 15.0205 -INDEX GOES BRRR: 82 X: 5.15723 -INDEX GOES BRRR: 93 X: 5.8584 -INDEX GOES BRRR: 209 X: 13.1016 -INDEX GOES BRRR: 31 X: 1.9375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1012 X: -0.735352 -INDEX GOES BRRR: 349 X: 21.8232 -INDEX GOES BRRR: 132 X: 8.26758 -INDEX GOES BRRR: 98 X: 6.16406 -INDEX GOES BRRR: 133 X: 8.34961 -INDEX GOES BRRR: 433 X: 27.1152 -INDEX GOES BRRR: 917 X: -6.68164 -INDEX GOES BRRR: 476 X: 29.8018 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.7998 -INDEX GOES BRRR: 485 X: 30.3408 -INDEX GOES BRRR: 17 X: 1.12109 -INDEX GOES BRRR: 386 X: 24.1572 -INDEX GOES BRRR: 282 X: 17.6377 -INDEX GOES BRRR: 150 X: 9.38086 -INDEX GOES BRRR: 965 X: -3.66504 -INDEX GOES BRRR: 265 X: 16.5986 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 947 X: -4.76855 -INDEX GOES BRRR: 482 X: 30.1484 -INDEX GOES BRRR: 39 X: 2.48438 -INDEX GOES BRRR: 139 X: 8.73145 -INDEX GOES BRRR: 40 X: 2.53223 -INDEX GOES BRRR: 420 X: 26.2607 -INDEX GOES BRRR: 134 X: 8.41309 -INDEX GOES BRRR: 40 X: 2.55371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.19043 -INDEX GOES BRRR: 218 X: 13.666 -INDEX GOES BRRR: 961 X: -3.87988 -INDEX GOES BRRR: 33 X: 2.08691 -INDEX GOES BRRR: 90 X: 5.62695 -INDEX GOES BRRR: 165 X: 10.3682 -INDEX GOES BRRR: 327 X: 20.4531 -INDEX GOES BRRR: 166 X: 10.3809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 274 X: 17.167 -INDEX GOES BRRR: 233 X: 14.6143 -INDEX GOES BRRR: 136 X: 8.50293 -INDEX GOES BRRR: 61 X: 3.85156 -INDEX GOES BRRR: 980 X: -2.73242 -INDEX GOES BRRR: 51 X: 3.20996 -INDEX GOES BRRR: 270 X: 16.8896 -INDEX GOES BRRR: 271 X: 16.9912 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.0771 -INDEX GOES BRRR: 275 X: 17.2383 -INDEX GOES BRRR: 264 X: 16.5361 -INDEX GOES BRRR: 75 X: 4.69141 -INDEX GOES BRRR: 127 X: 7.99121 -INDEX GOES BRRR: 41 X: 2.61035 -INDEX GOES BRRR: 250 X: 15.6484 -INDEX GOES BRRR: 35 X: 2.21777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 377 X: 23.582 -INDEX GOES BRRR: 1 X: 0.107422 -INDEX GOES BRRR: 408 X: 25.5029 -INDEX GOES BRRR: 963 X: -3.77734 -INDEX GOES BRRR: 279 X: 17.4502 -INDEX GOES BRRR: 166 X: 10.4238 -INDEX GOES BRRR: 403 X: 25.248 -INDEX GOES BRRR: 299 X: 18.709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.79785 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1016 X: -0.483398 -INDEX GOES BRRR: 133 X: 8.31641 -INDEX GOES BRRR: 196 X: 12.2676 -INDEX GOES BRRR: 1015 X: -0.545898 -INDEX GOES BRRR: 121 X: 7.62109 -INDEX GOES BRRR: 295 X: 18.4434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.43066 -INDEX GOES BRRR: 140 X: 8.81152 -INDEX GOES BRRR: 921 X: -6.38672 -INDEX GOES BRRR: 402 X: 25.1641 -INDEX GOES BRRR: 244 X: 15.3018 -INDEX GOES BRRR: 7 X: 0.490234 -INDEX GOES BRRR: 1021 X: -0.170898 -INDEX GOES BRRR: 154 X: 9.66602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 993 X: -1.93359 -INDEX GOES BRRR: 160 X: 10.0332 -INDEX GOES BRRR: 247 X: 15.498 -INDEX GOES BRRR: 263 X: 16.4395 -INDEX GOES BRRR: 274 X: 17.1738 -INDEX GOES BRRR: 359 X: 22.4746 -INDEX GOES BRRR: 996 X: -1.69238 -INDEX GOES BRRR: 88 X: 5.52246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.8223 -INDEX GOES BRRR: 341 X: 21.3691 -INDEX GOES BRRR: 1017 X: -0.410156 -INDEX GOES BRRR: 190 X: 11.8896 -INDEX GOES BRRR: 285 X: 17.8154 -INDEX GOES BRRR: 179 X: 11.2334 -INDEX GOES BRRR: 79 X: 4.97754 -INDEX GOES BRRR: 18 X: 1.14453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0879 -INDEX GOES BRRR: 236 X: 14.7617 -INDEX GOES BRRR: 7 X: 0.457031 -INDEX GOES BRRR: 236 X: 14.7578 -INDEX GOES BRRR: 953 X: -4.4043 -INDEX GOES BRRR: 203 X: 12.7217 -INDEX GOES BRRR: 395 X: 24.71 -INDEX GOES BRRR: 1020 X: -0.192383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.22852 -INDEX GOES BRRR: 405 X: 25.3164 -INDEX GOES BRRR: 77 X: 4.85156 -INDEX GOES BRRR: 470 X: 29.3779 -INDEX GOES BRRR: 184 X: 11.5439 -INDEX GOES BRRR: 163 X: 10.1885 -INDEX GOES BRRR: 255 X: 15.9561 -INDEX GOES BRRR: 152 X: 9.55859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.94238 -INDEX GOES BRRR: 992 X: -1.94824 -INDEX GOES BRRR: 359 X: 22.4541 -INDEX GOES BRRR: 181 X: 11.3408 -INDEX GOES BRRR: 303 X: 18.9512 -INDEX GOES BRRR: 283 X: 17.7314 -INDEX GOES BRRR: 785 X: -14.9375 -INDEX GOES BRRR: 208 X: 13.0312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.1416 -INDEX GOES BRRR: 783 X: -15.0117 -INDEX GOES BRRR: 268 X: 16.7793 -INDEX GOES BRRR: 415 X: 25.9609 -INDEX GOES BRRR: 394 X: 24.6338 -INDEX GOES BRRR: 387 X: 24.2021 -INDEX GOES BRRR: 146 X: 9.17871 -INDEX GOES BRRR: 1021 X: -0.165039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.22754 -INDEX GOES BRRR: 153 X: 9.58594 -INDEX GOES BRRR: 5 X: 0.355469 -INDEX GOES BRRR: 72 X: 4.50098 -INDEX GOES BRRR: 207 X: 12.9775 -INDEX GOES BRRR: 155 X: 9.73047 -INDEX GOES BRRR: 118 X: 7.41699 -INDEX GOES BRRR: 242 X: 15.1328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.74414 -INDEX GOES BRRR: 205 X: 12.8271 -INDEX GOES BRRR: 333 X: 20.8242 -INDEX GOES BRRR: 27 X: 1.70312 -INDEX GOES BRRR: 244 X: 15.3105 -INDEX GOES BRRR: 679 X: -21.5352 -INDEX GOES BRRR: 1021 X: -0.144531 -INDEX GOES BRRR: 106 X: 6.64844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 437 X: 27.3555 -INDEX GOES BRRR: 88 X: 5.53223 -INDEX GOES BRRR: 228 X: 14.2539 -INDEX GOES BRRR: 212 X: 13.2656 -INDEX GOES BRRR: 260 X: 16.3096 -INDEX GOES BRRR: 140 X: 8.77051 -INDEX GOES BRRR: 164 X: 10.2627 -INDEX GOES BRRR: 134 X: 8.41211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0244 -INDEX GOES BRRR: 143 X: 8.97168 -INDEX GOES BRRR: 785 X: -14.9053 -INDEX GOES BRRR: 107 X: 6.69043 -INDEX GOES BRRR: 1008 X: -0.987305 -INDEX GOES BRRR: 292 X: 18.2891 -INDEX GOES BRRR: 359 X: 22.4727 -INDEX GOES BRRR: 120 X: 7.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.53125 -INDEX GOES BRRR: 258 X: 16.1348 -INDEX GOES BRRR: 932 X: -5.74414 -INDEX GOES BRRR: 251 X: 15.7012 -INDEX GOES BRRR: 84 X: 5.26367 -INDEX GOES BRRR: 364 X: 22.7773 -INDEX GOES BRRR: 8 X: 0.543945 -INDEX GOES BRRR: 121 X: 7.58203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.70312 -INDEX GOES BRRR: 341 X: 21.3721 -INDEX GOES BRRR: 157 X: 9.86328 -INDEX GOES BRRR: 165 X: 10.3438 -INDEX GOES BRRR: 35 X: 2.23242 -INDEX GOES BRRR: 175 X: 10.9912 -INDEX GOES BRRR: 150 X: 9.38574 -INDEX GOES BRRR: 865 X: -9.91406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.7041 -INDEX GOES BRRR: 967 X: -3.52734 -INDEX GOES BRRR: 272 X: 17.0537 -INDEX GOES BRRR: 188 X: 11.7793 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 409 X: 25.6162 -INDEX GOES BRRR: 957 X: -4.1416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 97 X: 6.09668 -INDEX GOES BRRR: 241 X: 15.1221 -INDEX GOES BRRR: 460 X: 28.7852 -INDEX GOES BRRR: 300 X: 18.7891 -INDEX GOES BRRR: 365 X: 22.873 -INDEX GOES BRRR: 79 X: 4.99316 -INDEX GOES BRRR: 1009 X: -0.935547 -INDEX GOES BRRR: 224 X: 14.0381 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.2002 -INDEX GOES BRRR: 888 X: -8.48145 -INDEX GOES BRRR: 72 X: 4.53223 -INDEX GOES BRRR: 213 X: 13.3154 -INDEX GOES BRRR: 101 X: 6.3252 -INDEX GOES BRRR: 246 X: 15.3867 -INDEX GOES BRRR: 117 X: 7.33594 -INDEX GOES BRRR: 106 X: 6.66699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.25098 -INDEX GOES BRRR: 345 X: 21.623 -INDEX GOES BRRR: 370 X: 23.1592 -INDEX GOES BRRR: 976 X: -2.95801 -INDEX GOES BRRR: 323 X: 20.2275 -INDEX GOES BRRR: 96 X: 6.01855 -INDEX GOES BRRR: 226 X: 14.1318 -INDEX GOES BRRR: 43 X: 2.70605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1523 -INDEX GOES BRRR: 139 X: 8.72168 -INDEX GOES BRRR: 840 X: -11.499 -INDEX GOES BRRR: 971 X: -3.26074 -INDEX GOES BRRR: 253 X: 15.8252 -INDEX GOES BRRR: 192 X: 12.0039 -INDEX GOES BRRR: 957 X: -4.1416 -INDEX GOES BRRR: 80 X: 5.01074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.1738 -INDEX GOES BRRR: 411 X: 25.7207 -INDEX GOES BRRR: 328 X: 20.5518 -INDEX GOES BRRR: 411 X: 25.7061 -INDEX GOES BRRR: 111 X: 6.94141 -INDEX GOES BRRR: 115 X: 7.19238 -INDEX GOES BRRR: 111 X: 6.99414 -INDEX GOES BRRR: 991 X: -2.02539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.11035 -INDEX GOES BRRR: 965 X: -3.63965 -INDEX GOES BRRR: 202 X: 12.6758 -INDEX GOES BRRR: 18 X: 1.16602 -INDEX GOES BRRR: 108 X: 6.80078 -INDEX GOES BRRR: 157 X: 9.85156 -INDEX GOES BRRR: 357 X: 22.3184 -INDEX GOES BRRR: 1009 X: -0.904297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.915 -INDEX GOES BRRR: 107 X: 6.70605 -INDEX GOES BRRR: 258 X: 16.1533 -INDEX GOES BRRR: 838 X: -11.5645 -INDEX GOES BRRR: 169 X: 10.6221 -INDEX GOES BRRR: 74 X: 4.63281 -INDEX GOES BRRR: 953 X: -4.42969 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.38281 -INDEX GOES BRRR: 968 X: -3.43848 -INDEX GOES BRRR: 265 X: 16.5898 -INDEX GOES BRRR: 451 X: 28.2314 -INDEX GOES BRRR: 228 X: 14.2646 -INDEX GOES BRRR: 993 X: -1.93457 -INDEX GOES BRRR: 921 X: -6.40039 -INDEX GOES BRRR: 231 X: 14.4385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.10059 -INDEX GOES BRRR: 92 X: 5.79004 -INDEX GOES BRRR: 375 X: 23.4375 -INDEX GOES BRRR: 321 X: 20.0957 -INDEX GOES BRRR: 284 X: 17.8096 -INDEX GOES BRRR: 264 X: 16.5322 -INDEX GOES BRRR: 164 X: 10.2871 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.26074 -INDEX GOES BRRR: 29 X: 1.86719 -INDEX GOES BRRR: 184 X: 11.5273 -INDEX GOES BRRR: 246 X: 15.3975 -INDEX GOES BRRR: 858 X: -10.3262 -INDEX GOES BRRR: 268 X: 16.8057 -INDEX GOES BRRR: 200 X: 12.5361 -INDEX GOES BRRR: 938 X: -5.33398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5225 -INDEX GOES BRRR: 331 X: 20.7168 -INDEX GOES BRRR: 38 X: 2.42383 -INDEX GOES BRRR: 183 X: 11.4424 -INDEX GOES BRRR: 141 X: 8.84277 -INDEX GOES BRRR: 0 X: 0.0615234 -INDEX GOES BRRR: 278 X: 17.4365 -INDEX GOES BRRR: 254 X: 15.8955 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.6572 -INDEX GOES BRRR: 352 X: 22.0518 -INDEX GOES BRRR: 400 X: 25.0137 -INDEX GOES BRRR: 302 X: 18.9248 -INDEX GOES BRRR: 23 X: 1.49121 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 339 X: 21.2373 -INDEX GOES BRRR: 157 X: 9.8418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 46 X: 2.91016 -INDEX GOES BRRR: 988 X: -2.2373 -INDEX GOES BRRR: 431 X: 26.9561 -INDEX GOES BRRR: 299 X: 18.71 -INDEX GOES BRRR: 485 X: 30.3242 -INDEX GOES BRRR: 254 X: 15.9346 -INDEX GOES BRRR: 166 X: 10.4355 -INDEX GOES BRRR: 312 X: 19.5166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4893 -INDEX GOES BRRR: 130 X: 8.15137 -INDEX GOES BRRR: 281 X: 17.623 -INDEX GOES BRRR: 157 X: 9.81738 -INDEX GOES BRRR: 449 X: 28.1016 -INDEX GOES BRRR: 135 X: 8.47656 -INDEX GOES BRRR: 1008 X: -0.945312 -INDEX GOES BRRR: 23 X: 1.45605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.75977 -INDEX GOES BRRR: 227 X: 14.2383 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 259 X: 16.2354 -INDEX GOES BRRR: 74 X: 4.6582 -INDEX GOES BRRR: 1022 X: -0.105469 -INDEX GOES BRRR: 109 X: 6.85645 -INDEX GOES BRRR: 1002 X: -1.36816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 376 X: 23.5283 -INDEX GOES BRRR: 161 X: 10.1104 -INDEX GOES BRRR: 187 X: 11.7422 -INDEX GOES BRRR: 154 X: 9.67383 -INDEX GOES BRRR: 310 X: 19.3828 -INDEX GOES BRRR: 89 X: 5.57715 -INDEX GOES BRRR: 14 X: 0.891602 -INDEX GOES BRRR: 320 X: 20.0107 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 827 X: -12.2725 -INDEX GOES BRRR: 299 X: 18.7158 -INDEX GOES BRRR: 23 X: 1.48926 -INDEX GOES BRRR: 109 X: 6.85645 -INDEX GOES BRRR: 980 X: -2.74414 -INDEX GOES BRRR: 458 X: 28.6406 -INDEX GOES BRRR: 163 X: 10.1875 -INDEX GOES BRRR: 34 X: 2.17188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.958 -INDEX GOES BRRR: 1004 X: -1.20312 -INDEX GOES BRRR: 942 X: -5.09961 -INDEX GOES BRRR: 837 X: -11.6465 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 194 X: 12.167 -INDEX GOES BRRR: 186 X: 11.6484 -INDEX GOES BRRR: 853 X: -10.6748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9824 -INDEX GOES BRRR: 1002 X: -1.35254 -INDEX GOES BRRR: 137 X: 8.56348 -INDEX GOES BRRR: 281 X: 17.5703 -INDEX GOES BRRR: 126 X: 7.90625 -INDEX GOES BRRR: 187 X: 11.7246 -INDEX GOES BRRR: 129 X: 8.12109 -INDEX GOES BRRR: 222 X: 13.8789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.32617 -INDEX GOES BRRR: 192 X: 12.0137 -INDEX GOES BRRR: 883 X: -8.81055 -INDEX GOES BRRR: 98 X: 6.14062 -INDEX GOES BRRR: 268 X: 16.8037 -INDEX GOES BRRR: 191 X: 11.999 -INDEX GOES BRRR: 221 X: 13.8193 -INDEX GOES BRRR: 52 X: 3.25293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 451 X: 28.207 -INDEX GOES BRRR: 173 X: 10.8486 -INDEX GOES BRRR: 979 X: -2.79492 -INDEX GOES BRRR: 324 X: 20.3105 -INDEX GOES BRRR: 150 X: 9.43457 -INDEX GOES BRRR: 273 X: 17.0967 -INDEX GOES BRRR: 193 X: 12.0752 -INDEX GOES BRRR: 348 X: 21.7734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 243 X: 15.1895 -INDEX GOES BRRR: 48 X: 3.04004 -INDEX GOES BRRR: 995 X: -1.77344 -INDEX GOES BRRR: 301 X: 18.8145 -INDEX GOES BRRR: 965 X: -3.68262 -INDEX GOES BRRR: 922 X: -6.31738 -INDEX GOES BRRR: 269 X: 16.8145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.74316 -INDEX GOES BRRR: 117 X: 7.33496 -INDEX GOES BRRR: 89 X: 5.58984 -INDEX GOES BRRR: 173 X: 10.8535 -INDEX GOES BRRR: 144 X: 9.01367 -INDEX GOES BRRR: 145 X: 9.12305 -INDEX GOES BRRR: 110 X: 6.93555 -INDEX GOES BRRR: 751 X: -17.0488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6279 -INDEX GOES BRRR: 363 X: 22.7383 -INDEX GOES BRRR: 88 X: 5.50488 -INDEX GOES BRRR: 327 X: 20.4414 -INDEX GOES BRRR: 997 X: -1.66113 -INDEX GOES BRRR: 136 X: 8.50586 -INDEX GOES BRRR: 888 X: -8.46094 -INDEX GOES BRRR: 272 X: 17.0586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5859 -INDEX GOES BRRR: 342 X: 21.3916 -INDEX GOES BRRR: 179 X: 11.2051 -INDEX GOES BRRR: 91 X: 5.71582 -INDEX GOES BRRR: 114 X: 7.12598 -INDEX GOES BRRR: 80 X: 5.01074 -INDEX GOES BRRR: 25 X: 1.58496 -INDEX GOES BRRR: 110 X: 6.89941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.23438 -INDEX GOES BRRR: 899 X: -7.77734 -INDEX GOES BRRR: 9 X: 0.610352 -INDEX GOES BRRR: 30 X: 1.89062 -INDEX GOES BRRR: 49 X: 3.10352 -INDEX GOES BRRR: 937 X: -5.41992 -INDEX GOES BRRR: 155 X: 9.70508 -INDEX GOES BRRR: 40 X: 2.50195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6621 -INDEX GOES BRRR: 303 X: 18.9736 -INDEX GOES BRRR: 22 X: 1.40723 -INDEX GOES BRRR: 257 X: 16.0859 -INDEX GOES BRRR: 487 X: 30.4727 -INDEX GOES BRRR: 218 X: 13.625 -INDEX GOES BRRR: 406 X: 25.4023 -INDEX GOES BRRR: 278 X: 17.4355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.042 -INDEX GOES BRRR: 1010 X: -0.832031 -INDEX GOES BRRR: 206 X: 12.9326 -INDEX GOES BRRR: 120 X: 7.53711 -INDEX GOES BRRR: 236 X: 14.8047 -INDEX GOES BRRR: 86 X: 5.43262 -INDEX GOES BRRR: 463 X: 28.9551 -INDEX GOES BRRR: 941 X: -5.1416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.95898 -INDEX GOES BRRR: 30 X: 1.93066 -INDEX GOES BRRR: 210 X: 13.1611 -INDEX GOES BRRR: 203 X: 12.7158 -INDEX GOES BRRR: 114 X: 7.1748 -INDEX GOES BRRR: 119 X: 7.45605 -INDEX GOES BRRR: 223 X: 13.9756 -INDEX GOES BRRR: 976 X: -2.98926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.6621 -INDEX GOES BRRR: 211 X: 13.1895 -INDEX GOES BRRR: 218 X: 13.6387 -INDEX GOES BRRR: 998 X: -1.57715 -INDEX GOES BRRR: 17 X: 1.06738 -INDEX GOES BRRR: 164 X: 10.2881 -INDEX GOES BRRR: 429 X: 26.8154 -INDEX GOES BRRR: 161 X: 10.124 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.0800781 -INDEX GOES BRRR: 248 X: 15.5215 -INDEX GOES BRRR: 263 X: 16.4648 -INDEX GOES BRRR: 294 X: 18.4287 -INDEX GOES BRRR: 223 X: 13.998 -INDEX GOES BRRR: 853 X: -10.6396 -INDEX GOES BRRR: 387 X: 24.2002 -INDEX GOES BRRR: 231 X: 14.4824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7246 -INDEX GOES BRRR: 245 X: 15.3164 -INDEX GOES BRRR: 191 X: 11.96 -INDEX GOES BRRR: 295 X: 18.4707 -INDEX GOES BRRR: 264 X: 16.5391 -INDEX GOES BRRR: 335 X: 20.9395 -INDEX GOES BRRR: 99 X: 6.19336 -INDEX GOES BRRR: 904 X: -7.45898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.52539 -INDEX GOES BRRR: 755 X: -16.752 -INDEX GOES BRRR: 888 X: -8.47852 -INDEX GOES BRRR: 929 X: -5.87793 -INDEX GOES BRRR: 92 X: 5.78613 -INDEX GOES BRRR: 77 X: 4.86621 -INDEX GOES BRRR: 452 X: 28.2861 -INDEX GOES BRRR: 348 X: 21.7861 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 364 X: 22.7988 -INDEX GOES BRRR: 945 X: -4.90137 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 216 X: 13.5176 -INDEX GOES BRRR: 139 X: 8.69238 -INDEX GOES BRRR: 288 X: 18.0469 -INDEX GOES BRRR: 960 X: -3.97461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.4824 -INDEX GOES BRRR: 61 X: 3.86523 -INDEX GOES BRRR: 343 X: 21.4873 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 352 X: 22.0361 -INDEX GOES BRRR: 209 X: 13.1006 -INDEX GOES BRRR: 50 X: 3.17285 -INDEX GOES BRRR: 148 X: 9.26562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.2725 -INDEX GOES BRRR: 275 X: 17.2236 -INDEX GOES BRRR: 131 X: 8.2207 -INDEX GOES BRRR: 428 X: 26.7705 -INDEX GOES BRRR: 447 X: 27.9766 -INDEX GOES BRRR: 324 X: 20.2803 -INDEX GOES BRRR: 80 X: 5.00098 -INDEX GOES BRRR: 369 X: 23.123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.2041 -INDEX GOES BRRR: 340 X: 21.3047 -INDEX GOES BRRR: 902 X: -7.60352 -INDEX GOES BRRR: 226 X: 14.1299 -INDEX GOES BRRR: 163 X: 10.2051 -INDEX GOES BRRR: 121 X: 7.61914 -INDEX GOES BRRR: 322 X: 20.1494 -INDEX GOES BRRR: 445 X: 27.8574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 439 X: 27.499 -INDEX GOES BRRR: 43 X: 2.70898 -INDEX GOES BRRR: 115 X: 7.2334 -INDEX GOES BRRR: 212 X: 13.2988 -INDEX GOES BRRR: 150 X: 9.43457 -INDEX GOES BRRR: 884 X: -8.70996 -INDEX GOES BRRR: 330 X: 20.6289 -INDEX GOES BRRR: 144 X: 9 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3486 -INDEX GOES BRRR: 993 X: -1.93066 -INDEX GOES BRRR: 396 X: 24.7725 -INDEX GOES BRRR: 94 X: 5.91309 -INDEX GOES BRRR: 906 X: -7.36914 -INDEX GOES BRRR: 162 X: 10.1318 -INDEX GOES BRRR: 255 X: 15.9619 -INDEX GOES BRRR: 100 X: 6.30469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.3252 -INDEX GOES BRRR: 197 X: 12.3428 -INDEX GOES BRRR: 335 X: 20.9746 -INDEX GOES BRRR: 451 X: 28.2314 -INDEX GOES BRRR: 140 X: 8.79199 -INDEX GOES BRRR: 174 X: 10.8867 -INDEX GOES BRRR: 676 X: -21.748 -INDEX GOES BRRR: 96 X: 6.00488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.76465 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 3 X: 0.231445 -INDEX GOES BRRR: 395 X: 24.6895 -INDEX GOES BRRR: 275 X: 17.2236 -INDEX GOES BRRR: 35 X: 2.2041 -INDEX GOES BRRR: 214 X: 13.4277 -INDEX GOES BRRR: 281 X: 17.5635 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7529 -INDEX GOES BRRR: 230 X: 14.4326 -INDEX GOES BRRR: 230 X: 14.4297 -INDEX GOES BRRR: 217 X: 13.5781 -INDEX GOES BRRR: 189 X: 11.8516 -INDEX GOES BRRR: 248 X: 15.5234 -INDEX GOES BRRR: 233 X: 14.6035 -INDEX GOES BRRR: 345 X: 21.6084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0195 -INDEX GOES BRRR: 241 X: 15.0928 -INDEX GOES BRRR: 134 X: 8.3916 -INDEX GOES BRRR: 1023 X: -0.0224609 -INDEX GOES BRRR: 318 X: 19.8945 -INDEX GOES BRRR: 384 X: 24.0547 -INDEX GOES BRRR: 273 X: 17.1211 -INDEX GOES BRRR: 175 X: 10.9531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 253 X: 15.874 -INDEX GOES BRRR: 47 X: 2.9707 -INDEX GOES BRRR: 53 X: 3.34961 -INDEX GOES BRRR: 991 X: -2.00488 -INDEX GOES BRRR: 267 X: 16.6973 -INDEX GOES BRRR: 154 X: 9.63965 -INDEX GOES BRRR: 249 X: 15.582 -INDEX GOES BRRR: 35 X: 2.22266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 805 X: -13.6396 -INDEX GOES BRRR: 19 X: 1.19531 -INDEX GOES BRRR: 976 X: -2.97168 -INDEX GOES BRRR: 196 X: 12.2803 -INDEX GOES BRRR: 861 X: -10.1826 -INDEX GOES BRRR: 63 X: 3.99609 -INDEX GOES BRRR: 289 X: 18.1123 -INDEX GOES BRRR: 5 X: 0.316406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6377 -INDEX GOES BRRR: 749 X: -17.1416 -INDEX GOES BRRR: 1010 X: -0.854492 -INDEX GOES BRRR: 12 X: 0.800781 -INDEX GOES BRRR: 42 X: 2.68164 -INDEX GOES BRRR: 41 X: 2.62305 -INDEX GOES BRRR: 948 X: -4.71973 -INDEX GOES BRRR: 68 X: 4.29297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 746 X: -17.3271 -INDEX GOES BRRR: 248 X: 15.5439 -INDEX GOES BRRR: 35 X: 2.2207 -INDEX GOES BRRR: 462 X: 28.9014 -INDEX GOES BRRR: 45 X: 2.87109 -INDEX GOES BRRR: 344 X: 21.5322 -INDEX GOES BRRR: 330 X: 20.6719 -INDEX GOES BRRR: 164 X: 10.2988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.2666 -INDEX GOES BRRR: 295 X: 18.4639 -INDEX GOES BRRR: 120 X: 7.54492 -INDEX GOES BRRR: 26 X: 1.6748 -INDEX GOES BRRR: 365 X: 22.8564 -INDEX GOES BRRR: 107 X: 6.74609 -INDEX GOES BRRR: 991 X: -2.00879 -INDEX GOES BRRR: 60 X: 3.78418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.18848 -INDEX GOES BRRR: 78 X: 4.9248 -INDEX GOES BRRR: 398 X: 24.9229 -INDEX GOES BRRR: 877 X: -9.18457 -INDEX GOES BRRR: 943 X: -5.0166 -INDEX GOES BRRR: 238 X: 14.9189 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 979 X: -2.77246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.1045 -INDEX GOES BRRR: 242 X: 15.165 -INDEX GOES BRRR: 98 X: 6.13672 -INDEX GOES BRRR: 964 X: -3.71973 -INDEX GOES BRRR: 20 X: 1.25977 -INDEX GOES BRRR: 276 X: 17.2695 -INDEX GOES BRRR: 227 X: 14.2158 -INDEX GOES BRRR: 104 X: 6.56055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 774 X: -15.5635 -INDEX GOES BRRR: 300 X: 18.8027 -INDEX GOES BRRR: 361 X: 22.6182 -INDEX GOES BRRR: 69 X: 4.37402 -INDEX GOES BRRR: 317 X: 19.8477 -INDEX GOES BRRR: 260 X: 16.3105 -INDEX GOES BRRR: 153 X: 9.61035 -INDEX GOES BRRR: 1023 X: -0.0498047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.80371 -INDEX GOES BRRR: 210 X: 13.127 -INDEX GOES BRRR: 85 X: 5.33496 -INDEX GOES BRRR: 167 X: 10.4893 -INDEX GOES BRRR: 209 X: 13.1055 -INDEX GOES BRRR: 226 X: 14.1807 -INDEX GOES BRRR: 9 X: 0.572266 -INDEX GOES BRRR: 258 X: 16.1553 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.92871 -INDEX GOES BRRR: 87 X: 5.46387 -INDEX GOES BRRR: 251 X: 15.7139 -INDEX GOES BRRR: 112 X: 7.00293 -INDEX GOES BRRR: 985 X: -2.43652 -INDEX GOES BRRR: 831 X: -12.041 -INDEX GOES BRRR: 273 X: 17.0938 -INDEX GOES BRRR: 224 X: 14.0244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.27246 -INDEX GOES BRRR: 186 X: 11.6279 -INDEX GOES BRRR: 290 X: 18.125 -INDEX GOES BRRR: 179 X: 11.2373 -INDEX GOES BRRR: 36 X: 2.30859 -INDEX GOES BRRR: 201 X: 12.583 -INDEX GOES BRRR: 186 X: 11.6777 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 857 X: -10.4121 -INDEX GOES BRRR: 367 X: 22.9795 -INDEX GOES BRRR: 303 X: 18.9414 -INDEX GOES BRRR: 46 X: 2.90234 -INDEX GOES BRRR: 42 X: 2.66406 -INDEX GOES BRRR: 106 X: 6.6377 -INDEX GOES BRRR: 229 X: 14.3145 -INDEX GOES BRRR: 978 X: -2.83398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 924 X: -6.23145 -INDEX GOES BRRR: 3 X: 0.226562 -INDEX GOES BRRR: 983 X: -2.55273 -INDEX GOES BRRR: 141 X: 8.81934 -INDEX GOES BRRR: 267 X: 16.708 -INDEX GOES BRRR: 1019 X: -0.268555 -INDEX GOES BRRR: 158 X: 9.91504 -INDEX GOES BRRR: 969 X: -3.41406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6748 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 75 X: 4.74023 -INDEX GOES BRRR: 142 X: 8.92188 -INDEX GOES BRRR: 226 X: 14.1865 -INDEX GOES BRRR: 236 X: 14.7549 -INDEX GOES BRRR: 321 X: 20.0859 -INDEX GOES BRRR: 1013 X: -0.626953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7822 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 229 X: 14.3711 -INDEX GOES BRRR: 177 X: 11.1035 -INDEX GOES BRRR: 150 X: 9.39453 -INDEX GOES BRRR: 488 X: 30.5176 -INDEX GOES BRRR: 205 X: 12.8545 -INDEX GOES BRRR: 967 X: -3.53027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6289 -INDEX GOES BRRR: 323 X: 20.2295 -INDEX GOES BRRR: 250 X: 15.6602 -INDEX GOES BRRR: 191 X: 11.9375 -INDEX GOES BRRR: 820 X: -12.749 -INDEX GOES BRRR: 97 X: 6.10059 -INDEX GOES BRRR: 241 X: 15.1055 -INDEX GOES BRRR: 42 X: 2.66113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.749 -INDEX GOES BRRR: 206 X: 12.9111 -INDEX GOES BRRR: 291 X: 18.2471 -INDEX GOES BRRR: 96 X: 6.01758 -INDEX GOES BRRR: 82 X: 5.15527 -INDEX GOES BRRR: 277 X: 17.3662 -INDEX GOES BRRR: 305 X: 19.082 -INDEX GOES BRRR: 271 X: 16.9785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.47754 -INDEX GOES BRRR: 139 X: 8.71191 -INDEX GOES BRRR: 307 X: 19.2422 -INDEX GOES BRRR: 261 X: 16.3613 -INDEX GOES BRRR: 115 X: 7.23926 -INDEX GOES BRRR: 152 X: 9.5166 -INDEX GOES BRRR: 32 X: 2.05762 -INDEX GOES BRRR: 721 X: -18.9004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 395 X: 24.7451 -INDEX GOES BRRR: 444 X: 27.7939 -INDEX GOES BRRR: 438 X: 27.4355 -INDEX GOES BRRR: 436 X: 27.2793 -INDEX GOES BRRR: 150 X: 9.40723 -INDEX GOES BRRR: 173 X: 10.8438 -INDEX GOES BRRR: 211 X: 13.2119 -INDEX GOES BRRR: 161 X: 10.0684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 285 X: 17.8281 -INDEX GOES BRRR: 693 X: -20.6787 -INDEX GOES BRRR: 275 X: 17.2002 -INDEX GOES BRRR: 38 X: 2.40918 -INDEX GOES BRRR: 368 X: 23.0244 -INDEX GOES BRRR: 172 X: 10.7969 -INDEX GOES BRRR: 207 X: 12.9453 -INDEX GOES BRRR: 100 X: 6.27637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2812 -INDEX GOES BRRR: 77 X: 4.86816 -INDEX GOES BRRR: 138 X: 8.63281 -INDEX GOES BRRR: 990 X: -2.11328 -INDEX GOES BRRR: 69 X: 4.37402 -INDEX GOES BRRR: 193 X: 12.1045 -INDEX GOES BRRR: 897 X: -7.88965 -INDEX GOES BRRR: 961 X: -3.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.31641 -INDEX GOES BRRR: 1004 X: -1.24219 -INDEX GOES BRRR: 130 X: 8.125 -INDEX GOES BRRR: 296 X: 18.5186 -INDEX GOES BRRR: 986 X: -2.33008 -INDEX GOES BRRR: 14 X: 0.93457 -INDEX GOES BRRR: 327 X: 20.4756 -INDEX GOES BRRR: 936 X: -5.46387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.33887 -INDEX GOES BRRR: 960 X: -3.94336 -INDEX GOES BRRR: 20 X: 1.30273 -INDEX GOES BRRR: 890 X: -8.3623 -INDEX GOES BRRR: 234 X: 14.626 -INDEX GOES BRRR: 98 X: 6.17285 -INDEX GOES BRRR: 366 X: 22.9219 -INDEX GOES BRRR: 405 X: 25.3701 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.6611 -INDEX GOES BRRR: 38 X: 2.3916 -INDEX GOES BRRR: 985 X: -2.3916 -INDEX GOES BRRR: 232 X: 14.5137 -INDEX GOES BRRR: 207 X: 12.9893 -INDEX GOES BRRR: 72 X: 4.55176 -INDEX GOES BRRR: 206 X: 12.9248 -INDEX GOES BRRR: 257 X: 16.0928 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2344 -INDEX GOES BRRR: 876 X: -9.22363 -INDEX GOES BRRR: 399 X: 24.9717 -INDEX GOES BRRR: 420 X: 26.2959 -INDEX GOES BRRR: 82 X: 5.16699 -INDEX GOES BRRR: 216 X: 13.5547 -INDEX GOES BRRR: 38 X: 2.37598 -INDEX GOES BRRR: 268 X: 16.7822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1348 -INDEX GOES BRRR: 902 X: -7.57324 -INDEX GOES BRRR: 23 X: 1.4375 -INDEX GOES BRRR: 429 X: 26.8193 -INDEX GOES BRRR: 146 X: 9.14258 -INDEX GOES BRRR: 279 X: 17.4482 -INDEX GOES BRRR: 1010 X: -0.866211 -INDEX GOES BRRR: 160 X: 10.0029 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.501 -INDEX GOES BRRR: 227 X: 14.1963 -INDEX GOES BRRR: 345 X: 21.585 -INDEX GOES BRRR: 122 X: 7.66113 -INDEX GOES BRRR: 30 X: 1.88281 -INDEX GOES BRRR: 263 X: 16.4961 -INDEX GOES BRRR: 296 X: 18.54 -INDEX GOES BRRR: 148 X: 9.25195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 392 X: 24.5234 -INDEX GOES BRRR: 196 X: 12.3047 -INDEX GOES BRRR: 18 X: 1.13867 -INDEX GOES BRRR: 132 X: 8.27539 -INDEX GOES BRRR: 72 X: 4.54395 -INDEX GOES BRRR: 37 X: 2.35742 -INDEX GOES BRRR: 152 X: 9.51562 -INDEX GOES BRRR: 49 X: 3.08398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.93066 -INDEX GOES BRRR: 280 X: 17.5068 -INDEX GOES BRRR: 144 X: 9.03711 -INDEX GOES BRRR: 899 X: -7.78027 -INDEX GOES BRRR: 30 X: 1.93457 -INDEX GOES BRRR: 167 X: 10.457 -INDEX GOES BRRR: 1001 X: -1.43164 -INDEX GOES BRRR: 144 X: 9.06055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.928711 -INDEX GOES BRRR: 210 X: 13.1582 -INDEX GOES BRRR: 952 X: -4.47266 -INDEX GOES BRRR: 242 X: 15.1641 -INDEX GOES BRRR: 382 X: 23.9043 -INDEX GOES BRRR: 187 X: 11.7148 -INDEX GOES BRRR: 179 X: 11.2402 -INDEX GOES BRRR: 155 X: 9.71484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 396 X: 24.7832 -INDEX GOES BRRR: 44 X: 2.79004 -INDEX GOES BRRR: 151 X: 9.46875 -INDEX GOES BRRR: 237 X: 14.8467 -INDEX GOES BRRR: 329 X: 20.6201 -INDEX GOES BRRR: 369 X: 23.1104 -INDEX GOES BRRR: 214 X: 13.4199 -INDEX GOES BRRR: 961 X: -3.91602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6709 -INDEX GOES BRRR: 273 X: 17.1211 -INDEX GOES BRRR: 381 X: 23.8174 -INDEX GOES BRRR: 120 X: 7.50488 -INDEX GOES BRRR: 49 X: 3.08496 -INDEX GOES BRRR: 222 X: 13.9141 -INDEX GOES BRRR: 202 X: 12.6807 -INDEX GOES BRRR: 144 X: 9.03027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.62598 -INDEX GOES BRRR: 218 X: 13.6416 -INDEX GOES BRRR: 425 X: 26.5977 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 106 X: 6.64355 -INDEX GOES BRRR: 957 X: -4.13184 -INDEX GOES BRRR: 91 X: 5.70996 -INDEX GOES BRRR: 968 X: -3.49707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.56055 -INDEX GOES BRRR: 58 X: 3.63574 -INDEX GOES BRRR: 246 X: 15.4023 -INDEX GOES BRRR: 181 X: 11.3545 -INDEX GOES BRRR: 235 X: 14.7422 -INDEX GOES BRRR: 1010 X: -0.84668 -INDEX GOES BRRR: 41 X: 2.62305 -INDEX GOES BRRR: 120 X: 7.50586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2539 -INDEX GOES BRRR: 58 X: 3.66699 -INDEX GOES BRRR: 209 X: 13.0889 -INDEX GOES BRRR: 102 X: 6.38281 -INDEX GOES BRRR: 301 X: 18.8301 -INDEX GOES BRRR: 465 X: 29.1006 -INDEX GOES BRRR: 136 X: 8.54785 -INDEX GOES BRRR: 1016 X: -0.454102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.69141 -INDEX GOES BRRR: 50 X: 3.16406 -INDEX GOES BRRR: 984 X: -2.49023 -INDEX GOES BRRR: 313 X: 19.5654 -INDEX GOES BRRR: 114 X: 7.1543 -INDEX GOES BRRR: 445 X: 27.8428 -INDEX GOES BRRR: 953 X: -4.43652 -INDEX GOES BRRR: 69 X: 4.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.28711 -INDEX GOES BRRR: 438 X: 27.3857 -INDEX GOES BRRR: 163 X: 10.2334 -INDEX GOES BRRR: 160 X: 10.001 -INDEX GOES BRRR: 318 X: 19.8965 -INDEX GOES BRRR: 185 X: 11.5752 -INDEX GOES BRRR: 376 X: 23.5312 -INDEX GOES BRRR: 351 X: 21.9951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 29 X: 1.84766 -INDEX GOES BRRR: 75 X: 4.72168 -INDEX GOES BRRR: 244 X: 15.2676 -INDEX GOES BRRR: 363 X: 22.709 -INDEX GOES BRRR: 458 X: 28.6738 -INDEX GOES BRRR: 264 X: 16.5537 -INDEX GOES BRRR: 229 X: 14.3672 -INDEX GOES BRRR: 446 X: 27.9346 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5986 -INDEX GOES BRRR: 64 X: 4.05469 -INDEX GOES BRRR: 320 X: 20.0342 -INDEX GOES BRRR: 206 X: 12.9053 -INDEX GOES BRRR: 77 X: 4.83008 -INDEX GOES BRRR: 13 X: 0.860352 -INDEX GOES BRRR: 54 X: 3.3877 -INDEX GOES BRRR: 18 X: 1.15723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8564 -INDEX GOES BRRR: 207 X: 12.9717 -INDEX GOES BRRR: 131 X: 8.24805 -INDEX GOES BRRR: 1013 X: -0.643555 -INDEX GOES BRRR: 115 X: 7.19434 -INDEX GOES BRRR: 36 X: 2.26465 -INDEX GOES BRRR: 191 X: 11.9922 -INDEX GOES BRRR: 302 X: 18.9199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.32227 -INDEX GOES BRRR: 143 X: 8.99219 -INDEX GOES BRRR: 70 X: 4.43359 -INDEX GOES BRRR: 158 X: 9.89648 -INDEX GOES BRRR: 163 X: 10.2197 -INDEX GOES BRRR: 118 X: 7.42285 -INDEX GOES BRRR: 82 X: 5.16016 -INDEX GOES BRRR: 892 X: -8.2207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 960 X: -3.96484 -INDEX GOES BRRR: 992 X: -1.98633 -INDEX GOES BRRR: 41 X: 2.62305 -INDEX GOES BRRR: 136 X: 8.50098 -INDEX GOES BRRR: 1005 X: -1.15625 -INDEX GOES BRRR: 370 X: 23.126 -INDEX GOES BRRR: 31 X: 1.94043 -INDEX GOES BRRR: 46 X: 2.93359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0507812 -INDEX GOES BRRR: 106 X: 6.63184 -INDEX GOES BRRR: 4 X: 0.294922 -INDEX GOES BRRR: 61 X: 3.85645 -INDEX GOES BRRR: 283 X: 17.7197 -INDEX GOES BRRR: 113 X: 7.06934 -INDEX GOES BRRR: 309 X: 19.3398 -INDEX GOES BRRR: 211 X: 13.2432 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 23 X: 1.47949 -INDEX GOES BRRR: 871 X: -9.55566 -INDEX GOES BRRR: 382 X: 23.8896 -INDEX GOES BRRR: 462 X: 28.9033 -INDEX GOES BRRR: 56 X: 3.50684 -INDEX GOES BRRR: 225 X: 14.1182 -INDEX GOES BRRR: 49 X: 3.08984 -INDEX GOES BRRR: 140 X: 8.77637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.52148 -INDEX GOES BRRR: 70 X: 4.40137 -INDEX GOES BRRR: 313 X: 19.583 -INDEX GOES BRRR: 414 X: 25.8965 -INDEX GOES BRRR: 80 X: 5.02246 -INDEX GOES BRRR: 242 X: 15.1426 -INDEX GOES BRRR: 7 X: 0.491211 -INDEX GOES BRRR: 898 X: -7.87012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0576 -INDEX GOES BRRR: 301 X: 18.8125 -INDEX GOES BRRR: 243 X: 15.2363 -INDEX GOES BRRR: 222 X: 13.8809 -INDEX GOES BRRR: 840 X: -11.4824 -INDEX GOES BRRR: 216 X: 13.5586 -INDEX GOES BRRR: 166 X: 10.3789 -INDEX GOES BRRR: 199 X: 12.4541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.32227 -INDEX GOES BRRR: 157 X: 9.84082 -INDEX GOES BRRR: 102 X: 6.38672 -INDEX GOES BRRR: 249 X: 15.6094 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 368 X: 23.0488 -INDEX GOES BRRR: 248 X: 15.5215 -INDEX GOES BRRR: 1023 X: -0.00488281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 341 X: 21.3682 -INDEX GOES BRRR: 256 X: 16.0332 -INDEX GOES BRRR: 1001 X: -1.40918 -INDEX GOES BRRR: 258 X: 16.168 -INDEX GOES BRRR: 85 X: 5.3623 -INDEX GOES BRRR: 1021 X: -0.18457 -INDEX GOES BRRR: 298 X: 18.6396 -INDEX GOES BRRR: 305 X: 19.1221 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 368 X: 23.0518 -INDEX GOES BRRR: 1009 X: -0.932617 -INDEX GOES BRRR: 101 X: 6.35156 -INDEX GOES BRRR: 51 X: 3.19141 -INDEX GOES BRRR: 176 X: 11.0215 -INDEX GOES BRRR: 915 X: -6.75977 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 281 X: 17.6094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5557 -INDEX GOES BRRR: 1004 X: -1.19727 -INDEX GOES BRRR: 174 X: 10.9248 -INDEX GOES BRRR: 213 X: 13.3525 -INDEX GOES BRRR: 152 X: 9.52051 -INDEX GOES BRRR: 389 X: 24.3633 -INDEX GOES BRRR: 991 X: -2.02637 -INDEX GOES BRRR: 226 X: 14.1641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.3896 -INDEX GOES BRRR: 1013 X: -0.649414 -INDEX GOES BRRR: 211 X: 13.2422 -INDEX GOES BRRR: 54 X: 3.39258 -INDEX GOES BRRR: 207 X: 12.9707 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 243 X: 15.2256 -INDEX GOES BRRR: 877 X: -9.16016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 934 X: -5.60645 -INDEX GOES BRRR: 97 X: 6.11816 -INDEX GOES BRRR: 29 X: 1.8623 -INDEX GOES BRRR: 981 X: -2.64453 -INDEX GOES BRRR: 368 X: 23.0059 -INDEX GOES BRRR: 333 X: 20.8633 -INDEX GOES BRRR: 1002 X: -1.33984 -INDEX GOES BRRR: 332 X: 20.7754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3633 -INDEX GOES BRRR: 145 X: 9.10254 -INDEX GOES BRRR: 149 X: 9.32812 -INDEX GOES BRRR: 348 X: 21.793 -INDEX GOES BRRR: 106 X: 6.66602 -INDEX GOES BRRR: 335 X: 20.9844 -INDEX GOES BRRR: 314 X: 19.6807 -INDEX GOES BRRR: 205 X: 12.8662 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5869 -INDEX GOES BRRR: 314 X: 19.6367 -INDEX GOES BRRR: 210 X: 13.1328 -INDEX GOES BRRR: 211 X: 13.2051 -INDEX GOES BRRR: 23 X: 1.44629 -INDEX GOES BRRR: 73 X: 4.5752 -INDEX GOES BRRR: 860 X: -10.2402 -INDEX GOES BRRR: 129 X: 8.12109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4961 -INDEX GOES BRRR: 111 X: 6.97168 -INDEX GOES BRRR: 875 X: -9.30078 -INDEX GOES BRRR: 54 X: 3.37988 -INDEX GOES BRRR: 139 X: 8.69531 -INDEX GOES BRRR: 155 X: 9.73242 -INDEX GOES BRRR: 40 X: 2.51074 -INDEX GOES BRRR: 237 X: 14.8184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 18 X: 1.18359 -INDEX GOES BRRR: 92 X: 5.75977 -INDEX GOES BRRR: 204 X: 12.7959 -INDEX GOES BRRR: 96 X: 6.00391 -INDEX GOES BRRR: 224 X: 14.0508 -INDEX GOES BRRR: 302 X: 18.9355 -INDEX GOES BRRR: 154 X: 9.68164 -INDEX GOES BRRR: 83 X: 5.20801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1016 X: -0.438477 -INDEX GOES BRRR: 201 X: 12.5723 -INDEX GOES BRRR: 130 X: 8.15137 -INDEX GOES BRRR: 187 X: 11.6982 -INDEX GOES BRRR: 103 X: 6.44043 -INDEX GOES BRRR: 116 X: 7.30469 -INDEX GOES BRRR: 167 X: 10.4463 -INDEX GOES BRRR: 982 X: -2.56836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.61328 -INDEX GOES BRRR: 96 X: 6.04199 -INDEX GOES BRRR: 64 X: 4.0498 -INDEX GOES BRRR: 229 X: 14.3672 -INDEX GOES BRRR: 161 X: 10.1104 -INDEX GOES BRRR: 160 X: 10.0352 -INDEX GOES BRRR: 179 X: 11.1895 -INDEX GOES BRRR: 49 X: 3.08008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 418 X: 26.1689 -INDEX GOES BRRR: 382 X: 23.8877 -INDEX GOES BRRR: 195 X: 12.2051 -INDEX GOES BRRR: 19 X: 1.21973 -INDEX GOES BRRR: 182 X: 11.3926 -INDEX GOES BRRR: 369 X: 23.0908 -INDEX GOES BRRR: 2 X: 0.15332 -INDEX GOES BRRR: 130 X: 8.12988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.55957 -INDEX GOES BRRR: 337 X: 21.123 -INDEX GOES BRRR: 119 X: 7.47852 -INDEX GOES BRRR: 271 X: 16.9756 -INDEX GOES BRRR: 386 X: 24.1348 -INDEX GOES BRRR: 188 X: 11.752 -INDEX GOES BRRR: 203 X: 12.7168 -INDEX GOES BRRR: 153 X: 9.61426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.62012 -INDEX GOES BRRR: 169 X: 10.6094 -INDEX GOES BRRR: 214 X: 13.4268 -INDEX GOES BRRR: 111 X: 6.93848 -INDEX GOES BRRR: 897 X: -7.8916 -INDEX GOES BRRR: 249 X: 15.6113 -INDEX GOES BRRR: 158 X: 9.9043 -INDEX GOES BRRR: 283 X: 17.7139 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.7588 -INDEX GOES BRRR: 392 X: 24.5352 -INDEX GOES BRRR: 208 X: 13.0605 -INDEX GOES BRRR: 264 X: 16.5557 -INDEX GOES BRRR: 287 X: 17.9385 -INDEX GOES BRRR: 389 X: 24.3408 -INDEX GOES BRRR: 182 X: 11.4307 -INDEX GOES BRRR: 288 X: 18.0361 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0635 -INDEX GOES BRRR: 152 X: 9.51367 -INDEX GOES BRRR: 195 X: 12.2061 -INDEX GOES BRRR: 27 X: 1.71777 -INDEX GOES BRRR: 279 X: 17.4717 -INDEX GOES BRRR: 62 X: 3.91895 -INDEX GOES BRRR: 38 X: 2.42871 -INDEX GOES BRRR: 82 X: 5.13672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1017 X: -0.43457 -INDEX GOES BRRR: 94 X: 5.91797 -INDEX GOES BRRR: 156 X: 9.75684 -INDEX GOES BRRR: 402 X: 25.1797 -INDEX GOES BRRR: 133 X: 8.34961 -INDEX GOES BRRR: 159 X: 9.99414 -INDEX GOES BRRR: 55 X: 3.47266 -INDEX GOES BRRR: 941 X: -5.18555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7305 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 160 X: 10.0049 -INDEX GOES BRRR: 49 X: 3.09668 -INDEX GOES BRRR: 1 X: 0.113281 -INDEX GOES BRRR: 151 X: 9.49316 -INDEX GOES BRRR: 157 X: 9.85742 -INDEX GOES BRRR: 460 X: 28.8115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.54688 -INDEX GOES BRRR: 421 X: 26.3379 -INDEX GOES BRRR: 91 X: 5.69727 -INDEX GOES BRRR: 953 X: -4.42383 -INDEX GOES BRRR: 103 X: 6.4834 -INDEX GOES BRRR: 159 X: 9.9502 -INDEX GOES BRRR: 76 X: 4.78125 -INDEX GOES BRRR: 263 X: 16.4609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 350 X: 21.915 -INDEX GOES BRRR: 353 X: 22.0986 -INDEX GOES BRRR: 372 X: 23.2666 -INDEX GOES BRRR: 274 X: 17.166 -INDEX GOES BRRR: 167 X: 10.4639 -INDEX GOES BRRR: 279 X: 17.4639 -INDEX GOES BRRR: 271 X: 16.9375 -INDEX GOES BRRR: 175 X: 10.999 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.2949 -INDEX GOES BRRR: 198 X: 12.3828 -INDEX GOES BRRR: 128 X: 8.0459 -INDEX GOES BRRR: 120 X: 7.54102 -INDEX GOES BRRR: 4 X: 0.308594 -INDEX GOES BRRR: 133 X: 8.35938 -INDEX GOES BRRR: 259 X: 16.2217 -INDEX GOES BRRR: 63 X: 3.96289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.125 -INDEX GOES BRRR: 161 X: 10.1182 -INDEX GOES BRRR: 182 X: 11.4297 -INDEX GOES BRRR: 102 X: 6.43066 -INDEX GOES BRRR: 44 X: 2.78906 -INDEX GOES BRRR: 242 X: 15.1338 -INDEX GOES BRRR: 244 X: 15.2754 -INDEX GOES BRRR: 270 X: 16.9229 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 963 X: -3.75684 -INDEX GOES BRRR: 919 X: -6.50879 -INDEX GOES BRRR: 366 X: 22.8838 -INDEX GOES BRRR: 348 X: 21.7764 -INDEX GOES BRRR: 340 X: 21.2725 -INDEX GOES BRRR: 325 X: 20.3594 -INDEX GOES BRRR: 339 X: 21.2344 -INDEX GOES BRRR: 374 X: 23.4219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.05859 -INDEX GOES BRRR: 1021 X: -0.183594 -INDEX GOES BRRR: 193 X: 12.1064 -INDEX GOES BRRR: 1018 X: -0.330078 -INDEX GOES BRRR: 935 X: -5.50098 -INDEX GOES BRRR: 137 X: 8.58301 -INDEX GOES BRRR: 85 X: 5.37109 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4346 -INDEX GOES BRRR: 176 X: 11.0195 -INDEX GOES BRRR: 53 X: 3.35059 -INDEX GOES BRRR: 49 X: 3.08789 -INDEX GOES BRRR: 210 X: 13.1426 -INDEX GOES BRRR: 57 X: 3.60059 -INDEX GOES BRRR: 136 X: 8.54297 -INDEX GOES BRRR: 316 X: 19.7949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4678 -INDEX GOES BRRR: 100 X: 6.28027 -INDEX GOES BRRR: 154 X: 9.63477 -INDEX GOES BRRR: 258 X: 16.1328 -INDEX GOES BRRR: 958 X: -4.10352 -INDEX GOES BRRR: 249 X: 15.5957 -INDEX GOES BRRR: 878 X: -9.11914 -INDEX GOES BRRR: 228 X: 14.2939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.58008 -INDEX GOES BRRR: 211 X: 13.2246 -INDEX GOES BRRR: 2 X: 0.126953 -INDEX GOES BRRR: 45 X: 2.82617 -INDEX GOES BRRR: 1012 X: -0.729492 -INDEX GOES BRRR: 77 X: 4.81738 -INDEX GOES BRRR: 165 X: 10.3467 -INDEX GOES BRRR: 63 X: 3.94043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.34863 -INDEX GOES BRRR: 229 X: 14.3545 -INDEX GOES BRRR: 472 X: 29.5488 -INDEX GOES BRRR: 235 X: 14.7119 -INDEX GOES BRRR: 80 X: 5.04492 -INDEX GOES BRRR: 871 X: -9.53906 -INDEX GOES BRRR: 335 X: 20.959 -INDEX GOES BRRR: 24 X: 1.55957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.97559 -INDEX GOES BRRR: 431 X: 26.9736 -INDEX GOES BRRR: 326 X: 20.4111 -INDEX GOES BRRR: 818 X: -12.8135 -INDEX GOES BRRR: 3 X: 0.22168 -INDEX GOES BRRR: 452 X: 28.2568 -INDEX GOES BRRR: 166 X: 10.4268 -INDEX GOES BRRR: 901 X: -7.65332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 369 X: 23.0986 -INDEX GOES BRRR: 251 X: 15.7197 -INDEX GOES BRRR: 60 X: 3.77734 -INDEX GOES BRRR: 163 X: 10.2168 -INDEX GOES BRRR: 40 X: 2.53516 -INDEX GOES BRRR: 881 X: -8.92871 -INDEX GOES BRRR: 117 X: 7.34668 -INDEX GOES BRRR: 285 X: 17.8213 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.04199 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 267 X: 16.7451 -INDEX GOES BRRR: 1018 X: -0.34668 -INDEX GOES BRRR: 68 X: 4.29785 -INDEX GOES BRRR: 328 X: 20.5293 -INDEX GOES BRRR: 91 X: 5.74902 -INDEX GOES BRRR: 184 X: 11.5488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 63 X: 3.9502 -INDEX GOES BRRR: 239 X: 14.9648 -INDEX GOES BRRR: 243 X: 15.209 -INDEX GOES BRRR: 111 X: 6.96289 -INDEX GOES BRRR: 350 X: 21.9199 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 374 X: 23.4277 -INDEX GOES BRRR: 162 X: 10.1611 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 742 X: -17.5703 -INDEX GOES BRRR: 169 X: 10.5723 -INDEX GOES BRRR: 324 X: 20.2656 -INDEX GOES BRRR: 137 X: 8.60156 -INDEX GOES BRRR: 290 X: 18.1426 -INDEX GOES BRRR: 144 X: 9.02539 -INDEX GOES BRRR: 200 X: 12.5098 -INDEX GOES BRRR: 1009 X: -0.904297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.2432 -INDEX GOES BRRR: 55 X: 3.47559 -INDEX GOES BRRR: 151 X: 9.4375 -INDEX GOES BRRR: 173 X: 10.8672 -INDEX GOES BRRR: 139 X: 8.74805 -INDEX GOES BRRR: 119 X: 7.45605 -INDEX GOES BRRR: 292 X: 18.2969 -INDEX GOES BRRR: 80 X: 5.04395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.75879 -INDEX GOES BRRR: 197 X: 12.3555 -INDEX GOES BRRR: 225 X: 14.1162 -INDEX GOES BRRR: 220 X: 13.7988 -INDEX GOES BRRR: 150 X: 9.41797 -INDEX GOES BRRR: 50 X: 3.17871 -INDEX GOES BRRR: 279 X: 17.4814 -INDEX GOES BRRR: 361 X: 22.5742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 57 X: 3.58984 -INDEX GOES BRRR: 127 X: 7.99902 -INDEX GOES BRRR: 376 X: 23.5322 -INDEX GOES BRRR: 373 X: 23.3457 -INDEX GOES BRRR: 787 X: -14.7549 -INDEX GOES BRRR: 314 X: 19.627 -INDEX GOES BRRR: 154 X: 9.66602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.26562 -INDEX GOES BRRR: 949 X: -4.66016 -INDEX GOES BRRR: 144 X: 9.02539 -INDEX GOES BRRR: 1020 X: -0.197266 -INDEX GOES BRRR: 245 X: 15.3369 -INDEX GOES BRRR: 104 X: 6.5166 -INDEX GOES BRRR: 466 X: 29.1758 -INDEX GOES BRRR: 171 X: 10.7129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.8066 -INDEX GOES BRRR: 209 X: 13.0645 -INDEX GOES BRRR: 108 X: 6.76855 -INDEX GOES BRRR: 77 X: 4.81641 -INDEX GOES BRRR: 272 X: 17.0547 -INDEX GOES BRRR: 194 X: 12.1357 -INDEX GOES BRRR: 275 X: 17.1934 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 929 X: -5.91309 -INDEX GOES BRRR: 336 X: 21.0107 -INDEX GOES BRRR: 54 X: 3.43652 -INDEX GOES BRRR: 231 X: 14.4873 -INDEX GOES BRRR: 279 X: 17.4453 -INDEX GOES BRRR: 228 X: 14.2705 -INDEX GOES BRRR: 405 X: 25.3633 -INDEX GOES BRRR: 1000 X: -1.46289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.99121 -INDEX GOES BRRR: 396 X: 24.7988 -INDEX GOES BRRR: 348 X: 21.8027 -INDEX GOES BRRR: 40 X: 2.53613 -INDEX GOES BRRR: 226 X: 14.1367 -INDEX GOES BRRR: 198 X: 12.3848 -INDEX GOES BRRR: 1003 X: -1.25391 -INDEX GOES BRRR: 76 X: 4.75391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.5645 -INDEX GOES BRRR: 353 X: 22.1045 -INDEX GOES BRRR: 103 X: 6.47656 -INDEX GOES BRRR: 278 X: 17.4023 -INDEX GOES BRRR: 241 X: 15.0771 -INDEX GOES BRRR: 399 X: 24.9512 -INDEX GOES BRRR: 330 X: 20.6719 -INDEX GOES BRRR: 1020 X: -0.21582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.8896 -INDEX GOES BRRR: 28 X: 1.7832 -INDEX GOES BRRR: 355 X: 22.1992 -INDEX GOES BRRR: 343 X: 21.4414 -INDEX GOES BRRR: 201 X: 12.5986 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 5 X: 0.314453 -INDEX GOES BRRR: 77 X: 4.83105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 83 X: 5.19336 -INDEX GOES BRRR: 924 X: -6.20508 -INDEX GOES BRRR: 125 X: 7.86133 -INDEX GOES BRRR: 80 X: 5.03223 -INDEX GOES BRRR: 220 X: 13.7793 -INDEX GOES BRRR: 53 X: 3.32617 -INDEX GOES BRRR: 42 X: 2.66602 -INDEX GOES BRRR: 81 X: 5.06934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.085 -INDEX GOES BRRR: 909 X: -7.17383 -INDEX GOES BRRR: 55 X: 3.49121 -INDEX GOES BRRR: 12 X: 0.804688 -INDEX GOES BRRR: 953 X: -4.39062 -INDEX GOES BRRR: 308 X: 19.291 -INDEX GOES BRRR: 50 X: 3.18262 -INDEX GOES BRRR: 360 X: 22.5107 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.31543 -INDEX GOES BRRR: 1008 X: -0.941406 -INDEX GOES BRRR: 362 X: 22.6484 -INDEX GOES BRRR: 310 X: 19.4287 -INDEX GOES BRRR: 92 X: 5.7832 -INDEX GOES BRRR: 979 X: -2.80273 -INDEX GOES BRRR: 485 X: 30.3379 -INDEX GOES BRRR: 835 X: -11.8105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 34 X: 2.16406 -INDEX GOES BRRR: 110 X: 6.89453 -INDEX GOES BRRR: 285 X: 17.8174 -INDEX GOES BRRR: 230 X: 14.3994 -INDEX GOES BRRR: 156 X: 9.80176 -INDEX GOES BRRR: 199 X: 12.4473 -INDEX GOES BRRR: 110 X: 6.91211 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 844 X: -11.2412 -INDEX GOES BRRR: 1003 X: -1.25488 -INDEX GOES BRRR: 467 X: 29.2012 -INDEX GOES BRRR: 899 X: -7.7666 -INDEX GOES BRRR: 232 X: 14.5098 -INDEX GOES BRRR: 138 X: 8.63379 -INDEX GOES BRRR: 93 X: 5.83008 -INDEX GOES BRRR: 4 X: 0.304688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.16211 -INDEX GOES BRRR: 204 X: 12.7812 -INDEX GOES BRRR: 71 X: 4.47266 -INDEX GOES BRRR: 84 X: 5.28906 -INDEX GOES BRRR: 324 X: 20.2588 -INDEX GOES BRRR: 241 X: 15.0693 -INDEX GOES BRRR: 486 X: 30.4287 -INDEX GOES BRRR: 363 X: 22.7295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5176 -INDEX GOES BRRR: 168 X: 10.5615 -INDEX GOES BRRR: 48 X: 3.01074 -INDEX GOES BRRR: 22 X: 1.40527 -INDEX GOES BRRR: 200 X: 12.5049 -INDEX GOES BRRR: 105 X: 6.60742 -INDEX GOES BRRR: 337 X: 21.0898 -INDEX GOES BRRR: 70 X: 4.3877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.293 -INDEX GOES BRRR: 425 X: 26.5967 -INDEX GOES BRRR: 233 X: 14.5889 -INDEX GOES BRRR: 199 X: 12.4717 -INDEX GOES BRRR: 139 X: 8.71289 -INDEX GOES BRRR: 425 X: 26.5967 -INDEX GOES BRRR: 161 X: 10.0947 -INDEX GOES BRRR: 873 X: -9.43066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 318 X: 19.8994 -INDEX GOES BRRR: 990 X: -2.09961 -INDEX GOES BRRR: 154 X: 9.66699 -INDEX GOES BRRR: 150 X: 9.41113 -INDEX GOES BRRR: 828 X: -12.2285 -INDEX GOES BRRR: 75 X: 4.73438 -INDEX GOES BRRR: 158 X: 9.91895 -INDEX GOES BRRR: 341 X: 21.335 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0635 -INDEX GOES BRRR: 976 X: -2.99121 -INDEX GOES BRRR: 1016 X: -0.467773 -INDEX GOES BRRR: 102 X: 6.40918 -INDEX GOES BRRR: 115 X: 7.2168 -INDEX GOES BRRR: 419 X: 26.2178 -INDEX GOES BRRR: 382 X: 23.9277 -INDEX GOES BRRR: 428 X: 26.8027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.67969 -INDEX GOES BRRR: 94 X: 5.91992 -INDEX GOES BRRR: 85 X: 5.37305 -INDEX GOES BRRR: 310 X: 19.3857 -INDEX GOES BRRR: 172 X: 10.7686 -INDEX GOES BRRR: 7 X: 0.438477 -INDEX GOES BRRR: 235 X: 14.7363 -INDEX GOES BRRR: 216 X: 13.5088 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 270 X: 16.918 -INDEX GOES BRRR: 177 X: 11.0908 -INDEX GOES BRRR: 118 X: 7.39551 -INDEX GOES BRRR: 11 X: 0.744141 -INDEX GOES BRRR: 443 X: 27.6992 -INDEX GOES BRRR: 321 X: 20.0742 -INDEX GOES BRRR: 416 X: 26.0195 -INDEX GOES BRRR: 412 X: 25.7549 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4707 -INDEX GOES BRRR: 72 X: 4.55078 -INDEX GOES BRRR: 1014 X: -0.587891 -INDEX GOES BRRR: 265 X: 16.5957 -INDEX GOES BRRR: 266 X: 16.6514 -INDEX GOES BRRR: 215 X: 13.498 -INDEX GOES BRRR: 16 X: 1.02637 -INDEX GOES BRRR: 155 X: 9.7002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 279 X: 17.4385 -INDEX GOES BRRR: 223 X: 13.9414 -INDEX GOES BRRR: 201 X: 12.6064 -INDEX GOES BRRR: 953 X: -4.38281 -INDEX GOES BRRR: 929 X: -5.90234 -INDEX GOES BRRR: 269 X: 16.835 -INDEX GOES BRRR: 91 X: 5.74707 -INDEX GOES BRRR: 63 X: 3.95898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.11426 -INDEX GOES BRRR: 278 X: 17.4033 -INDEX GOES BRRR: 91 X: 5.73633 -INDEX GOES BRRR: 95 X: 5.94238 -INDEX GOES BRRR: 809 X: -13.4209 -INDEX GOES BRRR: 208 X: 13.0088 -INDEX GOES BRRR: 107 X: 6.74023 -INDEX GOES BRRR: 393 X: 24.5742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1582 -INDEX GOES BRRR: 271 X: 16.9482 -INDEX GOES BRRR: 205 X: 12.8564 -INDEX GOES BRRR: 947 X: -4.80078 -INDEX GOES BRRR: 249 X: 15.6084 -INDEX GOES BRRR: 116 X: 7.25293 -INDEX GOES BRRR: 245 X: 15.334 -INDEX GOES BRRR: 351 X: 21.9521 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3154 -INDEX GOES BRRR: 283 X: 17.7188 -INDEX GOES BRRR: 66 X: 4.17188 -INDEX GOES BRRR: 271 X: 16.9834 -INDEX GOES BRRR: 66 X: 4.12891 -INDEX GOES BRRR: 84 X: 5.30176 -INDEX GOES BRRR: 227 X: 14.2266 -INDEX GOES BRRR: 176 X: 11.0439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0732 -INDEX GOES BRRR: 145 X: 9.12207 -INDEX GOES BRRR: 111 X: 6.9541 -INDEX GOES BRRR: 844 X: -11.1992 -INDEX GOES BRRR: 54 X: 3.43652 -INDEX GOES BRRR: 248 X: 15.5078 -INDEX GOES BRRR: 78 X: 4.91797 -INDEX GOES BRRR: 44 X: 2.81152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4072 -INDEX GOES BRRR: 59 X: 3.70508 -INDEX GOES BRRR: 362 X: 22.6377 -INDEX GOES BRRR: 125 X: 7.86914 -INDEX GOES BRRR: 67 X: 4.21289 -INDEX GOES BRRR: 1012 X: -0.700195 -INDEX GOES BRRR: 82 X: 5.15625 -INDEX GOES BRRR: 76 X: 4.80273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.36816 -INDEX GOES BRRR: 306 X: 19.1387 -INDEX GOES BRRR: 274 X: 17.1855 -INDEX GOES BRRR: 929 X: -5.89941 -INDEX GOES BRRR: 345 X: 21.5752 -INDEX GOES BRRR: 194 X: 12.167 -INDEX GOES BRRR: 961 X: -3.92285 -INDEX GOES BRRR: 995 X: -1.76465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.16699 -INDEX GOES BRRR: 328 X: 20.5244 -INDEX GOES BRRR: 911 X: -7.02246 -INDEX GOES BRRR: 936 X: -5.44922 -INDEX GOES BRRR: 290 X: 18.1699 -INDEX GOES BRRR: 112 X: 7.04199 -INDEX GOES BRRR: 996 X: -1.72168 -INDEX GOES BRRR: 158 X: 9.92383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1504 -INDEX GOES BRRR: 43 X: 2.69629 -INDEX GOES BRRR: 19 X: 1.18945 -INDEX GOES BRRR: 316 X: 19.7529 -INDEX GOES BRRR: 176 X: 11.0039 -INDEX GOES BRRR: 440 X: 27.5264 -INDEX GOES BRRR: 433 X: 27.0977 -INDEX GOES BRRR: 218 X: 13.6611 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 334 X: 20.8916 -INDEX GOES BRRR: 122 X: 7.65234 -INDEX GOES BRRR: 361 X: 22.5723 -INDEX GOES BRRR: 887 X: -8.55078 -INDEX GOES BRRR: 149 X: 9.32715 -INDEX GOES BRRR: 331 X: 20.708 -INDEX GOES BRRR: 169 X: 10.5791 -INDEX GOES BRRR: 113 X: 7.08203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.2334 -INDEX GOES BRRR: 84 X: 5.25293 -INDEX GOES BRRR: 169 X: 10.5938 -INDEX GOES BRRR: 97 X: 6.12012 -INDEX GOES BRRR: 990 X: -2.08105 -INDEX GOES BRRR: 292 X: 18.291 -INDEX GOES BRRR: 969 X: -3.4082 -INDEX GOES BRRR: 179 X: 11.2217 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.23926 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 185 X: 11.5732 -INDEX GOES BRRR: 954 X: -4.35645 -INDEX GOES BRRR: 292 X: 18.2949 -INDEX GOES BRRR: 345 X: 21.5703 -INDEX GOES BRRR: 278 X: 17.3838 -INDEX GOES BRRR: 322 X: 20.1797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2432 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 399 X: 24.9424 -INDEX GOES BRRR: 20 X: 1.26367 -INDEX GOES BRRR: 47 X: 2.96289 -INDEX GOES BRRR: 65 X: 4.12012 -INDEX GOES BRRR: 346 X: 21.6562 -INDEX GOES BRRR: 166 X: 10.4287 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 932 X: -5.73633 -INDEX GOES BRRR: 88 X: 5.50586 -INDEX GOES BRRR: 49 X: 3.09766 -INDEX GOES BRRR: 324 X: 20.3076 -INDEX GOES BRRR: 110 X: 6.93359 -INDEX GOES BRRR: 345 X: 21.6025 -INDEX GOES BRRR: 182 X: 11.3936 -INDEX GOES BRRR: 244 X: 15.3096 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 921 X: -6.4043 -INDEX GOES BRRR: 114 X: 7.14941 -INDEX GOES BRRR: 316 X: 19.7949 -INDEX GOES BRRR: 851 X: -10.8125 -INDEX GOES BRRR: 129 X: 8.11816 -INDEX GOES BRRR: 174 X: 10.9033 -INDEX GOES BRRR: 85 X: 5.31348 -INDEX GOES BRRR: 1014 X: -0.604492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.88672 -INDEX GOES BRRR: 247 X: 15.4951 -INDEX GOES BRRR: 309 X: 19.3564 -INDEX GOES BRRR: 923 X: -6.25781 -INDEX GOES BRRR: 371 X: 23.2383 -INDEX GOES BRRR: 210 X: 13.1807 -INDEX GOES BRRR: 998 X: -1.60449 -INDEX GOES BRRR: 982 X: -2.5918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.7578 -INDEX GOES BRRR: 113 X: 7.07617 -INDEX GOES BRRR: 422 X: 26.4248 -INDEX GOES BRRR: 181 X: 11.3262 -INDEX GOES BRRR: 44 X: 2.75391 -INDEX GOES BRRR: 317 X: 19.8516 -INDEX GOES BRRR: 113 X: 7.10449 -INDEX GOES BRRR: 145 X: 9.08301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0459 -INDEX GOES BRRR: 123 X: 7.72852 -INDEX GOES BRRR: 31 X: 1.95996 -INDEX GOES BRRR: 242 X: 15.1338 -INDEX GOES BRRR: 19 X: 1.24902 -INDEX GOES BRRR: 13 X: 0.828125 -INDEX GOES BRRR: 404 X: 25.2793 -INDEX GOES BRRR: 72 X: 4.53906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 318 X: 19.8857 -INDEX GOES BRRR: 296 X: 18.5557 -INDEX GOES BRRR: 422 X: 26.377 -INDEX GOES BRRR: 62 X: 3.91895 -INDEX GOES BRRR: 377 X: 23.6006 -INDEX GOES BRRR: 130 X: 8.15527 -INDEX GOES BRRR: 1015 X: -0.510742 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 399 X: 24.9697 -INDEX GOES BRRR: 264 X: 16.501 -INDEX GOES BRRR: 54 X: 3.41113 -INDEX GOES BRRR: 998 X: -1.56641 -INDEX GOES BRRR: 816 X: -12.9668 -INDEX GOES BRRR: 90 X: 5.66309 -INDEX GOES BRRR: 76 X: 4.80273 -INDEX GOES BRRR: 346 X: 21.6572 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.58984 -INDEX GOES BRRR: 980 X: -2.73535 -INDEX GOES BRRR: 1005 X: -1.13574 -INDEX GOES BRRR: 224 X: 14.0039 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 52 X: 3.26367 -INDEX GOES BRRR: 57 X: 3.60059 -INDEX GOES BRRR: 164 X: 10.2939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 966 X: -3.60156 -INDEX GOES BRRR: 970 X: -3.34668 -INDEX GOES BRRR: 198 X: 12.4062 -INDEX GOES BRRR: 993 X: -1.92578 -INDEX GOES BRRR: 185 X: 11.5967 -INDEX GOES BRRR: 60 X: 3.79004 -INDEX GOES BRRR: 201 X: 12.6104 -INDEX GOES BRRR: 76 X: 4.7998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6611 -INDEX GOES BRRR: 952 X: -4.48633 -INDEX GOES BRRR: 21 X: 1.32129 -INDEX GOES BRRR: 1005 X: -1.14355 -INDEX GOES BRRR: 1 X: 0.113281 -INDEX GOES BRRR: 1010 X: -0.81543 -INDEX GOES BRRR: 10 X: 0.644531 -INDEX GOES BRRR: 168 X: 10.5518 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9268 -INDEX GOES BRRR: 251 X: 15.7451 -INDEX GOES BRRR: 391 X: 24.498 -INDEX GOES BRRR: 92 X: 5.81055 -INDEX GOES BRRR: 962 X: -3.82422 -INDEX GOES BRRR: 360 X: 22.5127 -INDEX GOES BRRR: 20 X: 1.30957 -INDEX GOES BRRR: 265 X: 16.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 159 X: 9.96875 -INDEX GOES BRRR: 74 X: 4.66113 -INDEX GOES BRRR: 215 X: 13.4678 -INDEX GOES BRRR: 21 X: 1.34961 -INDEX GOES BRRR: 204 X: 12.8096 -INDEX GOES BRRR: 6 X: 0.432617 -INDEX GOES BRRR: 34 X: 2.13379 -INDEX GOES BRRR: 182 X: 11.377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.70117 -INDEX GOES BRRR: 198 X: 12.3779 -INDEX GOES BRRR: 396 X: 24.752 -INDEX GOES BRRR: 213 X: 13.3467 -INDEX GOES BRRR: 1009 X: -0.881836 -INDEX GOES BRRR: 260 X: 16.2578 -INDEX GOES BRRR: 97 X: 6.0625 -INDEX GOES BRRR: 61 X: 3.86328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 493 X: 30.8301 -INDEX GOES BRRR: 229 X: 14.3643 -INDEX GOES BRRR: 988 X: -2.19336 -INDEX GOES BRRR: 853 X: -10.6494 -INDEX GOES BRRR: 1014 X: -0.56543 -INDEX GOES BRRR: 170 X: 10.6621 -INDEX GOES BRRR: 296 X: 18.5215 -INDEX GOES BRRR: 88 X: 5.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.3887 -INDEX GOES BRRR: 775 X: -15.542 -INDEX GOES BRRR: 98 X: 6.13672 -INDEX GOES BRRR: 1004 X: -1.20605 -INDEX GOES BRRR: 162 X: 10.1865 -INDEX GOES BRRR: 383 X: 23.9424 -INDEX GOES BRRR: 470 X: 29.4307 -INDEX GOES BRRR: 25 X: 1.59766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1338 -INDEX GOES BRRR: 336 X: 21.0498 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 146 X: 9.14746 -INDEX GOES BRRR: 897 X: -7.93652 -INDEX GOES BRRR: 950 X: -4.60156 -INDEX GOES BRRR: 367 X: 22.9795 -INDEX GOES BRRR: 995 X: -1.77441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.77832 -INDEX GOES BRRR: 181 X: 11.3457 -INDEX GOES BRRR: 294 X: 18.4023 -INDEX GOES BRRR: 91 X: 5.74316 -INDEX GOES BRRR: 335 X: 20.9893 -INDEX GOES BRRR: 296 X: 18.5469 -INDEX GOES BRRR: 171 X: 10.7256 -INDEX GOES BRRR: 73 X: 4.56543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.6211 -INDEX GOES BRRR: 120 X: 7.5498 -INDEX GOES BRRR: 37 X: 2.31836 -INDEX GOES BRRR: 186 X: 11.6592 -INDEX GOES BRRR: 386 X: 24.1299 -INDEX GOES BRRR: 354 X: 22.1826 -INDEX GOES BRRR: 446 X: 27.8955 -INDEX GOES BRRR: 218 X: 13.6426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 97 X: 6.09082 -INDEX GOES BRRR: 915 X: -6.79102 -INDEX GOES BRRR: 14 X: 0.905273 -INDEX GOES BRRR: 274 X: 17.168 -INDEX GOES BRRR: 357 X: 22.3369 -INDEX GOES BRRR: 1016 X: -0.462891 -INDEX GOES BRRR: 268 X: 16.7627 -INDEX GOES BRRR: 105 X: 6.59082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0576 -INDEX GOES BRRR: 59 X: 3.71191 -INDEX GOES BRRR: 287 X: 17.9727 -INDEX GOES BRRR: 390 X: 24.3887 -INDEX GOES BRRR: 118 X: 7.375 -INDEX GOES BRRR: 130 X: 8.17383 -INDEX GOES BRRR: 468 X: 29.2959 -INDEX GOES BRRR: 50 X: 3.13867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.1221 -INDEX GOES BRRR: 107 X: 6.68848 -INDEX GOES BRRR: 211 X: 13.2158 -INDEX GOES BRRR: 166 X: 10.4316 -INDEX GOES BRRR: 188 X: 11.7744 -INDEX GOES BRRR: 410 X: 25.6572 -INDEX GOES BRRR: 126 X: 7.93164 -INDEX GOES BRRR: 213 X: 13.3242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.667 -INDEX GOES BRRR: 80 X: 5.01367 -INDEX GOES BRRR: 1018 X: -0.330078 -INDEX GOES BRRR: 120 X: 7.56055 -INDEX GOES BRRR: 135 X: 8.49316 -INDEX GOES BRRR: 145 X: 9.09277 -INDEX GOES BRRR: 23 X: 1.46582 -INDEX GOES BRRR: 318 X: 19.8828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.6943 -INDEX GOES BRRR: 397 X: 24.874 -INDEX GOES BRRR: 166 X: 10.4043 -INDEX GOES BRRR: 911 X: -7.00391 -INDEX GOES BRRR: 177 X: 11.0879 -INDEX GOES BRRR: 227 X: 14.2266 -INDEX GOES BRRR: 200 X: 12.5039 -INDEX GOES BRRR: 883 X: -8.76562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 441 X: 27.6133 -INDEX GOES BRRR: 27 X: 1.72168 -INDEX GOES BRRR: 125 X: 7.82812 -INDEX GOES BRRR: 222 X: 13.9336 -INDEX GOES BRRR: 103 X: 6.44336 -INDEX GOES BRRR: 103 X: 6.46094 -INDEX GOES BRRR: 432 X: 27.0195 -INDEX GOES BRRR: 129 X: 8.08594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.18945 -INDEX GOES BRRR: 140 X: 8.77734 -INDEX GOES BRRR: 831 X: -12.0176 -INDEX GOES BRRR: 151 X: 9.48633 -INDEX GOES BRRR: 179 X: 11.249 -INDEX GOES BRRR: 99 X: 6.20312 -INDEX GOES BRRR: 449 X: 28.0654 -INDEX GOES BRRR: 310 X: 19.3994 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9102 -INDEX GOES BRRR: 21 X: 1.35059 -INDEX GOES BRRR: 329 X: 20.5762 -INDEX GOES BRRR: 167 X: 10.4902 -INDEX GOES BRRR: 21 X: 1.37012 -INDEX GOES BRRR: 457 X: 28.585 -INDEX GOES BRRR: 88 X: 5.50879 -INDEX GOES BRRR: 286 X: 17.9238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 419 X: 26.2178 -INDEX GOES BRRR: 1006 X: -1.10449 -INDEX GOES BRRR: 234 X: 14.6816 -INDEX GOES BRRR: 933 X: -5.68359 -INDEX GOES BRRR: 191 X: 11.9375 -INDEX GOES BRRR: 315 X: 19.7188 -INDEX GOES BRRR: 216 X: 13.5449 -INDEX GOES BRRR: 144 X: 9.00488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.165 -INDEX GOES BRRR: 75 X: 4.73438 -INDEX GOES BRRR: 256 X: 16.0596 -INDEX GOES BRRR: 210 X: 13.1572 -INDEX GOES BRRR: 126 X: 7.88867 -INDEX GOES BRRR: 280 X: 17.5 -INDEX GOES BRRR: 1011 X: -0.768555 -INDEX GOES BRRR: 192 X: 12.0176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 955 X: -4.2832 -INDEX GOES BRRR: 288 X: 18.0312 -INDEX GOES BRRR: 187 X: 11.7178 -INDEX GOES BRRR: 300 X: 18.7578 -INDEX GOES BRRR: 123 X: 7.74414 -INDEX GOES BRRR: 280 X: 17.5146 -INDEX GOES BRRR: 952 X: -4.48535 -INDEX GOES BRRR: 420 X: 26.2969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.39355 -INDEX GOES BRRR: 994 X: -1.82715 -INDEX GOES BRRR: 452 X: 28.2988 -INDEX GOES BRRR: 430 X: 26.8799 -INDEX GOES BRRR: 85 X: 5.37012 -INDEX GOES BRRR: 862 X: -10.1016 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 321 X: 20.0918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.51074 -INDEX GOES BRRR: 358 X: 22.4014 -INDEX GOES BRRR: 196 X: 12.2969 -INDEX GOES BRRR: 183 X: 11.4805 -INDEX GOES BRRR: 66 X: 4.13281 -INDEX GOES BRRR: 267 X: 16.7295 -INDEX GOES BRRR: 87 X: 5.48145 -INDEX GOES BRRR: 812 X: -13.209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.83301 -INDEX GOES BRRR: 312 X: 19.5127 -INDEX GOES BRRR: 181 X: 11.3691 -INDEX GOES BRRR: 23 X: 1.4834 -INDEX GOES BRRR: 953 X: -4.40137 -INDEX GOES BRRR: 361 X: 22.5918 -INDEX GOES BRRR: 266 X: 16.6641 -INDEX GOES BRRR: 836 X: -11.6943 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.38574 -INDEX GOES BRRR: 201 X: 12.5791 -INDEX GOES BRRR: 339 X: 21.2334 -INDEX GOES BRRR: 329 X: 20.5762 -INDEX GOES BRRR: 400 X: 25.0605 -INDEX GOES BRRR: 293 X: 18.3193 -INDEX GOES BRRR: 193 X: 12.0752 -INDEX GOES BRRR: 362 X: 22.626 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 450 X: 28.1738 -INDEX GOES BRRR: 329 X: 20.5938 -INDEX GOES BRRR: 68 X: 4.25586 -INDEX GOES BRRR: 348 X: 21.7637 -INDEX GOES BRRR: 221 X: 13.8271 -INDEX GOES BRRR: 291 X: 18.1904 -INDEX GOES BRRR: 116 X: 7.2666 -INDEX GOES BRRR: 105 X: 6.5957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.88281 -INDEX GOES BRRR: 48 X: 3.03125 -INDEX GOES BRRR: 6 X: 0.40332 -INDEX GOES BRRR: 30 X: 1.92188 -INDEX GOES BRRR: 425 X: 26.584 -INDEX GOES BRRR: 447 X: 27.999 -INDEX GOES BRRR: 223 X: 13.9551 -INDEX GOES BRRR: 398 X: 24.8877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 990 X: -2.08203 -INDEX GOES BRRR: 139 X: 8.69238 -INDEX GOES BRRR: 7 X: 0.44043 -INDEX GOES BRRR: 22 X: 1.41406 -INDEX GOES BRRR: 99 X: 6.1875 -INDEX GOES BRRR: 97 X: 6.09961 -INDEX GOES BRRR: 166 X: 10.415 -INDEX GOES BRRR: 230 X: 14.3955 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 298 X: 18.6309 -INDEX GOES BRRR: 984 X: -2.47656 -INDEX GOES BRRR: 213 X: 13.3174 -INDEX GOES BRRR: 104 X: 6.51855 -INDEX GOES BRRR: 125 X: 7.81738 -INDEX GOES BRRR: 65 X: 4.0625 -INDEX GOES BRRR: 942 X: -5.06348 -INDEX GOES BRRR: 954 X: -4.32812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0732 -INDEX GOES BRRR: 783 X: -15.0391 -INDEX GOES BRRR: 267 X: 16.6914 -INDEX GOES BRRR: 107 X: 6.73926 -INDEX GOES BRRR: 177 X: 11.0898 -INDEX GOES BRRR: 62 X: 3.93555 -INDEX GOES BRRR: 239 X: 14.998 -INDEX GOES BRRR: 68 X: 4.26074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7031 -INDEX GOES BRRR: 280 X: 17.5361 -INDEX GOES BRRR: 34 X: 2.1582 -INDEX GOES BRRR: 94 X: 5.91309 -INDEX GOES BRRR: 89 X: 5.5957 -INDEX GOES BRRR: 423 X: 26.499 -INDEX GOES BRRR: 259 X: 16.2129 -INDEX GOES BRRR: 393 X: 24.5879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.2129 -INDEX GOES BRRR: 13 X: 0.864258 -INDEX GOES BRRR: 9 X: 0.609375 -INDEX GOES BRRR: 221 X: 13.8154 -INDEX GOES BRRR: 114 X: 7.12891 -INDEX GOES BRRR: 790 X: -14.5947 -INDEX GOES BRRR: 486 X: 30.3857 -INDEX GOES BRRR: 204 X: 12.7705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0791 -INDEX GOES BRRR: 482 X: 30.1621 -INDEX GOES BRRR: 90 X: 5.66992 -INDEX GOES BRRR: 994 X: -1.8623 -INDEX GOES BRRR: 924 X: -6.19043 -INDEX GOES BRRR: 184 X: 11.5283 -INDEX GOES BRRR: 72 X: 4.53809 -INDEX GOES BRRR: 191 X: 11.9561 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 440 X: 27.5098 -INDEX GOES BRRR: 186 X: 11.6865 -INDEX GOES BRRR: 190 X: 11.9092 -INDEX GOES BRRR: 208 X: 13.0557 -INDEX GOES BRRR: 54 X: 3.42188 -INDEX GOES BRRR: 339 X: 21.2363 -INDEX GOES BRRR: 375 X: 23.4551 -INDEX GOES BRRR: 136 X: 8.54199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 338 X: 21.1865 -INDEX GOES BRRR: 368 X: 23.0146 -INDEX GOES BRRR: 100 X: 6.30762 -INDEX GOES BRRR: 170 X: 10.666 -INDEX GOES BRRR: 452 X: 28.2842 -INDEX GOES BRRR: 141 X: 8.84473 -INDEX GOES BRRR: 931 X: -5.81152 -INDEX GOES BRRR: 362 X: 22.6465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.2471 -INDEX GOES BRRR: 1011 X: -0.807617 -INDEX GOES BRRR: 328 X: 20.5518 -INDEX GOES BRRR: 250 X: 15.6416 -INDEX GOES BRRR: 199 X: 12.4971 -INDEX GOES BRRR: 373 X: 23.3691 -INDEX GOES BRRR: 14 X: 0.899414 -INDEX GOES BRRR: 160 X: 10.0508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.69141 -INDEX GOES BRRR: 279 X: 17.4951 -INDEX GOES BRRR: 125 X: 7.82422 -INDEX GOES BRRR: 33 X: 2.10938 -INDEX GOES BRRR: 19 X: 1.24609 -INDEX GOES BRRR: 365 X: 22.8652 -INDEX GOES BRRR: 29 X: 1.84473 -INDEX GOES BRRR: 236 X: 14.7812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 412 X: 25.8057 -INDEX GOES BRRR: 14 X: 0.905273 -INDEX GOES BRRR: 109 X: 6.82617 -INDEX GOES BRRR: 436 X: 27.2773 -INDEX GOES BRRR: 195 X: 12.1973 -INDEX GOES BRRR: 137 X: 8.5625 -INDEX GOES BRRR: 139 X: 8.68848 -INDEX GOES BRRR: 8 X: 0.508789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.79395 -INDEX GOES BRRR: 965 X: -3.68555 -INDEX GOES BRRR: 204 X: 12.793 -INDEX GOES BRRR: 174 X: 10.917 -INDEX GOES BRRR: 247 X: 15.4922 -INDEX GOES BRRR: 207 X: 12.957 -INDEX GOES BRRR: 166 X: 10.4346 -INDEX GOES BRRR: 955 X: -4.27148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0234 -INDEX GOES BRRR: 150 X: 9.375 -INDEX GOES BRRR: 69 X: 4.33496 -INDEX GOES BRRR: 182 X: 11.3867 -INDEX GOES BRRR: 162 X: 10.1807 -INDEX GOES BRRR: 212 X: 13.2529 -INDEX GOES BRRR: 271 X: 16.9697 -INDEX GOES BRRR: 794 X: -14.3506 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.55664 -INDEX GOES BRRR: 30 X: 1.91797 -INDEX GOES BRRR: 28 X: 1.77637 -INDEX GOES BRRR: 1014 X: -0.609375 -INDEX GOES BRRR: 345 X: 21.6016 -INDEX GOES BRRR: 90 X: 5.64551 -INDEX GOES BRRR: 100 X: 6.2998 -INDEX GOES BRRR: 125 X: 7.86914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.72461 -INDEX GOES BRRR: 151 X: 9.45898 -INDEX GOES BRRR: 231 X: 14.4873 -INDEX GOES BRRR: 19 X: 1.24414 -INDEX GOES BRRR: 237 X: 14.8643 -INDEX GOES BRRR: 259 X: 16.2197 -INDEX GOES BRRR: 945 X: -4.92969 -INDEX GOES BRRR: 135 X: 8.47754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3545 -INDEX GOES BRRR: 958 X: -4.07812 -INDEX GOES BRRR: 91 X: 5.74902 -INDEX GOES BRRR: 28 X: 1.80273 -INDEX GOES BRRR: 204 X: 12.7764 -INDEX GOES BRRR: 183 X: 11.4766 -INDEX GOES BRRR: 246 X: 15.3926 -INDEX GOES BRRR: 948 X: -4.71484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5342 -INDEX GOES BRRR: 124 X: 7.76074 -INDEX GOES BRRR: 82 X: 5.16406 -INDEX GOES BRRR: 173 X: 10.8672 -INDEX GOES BRRR: 91 X: 5.69043 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 18 X: 1.13379 -INDEX GOES BRRR: 75 X: 4.73047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1387 -INDEX GOES BRRR: 191 X: 11.9502 -INDEX GOES BRRR: 32 X: 2.06055 -INDEX GOES BRRR: 190 X: 11.9102 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 238 X: 14.876 -INDEX GOES BRRR: 258 X: 16.1787 -INDEX GOES BRRR: 443 X: 27.7119 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.76465 -INDEX GOES BRRR: 321 X: 20.1016 -INDEX GOES BRRR: 95 X: 5.96875 -INDEX GOES BRRR: 197 X: 12.3564 -INDEX GOES BRRR: 14 X: 0.921875 -INDEX GOES BRRR: 245 X: 15.335 -INDEX GOES BRRR: 73 X: 4.57422 -INDEX GOES BRRR: 173 X: 10.8438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 485 X: 30.3467 -INDEX GOES BRRR: 961 X: -3.91504 -INDEX GOES BRRR: 69 X: 4.3291 -INDEX GOES BRRR: 157 X: 9.85254 -INDEX GOES BRRR: 99 X: 6.24023 -INDEX GOES BRRR: 192 X: 12.0254 -INDEX GOES BRRR: 65 X: 4.09473 -INDEX GOES BRRR: 301 X: 18.8193 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.60938 -INDEX GOES BRRR: 124 X: 7.79785 -INDEX GOES BRRR: 92 X: 5.76562 -INDEX GOES BRRR: 195 X: 12.2061 -INDEX GOES BRRR: 992 X: -1.98242 -INDEX GOES BRRR: 29 X: 1.85547 -INDEX GOES BRRR: 926 X: -6.08496 -INDEX GOES BRRR: 1019 X: -0.295898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3379 -INDEX GOES BRRR: 112 X: 7.00488 -INDEX GOES BRRR: 41 X: 2.56445 -INDEX GOES BRRR: 947 X: -4.75391 -INDEX GOES BRRR: 378 X: 23.6279 -INDEX GOES BRRR: 314 X: 19.6318 -INDEX GOES BRRR: 1006 X: -1.12305 -INDEX GOES BRRR: 30 X: 1.91211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4551 -INDEX GOES BRRR: 241 X: 15.1211 -INDEX GOES BRRR: 174 X: 10.9258 -INDEX GOES BRRR: 176 X: 11.0234 -INDEX GOES BRRR: 235 X: 14.7422 -INDEX GOES BRRR: 180 X: 11.3096 -INDEX GOES BRRR: 84 X: 5.26562 -INDEX GOES BRRR: 32 X: 2.05371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 383 X: 23.9521 -INDEX GOES BRRR: 445 X: 27.8242 -INDEX GOES BRRR: 209 X: 13.1191 -INDEX GOES BRRR: 975 X: -3.05078 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 143 X: 8.94141 -INDEX GOES BRRR: 173 X: 10.8379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.46875 -INDEX GOES BRRR: 168 X: 10.5557 -INDEX GOES BRRR: 190 X: 11.9141 -INDEX GOES BRRR: 182 X: 11.4053 -INDEX GOES BRRR: 192 X: 12.0176 -INDEX GOES BRRR: 171 X: 10.6992 -INDEX GOES BRRR: 5 X: 0.369141 -INDEX GOES BRRR: 192 X: 12.0605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 483 X: 30.1914 -INDEX GOES BRRR: 315 X: 19.7148 -INDEX GOES BRRR: 733 X: -18.1729 -INDEX GOES BRRR: 45 X: 2.85938 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 151 X: 9.48438 -INDEX GOES BRRR: 32 X: 2.00781 -INDEX GOES BRRR: 232 X: 14.5127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.18652 -INDEX GOES BRRR: 81 X: 5.09473 -INDEX GOES BRRR: 147 X: 9.20996 -INDEX GOES BRRR: 10 X: 0.649414 -INDEX GOES BRRR: 81 X: 5.08984 -INDEX GOES BRRR: 1023 X: -0.0527344 -INDEX GOES BRRR: 120 X: 7.52148 -INDEX GOES BRRR: 229 X: 14.3457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0537 -INDEX GOES BRRR: 797 X: -14.1816 -INDEX GOES BRRR: 202 X: 12.6768 -INDEX GOES BRRR: 93 X: 5.83691 -INDEX GOES BRRR: 174 X: 10.8955 -INDEX GOES BRRR: 281 X: 17.5664 -INDEX GOES BRRR: 135 X: 8.49805 -INDEX GOES BRRR: 156 X: 9.76074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3516 -INDEX GOES BRRR: 183 X: 11.458 -INDEX GOES BRRR: 139 X: 8.72559 -INDEX GOES BRRR: 976 X: -2.96484 -INDEX GOES BRRR: 1015 X: -0.527344 -INDEX GOES BRRR: 147 X: 9.20117 -INDEX GOES BRRR: 8 X: 0.555664 -INDEX GOES BRRR: 177 X: 11.0869 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.3877 -INDEX GOES BRRR: 335 X: 20.9697 -INDEX GOES BRRR: 163 X: 10.2227 -INDEX GOES BRRR: 1006 X: -1.10352 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 103 X: 6.49609 -INDEX GOES BRRR: 225 X: 14.1182 -INDEX GOES BRRR: 65 X: 4.08594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 984 X: -2.44434 -INDEX GOES BRRR: 9 X: 0.607422 -INDEX GOES BRRR: 52 X: 3.2666 -INDEX GOES BRRR: 989 X: -2.14453 -INDEX GOES BRRR: 1013 X: -0.642578 -INDEX GOES BRRR: 5 X: 0.339844 -INDEX GOES BRRR: 339 X: 21.249 -INDEX GOES BRRR: 114 X: 7.13379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1020 X: -0.246094 -INDEX GOES BRRR: 908 X: -7.22168 -INDEX GOES BRRR: 975 X: -3.04199 -INDEX GOES BRRR: 335 X: 20.9717 -INDEX GOES BRRR: 34 X: 2.16113 -INDEX GOES BRRR: 279 X: 17.4697 -INDEX GOES BRRR: 308 X: 19.3047 -INDEX GOES BRRR: 173 X: 10.8691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 446 X: 27.9004 -INDEX GOES BRRR: 220 X: 13.7988 -INDEX GOES BRRR: 28 X: 1.75781 -INDEX GOES BRRR: 133 X: 8.32617 -INDEX GOES BRRR: 1020 X: -0.203125 -INDEX GOES BRRR: 59 X: 3.74902 -INDEX GOES BRRR: 206 X: 12.9141 -INDEX GOES BRRR: 948 X: -4.7168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4307 -INDEX GOES BRRR: 292 X: 18.2832 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 99 X: 6.19336 -INDEX GOES BRRR: 390 X: 24.4365 -INDEX GOES BRRR: 212 X: 13.2979 -INDEX GOES BRRR: 35 X: 2.20703 -INDEX GOES BRRR: 207 X: 12.9873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.195312 -INDEX GOES BRRR: 148 X: 9.31152 -INDEX GOES BRRR: 37 X: 2.35449 -INDEX GOES BRRR: 461 X: 28.8125 -INDEX GOES BRRR: 348 X: 21.7539 -INDEX GOES BRRR: 136 X: 8.51855 -INDEX GOES BRRR: 185 X: 11.6191 -INDEX GOES BRRR: 217 X: 13.6221 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.80078 -INDEX GOES BRRR: 1002 X: -1.34277 -INDEX GOES BRRR: 994 X: -1.84473 -INDEX GOES BRRR: 108 X: 6.80371 -INDEX GOES BRRR: 117 X: 7.35156 -INDEX GOES BRRR: 129 X: 8.08203 -INDEX GOES BRRR: 861 X: -10.1514 -INDEX GOES BRRR: 76 X: 4.80664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.77832 -INDEX GOES BRRR: 168 X: 10.5166 -INDEX GOES BRRR: 1005 X: -1.18164 -INDEX GOES BRRR: 926 X: -6.08887 -INDEX GOES BRRR: 428 X: 26.7764 -INDEX GOES BRRR: 426 X: 26.6758 -INDEX GOES BRRR: 55 X: 3.47266 -INDEX GOES BRRR: 95 X: 5.94629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 13 X: 0.814453 -INDEX GOES BRRR: 990 X: -2.10156 -INDEX GOES BRRR: 191 X: 11.9561 -INDEX GOES BRRR: 173 X: 10.8584 -INDEX GOES BRRR: 88 X: 5.51562 -INDEX GOES BRRR: 280 X: 17.5537 -INDEX GOES BRRR: 915 X: -6.78613 -INDEX GOES BRRR: 249 X: 15.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.5928 -INDEX GOES BRRR: 986 X: -2.35059 -INDEX GOES BRRR: 164 X: 10.2998 -INDEX GOES BRRR: 361 X: 22.5703 -INDEX GOES BRRR: 199 X: 12.4463 -INDEX GOES BRRR: 367 X: 22.9814 -INDEX GOES BRRR: 259 X: 16.2441 -INDEX GOES BRRR: 129 X: 8.10742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 764 X: -16.2207 -INDEX GOES BRRR: 261 X: 16.3652 -INDEX GOES BRRR: 89 X: 5.6084 -INDEX GOES BRRR: 905 X: -7.39941 -INDEX GOES BRRR: 44 X: 2.78027 -INDEX GOES BRRR: 324 X: 20.293 -INDEX GOES BRRR: 116 X: 7.25391 -INDEX GOES BRRR: 338 X: 21.1504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 324 X: 20.293 -INDEX GOES BRRR: 984 X: -2.44922 -INDEX GOES BRRR: 49 X: 3.07129 -INDEX GOES BRRR: 179 X: 11.2354 -INDEX GOES BRRR: 162 X: 10.1289 -INDEX GOES BRRR: 46 X: 2.88965 -INDEX GOES BRRR: 54 X: 3.43359 -INDEX GOES BRRR: 349 X: 21.8516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.3623 -INDEX GOES BRRR: 868 X: -9.74512 -INDEX GOES BRRR: 276 X: 17.2891 -INDEX GOES BRRR: 22 X: 1.43359 -INDEX GOES BRRR: 469 X: 29.3125 -INDEX GOES BRRR: 203 X: 12.7129 -INDEX GOES BRRR: 195 X: 12.2139 -INDEX GOES BRRR: 824 X: -12.4941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.35059 -INDEX GOES BRRR: 171 X: 10.748 -INDEX GOES BRRR: 228 X: 14.3086 -INDEX GOES BRRR: 381 X: 23.8701 -INDEX GOES BRRR: 168 X: 10.541 -INDEX GOES BRRR: 187 X: 11.7373 -INDEX GOES BRRR: 95 X: 5.96973 -INDEX GOES BRRR: 767 X: -16.0391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.2695 -INDEX GOES BRRR: 349 X: 21.8672 -INDEX GOES BRRR: 165 X: 10.3477 -INDEX GOES BRRR: 113 X: 7.09082 -INDEX GOES BRRR: 372 X: 23.3018 -INDEX GOES BRRR: 135 X: 8.48145 -INDEX GOES BRRR: 165 X: 10.334 -INDEX GOES BRRR: 380 X: 23.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.458 -INDEX GOES BRRR: 275 X: 17.2021 -INDEX GOES BRRR: 226 X: 14.1611 -INDEX GOES BRRR: 961 X: -3.88477 -INDEX GOES BRRR: 140 X: 8.75586 -INDEX GOES BRRR: 64 X: 4.05078 -INDEX GOES BRRR: 330 X: 20.6582 -INDEX GOES BRRR: 122 X: 7.62988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.082 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 60 X: 3.77246 -INDEX GOES BRRR: 337 X: 21.1211 -INDEX GOES BRRR: 88 X: 5.54883 -INDEX GOES BRRR: 321 X: 20.0703 -INDEX GOES BRRR: 316 X: 19.7949 -INDEX GOES BRRR: 252 X: 15.7705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1602 -INDEX GOES BRRR: 972 X: -3.2002 -INDEX GOES BRRR: 8 X: 0.530273 -INDEX GOES BRRR: 96 X: 6.02148 -INDEX GOES BRRR: 155 X: 9.70898 -INDEX GOES BRRR: 199 X: 12.4688 -INDEX GOES BRRR: 248 X: 15.5469 -INDEX GOES BRRR: 174 X: 10.8916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.72363 -INDEX GOES BRRR: 902 X: -7.61914 -INDEX GOES BRRR: 229 X: 14.3428 -INDEX GOES BRRR: 164 X: 10.3105 -INDEX GOES BRRR: 329 X: 20.5869 -INDEX GOES BRRR: 319 X: 19.9541 -INDEX GOES BRRR: 56 X: 3.55078 -INDEX GOES BRRR: 140 X: 8.78418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7598 -INDEX GOES BRRR: 157 X: 9.84668 -INDEX GOES BRRR: 315 X: 19.7178 -INDEX GOES BRRR: 184 X: 11.5137 -INDEX GOES BRRR: 40 X: 2.52344 -INDEX GOES BRRR: 106 X: 6.66016 -INDEX GOES BRRR: 162 X: 10.1777 -INDEX GOES BRRR: 761 X: -16.4219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9189 -INDEX GOES BRRR: 280 X: 17.5264 -INDEX GOES BRRR: 185 X: 11.6064 -INDEX GOES BRRR: 119 X: 7.44434 -INDEX GOES BRRR: 481 X: 30.1201 -INDEX GOES BRRR: 770 X: -15.8281 -INDEX GOES BRRR: 187 X: 11.7471 -INDEX GOES BRRR: 305 X: 19.0723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1013 X: -0.666992 -INDEX GOES BRRR: 158 X: 9.90137 -INDEX GOES BRRR: 147 X: 9.20801 -INDEX GOES BRRR: 190 X: 11.8877 -INDEX GOES BRRR: 1007 X: -1.03027 -INDEX GOES BRRR: 83 X: 5.2334 -INDEX GOES BRRR: 167 X: 10.4717 -INDEX GOES BRRR: 266 X: 16.6729 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.3418 -INDEX GOES BRRR: 284 X: 17.7842 -INDEX GOES BRRR: 208 X: 13.0059 -INDEX GOES BRRR: 184 X: 11.5234 -INDEX GOES BRRR: 926 X: -6.10156 -INDEX GOES BRRR: 969 X: -3.42871 -INDEX GOES BRRR: 210 X: 13.125 -INDEX GOES BRRR: 279 X: 17.4531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 957 X: -4.17285 -INDEX GOES BRRR: 299 X: 18.7383 -INDEX GOES BRRR: 33 X: 2.10645 -INDEX GOES BRRR: 924 X: -6.24121 -INDEX GOES BRRR: 267 X: 16.7451 -INDEX GOES BRRR: 416 X: 26.0146 -INDEX GOES BRRR: 45 X: 2.85156 -INDEX GOES BRRR: 117 X: 7.37402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.3457 -INDEX GOES BRRR: 865 X: -9.87988 -INDEX GOES BRRR: 32 X: 2.00684 -INDEX GOES BRRR: 183 X: 11.4707 -INDEX GOES BRRR: 969 X: -3.38379 -INDEX GOES BRRR: 322 X: 20.1338 -INDEX GOES BRRR: 37 X: 2.33887 -INDEX GOES BRRR: 261 X: 16.3447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.96777 -INDEX GOES BRRR: 136 X: 8.5127 -INDEX GOES BRRR: 32 X: 2.02148 -INDEX GOES BRRR: 493 X: 30.8516 -INDEX GOES BRRR: 349 X: 21.8203 -INDEX GOES BRRR: 22 X: 1.375 -INDEX GOES BRRR: 216 X: 13.5498 -INDEX GOES BRRR: 262 X: 16.4043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 338 X: 21.166 -INDEX GOES BRRR: 188 X: 11.752 -INDEX GOES BRRR: 916 X: -6.69043 -INDEX GOES BRRR: 35 X: 2.21387 -INDEX GOES BRRR: 134 X: 8.42383 -INDEX GOES BRRR: 351 X: 21.9619 -INDEX GOES BRRR: 169 X: 10.5723 -INDEX GOES BRRR: 431 X: 26.9824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.3887 -INDEX GOES BRRR: 229 X: 14.3359 -INDEX GOES BRRR: 126 X: 7.9082 -INDEX GOES BRRR: 310 X: 19.376 -INDEX GOES BRRR: 272 X: 17.002 -INDEX GOES BRRR: 140 X: 8.80176 -INDEX GOES BRRR: 397 X: 24.8486 -INDEX GOES BRRR: 108 X: 6.75488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7754 -INDEX GOES BRRR: 313 X: 19.6016 -INDEX GOES BRRR: 318 X: 19.8945 -INDEX GOES BRRR: 223 X: 13.9912 -INDEX GOES BRRR: 141 X: 8.86621 -INDEX GOES BRRR: 995 X: -1.75684 -INDEX GOES BRRR: 194 X: 12.1816 -INDEX GOES BRRR: 486 X: 30.4219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 128 X: 8.01172 -INDEX GOES BRRR: 225 X: 14.1025 -INDEX GOES BRRR: 1006 X: -1.12402 -INDEX GOES BRRR: 916 X: -6.70215 -INDEX GOES BRRR: 108 X: 6.7959 -INDEX GOES BRRR: 414 X: 25.9229 -INDEX GOES BRRR: 286 X: 17.8848 -INDEX GOES BRRR: 952 X: -4.44531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.23145 -INDEX GOES BRRR: 36 X: 2.31152 -INDEX GOES BRRR: 1007 X: -1.0127 -INDEX GOES BRRR: 454 X: 28.3965 -INDEX GOES BRRR: 389 X: 24.3477 -INDEX GOES BRRR: 328 X: 20.5068 -INDEX GOES BRRR: 481 X: 30.0723 -INDEX GOES BRRR: 954 X: -4.33887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.5234 -INDEX GOES BRRR: 136 X: 8.5498 -INDEX GOES BRRR: 82 X: 5.17773 -INDEX GOES BRRR: 18 X: 1.16699 -INDEX GOES BRRR: 28 X: 1.78613 -INDEX GOES BRRR: 233 X: 14.6152 -INDEX GOES BRRR: 38 X: 2.41699 -INDEX GOES BRRR: 993 X: -1.92871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.119141 -INDEX GOES BRRR: 46 X: 2.90527 -INDEX GOES BRRR: 261 X: 16.3359 -INDEX GOES BRRR: 161 X: 10.1162 -INDEX GOES BRRR: 113 X: 7.10742 -INDEX GOES BRRR: 329 X: 20.6133 -INDEX GOES BRRR: 191 X: 11.9756 -INDEX GOES BRRR: 345 X: 21.5859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 349 X: 21.8555 -INDEX GOES BRRR: 199 X: 12.4854 -INDEX GOES BRRR: 184 X: 11.5127 -INDEX GOES BRRR: 141 X: 8.87305 -INDEX GOES BRRR: 283 X: 17.7236 -INDEX GOES BRRR: 212 X: 13.2549 -INDEX GOES BRRR: 297 X: 18.6025 -INDEX GOES BRRR: 870 X: -9.6123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.50684 -INDEX GOES BRRR: 115 X: 7.21094 -INDEX GOES BRRR: 168 X: 10.5352 -INDEX GOES BRRR: 901 X: -7.62793 -INDEX GOES BRRR: 123 X: 7.71973 -INDEX GOES BRRR: 122 X: 7.68652 -INDEX GOES BRRR: 180 X: 11.2812 -INDEX GOES BRRR: 101 X: 6.31836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.40137 -INDEX GOES BRRR: 997 X: -1.64355 -INDEX GOES BRRR: 331 X: 20.707 -INDEX GOES BRRR: 388 X: 24.2871 -INDEX GOES BRRR: 864 X: -9.94629 -INDEX GOES BRRR: 63 X: 3.97949 -INDEX GOES BRRR: 31 X: 1.95898 -INDEX GOES BRRR: 383 X: 23.9854 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 431 X: 26.9619 -INDEX GOES BRRR: 495 X: 30.999 -INDEX GOES BRRR: 847 X: -11.0127 -INDEX GOES BRRR: 36 X: 2.30664 -INDEX GOES BRRR: 126 X: 7.93652 -INDEX GOES BRRR: 326 X: 20.4043 -INDEX GOES BRRR: 268 X: 16.7578 -INDEX GOES BRRR: 929 X: -5.88086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 376 X: 23.5547 -INDEX GOES BRRR: 203 X: 12.7295 -INDEX GOES BRRR: 215 X: 13.4775 -INDEX GOES BRRR: 345 X: 21.5625 -INDEX GOES BRRR: 65 X: 4.07715 -INDEX GOES BRRR: 208 X: 13.0254 -INDEX GOES BRRR: 246 X: 15.3877 -INDEX GOES BRRR: 400 X: 25.04 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.34668 -INDEX GOES BRRR: 204 X: 12.7549 -INDEX GOES BRRR: 201 X: 12.5938 -INDEX GOES BRRR: 28 X: 1.79004 -INDEX GOES BRRR: 19 X: 1.23145 -INDEX GOES BRRR: 234 X: 14.6836 -INDEX GOES BRRR: 258 X: 16.1797 -INDEX GOES BRRR: 19 X: 1.1875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.94043 -INDEX GOES BRRR: 1019 X: -0.295898 -INDEX GOES BRRR: 76 X: 4.7832 -INDEX GOES BRRR: 200 X: 12.5029 -INDEX GOES BRRR: 92 X: 5.76074 -INDEX GOES BRRR: 272 X: 17.0098 -INDEX GOES BRRR: 932 X: -5.74414 -INDEX GOES BRRR: 158 X: 9.90039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.6885 -INDEX GOES BRRR: 137 X: 8.59473 -INDEX GOES BRRR: 195 X: 12.2275 -INDEX GOES BRRR: 186 X: 11.6465 -INDEX GOES BRRR: 176 X: 11.0195 -INDEX GOES BRRR: 161 X: 10.083 -INDEX GOES BRRR: 260 X: 16.3066 -INDEX GOES BRRR: 180 X: 11.2959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 844 X: -11.2393 -INDEX GOES BRRR: 229 X: 14.3418 -INDEX GOES BRRR: 332 X: 20.8105 -INDEX GOES BRRR: 60 X: 3.80371 -INDEX GOES BRRR: 77 X: 4.8125 -INDEX GOES BRRR: 977 X: -2.88867 -INDEX GOES BRRR: 297 X: 18.6074 -INDEX GOES BRRR: 2 X: 0.176758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 460 X: 28.7539 -INDEX GOES BRRR: 181 X: 11.3359 -INDEX GOES BRRR: 235 X: 14.7275 -INDEX GOES BRRR: 1012 X: -0.719727 -INDEX GOES BRRR: 215 X: 13.4629 -INDEX GOES BRRR: 19 X: 1.19043 -INDEX GOES BRRR: 188 X: 11.8037 -INDEX GOES BRRR: 950 X: -4.625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.06934 -INDEX GOES BRRR: 853 X: -10.6768 -INDEX GOES BRRR: 3 X: 0.204102 -INDEX GOES BRRR: 343 X: 21.4902 -INDEX GOES BRRR: 92 X: 5.79688 -INDEX GOES BRRR: 214 X: 13.3828 -INDEX GOES BRRR: 42 X: 2.64551 -INDEX GOES BRRR: 150 X: 9.39746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.1182 -INDEX GOES BRRR: 272 X: 17.0049 -INDEX GOES BRRR: 440 X: 27.5127 -INDEX GOES BRRR: 100 X: 6.2832 -INDEX GOES BRRR: 1015 X: -0.524414 -INDEX GOES BRRR: 1014 X: -0.563477 -INDEX GOES BRRR: 440 X: 27.5059 -INDEX GOES BRRR: 258 X: 16.1758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 865 X: -9.90625 -INDEX GOES BRRR: 124 X: 7.79883 -INDEX GOES BRRR: 890 X: -8.35449 -INDEX GOES BRRR: 256 X: 16.0283 -INDEX GOES BRRR: 99 X: 6.22754 -INDEX GOES BRRR: 256 X: 16.0098 -INDEX GOES BRRR: 66 X: 4.18066 -INDEX GOES BRRR: 112 X: 7.05762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 642 X: -23.8691 -INDEX GOES BRRR: 23 X: 1.44629 -INDEX GOES BRRR: 181 X: 11.3486 -INDEX GOES BRRR: 242 X: 15.1758 -INDEX GOES BRRR: 94 X: 5.90234 -INDEX GOES BRRR: 218 X: 13.6396 -INDEX GOES BRRR: 0 X: 0.00292969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 813 X: -13.1553 -INDEX GOES BRRR: 146 X: 9.15918 -INDEX GOES BRRR: 371 X: 23.2393 -INDEX GOES BRRR: 340 X: 21.3076 -INDEX GOES BRRR: 275 X: 17.1875 -INDEX GOES BRRR: 77 X: 4.85547 -INDEX GOES BRRR: 439 X: 27.4658 -INDEX GOES BRRR: 465 X: 29.0684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5439 -INDEX GOES BRRR: 375 X: 23.4648 -INDEX GOES BRRR: 138 X: 8.66211 -INDEX GOES BRRR: 42 X: 2.68555 -INDEX GOES BRRR: 159 X: 9.94141 -INDEX GOES BRRR: 260 X: 16.2598 -INDEX GOES BRRR: 168 X: 10.5547 -INDEX GOES BRRR: 205 X: 12.8691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4102 -INDEX GOES BRRR: 184 X: 11.5127 -INDEX GOES BRRR: 301 X: 18.8223 -INDEX GOES BRRR: 1008 X: -0.949219 -INDEX GOES BRRR: 305 X: 19.0879 -INDEX GOES BRRR: 34 X: 2.13281 -INDEX GOES BRRR: 279 X: 17.4492 -INDEX GOES BRRR: 895 X: -8.02734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 489 X: 30.6006 -INDEX GOES BRRR: 8 X: 0.545898 -INDEX GOES BRRR: 10 X: 0.646484 -INDEX GOES BRRR: 91 X: 5.69434 -INDEX GOES BRRR: 129 X: 8.06934 -INDEX GOES BRRR: 149 X: 9.31836 -INDEX GOES BRRR: 108 X: 6.76367 -INDEX GOES BRRR: 348 X: 21.7842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.1895 -INDEX GOES BRRR: 233 X: 14.5996 -INDEX GOES BRRR: 315 X: 19.749 -INDEX GOES BRRR: 185 X: 11.6133 -INDEX GOES BRRR: 358 X: 22.375 -INDEX GOES BRRR: 356 X: 22.2559 -INDEX GOES BRRR: 205 X: 12.873 -INDEX GOES BRRR: 87 X: 5.46973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.598633 -INDEX GOES BRRR: 367 X: 22.9863 -INDEX GOES BRRR: 365 X: 22.8359 -INDEX GOES BRRR: 414 X: 25.9219 -INDEX GOES BRRR: 372 X: 23.292 -INDEX GOES BRRR: 385 X: 24.0791 -INDEX GOES BRRR: 131 X: 8.2373 -INDEX GOES BRRR: 262 X: 16.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 899 X: -7.76562 -INDEX GOES BRRR: 170 X: 10.6855 -INDEX GOES BRRR: 279 X: 17.4922 -INDEX GOES BRRR: 124 X: 7.79492 -INDEX GOES BRRR: 109 X: 6.83984 -INDEX GOES BRRR: 114 X: 7.18262 -INDEX GOES BRRR: 897 X: -7.92188 -INDEX GOES BRRR: 3 X: 0.24707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6709 -INDEX GOES BRRR: 115 X: 7.22852 -INDEX GOES BRRR: 195 X: 12.248 -INDEX GOES BRRR: 1007 X: -1.00586 -INDEX GOES BRRR: 1018 X: -0.316406 -INDEX GOES BRRR: 237 X: 14.8164 -INDEX GOES BRRR: 234 X: 14.627 -INDEX GOES BRRR: 90 X: 5.68555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1572 -INDEX GOES BRRR: 155 X: 9.70508 -INDEX GOES BRRR: 119 X: 7.4873 -INDEX GOES BRRR: 286 X: 17.9189 -INDEX GOES BRRR: 113 X: 7.0791 -INDEX GOES BRRR: 154 X: 9.67578 -INDEX GOES BRRR: 339 X: 21.2451 -INDEX GOES BRRR: 88 X: 5.50586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.547852 -INDEX GOES BRRR: 280 X: 17.5176 -INDEX GOES BRRR: 152 X: 9.55469 -INDEX GOES BRRR: 340 X: 21.3037 -INDEX GOES BRRR: 299 X: 18.7412 -INDEX GOES BRRR: 1020 X: -0.24707 -INDEX GOES BRRR: 204 X: 12.7842 -INDEX GOES BRRR: 124 X: 7.80566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3672 -INDEX GOES BRRR: 44 X: 2.79883 -INDEX GOES BRRR: 57 X: 3.61035 -INDEX GOES BRRR: 937 X: -5.42285 -INDEX GOES BRRR: 93 X: 5.83203 -INDEX GOES BRRR: 169 X: 10.6064 -INDEX GOES BRRR: 164 X: 10.2549 -INDEX GOES BRRR: 974 X: -3.09961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6318 -INDEX GOES BRRR: 402 X: 25.1631 -INDEX GOES BRRR: 216 X: 13.5049 -INDEX GOES BRRR: 999 X: -1.54199 -INDEX GOES BRRR: 944 X: -4.95312 -INDEX GOES BRRR: 431 X: 26.9492 -INDEX GOES BRRR: 176 X: 11.0283 -INDEX GOES BRRR: 200 X: 12.5234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2627 -INDEX GOES BRRR: 285 X: 17.8555 -INDEX GOES BRRR: 226 X: 14.1621 -INDEX GOES BRRR: 342 X: 21.3838 -INDEX GOES BRRR: 74 X: 4.66797 -INDEX GOES BRRR: 226 X: 14.1396 -INDEX GOES BRRR: 87 X: 5.4502 -INDEX GOES BRRR: 963 X: -3.77344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.25 -INDEX GOES BRRR: 199 X: 12.4844 -INDEX GOES BRRR: 152 X: 9.5498 -INDEX GOES BRRR: 10 X: 0.626953 -INDEX GOES BRRR: 168 X: 10.5176 -INDEX GOES BRRR: 387 X: 24.249 -INDEX GOES BRRR: 264 X: 16.5303 -INDEX GOES BRRR: 957 X: -4.15234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.13965 -INDEX GOES BRRR: 289 X: 18.1074 -INDEX GOES BRRR: 297 X: 18.6094 -INDEX GOES BRRR: 787 X: -14.8115 -INDEX GOES BRRR: 276 X: 17.2744 -INDEX GOES BRRR: 232 X: 14.541 -INDEX GOES BRRR: 275 X: 17.2334 -INDEX GOES BRRR: 897 X: -7.92383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6777 -INDEX GOES BRRR: 971 X: -3.29297 -INDEX GOES BRRR: 190 X: 11.9053 -INDEX GOES BRRR: 170 X: 10.6543 -INDEX GOES BRRR: 1004 X: -1.2168 -INDEX GOES BRRR: 309 X: 19.3418 -INDEX GOES BRRR: 300 X: 18.7891 -INDEX GOES BRRR: 58 X: 3.67578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 435 X: 27.2451 -INDEX GOES BRRR: 941 X: -5.13086 -INDEX GOES BRRR: 282 X: 17.6768 -INDEX GOES BRRR: 252 X: 15.7969 -INDEX GOES BRRR: 322 X: 20.1729 -INDEX GOES BRRR: 946 X: -4.85254 -INDEX GOES BRRR: 211 X: 13.2422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9658 -INDEX GOES BRRR: 128 X: 8.00293 -INDEX GOES BRRR: 129 X: 8.06836 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 40 X: 2.50586 -INDEX GOES BRRR: 1000 X: -1.46875 -INDEX GOES BRRR: 1022 X: -0.0849609 -INDEX GOES BRRR: 248 X: 15.5029 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5195 -INDEX GOES BRRR: 242 X: 15.1582 -INDEX GOES BRRR: 70 X: 4.38379 -INDEX GOES BRRR: 168 X: 10.5547 -INDEX GOES BRRR: 419 X: 26.2061 -INDEX GOES BRRR: 143 X: 8.99316 -INDEX GOES BRRR: 114 X: 7.14844 -INDEX GOES BRRR: 270 X: 16.8809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.71484 -INDEX GOES BRRR: 76 X: 4.77246 -INDEX GOES BRRR: 172 X: 10.7598 -INDEX GOES BRRR: 329 X: 20.5996 -INDEX GOES BRRR: 406 X: 25.3867 -INDEX GOES BRRR: 219 X: 13.709 -INDEX GOES BRRR: 64 X: 4.04492 -INDEX GOES BRRR: 1020 X: -0.223633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.49707 -INDEX GOES BRRR: 918 X: -6.58398 -INDEX GOES BRRR: 174 X: 10.9004 -INDEX GOES BRRR: 984 X: -2.49512 -INDEX GOES BRRR: 929 X: -5.93262 -INDEX GOES BRRR: 277 X: 17.3203 -INDEX GOES BRRR: 55 X: 3.45996 -INDEX GOES BRRR: 244 X: 15.2773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.7969 -INDEX GOES BRRR: 124 X: 7.75879 -INDEX GOES BRRR: 403 X: 25.2402 -INDEX GOES BRRR: 350 X: 21.876 -INDEX GOES BRRR: 168 X: 10.5088 -INDEX GOES BRRR: 975 X: -3.00879 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 247 X: 15.4639 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1641 -INDEX GOES BRRR: 121 X: 7.58691 -INDEX GOES BRRR: 219 X: 13.7305 -INDEX GOES BRRR: 348 X: 21.7568 -INDEX GOES BRRR: 247 X: 15.4375 -INDEX GOES BRRR: 205 X: 12.8174 -INDEX GOES BRRR: 254 X: 15.8936 -INDEX GOES BRRR: 156 X: 9.77539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.1162 -INDEX GOES BRRR: 140 X: 8.77832 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 200 X: 12.5156 -INDEX GOES BRRR: 200 X: 12.5156 -INDEX GOES BRRR: 362 X: 22.6543 -INDEX GOES BRRR: 315 X: 19.6895 -INDEX GOES BRRR: 244 X: 15.2783 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.3809 -INDEX GOES BRRR: 294 X: 18.418 -INDEX GOES BRRR: 51 X: 3.21973 -INDEX GOES BRRR: 31 X: 1.98047 -INDEX GOES BRRR: 1003 X: -1.31152 -INDEX GOES BRRR: 69 X: 4.34863 -INDEX GOES BRRR: 223 X: 13.9697 -INDEX GOES BRRR: 1011 X: -0.782227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 299 X: 18.7295 -INDEX GOES BRRR: 218 X: 13.6709 -INDEX GOES BRRR: 183 X: 11.4453 -INDEX GOES BRRR: 190 X: 11.8809 -INDEX GOES BRRR: 128 X: 8.04199 -INDEX GOES BRRR: 35 X: 2.24023 -INDEX GOES BRRR: 222 X: 13.9131 -INDEX GOES BRRR: 840 X: -11.4531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.3184 -INDEX GOES BRRR: 280 X: 17.5137 -INDEX GOES BRRR: 971 X: -3.29492 -INDEX GOES BRRR: 207 X: 12.9932 -INDEX GOES BRRR: 360 X: 22.5576 -INDEX GOES BRRR: 226 X: 14.1709 -INDEX GOES BRRR: 127 X: 7.9375 -INDEX GOES BRRR: 354 X: 22.1348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 444 X: 27.7686 -INDEX GOES BRRR: 267 X: 16.7275 -INDEX GOES BRRR: 932 X: -5.69727 -INDEX GOES BRRR: 62 X: 3.91992 -INDEX GOES BRRR: 132 X: 8.27441 -INDEX GOES BRRR: 184 X: 11.5566 -INDEX GOES BRRR: 1007 X: -1.06152 -INDEX GOES BRRR: 88 X: 5.53711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.624 -INDEX GOES BRRR: 298 X: 18.6816 -INDEX GOES BRRR: 307 X: 19.2266 -INDEX GOES BRRR: 242 X: 15.1719 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 171 X: 10.6885 -INDEX GOES BRRR: 184 X: 11.5469 -INDEX GOES BRRR: 89 X: 5.5625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 23 X: 1.45117 -INDEX GOES BRRR: 11 X: 0.738281 -INDEX GOES BRRR: 298 X: 18.6309 -INDEX GOES BRRR: 443 X: 27.6973 -INDEX GOES BRRR: 106 X: 6.67871 -INDEX GOES BRRR: 939 X: -5.27344 -INDEX GOES BRRR: 404 X: 25.2832 -INDEX GOES BRRR: 189 X: 11.8633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 97 X: 6.09277 -INDEX GOES BRRR: 441 X: 27.6133 -INDEX GOES BRRR: 56 X: 3.54004 -INDEX GOES BRRR: 231 X: 14.4697 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 408 X: 25.5469 -INDEX GOES BRRR: 155 X: 9.72266 -INDEX GOES BRRR: 267 X: 16.6992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0586 -INDEX GOES BRRR: 88 X: 5.53906 -INDEX GOES BRRR: 181 X: 11.3506 -INDEX GOES BRRR: 288 X: 18.0439 -INDEX GOES BRRR: 400 X: 25.002 -INDEX GOES BRRR: 335 X: 20.9502 -INDEX GOES BRRR: 285 X: 17.8271 -INDEX GOES BRRR: 121 X: 7.60547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 865 X: -9.93066 -INDEX GOES BRRR: 77 X: 4.83105 -INDEX GOES BRRR: 968 X: -3.45215 -INDEX GOES BRRR: 243 X: 15.2402 -INDEX GOES BRRR: 393 X: 24.5684 -INDEX GOES BRRR: 169 X: 10.6025 -INDEX GOES BRRR: 84 X: 5.25586 -INDEX GOES BRRR: 283 X: 17.6924 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 423 X: 26.4736 -INDEX GOES BRRR: 174 X: 10.9062 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 82 X: 5.13867 -INDEX GOES BRRR: 221 X: 13.8662 -INDEX GOES BRRR: 230 X: 14.4189 -INDEX GOES BRRR: 361 X: 22.5889 -INDEX GOES BRRR: 349 X: 21.8701 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5498 -INDEX GOES BRRR: 216 X: 13.5049 -INDEX GOES BRRR: 57 X: 3.56934 -INDEX GOES BRRR: 269 X: 16.8369 -INDEX GOES BRRR: 84 X: 5.25 -INDEX GOES BRRR: 84 X: 5.27832 -INDEX GOES BRRR: 183 X: 11.498 -INDEX GOES BRRR: 243 X: 15.2402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.48535 -INDEX GOES BRRR: 967 X: -3.53027 -INDEX GOES BRRR: 13 X: 0.832031 -INDEX GOES BRRR: 749 X: -17.1357 -INDEX GOES BRRR: 78 X: 4.92871 -INDEX GOES BRRR: 328 X: 20.5391 -INDEX GOES BRRR: 130 X: 8.15527 -INDEX GOES BRRR: 348 X: 21.8066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2422 -INDEX GOES BRRR: 186 X: 11.6689 -INDEX GOES BRRR: 154 X: 9.64258 -INDEX GOES BRRR: 40 X: 2.54688 -INDEX GOES BRRR: 410 X: 25.6846 -INDEX GOES BRRR: 133 X: 8.33105 -INDEX GOES BRRR: 297 X: 18.5967 -INDEX GOES BRRR: 895 X: -8.05957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.19238 -INDEX GOES BRRR: 981 X: -2.65918 -INDEX GOES BRRR: 207 X: 12.9746 -INDEX GOES BRRR: 809 X: -13.3848 -INDEX GOES BRRR: 222 X: 13.8799 -INDEX GOES BRRR: 966 X: -3.59766 -INDEX GOES BRRR: 43 X: 2.73535 -INDEX GOES BRRR: 411 X: 25.709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 295 X: 18.4404 -INDEX GOES BRRR: 63 X: 3.96484 -INDEX GOES BRRR: 239 X: 14.9941 -INDEX GOES BRRR: 29 X: 1.8125 -INDEX GOES BRRR: 802 X: -13.8311 -INDEX GOES BRRR: 130 X: 8.13867 -INDEX GOES BRRR: 0 X: 0.00683594 -INDEX GOES BRRR: 6 X: 0.425781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.73926 -INDEX GOES BRRR: 341 X: 21.333 -INDEX GOES BRRR: 187 X: 11.6992 -INDEX GOES BRRR: 144 X: 9.05664 -INDEX GOES BRRR: 126 X: 7.9248 -INDEX GOES BRRR: 439 X: 27.4883 -INDEX GOES BRRR: 472 X: 29.5029 -INDEX GOES BRRR: 124 X: 7.78027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.85254 -INDEX GOES BRRR: 934 X: -5.59668 -INDEX GOES BRRR: 234 X: 14.6777 -INDEX GOES BRRR: 141 X: 8.82031 -INDEX GOES BRRR: 1020 X: -0.208984 -INDEX GOES BRRR: 27 X: 1.69824 -INDEX GOES BRRR: 859 X: -10.2959 -INDEX GOES BRRR: 304 X: 19 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.92969 -INDEX GOES BRRR: 64 X: 4.00488 -INDEX GOES BRRR: 151 X: 9.44336 -INDEX GOES BRRR: 286 X: 17.9248 -INDEX GOES BRRR: 271 X: 16.9688 -INDEX GOES BRRR: 432 X: 27.0488 -INDEX GOES BRRR: 55 X: 3.44922 -INDEX GOES BRRR: 305 X: 19.084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.32324 -INDEX GOES BRRR: 354 X: 22.167 -INDEX GOES BRRR: 53 X: 3.3623 -INDEX GOES BRRR: 948 X: -4.72656 -INDEX GOES BRRR: 286 X: 17.8779 -INDEX GOES BRRR: 86 X: 5.42383 -INDEX GOES BRRR: 5 X: 0.317383 -INDEX GOES BRRR: 111 X: 6.9707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 435 X: 27.1953 -INDEX GOES BRRR: 24 X: 1.5127 -INDEX GOES BRRR: 1002 X: -1.33203 -INDEX GOES BRRR: 105 X: 6.58203 -INDEX GOES BRRR: 169 X: 10.5967 -INDEX GOES BRRR: 95 X: 5.94336 -INDEX GOES BRRR: 172 X: 10.7666 -INDEX GOES BRRR: 987 X: -2.28418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.44727 -INDEX GOES BRRR: 962 X: -3.87305 -INDEX GOES BRRR: 912 X: -6.98633 -INDEX GOES BRRR: 234 X: 14.6758 -INDEX GOES BRRR: 166 X: 10.3975 -INDEX GOES BRRR: 978 X: -2.86523 -INDEX GOES BRRR: 154 X: 9.6748 -INDEX GOES BRRR: 143 X: 8.98145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 263 X: 16.4795 -INDEX GOES BRRR: 1007 X: -1.03809 -INDEX GOES BRRR: 82 X: 5.18652 -INDEX GOES BRRR: 139 X: 8.71582 -INDEX GOES BRRR: 68 X: 4.28613 -INDEX GOES BRRR: 52 X: 3.29004 -INDEX GOES BRRR: 92 X: 5.78418 -INDEX GOES BRRR: 1011 X: -0.768555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7881 -INDEX GOES BRRR: 48 X: 3.00684 -INDEX GOES BRRR: 90 X: 5.62988 -INDEX GOES BRRR: 51 X: 3.23828 -INDEX GOES BRRR: 85 X: 5.31543 -INDEX GOES BRRR: 256 X: 16.0098 -INDEX GOES BRRR: 6 X: 0.37793 -INDEX GOES BRRR: 13 X: 0.849609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.49805 -INDEX GOES BRRR: 16 X: 1.02246 -INDEX GOES BRRR: 89 X: 5.58008 -INDEX GOES BRRR: 20 X: 1.26758 -INDEX GOES BRRR: 170 X: 10.6689 -INDEX GOES BRRR: 45 X: 2.85156 -INDEX GOES BRRR: 380 X: 23.8105 -INDEX GOES BRRR: 956 X: -4.19043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 827 X: -12.2529 -INDEX GOES BRRR: 103 X: 6.49512 -INDEX GOES BRRR: 228 X: 14.2539 -INDEX GOES BRRR: 106 X: 6.6543 -INDEX GOES BRRR: 85 X: 5.35547 -INDEX GOES BRRR: 757 X: -16.6836 -INDEX GOES BRRR: 331 X: 20.6973 -INDEX GOES BRRR: 870 X: -9.59668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.57812 -INDEX GOES BRRR: 301 X: 18.8672 -INDEX GOES BRRR: 106 X: 6.64453 -INDEX GOES BRRR: 197 X: 12.3721 -INDEX GOES BRRR: 338 X: 21.1807 -INDEX GOES BRRR: 163 X: 10.2012 -INDEX GOES BRRR: 11 X: 0.744141 -INDEX GOES BRRR: 15 X: 0.955078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.625 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 50 X: 3.16504 -INDEX GOES BRRR: 134 X: 8.41504 -INDEX GOES BRRR: 140 X: 8.80078 -INDEX GOES BRRR: 56 X: 3.51953 -INDEX GOES BRRR: 114 X: 7.16504 -INDEX GOES BRRR: 274 X: 17.1797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.259766 -INDEX GOES BRRR: 221 X: 13.8438 -INDEX GOES BRRR: 77 X: 4.85059 -INDEX GOES BRRR: 890 X: -8.36914 -INDEX GOES BRRR: 87 X: 5.49219 -INDEX GOES BRRR: 207 X: 12.9678 -INDEX GOES BRRR: 275 X: 17.1914 -INDEX GOES BRRR: 16 X: 1.01074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 26 X: 1.63379 -INDEX GOES BRRR: 182 X: 11.3799 -INDEX GOES BRRR: 390 X: 24.4297 -INDEX GOES BRRR: 233 X: 14.584 -INDEX GOES BRRR: 376 X: 23.501 -INDEX GOES BRRR: 58 X: 3.64258 -INDEX GOES BRRR: 131 X: 8.20312 -INDEX GOES BRRR: 967 X: -3.50879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 63 X: 3.94727 -INDEX GOES BRRR: 113 X: 7.07715 -INDEX GOES BRRR: 999 X: -1.54395 -INDEX GOES BRRR: 251 X: 15.7471 -INDEX GOES BRRR: 141 X: 8.8623 -INDEX GOES BRRR: 241 X: 15.0625 -INDEX GOES BRRR: 969 X: -3.39551 -INDEX GOES BRRR: 350 X: 21.8955 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 985 X: -2.38086 -INDEX GOES BRRR: 77 X: 4.85156 -INDEX GOES BRRR: 27 X: 1.74707 -INDEX GOES BRRR: 84 X: 5.30859 -INDEX GOES BRRR: 220 X: 13.752 -INDEX GOES BRRR: 356 X: 22.2754 -INDEX GOES BRRR: 177 X: 11.0957 -INDEX GOES BRRR: 237 X: 14.8145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 758 X: -16.5674 -INDEX GOES BRRR: 986 X: -2.31348 -INDEX GOES BRRR: 32 X: 2.01367 -INDEX GOES BRRR: 279 X: 17.4785 -INDEX GOES BRRR: 358 X: 22.4277 -INDEX GOES BRRR: 175 X: 10.9854 -INDEX GOES BRRR: 343 X: 21.4697 -INDEX GOES BRRR: 194 X: 12.1543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.52051 -INDEX GOES BRRR: 40 X: 2.55273 -INDEX GOES BRRR: 90 X: 5.66797 -INDEX GOES BRRR: 964 X: -3.69336 -INDEX GOES BRRR: 297 X: 18.5898 -INDEX GOES BRRR: 1014 X: -0.581055 -INDEX GOES BRRR: 96 X: 6.00586 -INDEX GOES BRRR: 148 X: 9.28906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.4082 -INDEX GOES BRRR: 31 X: 1.97852 -INDEX GOES BRRR: 410 X: 25.6748 -INDEX GOES BRRR: 352 X: 22.0508 -INDEX GOES BRRR: 65 X: 4.11719 -INDEX GOES BRRR: 18 X: 1.15332 -INDEX GOES BRRR: 343 X: 21.4961 -INDEX GOES BRRR: 341 X: 21.3408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.68359 -INDEX GOES BRRR: 206 X: 12.9121 -INDEX GOES BRRR: 282 X: 17.6436 -INDEX GOES BRRR: 42 X: 2.6709 -INDEX GOES BRRR: 70 X: 4.43457 -INDEX GOES BRRR: 200 X: 12.5332 -INDEX GOES BRRR: 343 X: 21.4941 -INDEX GOES BRRR: 366 X: 22.9326 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 365 X: 22.8613 -INDEX GOES BRRR: 310 X: 19.4336 -INDEX GOES BRRR: 196 X: 12.2861 -INDEX GOES BRRR: 231 X: 14.4932 -INDEX GOES BRRR: 178 X: 11.1729 -INDEX GOES BRRR: 119 X: 7.45605 -INDEX GOES BRRR: 234 X: 14.6387 -INDEX GOES BRRR: 265 X: 16.5898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.248 -INDEX GOES BRRR: 200 X: 12.5195 -INDEX GOES BRRR: 156 X: 9.80957 -INDEX GOES BRRR: 275 X: 17.2256 -INDEX GOES BRRR: 272 X: 17.0303 -INDEX GOES BRRR: 189 X: 11.8135 -INDEX GOES BRRR: 47 X: 2.98633 -INDEX GOES BRRR: 885 X: -8.6377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0576 -INDEX GOES BRRR: 112 X: 7.04883 -INDEX GOES BRRR: 24 X: 1.54102 -INDEX GOES BRRR: 159 X: 9.96582 -INDEX GOES BRRR: 876 X: -9.20996 -INDEX GOES BRRR: 321 X: 20.1162 -INDEX GOES BRRR: 918 X: -6.56738 -INDEX GOES BRRR: 34 X: 2.18359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5156 -INDEX GOES BRRR: 378 X: 23.6787 -INDEX GOES BRRR: 412 X: 25.8105 -INDEX GOES BRRR: 224 X: 14.04 -INDEX GOES BRRR: 188 X: 11.7832 -INDEX GOES BRRR: 420 X: 26.2773 -INDEX GOES BRRR: 141 X: 8.8418 -INDEX GOES BRRR: 436 X: 27.2705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 324 X: 20.2939 -INDEX GOES BRRR: 274 X: 17.1279 -INDEX GOES BRRR: 362 X: 22.6533 -INDEX GOES BRRR: 263 X: 16.4941 -INDEX GOES BRRR: 9 X: 0.608398 -INDEX GOES BRRR: 424 X: 26.5146 -INDEX GOES BRRR: 118 X: 7.43359 -INDEX GOES BRRR: 317 X: 19.8301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.5977 -INDEX GOES BRRR: 946 X: -4.8291 -INDEX GOES BRRR: 271 X: 16.9697 -INDEX GOES BRRR: 211 X: 13.2305 -INDEX GOES BRRR: 223 X: 13.9736 -INDEX GOES BRRR: 812 X: -13.2227 -INDEX GOES BRRR: 37 X: 2.35156 -INDEX GOES BRRR: 163 X: 10.2461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 29 X: 1.83691 -INDEX GOES BRRR: 268 X: 16.8096 -INDEX GOES BRRR: 211 X: 13.2236 -INDEX GOES BRRR: 916 X: -6.74609 -INDEX GOES BRRR: 91 X: 5.69043 -INDEX GOES BRRR: 20 X: 1.26562 -INDEX GOES BRRR: 60 X: 3.7793 -INDEX GOES BRRR: 139 X: 8.7168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4844 -INDEX GOES BRRR: 153 X: 9.58008 -INDEX GOES BRRR: 266 X: 16.6338 -INDEX GOES BRRR: 377 X: 23.5684 -INDEX GOES BRRR: 977 X: -2.88965 -INDEX GOES BRRR: 255 X: 15.9639 -INDEX GOES BRRR: 929 X: -5.92188 -INDEX GOES BRRR: 50 X: 3.17773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.22852 -INDEX GOES BRRR: 361 X: 22.585 -INDEX GOES BRRR: 232 X: 14.5146 -INDEX GOES BRRR: 1012 X: -0.705078 -INDEX GOES BRRR: 7 X: 0.44043 -INDEX GOES BRRR: 1008 X: -0.942383 -INDEX GOES BRRR: 33 X: 2.08105 -INDEX GOES BRRR: 69 X: 4.3584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5049 -INDEX GOES BRRR: 113 X: 7.11816 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 889 X: -8.40918 -INDEX GOES BRRR: 268 X: 16.7832 -INDEX GOES BRRR: 300 X: 18.7783 -INDEX GOES BRRR: 271 X: 16.9492 -INDEX GOES BRRR: 340 X: 21.2803 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.0654 -INDEX GOES BRRR: 213 X: 13.3525 -INDEX GOES BRRR: 125 X: 7.8291 -INDEX GOES BRRR: 142 X: 8.88086 -INDEX GOES BRRR: 80 X: 5.01172 -INDEX GOES BRRR: 391 X: 24.4863 -INDEX GOES BRRR: 112 X: 7.02637 -INDEX GOES BRRR: 274 X: 17.1855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7178 -INDEX GOES BRRR: 910 X: -7.10645 -INDEX GOES BRRR: 141 X: 8.84961 -INDEX GOES BRRR: 171 X: 10.6904 -INDEX GOES BRRR: 54 X: 3.42773 -INDEX GOES BRRR: 1021 X: -0.180664 -INDEX GOES BRRR: 357 X: 22.3496 -INDEX GOES BRRR: 228 X: 14.2842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.1162 -INDEX GOES BRRR: 91 X: 5.72363 -INDEX GOES BRRR: 32 X: 2.00488 -INDEX GOES BRRR: 348 X: 21.8008 -INDEX GOES BRRR: 137 X: 8.59766 -INDEX GOES BRRR: 18 X: 1.15527 -INDEX GOES BRRR: 863 X: -10.0127 -INDEX GOES BRRR: 187 X: 11.7441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 947 X: -4.76074 -INDEX GOES BRRR: 247 X: 15.4658 -INDEX GOES BRRR: 337 X: 21.1094 -INDEX GOES BRRR: 168 X: 10.5039 -INDEX GOES BRRR: 40 X: 2.56152 -INDEX GOES BRRR: 224 X: 14.0322 -INDEX GOES BRRR: 378 X: 23.627 -INDEX GOES BRRR: 68 X: 4.30566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.708 -INDEX GOES BRRR: 163 X: 10.2227 -INDEX GOES BRRR: 314 X: 19.627 -INDEX GOES BRRR: 42 X: 2.68164 -INDEX GOES BRRR: 742 X: -17.5908 -INDEX GOES BRRR: 10 X: 0.679688 -INDEX GOES BRRR: 103 X: 6.44238 -INDEX GOES BRRR: 380 X: 23.7812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.499 -INDEX GOES BRRR: 170 X: 10.6338 -INDEX GOES BRRR: 954 X: -4.36914 -INDEX GOES BRRR: 991 X: -2.02441 -INDEX GOES BRRR: 387 X: 24.2422 -INDEX GOES BRRR: 15 X: 0.96582 -INDEX GOES BRRR: 199 X: 12.4404 -INDEX GOES BRRR: 311 X: 19.4756 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.80957 -INDEX GOES BRRR: 352 X: 22.0283 -INDEX GOES BRRR: 103 X: 6.46191 -INDEX GOES BRRR: 119 X: 7.44043 -INDEX GOES BRRR: 37 X: 2.35938 -INDEX GOES BRRR: 171 X: 10.7305 -INDEX GOES BRRR: 237 X: 14.835 -INDEX GOES BRRR: 48 X: 3.03223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.08301 -INDEX GOES BRRR: 899 X: -7.79102 -INDEX GOES BRRR: 133 X: 8.36328 -INDEX GOES BRRR: 79 X: 4.95996 -INDEX GOES BRRR: 212 X: 13.292 -INDEX GOES BRRR: 95 X: 5.9707 -INDEX GOES BRRR: 192 X: 12.0244 -INDEX GOES BRRR: 896 X: -7.96387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.321289 -INDEX GOES BRRR: 323 X: 20.209 -INDEX GOES BRRR: 28 X: 1.80273 -INDEX GOES BRRR: 393 X: 24.5869 -INDEX GOES BRRR: 195 X: 12.2324 -INDEX GOES BRRR: 115 X: 7.22656 -INDEX GOES BRRR: 249 X: 15.5713 -INDEX GOES BRRR: 248 X: 15.5059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 920 X: -6.43848 -INDEX GOES BRRR: 122 X: 7.63574 -INDEX GOES BRRR: 194 X: 12.1494 -INDEX GOES BRRR: 341 X: 21.3154 -INDEX GOES BRRR: 171 X: 10.7236 -INDEX GOES BRRR: 974 X: -3.06934 -INDEX GOES BRRR: 220 X: 13.7764 -INDEX GOES BRRR: 1003 X: -1.2793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.59277 -INDEX GOES BRRR: 75 X: 4.68945 -INDEX GOES BRRR: 210 X: 13.1514 -INDEX GOES BRRR: 186 X: 11.6758 -INDEX GOES BRRR: 75 X: 4.69336 -INDEX GOES BRRR: 92 X: 5.76855 -INDEX GOES BRRR: 217 X: 13.5869 -INDEX GOES BRRR: 422 X: 26.3926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.329102 -INDEX GOES BRRR: 1 X: 0.113281 -INDEX GOES BRRR: 213 X: 13.3301 -INDEX GOES BRRR: 274 X: 17.1719 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 343 X: 21.4844 -INDEX GOES BRRR: 151 X: 9.4541 -INDEX GOES BRRR: 989 X: -2.1875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 992 X: -1.96289 -INDEX GOES BRRR: 162 X: 10.1523 -INDEX GOES BRRR: 283 X: 17.6885 -INDEX GOES BRRR: 292 X: 18.2939 -INDEX GOES BRRR: 116 X: 7.25684 -INDEX GOES BRRR: 927 X: -6.03809 -INDEX GOES BRRR: 41 X: 2.59375 -INDEX GOES BRRR: 198 X: 12.3887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 30 X: 1.93164 -INDEX GOES BRRR: 226 X: 14.1865 -INDEX GOES BRRR: 101 X: 6.37402 -INDEX GOES BRRR: 252 X: 15.8105 -INDEX GOES BRRR: 964 X: -3.70215 -INDEX GOES BRRR: 209 X: 13.1123 -INDEX GOES BRRR: 953 X: -4.4375 -INDEX GOES BRRR: 26 X: 1.68066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.665 -INDEX GOES BRRR: 274 X: 17.1426 -INDEX GOES BRRR: 122 X: 7.66895 -INDEX GOES BRRR: 254 X: 15.8799 -INDEX GOES BRRR: 196 X: 12.2607 -INDEX GOES BRRR: 182 X: 11.4268 -INDEX GOES BRRR: 72 X: 4.53418 -INDEX GOES BRRR: 9 X: 0.59668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.27148 -INDEX GOES BRRR: 312 X: 19.5059 -INDEX GOES BRRR: 1002 X: -1.34863 -INDEX GOES BRRR: 236 X: 14.8105 -INDEX GOES BRRR: 803 X: -13.7656 -INDEX GOES BRRR: 221 X: 13.8438 -INDEX GOES BRRR: 217 X: 13.6152 -INDEX GOES BRRR: 39 X: 2.44434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.51172 -INDEX GOES BRRR: 254 X: 15.9111 -INDEX GOES BRRR: 910 X: -7.10059 -INDEX GOES BRRR: 94 X: 5.88574 -INDEX GOES BRRR: 123 X: 7.7207 -INDEX GOES BRRR: 215 X: 13.4482 -INDEX GOES BRRR: 2 X: 0.140625 -INDEX GOES BRRR: 116 X: 7.26758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0576 -INDEX GOES BRRR: 194 X: 12.1289 -INDEX GOES BRRR: 48 X: 3.02344 -INDEX GOES BRRR: 144 X: 9.05078 -INDEX GOES BRRR: 72 X: 4.54785 -INDEX GOES BRRR: 196 X: 12.2822 -INDEX GOES BRRR: 194 X: 12.1475 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2354 -INDEX GOES BRRR: 260 X: 16.2822 -INDEX GOES BRRR: 102 X: 6.42383 -INDEX GOES BRRR: 967 X: -3.51953 -INDEX GOES BRRR: 38 X: 2.4043 -INDEX GOES BRRR: 75 X: 4.74414 -INDEX GOES BRRR: 103 X: 6.43848 -INDEX GOES BRRR: 359 X: 22.4922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4453 -INDEX GOES BRRR: 75 X: 4.72363 -INDEX GOES BRRR: 976 X: -2.95508 -INDEX GOES BRRR: 98 X: 6.16895 -INDEX GOES BRRR: 1007 X: -1.02148 -INDEX GOES BRRR: 124 X: 7.79004 -INDEX GOES BRRR: 257 X: 16.1035 -INDEX GOES BRRR: 235 X: 14.7451 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1709 -INDEX GOES BRRR: 91 X: 5.72754 -INDEX GOES BRRR: 309 X: 19.3262 -INDEX GOES BRRR: 291 X: 18.2354 -INDEX GOES BRRR: 898 X: -7.81836 -INDEX GOES BRRR: 181 X: 11.3662 -INDEX GOES BRRR: 148 X: 9.28418 -INDEX GOES BRRR: 321 X: 20.1094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 937 X: -5.42285 -INDEX GOES BRRR: 985 X: -2.43066 -INDEX GOES BRRR: 174 X: 10.9219 -INDEX GOES BRRR: 180 X: 11.3027 -INDEX GOES BRRR: 401 X: 25.1133 -INDEX GOES BRRR: 970 X: -3.36816 -INDEX GOES BRRR: 28 X: 1.75195 -INDEX GOES BRRR: 157 X: 9.85352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0508 -INDEX GOES BRRR: 109 X: 6.81543 -INDEX GOES BRRR: 25 X: 1.58105 -INDEX GOES BRRR: 269 X: 16.832 -INDEX GOES BRRR: 364 X: 22.7861 -INDEX GOES BRRR: 130 X: 8.16797 -INDEX GOES BRRR: 27 X: 1.68848 -INDEX GOES BRRR: 360 X: 22.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 883 X: -8.76367 -INDEX GOES BRRR: 99 X: 6.19141 -INDEX GOES BRRR: 283 X: 17.6914 -INDEX GOES BRRR: 142 X: 8.91406 -INDEX GOES BRRR: 14 X: 0.925781 -INDEX GOES BRRR: 335 X: 20.959 -INDEX GOES BRRR: 220 X: 13.7861 -INDEX GOES BRRR: 161 X: 10.0977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 495 X: 30.9463 -INDEX GOES BRRR: 965 X: -3.64844 -INDEX GOES BRRR: 14 X: 0.921875 -INDEX GOES BRRR: 304 X: 19.0508 -INDEX GOES BRRR: 261 X: 16.3184 -INDEX GOES BRRR: 384 X: 24 -INDEX GOES BRRR: 27 X: 1.74902 -INDEX GOES BRRR: 877 X: -9.15137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 389 X: 24.3594 -INDEX GOES BRRR: 199 X: 12.499 -INDEX GOES BRRR: 838 X: -11.5674 -INDEX GOES BRRR: 967 X: -3.55957 -INDEX GOES BRRR: 21 X: 1.34668 -INDEX GOES BRRR: 996 X: -1.73438 -INDEX GOES BRRR: 963 X: -3.76465 -INDEX GOES BRRR: 110 X: 6.91504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.209 -INDEX GOES BRRR: 173 X: 10.8447 -INDEX GOES BRRR: 891 X: -8.26074 -INDEX GOES BRRR: 977 X: -2.92773 -INDEX GOES BRRR: 905 X: -7.38965 -INDEX GOES BRRR: 197 X: 12.334 -INDEX GOES BRRR: 208 X: 13.0137 -INDEX GOES BRRR: 968 X: -3.45312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 87 X: 5.47461 -INDEX GOES BRRR: 241 X: 15.0938 -INDEX GOES BRRR: 58 X: 3.65039 -INDEX GOES BRRR: 289 X: 18.1113 -INDEX GOES BRRR: 142 X: 8.93164 -INDEX GOES BRRR: 78 X: 4.90137 -INDEX GOES BRRR: 915 X: -6.76367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 389 X: 24.3711 -INDEX GOES BRRR: 911 X: -7.06152 -INDEX GOES BRRR: 348 X: 21.7734 -INDEX GOES BRRR: 377 X: 23.5928 -INDEX GOES BRRR: 906 X: -7.3418 -INDEX GOES BRRR: 256 X: 16.0107 -INDEX GOES BRRR: 137 X: 8.60352 -INDEX GOES BRRR: 154 X: 9.63281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.543945 -INDEX GOES BRRR: 902 X: -7.58789 -INDEX GOES BRRR: 423 X: 26.4795 -INDEX GOES BRRR: 792 X: -14.4395 -INDEX GOES BRRR: 196 X: 12.2949 -INDEX GOES BRRR: 169 X: 10.5898 -INDEX GOES BRRR: 122 X: 7.66699 -INDEX GOES BRRR: 10 X: 0.686523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.1914 -INDEX GOES BRRR: 118 X: 7.39453 -INDEX GOES BRRR: 4 X: 0.259766 -INDEX GOES BRRR: 182 X: 11.377 -INDEX GOES BRRR: 931 X: -5.80078 -INDEX GOES BRRR: 66 X: 4.17676 -INDEX GOES BRRR: 162 X: 10.1309 -INDEX GOES BRRR: 331 X: 20.7432 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 950 X: -4.56934 -INDEX GOES BRRR: 187 X: 11.7344 -INDEX GOES BRRR: 16 X: 1.05566 -INDEX GOES BRRR: 316 X: 19.7656 -INDEX GOES BRRR: 185 X: 11.584 -INDEX GOES BRRR: 92 X: 5.79004 -INDEX GOES BRRR: 279 X: 17.4629 -INDEX GOES BRRR: 984 X: -2.46094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.43848 -INDEX GOES BRRR: 218 X: 13.6621 -INDEX GOES BRRR: 1020 X: -0.229492 -INDEX GOES BRRR: 297 X: 18.5752 -INDEX GOES BRRR: 6 X: 0.402344 -INDEX GOES BRRR: 372 X: 23.2988 -INDEX GOES BRRR: 122 X: 7.68359 -INDEX GOES BRRR: 1001 X: -1.41602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.42676 -INDEX GOES BRRR: 202 X: 12.6807 -INDEX GOES BRRR: 132 X: 8.28809 -INDEX GOES BRRR: 98 X: 6.12793 -INDEX GOES BRRR: 116 X: 7.27637 -INDEX GOES BRRR: 313 X: 19.582 -INDEX GOES BRRR: 10 X: 0.680664 -INDEX GOES BRRR: 290 X: 18.1533 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.8037 -INDEX GOES BRRR: 155 X: 9.69336 -INDEX GOES BRRR: 320 X: 20.0605 -INDEX GOES BRRR: 903 X: -7.5625 -INDEX GOES BRRR: 440 X: 27.5557 -INDEX GOES BRRR: 345 X: 21.6182 -INDEX GOES BRRR: 111 X: 6.94531 -INDEX GOES BRRR: 197 X: 12.3145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 438 X: 27.4336 -INDEX GOES BRRR: 108 X: 6.76562 -INDEX GOES BRRR: 1001 X: -1.43359 -INDEX GOES BRRR: 935 X: -5.53516 -INDEX GOES BRRR: 851 X: -10.7754 -INDEX GOES BRRR: 133 X: 8.32031 -INDEX GOES BRRR: 805 X: -13.6299 -INDEX GOES BRRR: 149 X: 9.34766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3691 -INDEX GOES BRRR: 925 X: -6.12598 -INDEX GOES BRRR: 381 X: 23.8633 -INDEX GOES BRRR: 132 X: 8.28516 -INDEX GOES BRRR: 110 X: 6.9043 -INDEX GOES BRRR: 223 X: 13.9785 -INDEX GOES BRRR: 27 X: 1.74414 -INDEX GOES BRRR: 233 X: 14.6152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.2275 -INDEX GOES BRRR: 35 X: 2.19531 -INDEX GOES BRRR: 991 X: -2.00586 -INDEX GOES BRRR: 931 X: -5.76367 -INDEX GOES BRRR: 855 X: -10.5273 -INDEX GOES BRRR: 485 X: 30.3164 -INDEX GOES BRRR: 150 X: 9.41113 -INDEX GOES BRRR: 230 X: 14.3945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.128906 -INDEX GOES BRRR: 239 X: 14.999 -INDEX GOES BRRR: 249 X: 15.624 -INDEX GOES BRRR: 164 X: 10.2783 -INDEX GOES BRRR: 1001 X: -1.4209 -INDEX GOES BRRR: 190 X: 11.8994 -INDEX GOES BRRR: 406 X: 25.4053 -INDEX GOES BRRR: 309 X: 19.3418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.05273 -INDEX GOES BRRR: 6 X: 0.405273 -INDEX GOES BRRR: 335 X: 20.959 -INDEX GOES BRRR: 42 X: 2.67676 -INDEX GOES BRRR: 124 X: 7.80469 -INDEX GOES BRRR: 221 X: 13.835 -INDEX GOES BRRR: 980 X: -2.68848 -INDEX GOES BRRR: 196 X: 12.2773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.458 -INDEX GOES BRRR: 25 X: 1.58789 -INDEX GOES BRRR: 322 X: 20.1543 -INDEX GOES BRRR: 205 X: 12.8418 -INDEX GOES BRRR: 156 X: 9.77051 -INDEX GOES BRRR: 2 X: 0.131836 -INDEX GOES BRRR: 225 X: 14.0977 -INDEX GOES BRRR: 1003 X: -1.2666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2129 -INDEX GOES BRRR: 815 X: -13.0029 -INDEX GOES BRRR: 74 X: 4.66797 -INDEX GOES BRRR: 172 X: 10.7764 -INDEX GOES BRRR: 284 X: 17.8008 -INDEX GOES BRRR: 70 X: 4.40625 -INDEX GOES BRRR: 428 X: 26.7695 -INDEX GOES BRRR: 191 X: 11.9707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 75 X: 4.74414 -INDEX GOES BRRR: 182 X: 11.3945 -INDEX GOES BRRR: 210 X: 13.1729 -INDEX GOES BRRR: 36 X: 2.26758 -INDEX GOES BRRR: 233 X: 14.6152 -INDEX GOES BRRR: 39 X: 2.45703 -INDEX GOES BRRR: 351 X: 21.9541 -INDEX GOES BRRR: 161 X: 10.0742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9658 -INDEX GOES BRRR: 944 X: -4.98926 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 209 X: 13.1143 -INDEX GOES BRRR: 109 X: 6.87207 -INDEX GOES BRRR: 193 X: 12.0811 -INDEX GOES BRRR: 118 X: 7.39648 -INDEX GOES BRRR: 9 X: 0.568359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.52539 -INDEX GOES BRRR: 238 X: 14.8857 -INDEX GOES BRRR: 115 X: 7.23438 -INDEX GOES BRRR: 411 X: 25.7217 -INDEX GOES BRRR: 268 X: 16.793 -INDEX GOES BRRR: 270 X: 16.9258 -INDEX GOES BRRR: 838 X: -11.6084 -INDEX GOES BRRR: 854 X: -10.5908 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1010 X: -0.829102 -INDEX GOES BRRR: 968 X: -3.47363 -INDEX GOES BRRR: 183 X: 11.4746 -INDEX GOES BRRR: 107 X: 6.69141 -INDEX GOES BRRR: 945 X: -4.91406 -INDEX GOES BRRR: 982 X: -2.56641 -INDEX GOES BRRR: 83 X: 5.22949 -INDEX GOES BRRR: 307 X: 19.2051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 492 X: 30.79 -INDEX GOES BRRR: 942 X: -5.09766 -INDEX GOES BRRR: 324 X: 20.3008 -INDEX GOES BRRR: 196 X: 12.2754 -INDEX GOES BRRR: 215 X: 13.498 -INDEX GOES BRRR: 160 X: 10.0566 -INDEX GOES BRRR: 152 X: 9.55371 -INDEX GOES BRRR: 259 X: 16.2207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 236 X: 14.7783 -INDEX GOES BRRR: 303 X: 18.9482 -INDEX GOES BRRR: 911 X: -7.03613 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 21 X: 1.32422 -INDEX GOES BRRR: 38 X: 2.42285 -INDEX GOES BRRR: 983 X: -2.52246 -INDEX GOES BRRR: 13 X: 0.854492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.6113 -INDEX GOES BRRR: 104 X: 6.52148 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 79 X: 4.95703 -INDEX GOES BRRR: 1014 X: -0.616211 -INDEX GOES BRRR: 935 X: -5.52051 -INDEX GOES BRRR: 208 X: 13.0439 -INDEX GOES BRRR: 237 X: 14.833 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 297 X: 18.6006 -INDEX GOES BRRR: 235 X: 14.7129 -INDEX GOES BRRR: 235 X: 14.748 -INDEX GOES BRRR: 947 X: -4.79297 -INDEX GOES BRRR: 260 X: 16.2881 -INDEX GOES BRRR: 105 X: 6.60254 -INDEX GOES BRRR: 421 X: 26.3574 -INDEX GOES BRRR: 942 X: -5.10645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.6016 -INDEX GOES BRRR: 1017 X: -0.378906 -INDEX GOES BRRR: 843 X: -11.2676 -INDEX GOES BRRR: 93 X: 5.85254 -INDEX GOES BRRR: 264 X: 16.5381 -INDEX GOES BRRR: 181 X: 11.3193 -INDEX GOES BRRR: 340 X: 21.2705 -INDEX GOES BRRR: 915 X: -6.77539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2324 -INDEX GOES BRRR: 1011 X: -0.763672 -INDEX GOES BRRR: 7 X: 0.467773 -INDEX GOES BRRR: 117 X: 7.35059 -INDEX GOES BRRR: 256 X: 16.0615 -INDEX GOES BRRR: 397 X: 24.8643 -INDEX GOES BRRR: 236 X: 14.7617 -INDEX GOES BRRR: 392 X: 24.5186 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.8398 -INDEX GOES BRRR: 31 X: 1.98145 -INDEX GOES BRRR: 293 X: 18.3457 -INDEX GOES BRRR: 188 X: 11.8047 -INDEX GOES BRRR: 315 X: 19.7441 -INDEX GOES BRRR: 161 X: 10.1172 -INDEX GOES BRRR: 320 X: 20.0244 -INDEX GOES BRRR: 877 X: -9.14258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.31836 -INDEX GOES BRRR: 336 X: 21.0059 -INDEX GOES BRRR: 203 X: 12.7354 -INDEX GOES BRRR: 959 X: -4.05762 -INDEX GOES BRRR: 461 X: 28.8408 -INDEX GOES BRRR: 37 X: 2.35547 -INDEX GOES BRRR: 258 X: 16.1289 -INDEX GOES BRRR: 267 X: 16.7363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 936 X: -5.4707 -INDEX GOES BRRR: 191 X: 11.9961 -INDEX GOES BRRR: 89 X: 5.5957 -INDEX GOES BRRR: 953 X: -4.40234 -INDEX GOES BRRR: 370 X: 23.1416 -INDEX GOES BRRR: 199 X: 12.4551 -INDEX GOES BRRR: 44 X: 2.78125 -INDEX GOES BRRR: 219 X: 13.7461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 449 X: 28.1162 -INDEX GOES BRRR: 219 X: 13.7363 -INDEX GOES BRRR: 235 X: 14.7031 -INDEX GOES BRRR: 998 X: -1.57617 -INDEX GOES BRRR: 102 X: 6.41504 -INDEX GOES BRRR: 78 X: 4.91602 -INDEX GOES BRRR: 188 X: 11.8008 -INDEX GOES BRRR: 344 X: 21.5186 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.66016 -INDEX GOES BRRR: 228 X: 14.3066 -INDEX GOES BRRR: 949 X: -4.65332 -INDEX GOES BRRR: 900 X: -7.72559 -INDEX GOES BRRR: 123 X: 7.70898 -INDEX GOES BRRR: 42 X: 2.63574 -INDEX GOES BRRR: 310 X: 19.3955 -INDEX GOES BRRR: 405 X: 25.3262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 447 X: 27.9951 -INDEX GOES BRRR: 142 X: 8.93652 -INDEX GOES BRRR: 320 X: 20.0293 -INDEX GOES BRRR: 159 X: 9.97852 -INDEX GOES BRRR: 245 X: 15.3438 -INDEX GOES BRRR: 48 X: 3.0293 -INDEX GOES BRRR: 143 X: 8.96973 -INDEX GOES BRRR: 234 X: 14.6553 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.62012 -INDEX GOES BRRR: 291 X: 18.2432 -INDEX GOES BRRR: 940 X: -5.24902 -INDEX GOES BRRR: 48 X: 3.04785 -INDEX GOES BRRR: 5 X: 0.368164 -INDEX GOES BRRR: 156 X: 9.77148 -INDEX GOES BRRR: 942 X: -5.12207 -INDEX GOES BRRR: 214 X: 13.4365 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4375 -INDEX GOES BRRR: 172 X: 10.7783 -INDEX GOES BRRR: 335 X: 20.9375 -INDEX GOES BRRR: 342 X: 21.3809 -INDEX GOES BRRR: 208 X: 13.0488 -INDEX GOES BRRR: 173 X: 10.8271 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 48 X: 3.02734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.32324 -INDEX GOES BRRR: 5 X: 0.364258 -INDEX GOES BRRR: 220 X: 13.7979 -INDEX GOES BRRR: 841 X: -11.376 -INDEX GOES BRRR: 465 X: 29.0889 -INDEX GOES BRRR: 1012 X: -0.723633 -INDEX GOES BRRR: 995 X: -1.75391 -INDEX GOES BRRR: 106 X: 6.65234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.15918 -INDEX GOES BRRR: 116 X: 7.27832 -INDEX GOES BRRR: 998 X: -1.60352 -INDEX GOES BRRR: 456 X: 28.5449 -INDEX GOES BRRR: 280 X: 17.5352 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 114 X: 7.18457 -INDEX GOES BRRR: 364 X: 22.8037 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0439 -INDEX GOES BRRR: 231 X: 14.4639 -INDEX GOES BRRR: 247 X: 15.4502 -INDEX GOES BRRR: 988 X: -2.20703 -INDEX GOES BRRR: 224 X: 14.0605 -INDEX GOES BRRR: 271 X: 16.957 -INDEX GOES BRRR: 444 X: 27.7617 -INDEX GOES BRRR: 1002 X: -1.36719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.58203 -INDEX GOES BRRR: 68 X: 4.30078 -INDEX GOES BRRR: 194 X: 12.1299 -INDEX GOES BRRR: 240 X: 15.0215 -INDEX GOES BRRR: 197 X: 12.3408 -INDEX GOES BRRR: 281 X: 17.6152 -INDEX GOES BRRR: 128 X: 8.0293 -INDEX GOES BRRR: 135 X: 8.46094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.53809 -INDEX GOES BRRR: 207 X: 12.9824 -INDEX GOES BRRR: 302 X: 18.8789 -INDEX GOES BRRR: 303 X: 18.9834 -INDEX GOES BRRR: 279 X: 17.4854 -INDEX GOES BRRR: 216 X: 13.5322 -INDEX GOES BRRR: 958 X: -4.07812 -INDEX GOES BRRR: 1018 X: -0.324219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.47461 -INDEX GOES BRRR: 307 X: 19.2266 -INDEX GOES BRRR: 218 X: 13.6416 -INDEX GOES BRRR: 62 X: 3.875 -INDEX GOES BRRR: 156 X: 9.79395 -INDEX GOES BRRR: 1021 X: -0.150391 -INDEX GOES BRRR: 110 X: 6.88281 -INDEX GOES BRRR: 331 X: 20.7354 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7666 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 231 X: 14.4795 -INDEX GOES BRRR: 208 X: 13.0088 -INDEX GOES BRRR: 293 X: 18.3281 -INDEX GOES BRRR: 361 X: 22.5645 -INDEX GOES BRRR: 296 X: 18.5078 -INDEX GOES BRRR: 235 X: 14.7383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 400 X: 25.0039 -INDEX GOES BRRR: 293 X: 18.3535 -INDEX GOES BRRR: 157 X: 9.83105 -INDEX GOES BRRR: 134 X: 8.38086 -INDEX GOES BRRR: 122 X: 7.66211 -INDEX GOES BRRR: 291 X: 18.2275 -INDEX GOES BRRR: 153 X: 9.62207 -INDEX GOES BRRR: 163 X: 10.2246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.126 -INDEX GOES BRRR: 327 X: 20.4766 -INDEX GOES BRRR: 405 X: 25.3145 -INDEX GOES BRRR: 340 X: 21.2861 -INDEX GOES BRRR: 427 X: 26.6875 -INDEX GOES BRRR: 145 X: 9.12305 -INDEX GOES BRRR: 118 X: 7.4043 -INDEX GOES BRRR: 81 X: 5.08984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 75 X: 4.71191 -INDEX GOES BRRR: 167 X: 10.4766 -INDEX GOES BRRR: 226 X: 14.1758 -INDEX GOES BRRR: 307 X: 19.2158 -INDEX GOES BRRR: 214 X: 13.418 -INDEX GOES BRRR: 479 X: 29.9443 -INDEX GOES BRRR: 352 X: 22.04 -INDEX GOES BRRR: 18 X: 1.1709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 484 X: 30.2686 -INDEX GOES BRRR: 151 X: 9.48438 -INDEX GOES BRRR: 963 X: -3.77051 -INDEX GOES BRRR: 954 X: -4.33594 -INDEX GOES BRRR: 985 X: -2.39062 -INDEX GOES BRRR: 68 X: 4.28516 -INDEX GOES BRRR: 166 X: 10.3857 -INDEX GOES BRRR: 172 X: 10.8086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 894 X: -8.10254 -INDEX GOES BRRR: 97 X: 6.12012 -INDEX GOES BRRR: 119 X: 7.49609 -INDEX GOES BRRR: 371 X: 23.2334 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 21 X: 1.35254 -INDEX GOES BRRR: 189 X: 11.8193 -INDEX GOES BRRR: 261 X: 16.332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1777 -INDEX GOES BRRR: 53 X: 3.33594 -INDEX GOES BRRR: 126 X: 7.91406 -INDEX GOES BRRR: 323 X: 20.1973 -INDEX GOES BRRR: 1001 X: -1.39453 -INDEX GOES BRRR: 1008 X: -0.943359 -INDEX GOES BRRR: 179 X: 11.2314 -INDEX GOES BRRR: 460 X: 28.8037 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.95508 -INDEX GOES BRRR: 996 X: -1.72754 -INDEX GOES BRRR: 1023 X: -0.0458984 -INDEX GOES BRRR: 114 X: 7.15137 -INDEX GOES BRRR: 185 X: 11.6221 -INDEX GOES BRRR: 170 X: 10.6846 -INDEX GOES BRRR: 396 X: 24.7949 -INDEX GOES BRRR: 318 X: 19.8838 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3203 -INDEX GOES BRRR: 1022 X: -0.0634766 -INDEX GOES BRRR: 999 X: -1.51172 -INDEX GOES BRRR: 296 X: 18.5039 -INDEX GOES BRRR: 219 X: 13.7158 -INDEX GOES BRRR: 81 X: 5.0752 -INDEX GOES BRRR: 310 X: 19.4111 -INDEX GOES BRRR: 371 X: 23.2041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 233 X: 14.5664 -INDEX GOES BRRR: 178 X: 11.1768 -INDEX GOES BRRR: 25 X: 1.58398 -INDEX GOES BRRR: 328 X: 20.541 -INDEX GOES BRRR: 186 X: 11.6777 -INDEX GOES BRRR: 373 X: 23.3232 -INDEX GOES BRRR: 30 X: 1.88672 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 990 X: -2.08203 -INDEX GOES BRRR: 1002 X: -1.34668 -INDEX GOES BRRR: 170 X: 10.6455 -INDEX GOES BRRR: 472 X: 29.5166 -INDEX GOES BRRR: 152 X: 9.55078 -INDEX GOES BRRR: 401 X: 25.123 -INDEX GOES BRRR: 302 X: 18.8789 -INDEX GOES BRRR: 64 X: 4.06055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.7266 -INDEX GOES BRRR: 0 X: 0.0380859 -INDEX GOES BRRR: 330 X: 20.6621 -INDEX GOES BRRR: 120 X: 7.53027 -INDEX GOES BRRR: 133 X: 8.36523 -INDEX GOES BRRR: 212 X: 13.2646 -INDEX GOES BRRR: 188 X: 11.7695 -INDEX GOES BRRR: 138 X: 8.64746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 446 X: 27.8965 -INDEX GOES BRRR: 486 X: 30.3945 -INDEX GOES BRRR: 43 X: 2.7168 -INDEX GOES BRRR: 275 X: 17.248 -INDEX GOES BRRR: 110 X: 6.93359 -INDEX GOES BRRR: 107 X: 6.70703 -INDEX GOES BRRR: 182 X: 11.3955 -INDEX GOES BRRR: 142 X: 8.88867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.7266 -INDEX GOES BRRR: 156 X: 9.75586 -INDEX GOES BRRR: 15 X: 0.998047 -INDEX GOES BRRR: 271 X: 16.9775 -INDEX GOES BRRR: 153 X: 9.6084 -INDEX GOES BRRR: 89 X: 5.5752 -INDEX GOES BRRR: 883 X: -8.78809 -INDEX GOES BRRR: 121 X: 7.59082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.9189 -INDEX GOES BRRR: 1002 X: -1.35156 -INDEX GOES BRRR: 191 X: 11.9463 -INDEX GOES BRRR: 66 X: 4.1748 -INDEX GOES BRRR: 20 X: 1.2959 -INDEX GOES BRRR: 88 X: 5.54688 -INDEX GOES BRRR: 264 X: 16.5488 -INDEX GOES BRRR: 26 X: 1.63379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.74805 -INDEX GOES BRRR: 206 X: 12.9248 -INDEX GOES BRRR: 18 X: 1.12988 -INDEX GOES BRRR: 313 X: 19.5918 -INDEX GOES BRRR: 249 X: 15.6162 -INDEX GOES BRRR: 388 X: 24.2734 -INDEX GOES BRRR: 147 X: 9.23633 -INDEX GOES BRRR: 483 X: 30.2432 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.23242 -INDEX GOES BRRR: 994 X: -1.81348 -INDEX GOES BRRR: 106 X: 6.6377 -INDEX GOES BRRR: 143 X: 8.99902 -INDEX GOES BRRR: 200 X: 12.5352 -INDEX GOES BRRR: 88 X: 5.5127 -INDEX GOES BRRR: 407 X: 25.4785 -INDEX GOES BRRR: 148 X: 9.27148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 308 X: 19.2891 -INDEX GOES BRRR: 75 X: 4.70703 -INDEX GOES BRRR: 276 X: 17.2734 -INDEX GOES BRRR: 76 X: 4.79785 -INDEX GOES BRRR: 6 X: 0.422852 -INDEX GOES BRRR: 104 X: 6.54004 -INDEX GOES BRRR: 999 X: -1.53223 -INDEX GOES BRRR: 186 X: 11.6719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 617 X: -25.3867 -INDEX GOES BRRR: 174 X: 10.8877 -INDEX GOES BRRR: 47 X: 2.94727 -INDEX GOES BRRR: 188 X: 11.8076 -INDEX GOES BRRR: 907 X: -7.27637 -INDEX GOES BRRR: 153 X: 9.61816 -INDEX GOES BRRR: 269 X: 16.8154 -INDEX GOES BRRR: 132 X: 8.25195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3477 -INDEX GOES BRRR: 431 X: 26.9717 -INDEX GOES BRRR: 1013 X: -0.674805 -INDEX GOES BRRR: 153 X: 9.5957 -INDEX GOES BRRR: 84 X: 5.28418 -INDEX GOES BRRR: 100 X: 6.31152 -INDEX GOES BRRR: 240 X: 15.002 -INDEX GOES BRRR: 164 X: 10.3018 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 677 X: -21.6699 -INDEX GOES BRRR: 208 X: 13.0205 -INDEX GOES BRRR: 117 X: 7.32617 -INDEX GOES BRRR: 958 X: -4.08887 -INDEX GOES BRRR: 138 X: 8.64355 -INDEX GOES BRRR: 177 X: 11.0781 -INDEX GOES BRRR: 370 X: 23.1582 -INDEX GOES BRRR: 326 X: 20.4258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.6279 -INDEX GOES BRRR: 211 X: 13.2383 -INDEX GOES BRRR: 240 X: 15.0137 -INDEX GOES BRRR: 459 X: 28.7031 -INDEX GOES BRRR: 174 X: 10.8838 -INDEX GOES BRRR: 62 X: 3.90723 -INDEX GOES BRRR: 53 X: 3.37012 -INDEX GOES BRRR: 391 X: 24.4727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.89551 -INDEX GOES BRRR: 158 X: 9.91504 -INDEX GOES BRRR: 221 X: 13.8604 -INDEX GOES BRRR: 420 X: 26.2715 -INDEX GOES BRRR: 139 X: 8.74512 -INDEX GOES BRRR: 984 X: -2.4668 -INDEX GOES BRRR: 148 X: 9.28223 -INDEX GOES BRRR: 300 X: 18.7578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 276 X: 17.2539 -INDEX GOES BRRR: 19 X: 1.20508 -INDEX GOES BRRR: 291 X: 18.2061 -INDEX GOES BRRR: 862 X: -10.1094 -INDEX GOES BRRR: 192 X: 12.0508 -INDEX GOES BRRR: 880 X: -8.97266 -INDEX GOES BRRR: 78 X: 4.90723 -INDEX GOES BRRR: 169 X: 10.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.3359 -INDEX GOES BRRR: 214 X: 13.415 -INDEX GOES BRRR: 367 X: 22.9541 -INDEX GOES BRRR: 429 X: 26.8359 -INDEX GOES BRRR: 10 X: 0.645508 -INDEX GOES BRRR: 213 X: 13.3633 -INDEX GOES BRRR: 83 X: 5.19043 -INDEX GOES BRRR: 110 X: 6.90918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.47949 -INDEX GOES BRRR: 293 X: 18.3604 -INDEX GOES BRRR: 117 X: 7.34082 -INDEX GOES BRRR: 955 X: -4.28711 -INDEX GOES BRRR: 37 X: 2.33789 -INDEX GOES BRRR: 1008 X: -0.96582 -INDEX GOES BRRR: 300 X: 18.7803 -INDEX GOES BRRR: 141 X: 8.83398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 953 X: -4.41699 -INDEX GOES BRRR: 446 X: 27.8779 -INDEX GOES BRRR: 457 X: 28.6201 -INDEX GOES BRRR: 256 X: 16.0098 -INDEX GOES BRRR: 200 X: 12.5312 -INDEX GOES BRRR: 32 X: 2.03125 -INDEX GOES BRRR: 427 X: 26.6992 -INDEX GOES BRRR: 252 X: 15.7764 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 311 X: 19.4766 -INDEX GOES BRRR: 968 X: -3.44336 -INDEX GOES BRRR: 286 X: 17.9033 -INDEX GOES BRRR: 204 X: 12.7686 -INDEX GOES BRRR: 120 X: 7.50488 -INDEX GOES BRRR: 315 X: 19.7041 -INDEX GOES BRRR: 6 X: 0.431641 -INDEX GOES BRRR: 268 X: 16.7988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.83203 -INDEX GOES BRRR: 935 X: -5.56055 -INDEX GOES BRRR: 116 X: 7.25977 -INDEX GOES BRRR: 969 X: -3.38281 -INDEX GOES BRRR: 339 X: 21.2471 -INDEX GOES BRRR: 239 X: 14.959 -INDEX GOES BRRR: 206 X: 12.8975 -INDEX GOES BRRR: 286 X: 17.8809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.642578 -INDEX GOES BRRR: 299 X: 18.7383 -INDEX GOES BRRR: 976 X: -2.95605 -INDEX GOES BRRR: 907 X: -7.27832 -INDEX GOES BRRR: 336 X: 21.0566 -INDEX GOES BRRR: 396 X: 24.7607 -INDEX GOES BRRR: 56 X: 3.54102 -INDEX GOES BRRR: 311 X: 19.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.81641 -INDEX GOES BRRR: 140 X: 8.81055 -INDEX GOES BRRR: 161 X: 10.1006 -INDEX GOES BRRR: 183 X: 11.4502 -INDEX GOES BRRR: 271 X: 16.9688 -INDEX GOES BRRR: 353 X: 22.0723 -INDEX GOES BRRR: 289 X: 18.0928 -INDEX GOES BRRR: 1020 X: -0.192383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.96094 -INDEX GOES BRRR: 369 X: 23.0918 -INDEX GOES BRRR: 138 X: 8.6416 -INDEX GOES BRRR: 202 X: 12.6406 -INDEX GOES BRRR: 0 X: 0.00390625 -INDEX GOES BRRR: 96 X: 6.02246 -INDEX GOES BRRR: 64 X: 4.03418 -INDEX GOES BRRR: 115 X: 7.24219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 18 X: 1.12598 -INDEX GOES BRRR: 1016 X: -0.454102 -INDEX GOES BRRR: 157 X: 9.81836 -INDEX GOES BRRR: 380 X: 23.7666 -INDEX GOES BRRR: 51 X: 3.2168 -INDEX GOES BRRR: 991 X: -2.03223 -INDEX GOES BRRR: 67 X: 4.19043 -INDEX GOES BRRR: 124 X: 7.77734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.20117 -INDEX GOES BRRR: 464 X: 29.0361 -INDEX GOES BRRR: 289 X: 18.084 -INDEX GOES BRRR: 961 X: -3.88965 -INDEX GOES BRRR: 141 X: 8.84668 -INDEX GOES BRRR: 85 X: 5.33398 -INDEX GOES BRRR: 389 X: 24.3662 -INDEX GOES BRRR: 983 X: -2.52051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3682 -INDEX GOES BRRR: 1012 X: -0.703125 -INDEX GOES BRRR: 42 X: 2.67676 -INDEX GOES BRRR: 65 X: 4.08984 -INDEX GOES BRRR: 292 X: 18.3066 -INDEX GOES BRRR: 250 X: 15.6611 -INDEX GOES BRRR: 278 X: 17.3799 -INDEX GOES BRRR: 361 X: 22.5977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 331 X: 20.6943 -INDEX GOES BRRR: 468 X: 29.3096 -INDEX GOES BRRR: 245 X: 15.3369 -INDEX GOES BRRR: 203 X: 12.7178 -INDEX GOES BRRR: 223 X: 13.9678 -INDEX GOES BRRR: 261 X: 16.3691 -INDEX GOES BRRR: 208 X: 13.0469 -INDEX GOES BRRR: 382 X: 23.9365 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.88184 -INDEX GOES BRRR: 118 X: 7.4209 -INDEX GOES BRRR: 1008 X: -0.955078 -INDEX GOES BRRR: 24 X: 1.53027 -INDEX GOES BRRR: 130 X: 8.14453 -INDEX GOES BRRR: 152 X: 9.50977 -INDEX GOES BRRR: 367 X: 22.9756 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 265 X: 16.5723 -INDEX GOES BRRR: 465 X: 29.0684 -INDEX GOES BRRR: 45 X: 2.84863 -INDEX GOES BRRR: 962 X: -3.82227 -INDEX GOES BRRR: 917 X: -6.64648 -INDEX GOES BRRR: 296 X: 18.5596 -INDEX GOES BRRR: 122 X: 7.66895 -INDEX GOES BRRR: 40 X: 2.55371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 998 X: -1.60352 -INDEX GOES BRRR: 249 X: 15.5635 -INDEX GOES BRRR: 92 X: 5.77148 -INDEX GOES BRRR: 402 X: 25.1436 -INDEX GOES BRRR: 263 X: 16.458 -INDEX GOES BRRR: 239 X: 14.9619 -INDEX GOES BRRR: 327 X: 20.4424 -INDEX GOES BRRR: 144 X: 9.02051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 300 X: 18.79 -INDEX GOES BRRR: 217 X: 13.5752 -INDEX GOES BRRR: 188 X: 11.7578 -INDEX GOES BRRR: 313 X: 19.6152 -INDEX GOES BRRR: 356 X: 22.2754 -INDEX GOES BRRR: 288 X: 18.0488 -INDEX GOES BRRR: 349 X: 21.8643 -INDEX GOES BRRR: 349 X: 21.8408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.2959 -INDEX GOES BRRR: 189 X: 11.8135 -INDEX GOES BRRR: 110 X: 6.93555 -INDEX GOES BRRR: 323 X: 20.1963 -INDEX GOES BRRR: 384 X: 24.0596 -INDEX GOES BRRR: 61 X: 3.8418 -INDEX GOES BRRR: 949 X: -4.64453 -INDEX GOES BRRR: 465 X: 29.0645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.78711 -INDEX GOES BRRR: 1000 X: -1.46289 -INDEX GOES BRRR: 338 X: 21.1348 -INDEX GOES BRRR: 45 X: 2.84473 -INDEX GOES BRRR: 1004 X: -1.2207 -INDEX GOES BRRR: 104 X: 6.55078 -INDEX GOES BRRR: 250 X: 15.6553 -INDEX GOES BRRR: 349 X: 21.8711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 914 X: -6.87305 -INDEX GOES BRRR: 171 X: 10.6914 -INDEX GOES BRRR: 105 X: 6.61914 -INDEX GOES BRRR: 991 X: -2.02539 -INDEX GOES BRRR: 332 X: 20.7539 -INDEX GOES BRRR: 191 X: 11.9902 -INDEX GOES BRRR: 109 X: 6.84766 -INDEX GOES BRRR: 137 X: 8.60547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 995 X: -1.75879 -INDEX GOES BRRR: 154 X: 9.64355 -INDEX GOES BRRR: 55 X: 3.48633 -INDEX GOES BRRR: 157 X: 9.83203 -INDEX GOES BRRR: 182 X: 11.3779 -INDEX GOES BRRR: 142 X: 8.9043 -INDEX GOES BRRR: 129 X: 8.0791 -INDEX GOES BRRR: 78 X: 4.91992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6865 -INDEX GOES BRRR: 199 X: 12.4443 -INDEX GOES BRRR: 130 X: 8.17871 -INDEX GOES BRRR: 69 X: 4.34863 -INDEX GOES BRRR: 93 X: 5.86621 -INDEX GOES BRRR: 155 X: 9.71289 -INDEX GOES BRRR: 331 X: 20.7334 -INDEX GOES BRRR: 349 X: 21.8193 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.8057 -INDEX GOES BRRR: 385 X: 24.0713 -INDEX GOES BRRR: 312 X: 19.5527 -INDEX GOES BRRR: 9 X: 0.569336 -INDEX GOES BRRR: 930 X: -5.81836 -INDEX GOES BRRR: 15 X: 0.987305 -INDEX GOES BRRR: 265 X: 16.6035 -INDEX GOES BRRR: 999 X: -1.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.20312 -INDEX GOES BRRR: 957 X: -4.16895 -INDEX GOES BRRR: 31 X: 1.96875 -INDEX GOES BRRR: 35 X: 2.24414 -INDEX GOES BRRR: 294 X: 18.415 -INDEX GOES BRRR: 395 X: 24.7217 -INDEX GOES BRRR: 1 X: 0.103516 -INDEX GOES BRRR: 10 X: 0.678711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2412 -INDEX GOES BRRR: 865 X: -9.93457 -INDEX GOES BRRR: 909 X: -7.1416 -INDEX GOES BRRR: 79 X: 4.99805 -INDEX GOES BRRR: 170 X: 10.6641 -INDEX GOES BRRR: 271 X: 16.9414 -INDEX GOES BRRR: 960 X: -3.9707 -INDEX GOES BRRR: 89 X: 5.57617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 898 X: -7.87012 -INDEX GOES BRRR: 166 X: 10.3906 -INDEX GOES BRRR: 314 X: 19.6504 -INDEX GOES BRRR: 931 X: -5.77246 -INDEX GOES BRRR: 366 X: 22.8965 -INDEX GOES BRRR: 993 X: -1.90039 -INDEX GOES BRRR: 241 X: 15.124 -INDEX GOES BRRR: 279 X: 17.4961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.7695 -INDEX GOES BRRR: 50 X: 3.13477 -INDEX GOES BRRR: 405 X: 25.3594 -INDEX GOES BRRR: 208 X: 13.0547 -INDEX GOES BRRR: 1009 X: -0.916992 -INDEX GOES BRRR: 146 X: 9.16602 -INDEX GOES BRRR: 998 X: -1.59473 -INDEX GOES BRRR: 344 X: 21.5234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1787 -INDEX GOES BRRR: 220 X: 13.7783 -INDEX GOES BRRR: 278 X: 17.3975 -INDEX GOES BRRR: 1022 X: -0.0986328 -INDEX GOES BRRR: 244 X: 15.3086 -INDEX GOES BRRR: 255 X: 15.9502 -INDEX GOES BRRR: 21 X: 1.31445 -INDEX GOES BRRR: 129 X: 8.09375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.35352 -INDEX GOES BRRR: 112 X: 7.00391 -INDEX GOES BRRR: 228 X: 14.2939 -INDEX GOES BRRR: 208 X: 13.0156 -INDEX GOES BRRR: 155 X: 9.69238 -INDEX GOES BRRR: 921 X: -6.40039 -INDEX GOES BRRR: 134 X: 8.41211 -INDEX GOES BRRR: 89 X: 5.57227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 379 X: 23.6992 -INDEX GOES BRRR: 210 X: 13.166 -INDEX GOES BRRR: 209 X: 13.083 -INDEX GOES BRRR: 943 X: -5.02734 -INDEX GOES BRRR: 986 X: -2.3252 -INDEX GOES BRRR: 172 X: 10.7637 -INDEX GOES BRRR: 100 X: 6.27148 -INDEX GOES BRRR: 143 X: 8.97559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.6104 -INDEX GOES BRRR: 945 X: -4.89355 -INDEX GOES BRRR: 125 X: 7.83105 -INDEX GOES BRRR: 961 X: -3.90527 -INDEX GOES BRRR: 879 X: -9.00977 -INDEX GOES BRRR: 140 X: 8.75781 -INDEX GOES BRRR: 416 X: 26.0205 -INDEX GOES BRRR: 349 X: 21.8242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.0869 -INDEX GOES BRRR: 396 X: 24.7793 -INDEX GOES BRRR: 1013 X: -0.640625 -INDEX GOES BRRR: 51 X: 3.23145 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 341 X: 21.3691 -INDEX GOES BRRR: 387 X: 24.2197 -INDEX GOES BRRR: 939 X: -5.2832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 970 X: -3.34082 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 411 X: 25.6934 -INDEX GOES BRRR: 156 X: 9.76953 -INDEX GOES BRRR: 198 X: 12.4287 -INDEX GOES BRRR: 164 X: 10.292 -INDEX GOES BRRR: 223 X: 13.9648 -INDEX GOES BRRR: 168 X: 10.5605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 905 X: -7.37598 -INDEX GOES BRRR: 23 X: 1.47559 -INDEX GOES BRRR: 435 X: 27.2432 -INDEX GOES BRRR: 229 X: 14.3701 -INDEX GOES BRRR: 971 X: -3.26855 -INDEX GOES BRRR: 119 X: 7.43848 -INDEX GOES BRRR: 333 X: 20.832 -INDEX GOES BRRR: 992 X: -1.97949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 978 X: -2.85156 -INDEX GOES BRRR: 207 X: 12.9844 -INDEX GOES BRRR: 321 X: 20.082 -INDEX GOES BRRR: 326 X: 20.4209 -INDEX GOES BRRR: 309 X: 19.3662 -INDEX GOES BRRR: 154 X: 9.6543 -INDEX GOES BRRR: 927 X: -6.0498 -INDEX GOES BRRR: 785 X: -14.9258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6318 -INDEX GOES BRRR: 393 X: 24.5781 -INDEX GOES BRRR: 313 X: 19.585 -INDEX GOES BRRR: 1002 X: -1.37109 -INDEX GOES BRRR: 250 X: 15.6426 -INDEX GOES BRRR: 184 X: 11.5459 -INDEX GOES BRRR: 34 X: 2.16504 -INDEX GOES BRRR: 174 X: 10.9326 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 326 X: 20.4092 -INDEX GOES BRRR: 198 X: 12.416 -INDEX GOES BRRR: 185 X: 11.6133 -INDEX GOES BRRR: 320 X: 20.001 -INDEX GOES BRRR: 157 X: 9.81348 -INDEX GOES BRRR: 305 X: 19.1074 -INDEX GOES BRRR: 269 X: 16.874 -INDEX GOES BRRR: 1022 X: -0.121094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.2959 -INDEX GOES BRRR: 150 X: 9.38574 -INDEX GOES BRRR: 971 X: -3.28516 -INDEX GOES BRRR: 358 X: 22.4297 -INDEX GOES BRRR: 12 X: 0.800781 -INDEX GOES BRRR: 32 X: 2.01172 -INDEX GOES BRRR: 33 X: 2.07324 -INDEX GOES BRRR: 135 X: 8.45996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.395508 -INDEX GOES BRRR: 180 X: 11.2803 -INDEX GOES BRRR: 148 X: 9.29004 -INDEX GOES BRRR: 723 X: -18.7725 -INDEX GOES BRRR: 325 X: 20.3203 -INDEX GOES BRRR: 111 X: 6.98145 -INDEX GOES BRRR: 67 X: 4.20312 -INDEX GOES BRRR: 41 X: 2.56641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 74 X: 4.67188 -INDEX GOES BRRR: 151 X: 9.48242 -INDEX GOES BRRR: 93 X: 5.8291 -INDEX GOES BRRR: 142 X: 8.93066 -INDEX GOES BRRR: 162 X: 10.1641 -INDEX GOES BRRR: 90 X: 5.65625 -INDEX GOES BRRR: 333 X: 20.8135 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.2354 -INDEX GOES BRRR: 976 X: -2.94531 -INDEX GOES BRRR: 57 X: 3.58496 -INDEX GOES BRRR: 252 X: 15.7773 -INDEX GOES BRRR: 468 X: 29.2861 -INDEX GOES BRRR: 127 X: 7.9375 -INDEX GOES BRRR: 44 X: 2.76953 -INDEX GOES BRRR: 254 X: 15.9209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 947 X: -4.81152 -INDEX GOES BRRR: 932 X: -5.74707 -INDEX GOES BRRR: 136 X: 8.50879 -INDEX GOES BRRR: 371 X: 23.1934 -INDEX GOES BRRR: 68 X: 4.27148 -INDEX GOES BRRR: 307 X: 19.1963 -INDEX GOES BRRR: 189 X: 11.8486 -INDEX GOES BRRR: 13 X: 0.861328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2275 -INDEX GOES BRRR: 855 X: -10.501 -INDEX GOES BRRR: 170 X: 10.6611 -INDEX GOES BRRR: 180 X: 11.2783 -INDEX GOES BRRR: 144 X: 9.04102 -INDEX GOES BRRR: 99 X: 6.22168 -INDEX GOES BRRR: 339 X: 21.2432 -INDEX GOES BRRR: 131 X: 8.20605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9639 -INDEX GOES BRRR: 202 X: 12.6426 -INDEX GOES BRRR: 190 X: 11.9258 -INDEX GOES BRRR: 300 X: 18.7949 -INDEX GOES BRRR: 114 X: 7.16699 -INDEX GOES BRRR: 174 X: 10.8828 -INDEX GOES BRRR: 27 X: 1.72168 -INDEX GOES BRRR: 189 X: 11.8232 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.07129 -INDEX GOES BRRR: 835 X: -11.8057 -INDEX GOES BRRR: 1 X: 0.0683594 -INDEX GOES BRRR: 917 X: -6.66895 -INDEX GOES BRRR: 189 X: 11.8594 -INDEX GOES BRRR: 147 X: 9.19434 -INDEX GOES BRRR: 145 X: 9.12402 -INDEX GOES BRRR: 273 X: 17.0752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2744 -INDEX GOES BRRR: 1018 X: -0.330078 -INDEX GOES BRRR: 795 X: -14.2783 -INDEX GOES BRRR: 99 X: 6.1875 -INDEX GOES BRRR: 964 X: -3.69727 -INDEX GOES BRRR: 148 X: 9.26758 -INDEX GOES BRRR: 1014 X: -0.582031 -INDEX GOES BRRR: 194 X: 12.1553 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 478 X: 29.9297 -INDEX GOES BRRR: 232 X: 14.5068 -INDEX GOES BRRR: 236 X: 14.7656 -INDEX GOES BRRR: 21 X: 1.36328 -INDEX GOES BRRR: 942 X: -5.08789 -INDEX GOES BRRR: 308 X: 19.293 -INDEX GOES BRRR: 216 X: 13.5449 -INDEX GOES BRRR: 157 X: 9.82129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 906 X: -7.31348 -INDEX GOES BRRR: 87 X: 5.49414 -INDEX GOES BRRR: 61 X: 3.81543 -INDEX GOES BRRR: 257 X: 16.1064 -INDEX GOES BRRR: 306 X: 19.127 -INDEX GOES BRRR: 826 X: -12.3271 -INDEX GOES BRRR: 170 X: 10.6836 -INDEX GOES BRRR: 988 X: -2.24023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 847 X: -11.0029 -INDEX GOES BRRR: 260 X: 16.3105 -INDEX GOES BRRR: 134 X: 8.38281 -INDEX GOES BRRR: 950 X: -4.62012 -INDEX GOES BRRR: 1021 X: -0.130859 -INDEX GOES BRRR: 209 X: 13.1045 -INDEX GOES BRRR: 21 X: 1.32129 -INDEX GOES BRRR: 140 X: 8.7832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.168 -INDEX GOES BRRR: 33 X: 2.11035 -INDEX GOES BRRR: 976 X: -2.96973 -INDEX GOES BRRR: 315 X: 19.7324 -INDEX GOES BRRR: 10 X: 0.634766 -INDEX GOES BRRR: 196 X: 12.2754 -INDEX GOES BRRR: 82 X: 5.18359 -INDEX GOES BRRR: 314 X: 19.6543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.5752 -INDEX GOES BRRR: 944 X: -4.9873 -INDEX GOES BRRR: 402 X: 25.1865 -INDEX GOES BRRR: 1013 X: -0.676758 -INDEX GOES BRRR: 34 X: 2.17676 -INDEX GOES BRRR: 93 X: 5.83887 -INDEX GOES BRRR: 77 X: 4.8418 -INDEX GOES BRRR: 205 X: 12.8359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.35645 -INDEX GOES BRRR: 300 X: 18.7949 -INDEX GOES BRRR: 273 X: 17.1221 -INDEX GOES BRRR: 12 X: 0.761719 -INDEX GOES BRRR: 127 X: 7.94922 -INDEX GOES BRRR: 284 X: 17.7979 -INDEX GOES BRRR: 181 X: 11.3213 -INDEX GOES BRRR: 1006 X: -1.1123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.37598 -INDEX GOES BRRR: 1017 X: -0.407227 -INDEX GOES BRRR: 116 X: 7.30469 -INDEX GOES BRRR: 934 X: -5.59375 -INDEX GOES BRRR: 217 X: 13.6045 -INDEX GOES BRRR: 340 X: 21.2754 -INDEX GOES BRRR: 250 X: 15.6729 -INDEX GOES BRRR: 173 X: 10.8135 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 459 X: 28.7236 -INDEX GOES BRRR: 363 X: 22.7236 -INDEX GOES BRRR: 333 X: 20.8682 -INDEX GOES BRRR: 89 X: 5.56543 -INDEX GOES BRRR: 93 X: 5.84082 -INDEX GOES BRRR: 136 X: 8.51758 -INDEX GOES BRRR: 350 X: 21.9072 -INDEX GOES BRRR: 49 X: 3.10645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.31055 -INDEX GOES BRRR: 336 X: 21.0371 -INDEX GOES BRRR: 38 X: 2.37891 -INDEX GOES BRRR: 20 X: 1.29785 -INDEX GOES BRRR: 258 X: 16.1494 -INDEX GOES BRRR: 20 X: 1.30762 -INDEX GOES BRRR: 166 X: 10.4131 -INDEX GOES BRRR: 158 X: 9.92676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 373 X: 23.3643 -INDEX GOES BRRR: 308 X: 19.3066 -INDEX GOES BRRR: 753 X: -16.8789 -INDEX GOES BRRR: 311 X: 19.4951 -INDEX GOES BRRR: 118 X: 7.41113 -INDEX GOES BRRR: 91 X: 5.6875 -INDEX GOES BRRR: 970 X: -3.34668 -INDEX GOES BRRR: 982 X: -2.62305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 980 X: -2.69434 -INDEX GOES BRRR: 230 X: 14.3877 -INDEX GOES BRRR: 953 X: -4.43359 -INDEX GOES BRRR: 62 X: 3.92383 -INDEX GOES BRRR: 272 X: 17.0176 -INDEX GOES BRRR: 124 X: 7.78711 -INDEX GOES BRRR: 80 X: 5.05859 -INDEX GOES BRRR: 158 X: 9.90723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 831 X: -12.0225 -INDEX GOES BRRR: 160 X: 10.001 -INDEX GOES BRRR: 468 X: 29.2666 -INDEX GOES BRRR: 339 X: 21.2061 -INDEX GOES BRRR: 146 X: 9.13086 -INDEX GOES BRRR: 291 X: 18.2354 -INDEX GOES BRRR: 885 X: -8.6709 -INDEX GOES BRRR: 758 X: -16.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 412 X: 25.7598 -INDEX GOES BRRR: 95 X: 5.9541 -INDEX GOES BRRR: 134 X: 8.41113 -INDEX GOES BRRR: 251 X: 15.7412 -INDEX GOES BRRR: 908 X: -7.22363 -INDEX GOES BRRR: 159 X: 9.98633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.58203 -INDEX GOES BRRR: 911 X: -7.06055 -INDEX GOES BRRR: 27 X: 1.69629 -INDEX GOES BRRR: 71 X: 4.4375 -INDEX GOES BRRR: 981 X: -2.64355 -INDEX GOES BRRR: 150 X: 9.43262 -INDEX GOES BRRR: 23 X: 1.44629 -INDEX GOES BRRR: 1009 X: -0.896484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5166 -INDEX GOES BRRR: 199 X: 12.4531 -INDEX GOES BRRR: 178 X: 11.127 -INDEX GOES BRRR: 915 X: -6.75781 -INDEX GOES BRRR: 988 X: -2.24121 -INDEX GOES BRRR: 438 X: 27.3809 -INDEX GOES BRRR: 94 X: 5.90234 -INDEX GOES BRRR: 351 X: 21.9492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.90723 -INDEX GOES BRRR: 1012 X: -0.722656 -INDEX GOES BRRR: 283 X: 17.749 -INDEX GOES BRRR: 172 X: 10.8105 -INDEX GOES BRRR: 60 X: 3.76562 -INDEX GOES BRRR: 300 X: 18.7969 -INDEX GOES BRRR: 175 X: 10.9951 -INDEX GOES BRRR: 171 X: 10.7119 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 5 X: 0.364258 -INDEX GOES BRRR: 142 X: 8.90039 -INDEX GOES BRRR: 162 X: 10.1699 -INDEX GOES BRRR: 316 X: 19.8047 -INDEX GOES BRRR: 84 X: 5.25098 -INDEX GOES BRRR: 44 X: 2.77344 -INDEX GOES BRRR: 322 X: 20.1807 -INDEX GOES BRRR: 103 X: 6.44531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.01758 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 13 X: 0.8125 -INDEX GOES BRRR: 143 X: 8.96973 -INDEX GOES BRRR: 207 X: 12.9688 -INDEX GOES BRRR: 121 X: 7.62109 -INDEX GOES BRRR: 457 X: 28.583 -INDEX GOES BRRR: 1023 X: -0.0205078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9346 -INDEX GOES BRRR: 99 X: 6.24219 -INDEX GOES BRRR: 1014 X: -0.587891 -INDEX GOES BRRR: 1019 X: -0.283203 -INDEX GOES BRRR: 1 X: 0.0654297 -INDEX GOES BRRR: 413 X: 25.8252 -INDEX GOES BRRR: 949 X: -4.67773 -INDEX GOES BRRR: 249 X: 15.6211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.915 -INDEX GOES BRRR: 156 X: 9.7998 -INDEX GOES BRRR: 959 X: -4.00391 -INDEX GOES BRRR: 931 X: -5.79785 -INDEX GOES BRRR: 183 X: 11.4707 -INDEX GOES BRRR: 137 X: 8.61523 -INDEX GOES BRRR: 198 X: 12.3789 -INDEX GOES BRRR: 1020 X: -0.220703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 864 X: -10 -INDEX GOES BRRR: 143 X: 8.94922 -INDEX GOES BRRR: 410 X: 25.6855 -INDEX GOES BRRR: 271 X: 16.9922 -INDEX GOES BRRR: 93 X: 5.81348 -INDEX GOES BRRR: 82 X: 5.14258 -INDEX GOES BRRR: 253 X: 15.8369 -INDEX GOES BRRR: 101 X: 6.34766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.21289 -INDEX GOES BRRR: 953 X: -4.4248 -INDEX GOES BRRR: 56 X: 3.52637 -INDEX GOES BRRR: 112 X: 7.06152 -INDEX GOES BRRR: 990 X: -2.11133 -INDEX GOES BRRR: 163 X: 10.1914 -INDEX GOES BRRR: 74 X: 4.64551 -INDEX GOES BRRR: 100 X: 6.25488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 993 X: -1.93652 -INDEX GOES BRRR: 100 X: 6.26367 -INDEX GOES BRRR: 332 X: 20.7686 -INDEX GOES BRRR: 4 X: 0.298828 -INDEX GOES BRRR: 141 X: 8.84863 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 34 X: 2.13477 -INDEX GOES BRRR: 331 X: 20.7363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 890 X: -8.31641 -INDEX GOES BRRR: 146 X: 9.18262 -INDEX GOES BRRR: 274 X: 17.1602 -INDEX GOES BRRR: 213 X: 13.3545 -INDEX GOES BRRR: 192 X: 12.0332 -INDEX GOES BRRR: 66 X: 4.17871 -INDEX GOES BRRR: 273 X: 17.0879 -INDEX GOES BRRR: 124 X: 7.7793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.08496 -INDEX GOES BRRR: 940 X: -5.22754 -INDEX GOES BRRR: 141 X: 8.86914 -INDEX GOES BRRR: 355 X: 22.1914 -INDEX GOES BRRR: 921 X: -6.42188 -INDEX GOES BRRR: 70 X: 4.43066 -INDEX GOES BRRR: 243 X: 15.1924 -INDEX GOES BRRR: 165 X: 10.3213 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.9834 -INDEX GOES BRRR: 843 X: -11.2695 -INDEX GOES BRRR: 222 X: 13.875 -INDEX GOES BRRR: 891 X: -8.27539 -INDEX GOES BRRR: 149 X: 9.37207 -INDEX GOES BRRR: 142 X: 8.9248 -INDEX GOES BRRR: 237 X: 14.8633 -INDEX GOES BRRR: 328 X: 20.5557 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.8125 -INDEX GOES BRRR: 445 X: 27.8408 -INDEX GOES BRRR: 57 X: 3.61133 -INDEX GOES BRRR: 224 X: 14.0303 -INDEX GOES BRRR: 728 X: -18.4443 -INDEX GOES BRRR: 34 X: 2.1543 -INDEX GOES BRRR: 105 X: 6.56348 -INDEX GOES BRRR: 111 X: 6.94141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.49707 -INDEX GOES BRRR: 143 X: 8.94629 -INDEX GOES BRRR: 50 X: 3.13867 -INDEX GOES BRRR: 88 X: 5.54785 -INDEX GOES BRRR: 206 X: 12.8789 -INDEX GOES BRRR: 195 X: 12.1992 -INDEX GOES BRRR: 81 X: 5.08984 -INDEX GOES BRRR: 50 X: 3.16992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4834 -INDEX GOES BRRR: 35 X: 2.24414 -INDEX GOES BRRR: 968 X: -3.49121 -INDEX GOES BRRR: 274 X: 17.1445 -INDEX GOES BRRR: 220 X: 13.7773 -INDEX GOES BRRR: 949 X: -4.65723 -INDEX GOES BRRR: 117 X: 7.35449 -INDEX GOES BRRR: 423 X: 26.4961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4727 -INDEX GOES BRRR: 109 X: 6.83105 -INDEX GOES BRRR: 958 X: -4.12305 -INDEX GOES BRRR: 96 X: 6.01758 -INDEX GOES BRRR: 89 X: 5.58887 -INDEX GOES BRRR: 915 X: -6.7998 -INDEX GOES BRRR: 145 X: 9.10547 -INDEX GOES BRRR: 472 X: 29.5342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5303 -INDEX GOES BRRR: 96 X: 6.05469 -INDEX GOES BRRR: 1002 X: -1.31348 -INDEX GOES BRRR: 74 X: 4.66504 -INDEX GOES BRRR: 861 X: -10.1582 -INDEX GOES BRRR: 792 X: -14.4951 -INDEX GOES BRRR: 101 X: 6.31348 -INDEX GOES BRRR: 127 X: 7.97656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.53906 -INDEX GOES BRRR: 46 X: 2.87793 -INDEX GOES BRRR: 46 X: 2.91504 -INDEX GOES BRRR: 127 X: 7.9375 -INDEX GOES BRRR: 113 X: 7.08887 -INDEX GOES BRRR: 255 X: 15.9746 -INDEX GOES BRRR: 156 X: 9.76465 -INDEX GOES BRRR: 255 X: 15.9844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.95215 -INDEX GOES BRRR: 185 X: 11.5771 -INDEX GOES BRRR: 233 X: 14.5654 -INDEX GOES BRRR: 236 X: 14.7881 -INDEX GOES BRRR: 476 X: 29.8018 -INDEX GOES BRRR: 247 X: 15.4648 -INDEX GOES BRRR: 1010 X: -0.832031 -INDEX GOES BRRR: 171 X: 10.7402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.15332 -INDEX GOES BRRR: 231 X: 14.4502 -INDEX GOES BRRR: 97 X: 6.1123 -INDEX GOES BRRR: 329 X: 20.624 -INDEX GOES BRRR: 308 X: 19.2852 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 200 X: 12.5264 -INDEX GOES BRRR: 115 X: 7.19434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.70215 -INDEX GOES BRRR: 1005 X: -1.12598 -INDEX GOES BRRR: 306 X: 19.1592 -INDEX GOES BRRR: 145 X: 9.06641 -INDEX GOES BRRR: 1020 X: -0.205078 -INDEX GOES BRRR: 74 X: 4.64648 -INDEX GOES BRRR: 12 X: 0.768555 -INDEX GOES BRRR: 41 X: 2.58984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.418 -INDEX GOES BRRR: 295 X: 18.4727 -INDEX GOES BRRR: 192 X: 12.0117 -INDEX GOES BRRR: 232 X: 14.5195 -INDEX GOES BRRR: 277 X: 17.3428 -INDEX GOES BRRR: 347 X: 21.7334 -INDEX GOES BRRR: 416 X: 26.0342 -INDEX GOES BRRR: 278 X: 17.4219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.8447 -INDEX GOES BRRR: 45 X: 2.83887 -INDEX GOES BRRR: 228 X: 14.3076 -INDEX GOES BRRR: 970 X: -3.37012 -INDEX GOES BRRR: 227 X: 14.1982 -INDEX GOES BRRR: 1020 X: -0.230469 -INDEX GOES BRRR: 212 X: 13.2559 -INDEX GOES BRRR: 200 X: 12.5547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1611 -INDEX GOES BRRR: 0 X: 0.0224609 -INDEX GOES BRRR: 466 X: 29.1318 -INDEX GOES BRRR: 270 X: 16.875 -INDEX GOES BRRR: 121 X: 7.62109 -INDEX GOES BRRR: 44 X: 2.76855 -INDEX GOES BRRR: 301 X: 18.8457 -INDEX GOES BRRR: 995 X: -1.76562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.09668 -INDEX GOES BRRR: 985 X: -2.39062 -INDEX GOES BRRR: 945 X: -4.89551 -INDEX GOES BRRR: 209 X: 13.1064 -INDEX GOES BRRR: 267 X: 16.749 -INDEX GOES BRRR: 40 X: 2.50781 -INDEX GOES BRRR: 449 X: 28.0938 -INDEX GOES BRRR: 862 X: -10.0752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.30859 -INDEX GOES BRRR: 184 X: 11.5322 -INDEX GOES BRRR: 398 X: 24.9277 -INDEX GOES BRRR: 184 X: 11.54 -INDEX GOES BRRR: 17 X: 1.06738 -INDEX GOES BRRR: 216 X: 13.5088 -INDEX GOES BRRR: 134 X: 8.39746 -INDEX GOES BRRR: 86 X: 5.38184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9951 -INDEX GOES BRRR: 169 X: 10.583 -INDEX GOES BRRR: 104 X: 6.55371 -INDEX GOES BRRR: 170 X: 10.6553 -INDEX GOES BRRR: 61 X: 3.84277 -INDEX GOES BRRR: 78 X: 4.88086 -INDEX GOES BRRR: 198 X: 12.4023 -INDEX GOES BRRR: 339 X: 21.1904 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.77832 -INDEX GOES BRRR: 308 X: 19.2588 -INDEX GOES BRRR: 836 X: -11.7031 -INDEX GOES BRRR: 368 X: 23.0244 -INDEX GOES BRRR: 239 X: 14.959 -INDEX GOES BRRR: 250 X: 15.6572 -INDEX GOES BRRR: 275 X: 17.2461 -INDEX GOES BRRR: 209 X: 13.084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.4131 -INDEX GOES BRRR: 918 X: -6.60254 -INDEX GOES BRRR: 987 X: -2.27832 -INDEX GOES BRRR: 115 X: 7.19238 -INDEX GOES BRRR: 185 X: 11.5938 -INDEX GOES BRRR: 345 X: 21.5889 -INDEX GOES BRRR: 170 X: 10.6416 -INDEX GOES BRRR: 110 X: 6.88086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 990 X: -2.11523 -INDEX GOES BRRR: 1010 X: -0.84668 -INDEX GOES BRRR: 271 X: 16.9473 -INDEX GOES BRRR: 178 X: 11.1543 -INDEX GOES BRRR: 425 X: 26.584 -INDEX GOES BRRR: 150 X: 9.43164 -INDEX GOES BRRR: 23 X: 1.47266 -INDEX GOES BRRR: 365 X: 22.834 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.21094 -INDEX GOES BRRR: 435 X: 27.2188 -INDEX GOES BRRR: 937 X: -5.4248 -INDEX GOES BRRR: 412 X: 25.79 -INDEX GOES BRRR: 190 X: 11.9023 -INDEX GOES BRRR: 192 X: 12.0312 -INDEX GOES BRRR: 158 X: 9.88965 -INDEX GOES BRRR: 374 X: 23.3965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 901 X: -7.64062 -INDEX GOES BRRR: 207 X: 12.9551 -INDEX GOES BRRR: 62 X: 3.93555 -INDEX GOES BRRR: 328 X: 20.5303 -INDEX GOES BRRR: 21 X: 1.33594 -INDEX GOES BRRR: 108 X: 6.7627 -INDEX GOES BRRR: 847 X: -11.042 -INDEX GOES BRRR: 205 X: 12.8604 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.04004 -INDEX GOES BRRR: 88 X: 5.55566 -INDEX GOES BRRR: 161 X: 10.0859 -INDEX GOES BRRR: 98 X: 6.13379 -INDEX GOES BRRR: 266 X: 16.6396 -INDEX GOES BRRR: 1005 X: -1.18262 -INDEX GOES BRRR: 450 X: 28.1748 -INDEX GOES BRRR: 75 X: 4.68945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 117 X: 7.32617 -INDEX GOES BRRR: 108 X: 6.7666 -INDEX GOES BRRR: 72 X: 4.56055 -INDEX GOES BRRR: 200 X: 12.5195 -INDEX GOES BRRR: 240 X: 15.0322 -INDEX GOES BRRR: 123 X: 7.74219 -INDEX GOES BRRR: 127 X: 7.99023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.90625 -INDEX GOES BRRR: 209 X: 13.1221 -INDEX GOES BRRR: 320 X: 20.0146 -INDEX GOES BRRR: 444 X: 27.7539 -INDEX GOES BRRR: 180 X: 11.2754 -INDEX GOES BRRR: 39 X: 2.44531 -INDEX GOES BRRR: 134 X: 8.41016 -INDEX GOES BRRR: 46 X: 2.91895 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 292 X: 18.2812 -INDEX GOES BRRR: 77 X: 4.8418 -INDEX GOES BRRR: 148 X: 9.29883 -INDEX GOES BRRR: 273 X: 17.124 -INDEX GOES BRRR: 135 X: 8.49609 -INDEX GOES BRRR: 50 X: 3.14551 -INDEX GOES BRRR: 257 X: 16.0771 -INDEX GOES BRRR: 299 X: 18.7314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.98926 -INDEX GOES BRRR: 286 X: 17.8838 -INDEX GOES BRRR: 316 X: 19.751 -INDEX GOES BRRR: 46 X: 2.91504 -INDEX GOES BRRR: 4 X: 0.263672 -INDEX GOES BRRR: 210 X: 13.1289 -INDEX GOES BRRR: 138 X: 8.6543 -INDEX GOES BRRR: 150 X: 9.3877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 896 X: -7.97656 -INDEX GOES BRRR: 88 X: 5.53223 -INDEX GOES BRRR: 289 X: 18.0674 -INDEX GOES BRRR: 228 X: 14.2666 -INDEX GOES BRRR: 369 X: 23.0898 -INDEX GOES BRRR: 972 X: -3.23633 -INDEX GOES BRRR: 125 X: 7.87402 -INDEX GOES BRRR: 143 X: 8.94922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.76172 -INDEX GOES BRRR: 35 X: 2.20605 -INDEX GOES BRRR: 1006 X: -1.08594 -INDEX GOES BRRR: 346 X: 21.6289 -INDEX GOES BRRR: 314 X: 19.6338 -INDEX GOES BRRR: 730 X: -18.3174 -INDEX GOES BRRR: 51 X: 3.21289 -INDEX GOES BRRR: 406 X: 25.3887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.43066 -INDEX GOES BRRR: 406 X: 25.4316 -INDEX GOES BRRR: 275 X: 17.2275 -INDEX GOES BRRR: 972 X: -3.24219 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 669 X: -22.1582 -INDEX GOES BRRR: 984 X: -2.44629 -INDEX GOES BRRR: 32 X: 2.04004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1387 -INDEX GOES BRRR: 76 X: 4.77441 -INDEX GOES BRRR: 143 X: 8.94434 -INDEX GOES BRRR: 29 X: 1.81445 -INDEX GOES BRRR: 908 X: -7.21973 -INDEX GOES BRRR: 143 X: 8.95605 -INDEX GOES BRRR: 166 X: 10.4092 -INDEX GOES BRRR: 846 X: -11.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.21289 -INDEX GOES BRRR: 119 X: 7.47559 -INDEX GOES BRRR: 267 X: 16.7256 -INDEX GOES BRRR: 219 X: 13.7305 -INDEX GOES BRRR: 128 X: 8.0166 -INDEX GOES BRRR: 999 X: -1.56055 -INDEX GOES BRRR: 15 X: 0.961914 -INDEX GOES BRRR: 274 X: 17.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.7002 -INDEX GOES BRRR: 954 X: -4.36426 -INDEX GOES BRRR: 53 X: 3.31934 -INDEX GOES BRRR: 89 X: 5.58301 -INDEX GOES BRRR: 722 X: -18.8262 -INDEX GOES BRRR: 97 X: 6.12207 -INDEX GOES BRRR: 218 X: 13.6631 -INDEX GOES BRRR: 210 X: 13.1318 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1010 X: -0.869141 -INDEX GOES BRRR: 467 X: 29.209 -INDEX GOES BRRR: 1019 X: -0.301758 -INDEX GOES BRRR: 341 X: 21.3477 -INDEX GOES BRRR: 259 X: 16.2451 -INDEX GOES BRRR: 62 X: 3.89062 -INDEX GOES BRRR: 133 X: 8.3418 -INDEX GOES BRRR: 779 X: -15.2539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.519531 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 306 X: 19.1533 -INDEX GOES BRRR: 243 X: 15.2422 -INDEX GOES BRRR: 291 X: 18.2295 -INDEX GOES BRRR: 106 X: 6.63477 -INDEX GOES BRRR: 151 X: 9.47656 -INDEX GOES BRRR: 344 X: 21.5342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.12109 -INDEX GOES BRRR: 213 X: 13.3477 -INDEX GOES BRRR: 152 X: 9.56055 -INDEX GOES BRRR: 784 X: -14.9814 -INDEX GOES BRRR: 871 X: -9.50098 -INDEX GOES BRRR: 113 X: 7.12305 -INDEX GOES BRRR: 231 X: 14.4883 -INDEX GOES BRRR: 271 X: 16.9609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.8818 -INDEX GOES BRRR: 88 X: 5.54883 -INDEX GOES BRRR: 99 X: 6.22949 -INDEX GOES BRRR: 133 X: 8.34277 -INDEX GOES BRRR: 194 X: 12.1836 -INDEX GOES BRRR: 1019 X: -0.305664 -INDEX GOES BRRR: 122 X: 7.67969 -INDEX GOES BRRR: 293 X: 18.3535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 450 X: 28.1572 -INDEX GOES BRRR: 86 X: 5.4248 -INDEX GOES BRRR: 251 X: 15.7451 -INDEX GOES BRRR: 279 X: 17.4678 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 83 X: 5.23145 -INDEX GOES BRRR: 110 X: 6.91504 -INDEX GOES BRRR: 879 X: -9.06055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2168 -INDEX GOES BRRR: 241 X: 15.1133 -INDEX GOES BRRR: 48 X: 3.05664 -INDEX GOES BRRR: 821 X: -12.6553 -INDEX GOES BRRR: 332 X: 20.7832 -INDEX GOES BRRR: 848 X: -10.9893 -INDEX GOES BRRR: 1010 X: -0.874023 -INDEX GOES BRRR: 311 X: 19.4609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3516 -INDEX GOES BRRR: 143 X: 8.95312 -INDEX GOES BRRR: 330 X: 20.6357 -INDEX GOES BRRR: 162 X: 10.1611 -INDEX GOES BRRR: 150 X: 9.41406 -INDEX GOES BRRR: 30 X: 1.90918 -INDEX GOES BRRR: 939 X: -5.27637 -INDEX GOES BRRR: 130 X: 8.18066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.8604 -INDEX GOES BRRR: 67 X: 4.24707 -INDEX GOES BRRR: 301 X: 18.8203 -INDEX GOES BRRR: 205 X: 12.8301 -INDEX GOES BRRR: 998 X: -1.56543 -INDEX GOES BRRR: 971 X: -3.29492 -INDEX GOES BRRR: 480 X: 30.001 -INDEX GOES BRRR: 924 X: -6.2373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.587891 -INDEX GOES BRRR: 129 X: 8.11621 -INDEX GOES BRRR: 78 X: 4.92383 -INDEX GOES BRRR: 34 X: 2.15137 -INDEX GOES BRRR: 978 X: -2.87012 -INDEX GOES BRRR: 484 X: 30.291 -INDEX GOES BRRR: 353 X: 22.0762 -INDEX GOES BRRR: 317 X: 19.8662 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 429 X: 26.8271 -INDEX GOES BRRR: 16 X: 1.03125 -INDEX GOES BRRR: 73 X: 4.5918 -INDEX GOES BRRR: 198 X: 12.3887 -INDEX GOES BRRR: 215 X: 13.4619 -INDEX GOES BRRR: 199 X: 12.4951 -INDEX GOES BRRR: 178 X: 11.1582 -INDEX GOES BRRR: 51 X: 3.23633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.08301 -INDEX GOES BRRR: 58 X: 3.66406 -INDEX GOES BRRR: 133 X: 8.36133 -INDEX GOES BRRR: 990 X: -2.08594 -INDEX GOES BRRR: 136 X: 8.56152 -INDEX GOES BRRR: 194 X: 12.166 -INDEX GOES BRRR: 20 X: 1.27441 -INDEX GOES BRRR: 380 X: 23.8076 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 391 X: 24.4834 -INDEX GOES BRRR: 67 X: 4.24902 -INDEX GOES BRRR: 203 X: 12.7432 -INDEX GOES BRRR: 356 X: 22.2676 -INDEX GOES BRRR: 71 X: 4.47949 -INDEX GOES BRRR: 961 X: -3.9209 -INDEX GOES BRRR: 97 X: 6.1084 -INDEX GOES BRRR: 47 X: 2.94531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.50391 -INDEX GOES BRRR: 216 X: 13.5068 -INDEX GOES BRRR: 321 X: 20.085 -INDEX GOES BRRR: 114 X: 7.16016 -INDEX GOES BRRR: 126 X: 7.93262 -INDEX GOES BRRR: 64 X: 4.0459 -INDEX GOES BRRR: 116 X: 7.29297 -INDEX GOES BRRR: 81 X: 5.11426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.30078 -INDEX GOES BRRR: 109 X: 6.86133 -INDEX GOES BRRR: 462 X: 28.9199 -INDEX GOES BRRR: 125 X: 7.82324 -INDEX GOES BRRR: 253 X: 15.8418 -INDEX GOES BRRR: 237 X: 14.8682 -INDEX GOES BRRR: 183 X: 11.4609 -INDEX GOES BRRR: 179 X: 11.2227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 30 X: 1.87793 -INDEX GOES BRRR: 954 X: -4.31543 -INDEX GOES BRRR: 74 X: 4.66797 -INDEX GOES BRRR: 992 X: -1.94727 -INDEX GOES BRRR: 1008 X: -0.949219 -INDEX GOES BRRR: 283 X: 17.6934 -INDEX GOES BRRR: 305 X: 19.0713 -INDEX GOES BRRR: 208 X: 13.0215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.74219 -INDEX GOES BRRR: 311 X: 19.4912 -INDEX GOES BRRR: 239 X: 14.9668 -INDEX GOES BRRR: 973 X: -3.12793 -INDEX GOES BRRR: 394 X: 24.6572 -INDEX GOES BRRR: 342 X: 21.4082 -INDEX GOES BRRR: 947 X: -4.7832 -INDEX GOES BRRR: 119 X: 7.46289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.60254 -INDEX GOES BRRR: 15 X: 0.96582 -INDEX GOES BRRR: 181 X: 11.3545 -INDEX GOES BRRR: 4 X: 0.289062 -INDEX GOES BRRR: 169 X: 10.582 -INDEX GOES BRRR: 925 X: -6.12695 -INDEX GOES BRRR: 181 X: 11.3467 -INDEX GOES BRRR: 142 X: 8.91309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 989 X: -2.13672 -INDEX GOES BRRR: 93 X: 5.82617 -INDEX GOES BRRR: 2 X: 0.141602 -INDEX GOES BRRR: 294 X: 18.376 -INDEX GOES BRRR: 162 X: 10.1631 -INDEX GOES BRRR: 946 X: -4.86426 -INDEX GOES BRRR: 373 X: 23.3711 -INDEX GOES BRRR: 205 X: 12.8438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.2998 -INDEX GOES BRRR: 213 X: 13.3652 -INDEX GOES BRRR: 261 X: 16.3174 -INDEX GOES BRRR: 402 X: 25.1348 -INDEX GOES BRRR: 7 X: 0.456055 -INDEX GOES BRRR: 306 X: 19.1865 -INDEX GOES BRRR: 414 X: 25.876 -INDEX GOES BRRR: 411 X: 25.6992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 282 X: 17.6318 -INDEX GOES BRRR: 175 X: 10.9531 -INDEX GOES BRRR: 224 X: 14.0527 -INDEX GOES BRRR: 278 X: 17.4307 -INDEX GOES BRRR: 150 X: 9.42773 -INDEX GOES BRRR: 254 X: 15.8779 -INDEX GOES BRRR: 335 X: 20.9619 -INDEX GOES BRRR: 940 X: -5.21387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 320 X: 20.0098 -INDEX GOES BRRR: 266 X: 16.6426 -INDEX GOES BRRR: 129 X: 8.08496 -INDEX GOES BRRR: 74 X: 4.63477 -INDEX GOES BRRR: 356 X: 22.3076 -INDEX GOES BRRR: 184 X: 11.5537 -INDEX GOES BRRR: 287 X: 17.9746 -INDEX GOES BRRR: 888 X: -8.45703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.9473 -INDEX GOES BRRR: 117 X: 7.31348 -INDEX GOES BRRR: 255 X: 15.9521 -INDEX GOES BRRR: 205 X: 12.8613 -INDEX GOES BRRR: 259 X: 16.2393 -INDEX GOES BRRR: 113 X: 7.06641 -INDEX GOES BRRR: 255 X: 15.9941 -INDEX GOES BRRR: 345 X: 21.5977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 412 X: 25.7549 -INDEX GOES BRRR: 125 X: 7.82031 -INDEX GOES BRRR: 18 X: 1.16309 -INDEX GOES BRRR: 355 X: 22.2158 -INDEX GOES BRRR: 104 X: 6.55762 -INDEX GOES BRRR: 255 X: 15.9551 -INDEX GOES BRRR: 171 X: 10.7354 -INDEX GOES BRRR: 975 X: -3.0166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 392 X: 24.5615 -INDEX GOES BRRR: 157 X: 9.83105 -INDEX GOES BRRR: 151 X: 9.46289 -INDEX GOES BRRR: 950 X: -4.60645 -INDEX GOES BRRR: 46 X: 2.90625 -INDEX GOES BRRR: 248 X: 15.5254 -INDEX GOES BRRR: 153 X: 9.58496 -INDEX GOES BRRR: 320 X: 20.0439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.083 -INDEX GOES BRRR: 17 X: 1.07324 -INDEX GOES BRRR: 67 X: 4.24707 -INDEX GOES BRRR: 316 X: 19.7734 -INDEX GOES BRRR: 398 X: 24.9316 -INDEX GOES BRRR: 110 X: 6.89551 -INDEX GOES BRRR: 247 X: 15.498 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.6123 -INDEX GOES BRRR: 970 X: -3.35645 -INDEX GOES BRRR: 397 X: 24.8506 -INDEX GOES BRRR: 165 X: 10.3682 -INDEX GOES BRRR: 346 X: 21.6475 -INDEX GOES BRRR: 310 X: 19.3906 -INDEX GOES BRRR: 96 X: 6.03711 -INDEX GOES BRRR: 5 X: 0.363281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 159 X: 9.98242 -INDEX GOES BRRR: 243 X: 15.2178 -INDEX GOES BRRR: 202 X: 12.6562 -INDEX GOES BRRR: 284 X: 17.792 -INDEX GOES BRRR: 265 X: 16.5664 -INDEX GOES BRRR: 232 X: 14.5293 -INDEX GOES BRRR: 19 X: 1.19043 -INDEX GOES BRRR: 215 X: 13.4473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7598 -INDEX GOES BRRR: 181 X: 11.3701 -INDEX GOES BRRR: 924 X: -6.20312 -INDEX GOES BRRR: 186 X: 11.6504 -INDEX GOES BRRR: 40 X: 2.52246 -INDEX GOES BRRR: 162 X: 10.1865 -INDEX GOES BRRR: 68 X: 4.26367 -INDEX GOES BRRR: 358 X: 22.4004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.86621 -INDEX GOES BRRR: 273 X: 17.0625 -INDEX GOES BRRR: 262 X: 16.4082 -INDEX GOES BRRR: 89 X: 5.5957 -INDEX GOES BRRR: 155 X: 9.73047 -INDEX GOES BRRR: 220 X: 13.751 -INDEX GOES BRRR: 306 X: 19.1816 -INDEX GOES BRRR: 116 X: 7.29297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.08203 -INDEX GOES BRRR: 284 X: 17.7988 -INDEX GOES BRRR: 1016 X: -0.448242 -INDEX GOES BRRR: 220 X: 13.7822 -INDEX GOES BRRR: 220 X: 13.8037 -INDEX GOES BRRR: 61 X: 3.84961 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 370 X: 23.1367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.69141 -INDEX GOES BRRR: 67 X: 4.22656 -INDEX GOES BRRR: 161 X: 10.1016 -INDEX GOES BRRR: 225 X: 14.0645 -INDEX GOES BRRR: 104 X: 6.55371 -INDEX GOES BRRR: 72 X: 4.53223 -INDEX GOES BRRR: 285 X: 17.8486 -INDEX GOES BRRR: 278 X: 17.3926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.79102 -INDEX GOES BRRR: 118 X: 7.39746 -INDEX GOES BRRR: 116 X: 7.2959 -INDEX GOES BRRR: 966 X: -3.58301 -INDEX GOES BRRR: 439 X: 27.4912 -INDEX GOES BRRR: 153 X: 9.56934 -INDEX GOES BRRR: 237 X: 14.8184 -INDEX GOES BRRR: 131 X: 8.24219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 761 X: -16.4189 -INDEX GOES BRRR: 245 X: 15.3633 -INDEX GOES BRRR: 146 X: 9.12695 -INDEX GOES BRRR: 293 X: 18.3594 -INDEX GOES BRRR: 97 X: 6.10059 -INDEX GOES BRRR: 364 X: 22.7598 -INDEX GOES BRRR: 239 X: 14.9512 -INDEX GOES BRRR: 112 X: 7.01074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 468 X: 29.2705 -INDEX GOES BRRR: 205 X: 12.8164 -INDEX GOES BRRR: 422 X: 26.3857 -INDEX GOES BRRR: 201 X: 12.6191 -INDEX GOES BRRR: 268 X: 16.8027 -INDEX GOES BRRR: 13 X: 0.837891 -INDEX GOES BRRR: 155 X: 9.72266 -INDEX GOES BRRR: 483 X: 30.1924 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 964 X: -3.73047 -INDEX GOES BRRR: 47 X: 2.99902 -INDEX GOES BRRR: 338 X: 21.1807 -INDEX GOES BRRR: 283 X: 17.7021 -INDEX GOES BRRR: 341 X: 21.332 -INDEX GOES BRRR: 288 X: 18.0469 -INDEX GOES BRRR: 122 X: 7.6377 -INDEX GOES BRRR: 179 X: 11.2344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.24805 -INDEX GOES BRRR: 41 X: 2.57422 -INDEX GOES BRRR: 32 X: 2.05273 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 103 X: 6.44922 -INDEX GOES BRRR: 149 X: 9.31738 -INDEX GOES BRRR: 158 X: 9.92285 -INDEX GOES BRRR: 415 X: 25.957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9141 -INDEX GOES BRRR: 183 X: 11.4668 -INDEX GOES BRRR: 41 X: 2.59375 -INDEX GOES BRRR: 293 X: 18.3154 -INDEX GOES BRRR: 988 X: -2.22266 -INDEX GOES BRRR: 154 X: 9.66309 -INDEX GOES BRRR: 89 X: 5.56445 -INDEX GOES BRRR: 717 X: -19.1865 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.0625 -INDEX GOES BRRR: 251 X: 15.7441 -INDEX GOES BRRR: 986 X: -2.36035 -INDEX GOES BRRR: 1016 X: -0.441406 -INDEX GOES BRRR: 183 X: 11.4658 -INDEX GOES BRRR: 912 X: -6.95703 -INDEX GOES BRRR: 142 X: 8.88281 -INDEX GOES BRRR: 389 X: 24.3428 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.46875 -INDEX GOES BRRR: 27 X: 1.69531 -INDEX GOES BRRR: 200 X: 12.542 -INDEX GOES BRRR: 297 X: 18.6162 -INDEX GOES BRRR: 219 X: 13.7432 -INDEX GOES BRRR: 241 X: 15.1064 -INDEX GOES BRRR: 135 X: 8.49707 -INDEX GOES BRRR: 281 X: 17.5742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.8564 -INDEX GOES BRRR: 238 X: 14.9248 -INDEX GOES BRRR: 964 X: -3.69824 -INDEX GOES BRRR: 170 X: 10.6533 -INDEX GOES BRRR: 26 X: 1.64258 -INDEX GOES BRRR: 171 X: 10.6875 -INDEX GOES BRRR: 290 X: 18.1523 -INDEX GOES BRRR: 191 X: 11.9805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.52637 -INDEX GOES BRRR: 269 X: 16.8516 -INDEX GOES BRRR: 293 X: 18.3623 -INDEX GOES BRRR: 464 X: 29.0078 -INDEX GOES BRRR: 293 X: 18.3633 -INDEX GOES BRRR: 340 X: 21.2832 -INDEX GOES BRRR: 1019 X: -0.257812 -INDEX GOES BRRR: 116 X: 7.30664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 876 X: -9.22852 -INDEX GOES BRRR: 919 X: -6.52246 -INDEX GOES BRRR: 984 X: -2.44727 -INDEX GOES BRRR: 56 X: 3.51855 -INDEX GOES BRRR: 52 X: 3.2998 -INDEX GOES BRRR: 364 X: 22.8086 -INDEX GOES BRRR: 82 X: 5.17383 -INDEX GOES BRRR: 211 X: 13.2031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 905 X: -7.37598 -INDEX GOES BRRR: 76 X: 4.78027 -INDEX GOES BRRR: 236 X: 14.7939 -INDEX GOES BRRR: 879 X: -9.0459 -INDEX GOES BRRR: 967 X: -3.52734 -INDEX GOES BRRR: 184 X: 11.5596 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 271 X: 16.9971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.083 -INDEX GOES BRRR: 87 X: 5.45117 -INDEX GOES BRRR: 449 X: 28.1221 -INDEX GOES BRRR: 98 X: 6.13574 -INDEX GOES BRRR: 878 X: -9.11621 -INDEX GOES BRRR: 897 X: -7.87891 -INDEX GOES BRRR: 266 X: 16.6436 -INDEX GOES BRRR: 2 X: 0.140625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.3047 -INDEX GOES BRRR: 934 X: -5.57422 -INDEX GOES BRRR: 237 X: 14.8223 -INDEX GOES BRRR: 327 X: 20.4912 -INDEX GOES BRRR: 937 X: -5.42871 -INDEX GOES BRRR: 197 X: 12.3242 -INDEX GOES BRRR: 107 X: 6.6875 -INDEX GOES BRRR: 859 X: -10.292 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.80273 -INDEX GOES BRRR: 99 X: 6.21387 -INDEX GOES BRRR: 984 X: -2.45312 -INDEX GOES BRRR: 313 X: 19.5898 -INDEX GOES BRRR: 2 X: 0.166992 -INDEX GOES BRRR: 122 X: 7.67773 -INDEX GOES BRRR: 144 X: 9.06055 -INDEX GOES BRRR: 115 X: 7.21973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 445 X: 27.8604 -INDEX GOES BRRR: 962 X: -3.86719 -INDEX GOES BRRR: 312 X: 19.5059 -INDEX GOES BRRR: 148 X: 9.27344 -INDEX GOES BRRR: 286 X: 17.9062 -INDEX GOES BRRR: 1001 X: -1.4209 -INDEX GOES BRRR: 228 X: 14.3008 -INDEX GOES BRRR: 989 X: -2.13086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 442 X: 27.6689 -INDEX GOES BRRR: 192 X: 12.0547 -INDEX GOES BRRR: 1 X: 0.0820312 -INDEX GOES BRRR: 50 X: 3.13477 -INDEX GOES BRRR: 1007 X: -1.0166 -INDEX GOES BRRR: 269 X: 16.8584 -INDEX GOES BRRR: 226 X: 14.1416 -INDEX GOES BRRR: 291 X: 18.2109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3223 -INDEX GOES BRRR: 111 X: 6.96387 -INDEX GOES BRRR: 368 X: 23.0352 -INDEX GOES BRRR: 957 X: -4.16602 -INDEX GOES BRRR: 271 X: 16.9805 -INDEX GOES BRRR: 899 X: -7.77637 -INDEX GOES BRRR: 1009 X: -0.913086 -INDEX GOES BRRR: 102 X: 6.42969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 823 X: -12.54 -INDEX GOES BRRR: 12 X: 0.795898 -INDEX GOES BRRR: 189 X: 11.8213 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 18 X: 1.13477 -INDEX GOES BRRR: 110 X: 6.875 -INDEX GOES BRRR: 266 X: 16.6748 -INDEX GOES BRRR: 103 X: 6.47266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.9082 -INDEX GOES BRRR: 221 X: 13.8154 -INDEX GOES BRRR: 160 X: 10 -INDEX GOES BRRR: 308 X: 19.2783 -INDEX GOES BRRR: 100 X: 6.27734 -INDEX GOES BRRR: 400 X: 25.0332 -INDEX GOES BRRR: 128 X: 8.06152 -INDEX GOES BRRR: 369 X: 23.0771 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.50586 -INDEX GOES BRRR: 158 X: 9.88184 -INDEX GOES BRRR: 882 X: -8.85742 -INDEX GOES BRRR: 964 X: -3.72754 -INDEX GOES BRRR: 691 X: -20.7783 -INDEX GOES BRRR: 102 X: 6.40039 -INDEX GOES BRRR: 378 X: 23.6582 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7646 -INDEX GOES BRRR: 212 X: 13.2861 -INDEX GOES BRRR: 368 X: 23.0127 -INDEX GOES BRRR: 16 X: 1.05469 -INDEX GOES BRRR: 247 X: 15.4668 -INDEX GOES BRRR: 307 X: 19.2422 -INDEX GOES BRRR: 39 X: 2.45801 -INDEX GOES BRRR: 386 X: 24.1836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1357 -INDEX GOES BRRR: 304 X: 19.0449 -INDEX GOES BRRR: 196 X: 12.2949 -INDEX GOES BRRR: 205 X: 12.8281 -INDEX GOES BRRR: 161 X: 10.0752 -INDEX GOES BRRR: 79 X: 4.97266 -INDEX GOES BRRR: 95 X: 5.94922 -INDEX GOES BRRR: 389 X: 24.3682 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.143555 -INDEX GOES BRRR: 268 X: 16.8066 -INDEX GOES BRRR: 189 X: 11.8633 -INDEX GOES BRRR: 1001 X: -1.38184 -INDEX GOES BRRR: 985 X: -2.41016 -INDEX GOES BRRR: 12 X: 0.796875 -INDEX GOES BRRR: 463 X: 28.9414 -INDEX GOES BRRR: 181 X: 11.3535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.40039 -INDEX GOES BRRR: 230 X: 14.3975 -INDEX GOES BRRR: 9 X: 0.616211 -INDEX GOES BRRR: 154 X: 9.64062 -INDEX GOES BRRR: 254 X: 15.915 -INDEX GOES BRRR: 217 X: 13.6133 -INDEX GOES BRRR: 162 X: 10.1846 -INDEX GOES BRRR: 288 X: 18.0049 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.873 -INDEX GOES BRRR: 239 X: 14.9912 -INDEX GOES BRRR: 391 X: 24.4932 -INDEX GOES BRRR: 148 X: 9.29883 -INDEX GOES BRRR: 53 X: 3.33008 -INDEX GOES BRRR: 54 X: 3.41895 -INDEX GOES BRRR: 426 X: 26.6797 -INDEX GOES BRRR: 54 X: 3.43262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.60156 -INDEX GOES BRRR: 117 X: 7.3584 -INDEX GOES BRRR: 91 X: 5.73535 -INDEX GOES BRRR: 147 X: 9.24414 -INDEX GOES BRRR: 124 X: 7.78809 -INDEX GOES BRRR: 422 X: 26.4121 -INDEX GOES BRRR: 365 X: 22.8311 -INDEX GOES BRRR: 325 X: 20.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0371 -INDEX GOES BRRR: 366 X: 22.915 -INDEX GOES BRRR: 214 X: 13.4307 -INDEX GOES BRRR: 440 X: 27.5449 -INDEX GOES BRRR: 916 X: -6.7334 -INDEX GOES BRRR: 803 X: -13.7715 -INDEX GOES BRRR: 191 X: 11.9688 -INDEX GOES BRRR: 11 X: 0.702148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.28809 -INDEX GOES BRRR: 122 X: 7.64844 -INDEX GOES BRRR: 177 X: 11.0996 -INDEX GOES BRRR: 231 X: 14.4609 -INDEX GOES BRRR: 1022 X: -0.0996094 -INDEX GOES BRRR: 374 X: 23.416 -INDEX GOES BRRR: 884 X: -8.69238 -INDEX GOES BRRR: 979 X: -2.7666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 766 X: -16.1172 -INDEX GOES BRRR: 226 X: 14.1836 -INDEX GOES BRRR: 409 X: 25.5938 -INDEX GOES BRRR: 963 X: -3.77344 -INDEX GOES BRRR: 147 X: 9.20312 -INDEX GOES BRRR: 179 X: 11.2012 -INDEX GOES BRRR: 220 X: 13.8057 -INDEX GOES BRRR: 370 X: 23.166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5049 -INDEX GOES BRRR: 300 X: 18.7734 -INDEX GOES BRRR: 280 X: 17.5361 -INDEX GOES BRRR: 53 X: 3.32812 -INDEX GOES BRRR: 104 X: 6.54688 -INDEX GOES BRRR: 1003 X: -1.27734 -INDEX GOES BRRR: 396 X: 24.8115 -INDEX GOES BRRR: 376 X: 23.5596 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.65234 -INDEX GOES BRRR: 28 X: 1.7832 -INDEX GOES BRRR: 165 X: 10.3311 -INDEX GOES BRRR: 215 X: 13.4648 -INDEX GOES BRRR: 40 X: 2.54004 -INDEX GOES BRRR: 146 X: 9.17188 -INDEX GOES BRRR: 1005 X: -1.15332 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.4102 -INDEX GOES BRRR: 97 X: 6.09277 -INDEX GOES BRRR: 99 X: 6.2168 -INDEX GOES BRRR: 38 X: 2.43066 -INDEX GOES BRRR: 1000 X: -1.44922 -INDEX GOES BRRR: 187 X: 11.7158 -INDEX GOES BRRR: 138 X: 8.65723 -INDEX GOES BRRR: 345 X: 21.5664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3389 -INDEX GOES BRRR: 371 X: 23.1934 -INDEX GOES BRRR: 152 X: 9.55371 -INDEX GOES BRRR: 278 X: 17.4326 -INDEX GOES BRRR: 234 X: 14.6475 -INDEX GOES BRRR: 218 X: 13.6328 -INDEX GOES BRRR: 159 X: 9.99609 -INDEX GOES BRRR: 80 X: 5.05664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5166 -INDEX GOES BRRR: 212 X: 13.2754 -INDEX GOES BRRR: 391 X: 24.4443 -INDEX GOES BRRR: 16 X: 1.05762 -INDEX GOES BRRR: 972 X: -3.23535 -INDEX GOES BRRR: 985 X: -2.39551 -INDEX GOES BRRR: 156 X: 9.76172 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5068 -INDEX GOES BRRR: 25 X: 1.56836 -INDEX GOES BRRR: 227 X: 14.209 -INDEX GOES BRRR: 188 X: 11.7529 -INDEX GOES BRRR: 158 X: 9.90039 -INDEX GOES BRRR: 25 X: 1.56445 -INDEX GOES BRRR: 37 X: 2.36621 -INDEX GOES BRRR: 93 X: 5.85645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.8896 -INDEX GOES BRRR: 145 X: 9.11816 -INDEX GOES BRRR: 127 X: 7.93945 -INDEX GOES BRRR: 270 X: 16.8828 -INDEX GOES BRRR: 297 X: 18.5654 -INDEX GOES BRRR: 438 X: 27.3867 -INDEX GOES BRRR: 322 X: 20.168 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.1162 -INDEX GOES BRRR: 352 X: 22.0537 -INDEX GOES BRRR: 285 X: 17.833 -INDEX GOES BRRR: 997 X: -1.66602 -INDEX GOES BRRR: 297 X: 18.5703 -INDEX GOES BRRR: 18 X: 1.16113 -INDEX GOES BRRR: 210 X: 13.1553 -INDEX GOES BRRR: 372 X: 23.2646 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 5 X: 0.369141 -INDEX GOES BRRR: 255 X: 15.9541 -INDEX GOES BRRR: 97 X: 6.0918 -INDEX GOES BRRR: 444 X: 27.75 -INDEX GOES BRRR: 800 X: -13.9678 -INDEX GOES BRRR: 130 X: 8.16602 -INDEX GOES BRRR: 86 X: 5.3916 -INDEX GOES BRRR: 137 X: 8.58789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.3066 -INDEX GOES BRRR: 281 X: 17.6162 -INDEX GOES BRRR: 147 X: 9.21484 -INDEX GOES BRRR: 103 X: 6.48242 -INDEX GOES BRRR: 933 X: -5.66113 -INDEX GOES BRRR: 300 X: 18.7637 -INDEX GOES BRRR: 200 X: 12.5107 -INDEX GOES BRRR: 944 X: -4.95801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.96387 -INDEX GOES BRRR: 247 X: 15.4697 -INDEX GOES BRRR: 166 X: 10.4258 -INDEX GOES BRRR: 250 X: 15.6289 -INDEX GOES BRRR: 219 X: 13.7451 -INDEX GOES BRRR: 219 X: 13.7148 -INDEX GOES BRRR: 998 X: -1.60254 -INDEX GOES BRRR: 192 X: 12.0127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 299 X: 18.7012 -INDEX GOES BRRR: 345 X: 21.6143 -INDEX GOES BRRR: 960 X: -3.96387 -INDEX GOES BRRR: 197 X: 12.3691 -INDEX GOES BRRR: 920 X: -6.44238 -INDEX GOES BRRR: 2 X: 0.136719 -INDEX GOES BRRR: 917 X: -6.64551 -INDEX GOES BRRR: 392 X: 24.5117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 282 X: 17.6465 -INDEX GOES BRRR: 922 X: -6.35547 -INDEX GOES BRRR: 248 X: 15.5244 -INDEX GOES BRRR: 182 X: 11.3916 -INDEX GOES BRRR: 262 X: 16.4229 -INDEX GOES BRRR: 898 X: -7.8252 -INDEX GOES BRRR: 292 X: 18.3057 -INDEX GOES BRRR: 325 X: 20.3408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1016 X: -0.451172 -INDEX GOES BRRR: 261 X: 16.3145 -INDEX GOES BRRR: 474 X: 29.6748 -INDEX GOES BRRR: 150 X: 9.43164 -INDEX GOES BRRR: 318 X: 19.9082 -INDEX GOES BRRR: 196 X: 12.2695 -INDEX GOES BRRR: 987 X: -2.25781 -INDEX GOES BRRR: 149 X: 9.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5059 -INDEX GOES BRRR: 210 X: 13.1768 -INDEX GOES BRRR: 308 X: 19.29 -INDEX GOES BRRR: 632 X: -24.4395 -INDEX GOES BRRR: 447 X: 27.9561 -INDEX GOES BRRR: 290 X: 18.1709 -INDEX GOES BRRR: 114 X: 7.13477 -INDEX GOES BRRR: 261 X: 16.3711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.48047 -INDEX GOES BRRR: 28 X: 1.78613 -INDEX GOES BRRR: 150 X: 9.38965 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 949 X: -4.64648 -INDEX GOES BRRR: 236 X: 14.7881 -INDEX GOES BRRR: 152 X: 9.56055 -INDEX GOES BRRR: 179 X: 11.2148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.08789 -INDEX GOES BRRR: 325 X: 20.3232 -INDEX GOES BRRR: 179 X: 11.2119 -INDEX GOES BRRR: 122 X: 7.64941 -INDEX GOES BRRR: 136 X: 8.52832 -INDEX GOES BRRR: 211 X: 13.2295 -INDEX GOES BRRR: 209 X: 13.0908 -INDEX GOES BRRR: 164 X: 10.2695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 965 X: -3.66309 -INDEX GOES BRRR: 132 X: 8.29785 -INDEX GOES BRRR: 319 X: 19.9854 -INDEX GOES BRRR: 14 X: 0.911133 -INDEX GOES BRRR: 141 X: 8.82422 -INDEX GOES BRRR: 997 X: -1.66309 -INDEX GOES BRRR: 143 X: 8.94824 -INDEX GOES BRRR: 352 X: 22.0342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.40723 -INDEX GOES BRRR: 388 X: 24.3047 -INDEX GOES BRRR: 198 X: 12.376 -INDEX GOES BRRR: 1006 X: -1.08008 -INDEX GOES BRRR: 998 X: -1.58691 -INDEX GOES BRRR: 892 X: -8.2207 -INDEX GOES BRRR: 283 X: 17.707 -INDEX GOES BRRR: 229 X: 14.3262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5645 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 917 X: -6.62598 -INDEX GOES BRRR: 266 X: 16.6338 -INDEX GOES BRRR: 321 X: 20.1113 -INDEX GOES BRRR: 88 X: 5.55566 -INDEX GOES BRRR: 1018 X: -0.332031 -INDEX GOES BRRR: 177 X: 11.0654 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 880 X: -8.96094 -INDEX GOES BRRR: 184 X: 11.5293 -INDEX GOES BRRR: 113 X: 7.09961 -INDEX GOES BRRR: 349 X: 21.8486 -INDEX GOES BRRR: 111 X: 6.97559 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 58 X: 3.64648 -INDEX GOES BRRR: 218 X: 13.6641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.35254 -INDEX GOES BRRR: 151 X: 9.49902 -INDEX GOES BRRR: 0 X: 0.015625 -INDEX GOES BRRR: 917 X: -6.65234 -INDEX GOES BRRR: 171 X: 10.7012 -INDEX GOES BRRR: 31 X: 1.99121 -INDEX GOES BRRR: 363 X: 22.7432 -INDEX GOES BRRR: 369 X: 23.1123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.49316 -INDEX GOES BRRR: 413 X: 25.8496 -INDEX GOES BRRR: 173 X: 10.8477 -INDEX GOES BRRR: 885 X: -8.66309 -INDEX GOES BRRR: 1007 X: -1.05469 -INDEX GOES BRRR: 288 X: 18.0596 -INDEX GOES BRRR: 326 X: 20.3828 -INDEX GOES BRRR: 205 X: 12.8711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.39355 -INDEX GOES BRRR: 394 X: 24.6523 -INDEX GOES BRRR: 191 X: 11.9697 -INDEX GOES BRRR: 157 X: 9.84961 -INDEX GOES BRRR: 226 X: 14.1387 -INDEX GOES BRRR: 234 X: 14.6836 -INDEX GOES BRRR: 25 X: 1.5625 -INDEX GOES BRRR: 27 X: 1.69922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.525391 -INDEX GOES BRRR: 145 X: 9.08594 -INDEX GOES BRRR: 149 X: 9.31934 -INDEX GOES BRRR: 8 X: 0.536133 -INDEX GOES BRRR: 198 X: 12.3945 -INDEX GOES BRRR: 135 X: 8.49023 -INDEX GOES BRRR: 331 X: 20.7432 -INDEX GOES BRRR: 154 X: 9.6748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.8623 -INDEX GOES BRRR: 191 X: 11.9414 -INDEX GOES BRRR: 22 X: 1.39551 -INDEX GOES BRRR: 36 X: 2.2793 -INDEX GOES BRRR: 130 X: 8.15625 -INDEX GOES BRRR: 92 X: 5.7627 -INDEX GOES BRRR: 237 X: 14.8125 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 481 X: 30.0674 -INDEX GOES BRRR: 152 X: 9.50781 -INDEX GOES BRRR: 109 X: 6.84082 -INDEX GOES BRRR: 95 X: 5.98926 -INDEX GOES BRRR: 367 X: 22.9727 -INDEX GOES BRRR: 215 X: 13.4893 -INDEX GOES BRRR: 16 X: 1.06055 -INDEX GOES BRRR: 278 X: 17.3936 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.7168 -INDEX GOES BRRR: 354 X: 22.1309 -INDEX GOES BRRR: 284 X: 17.8057 -INDEX GOES BRRR: 138 X: 8.66992 -INDEX GOES BRRR: 180 X: 11.2861 -INDEX GOES BRRR: 242 X: 15.1709 -INDEX GOES BRRR: 229 X: 14.3516 -INDEX GOES BRRR: 991 X: -2.0498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5791 -INDEX GOES BRRR: 357 X: 22.3535 -INDEX GOES BRRR: 243 X: 15.21 -INDEX GOES BRRR: 285 X: 17.8535 -INDEX GOES BRRR: 465 X: 29.0693 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 84 X: 5.27051 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 265 X: 16.5791 -INDEX GOES BRRR: 215 X: 13.4678 -INDEX GOES BRRR: 84 X: 5.30078 -INDEX GOES BRRR: 308 X: 19.2803 -INDEX GOES BRRR: 175 X: 10.9941 -INDEX GOES BRRR: 786 X: -14.8613 -INDEX GOES BRRR: 1018 X: -0.323242 -INDEX GOES BRRR: 967 X: -3.50684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1013 X: -0.670898 -INDEX GOES BRRR: 1020 X: -0.231445 -INDEX GOES BRRR: 196 X: 12.2725 -INDEX GOES BRRR: 54 X: 3.42578 -INDEX GOES BRRR: 26 X: 1.65039 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 335 X: 20.9854 -INDEX GOES BRRR: 184 X: 11.502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0332 -INDEX GOES BRRR: 410 X: 25.6279 -INDEX GOES BRRR: 265 X: 16.6172 -INDEX GOES BRRR: 982 X: -2.58887 -INDEX GOES BRRR: 309 X: 19.374 -INDEX GOES BRRR: 1014 X: -0.592773 -INDEX GOES BRRR: 1019 X: -0.308594 -INDEX GOES BRRR: 82 X: 5.13574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 334 X: 20.8867 -INDEX GOES BRRR: 329 X: 20.6191 -INDEX GOES BRRR: 294 X: 18.4248 -INDEX GOES BRRR: 964 X: -3.7207 -INDEX GOES BRRR: 160 X: 10.0576 -INDEX GOES BRRR: 198 X: 12.3809 -INDEX GOES BRRR: 197 X: 12.3643 -INDEX GOES BRRR: 903 X: -7.5498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.28125 -INDEX GOES BRRR: 33 X: 2.07227 -INDEX GOES BRRR: 184 X: 11.5156 -INDEX GOES BRRR: 74 X: 4.67188 -INDEX GOES BRRR: 120 X: 7.50684 -INDEX GOES BRRR: 239 X: 14.9912 -INDEX GOES BRRR: 964 X: -3.74316 -INDEX GOES BRRR: 134 X: 8.375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 816 X: -12.9824 -INDEX GOES BRRR: 419 X: 26.2275 -INDEX GOES BRRR: 179 X: 11.2197 -INDEX GOES BRRR: 202 X: 12.6846 -INDEX GOES BRRR: 45 X: 2.86719 -INDEX GOES BRRR: 336 X: 21.0215 -INDEX GOES BRRR: 132 X: 8.2832 -INDEX GOES BRRR: 20 X: 1.2998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5049 -INDEX GOES BRRR: 476 X: 29.8066 -INDEX GOES BRRR: 84 X: 5.28125 -INDEX GOES BRRR: 194 X: 12.1465 -INDEX GOES BRRR: 365 X: 22.8242 -INDEX GOES BRRR: 380 X: 23.7969 -INDEX GOES BRRR: 52 X: 3.27539 -INDEX GOES BRRR: 995 X: -1.78516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 268 X: 16.7598 -INDEX GOES BRRR: 249 X: 15.5879 -INDEX GOES BRRR: 365 X: 22.8438 -INDEX GOES BRRR: 140 X: 8.76953 -INDEX GOES BRRR: 102 X: 6.38965 -INDEX GOES BRRR: 296 X: 18.5576 -INDEX GOES BRRR: 92 X: 5.79883 -INDEX GOES BRRR: 976 X: -2.98828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 421 X: 26.3213 -INDEX GOES BRRR: 61 X: 3.87012 -INDEX GOES BRRR: 409 X: 25.6016 -INDEX GOES BRRR: 316 X: 19.7578 -INDEX GOES BRRR: 123 X: 7.73242 -INDEX GOES BRRR: 45 X: 2.81738 -INDEX GOES BRRR: 193 X: 12.0781 -INDEX GOES BRRR: 436 X: 27.2559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.8086 -INDEX GOES BRRR: 875 X: -9.25781 -INDEX GOES BRRR: 992 X: -1.99902 -INDEX GOES BRRR: 108 X: 6.76074 -INDEX GOES BRRR: 250 X: 15.6436 -INDEX GOES BRRR: 104 X: 6.51172 -INDEX GOES BRRR: 982 X: -2.60547 -INDEX GOES BRRR: 133 X: 8.3457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.9043 -INDEX GOES BRRR: 250 X: 15.6807 -INDEX GOES BRRR: 282 X: 17.6523 -INDEX GOES BRRR: 5 X: 0.344727 -INDEX GOES BRRR: 205 X: 12.832 -INDEX GOES BRRR: 288 X: 18.0049 -INDEX GOES BRRR: 445 X: 27.8457 -INDEX GOES BRRR: 9 X: 0.588867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.0947 -INDEX GOES BRRR: 303 X: 18.9502 -INDEX GOES BRRR: 157 X: 9.84375 -INDEX GOES BRRR: 949 X: -4.64062 -INDEX GOES BRRR: 154 X: 9.67285 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 412 X: 25.7578 -INDEX GOES BRRR: 154 X: 9.62695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 860 X: -10.1904 -INDEX GOES BRRR: 338 X: 21.1758 -INDEX GOES BRRR: 965 X: -3.66602 -INDEX GOES BRRR: 866 X: -9.84082 -INDEX GOES BRRR: 328 X: 20.5117 -INDEX GOES BRRR: 127 X: 7.95898 -INDEX GOES BRRR: 215 X: 13.4805 -INDEX GOES BRRR: 295 X: 18.4668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1005 X: -1.15137 -INDEX GOES BRRR: 124 X: 7.76465 -INDEX GOES BRRR: 113 X: 7.11328 -INDEX GOES BRRR: 311 X: 19.4434 -INDEX GOES BRRR: 297 X: 18.5928 -INDEX GOES BRRR: 234 X: 14.6465 -INDEX GOES BRRR: 404 X: 25.2539 -INDEX GOES BRRR: 353 X: 22.0693 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.6895 -INDEX GOES BRRR: 171 X: 10.6885 -INDEX GOES BRRR: 215 X: 13.4463 -INDEX GOES BRRR: 111 X: 6.97852 -INDEX GOES BRRR: 210 X: 13.127 -INDEX GOES BRRR: 1009 X: -0.916992 -INDEX GOES BRRR: 185 X: 11.5781 -INDEX GOES BRRR: 231 X: 14.4385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2617 -INDEX GOES BRRR: 349 X: 21.873 -INDEX GOES BRRR: 25 X: 1.58105 -INDEX GOES BRRR: 70 X: 4.41309 -INDEX GOES BRRR: 33 X: 2.09473 -INDEX GOES BRRR: 163 X: 10.2363 -INDEX GOES BRRR: 410 X: 25.6387 -INDEX GOES BRRR: 219 X: 13.7295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0859 -INDEX GOES BRRR: 22 X: 1.37988 -INDEX GOES BRRR: 251 X: 15.7383 -INDEX GOES BRRR: 106 X: 6.62695 -INDEX GOES BRRR: 318 X: 19.8779 -INDEX GOES BRRR: 134 X: 8.37793 -INDEX GOES BRRR: 154 X: 9.68359 -INDEX GOES BRRR: 352 X: 22.0312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.66895 -INDEX GOES BRRR: 108 X: 6.75684 -INDEX GOES BRRR: 150 X: 9.40234 -INDEX GOES BRRR: 336 X: 21.001 -INDEX GOES BRRR: 196 X: 12.2539 -INDEX GOES BRRR: 185 X: 11.6035 -INDEX GOES BRRR: 74 X: 4.62988 -INDEX GOES BRRR: 173 X: 10.8545 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 311 X: 19.4482 -INDEX GOES BRRR: 224 X: 14.0186 -INDEX GOES BRRR: 206 X: 12.8945 -INDEX GOES BRRR: 28 X: 1.77441 -INDEX GOES BRRR: 149 X: 9.32031 -INDEX GOES BRRR: 840 X: -11.4678 -INDEX GOES BRRR: 210 X: 13.1387 -INDEX GOES BRRR: 277 X: 17.3633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 452 X: 28.2607 -INDEX GOES BRRR: 155 X: 9.70703 -INDEX GOES BRRR: 993 X: -1.92578 -INDEX GOES BRRR: 50 X: 3.14648 -INDEX GOES BRRR: 974 X: -3.11816 -INDEX GOES BRRR: 181 X: 11.3467 -INDEX GOES BRRR: 235 X: 14.7139 -INDEX GOES BRRR: 324 X: 20.2529 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 311 X: 19.4824 -INDEX GOES BRRR: 141 X: 8.86914 -INDEX GOES BRRR: 1016 X: -0.439453 -INDEX GOES BRRR: 255 X: 15.9746 -INDEX GOES BRRR: 280 X: 17.5498 -INDEX GOES BRRR: 284 X: 17.7617 -INDEX GOES BRRR: 84 X: 5.25488 -INDEX GOES BRRR: 217 X: 13.5703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.6143 -INDEX GOES BRRR: 980 X: -2.71289 -INDEX GOES BRRR: 329 X: 20.583 -INDEX GOES BRRR: 106 X: 6.6748 -INDEX GOES BRRR: 126 X: 7.89941 -INDEX GOES BRRR: 14 X: 0.927734 -INDEX GOES BRRR: 948 X: -4.71387 -INDEX GOES BRRR: 97 X: 6.07812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.1045 -INDEX GOES BRRR: 36 X: 2.30957 -INDEX GOES BRRR: 223 X: 13.9912 -INDEX GOES BRRR: 4 X: 0.301758 -INDEX GOES BRRR: 88 X: 5.52637 -INDEX GOES BRRR: 112 X: 7.04785 -INDEX GOES BRRR: 327 X: 20.4746 -INDEX GOES BRRR: 128 X: 8.06152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 976 X: -2.97461 -INDEX GOES BRRR: 78 X: 4.8877 -INDEX GOES BRRR: 273 X: 17.0918 -INDEX GOES BRRR: 740 X: -17.6914 -INDEX GOES BRRR: 869 X: -9.64062 -INDEX GOES BRRR: 213 X: 13.3545 -INDEX GOES BRRR: 1013 X: -0.62793 -INDEX GOES BRRR: 801 X: -13.9121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 294 X: 18.4131 -INDEX GOES BRRR: 134 X: 8.39258 -INDEX GOES BRRR: 78 X: 4.91211 -INDEX GOES BRRR: 37 X: 2.33008 -INDEX GOES BRRR: 815 X: -13.0234 -INDEX GOES BRRR: 437 X: 27.3135 -INDEX GOES BRRR: 226 X: 14.1768 -INDEX GOES BRRR: 105 X: 6.5625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.67969 -INDEX GOES BRRR: 146 X: 9.13672 -INDEX GOES BRRR: 145 X: 9.10254 -INDEX GOES BRRR: 402 X: 25.167 -INDEX GOES BRRR: 207 X: 12.9502 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 398 X: 24.9062 -INDEX GOES BRRR: 305 X: 19.1094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.79004 -INDEX GOES BRRR: 85 X: 5.37109 -INDEX GOES BRRR: 146 X: 9.1748 -INDEX GOES BRRR: 728 X: -18.4629 -INDEX GOES BRRR: 288 X: 18.042 -INDEX GOES BRRR: 1015 X: -0.55957 -INDEX GOES BRRR: 202 X: 12.6758 -INDEX GOES BRRR: 178 X: 11.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 282 X: 17.6455 -INDEX GOES BRRR: 449 X: 28.0771 -INDEX GOES BRRR: 26 X: 1.63965 -INDEX GOES BRRR: 112 X: 7.00098 -INDEX GOES BRRR: 208 X: 13.0488 -INDEX GOES BRRR: 287 X: 17.9561 -INDEX GOES BRRR: 183 X: 11.4414 -INDEX GOES BRRR: 252 X: 15.7949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.55664 -INDEX GOES BRRR: 167 X: 10.4561 -INDEX GOES BRRR: 302 X: 18.917 -INDEX GOES BRRR: 163 X: 10.2451 -INDEX GOES BRRR: 184 X: 11.5312 -INDEX GOES BRRR: 1006 X: -1.08008 -INDEX GOES BRRR: 25 X: 1.59863 -INDEX GOES BRRR: 77 X: 4.84668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 931 X: -5.75977 -INDEX GOES BRRR: 941 X: -5.15723 -INDEX GOES BRRR: 221 X: 13.8525 -INDEX GOES BRRR: 78 X: 4.89551 -INDEX GOES BRRR: 179 X: 11.2432 -INDEX GOES BRRR: 403 X: 25.2393 -INDEX GOES BRRR: 255 X: 15.9727 -INDEX GOES BRRR: 2 X: 0.154297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.28223 -INDEX GOES BRRR: 275 X: 17.2471 -INDEX GOES BRRR: 191 X: 11.9619 -INDEX GOES BRRR: 137 X: 8.61523 -INDEX GOES BRRR: 313 X: 19.5801 -INDEX GOES BRRR: 281 X: 17.6064 -INDEX GOES BRRR: 955 X: -4.27539 -INDEX GOES BRRR: 657 X: -22.8906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 69 X: 4.37402 -INDEX GOES BRRR: 330 X: 20.6768 -INDEX GOES BRRR: 440 X: 27.5547 -INDEX GOES BRRR: 381 X: 23.8398 -INDEX GOES BRRR: 31 X: 1.96094 -INDEX GOES BRRR: 861 X: -10.168 -INDEX GOES BRRR: 138 X: 8.63184 -INDEX GOES BRRR: 246 X: 15.4307 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 70 X: 4.41797 -INDEX GOES BRRR: 859 X: -10.2725 -INDEX GOES BRRR: 72 X: 4.55469 -INDEX GOES BRRR: 954 X: -4.35547 -INDEX GOES BRRR: 104 X: 6.51074 -INDEX GOES BRRR: 994 X: -1.83008 -INDEX GOES BRRR: 94 X: 5.91699 -INDEX GOES BRRR: 185 X: 11.5645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.512695 -INDEX GOES BRRR: 933 X: -5.66211 -INDEX GOES BRRR: 225 X: 14.0654 -INDEX GOES BRRR: 309 X: 19.3604 -INDEX GOES BRRR: 81 X: 5.10938 -INDEX GOES BRRR: 408 X: 25.5518 -INDEX GOES BRRR: 379 X: 23.6885 -INDEX GOES BRRR: 209 X: 13.1211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.04 -INDEX GOES BRRR: 457 X: 28.6064 -INDEX GOES BRRR: 232 X: 14.5498 -INDEX GOES BRRR: 107 X: 6.7207 -INDEX GOES BRRR: 282 X: 17.6865 -INDEX GOES BRRR: 362 X: 22.6484 -INDEX GOES BRRR: 392 X: 24.54 -INDEX GOES BRRR: 93 X: 5.84668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1582 -INDEX GOES BRRR: 104 X: 6.52734 -INDEX GOES BRRR: 980 X: -2.69336 -INDEX GOES BRRR: 90 X: 5.65527 -INDEX GOES BRRR: 254 X: 15.8848 -INDEX GOES BRRR: 243 X: 15.2031 -INDEX GOES BRRR: 69 X: 4.35254 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.557617 -INDEX GOES BRRR: 228 X: 14.2588 -INDEX GOES BRRR: 104 X: 6.54785 -INDEX GOES BRRR: 110 X: 6.93359 -INDEX GOES BRRR: 179 X: 11.2471 -INDEX GOES BRRR: 242 X: 15.1719 -INDEX GOES BRRR: 840 X: -11.4453 -INDEX GOES BRRR: 19 X: 1.1875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.45898 -INDEX GOES BRRR: 57 X: 3.59277 -INDEX GOES BRRR: 70 X: 4.41992 -INDEX GOES BRRR: 404 X: 25.251 -INDEX GOES BRRR: 129 X: 8.09277 -INDEX GOES BRRR: 200 X: 12.54 -INDEX GOES BRRR: 277 X: 17.3701 -INDEX GOES BRRR: 298 X: 18.6514 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0557 -INDEX GOES BRRR: 2 X: 0.131836 -INDEX GOES BRRR: 267 X: 16.7324 -INDEX GOES BRRR: 132 X: 8.29297 -INDEX GOES BRRR: 159 X: 9.96582 -INDEX GOES BRRR: 52 X: 3.29492 -INDEX GOES BRRR: 225 X: 14.0723 -INDEX GOES BRRR: 175 X: 10.9697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 453 X: 28.3447 -INDEX GOES BRRR: 315 X: 19.7324 -INDEX GOES BRRR: 276 X: 17.2959 -INDEX GOES BRRR: 120 X: 7.52734 -INDEX GOES BRRR: 276 X: 17.25 -INDEX GOES BRRR: 132 X: 8.27734 -INDEX GOES BRRR: 231 X: 14.4746 -INDEX GOES BRRR: 84 X: 5.28809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0781 -INDEX GOES BRRR: 213 X: 13.3252 -INDEX GOES BRRR: 151 X: 9.47852 -INDEX GOES BRRR: 150 X: 9.37793 -INDEX GOES BRRR: 392 X: 24.5381 -INDEX GOES BRRR: 108 X: 6.78516 -INDEX GOES BRRR: 895 X: -8.05078 -INDEX GOES BRRR: 1004 X: -1.21094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9131 -INDEX GOES BRRR: 129 X: 8.08496 -INDEX GOES BRRR: 275 X: 17.1914 -INDEX GOES BRRR: 892 X: -8.23633 -INDEX GOES BRRR: 60 X: 3.77832 -INDEX GOES BRRR: 116 X: 7.28613 -INDEX GOES BRRR: 459 X: 28.7051 -INDEX GOES BRRR: 4 X: 0.308594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.79688 -INDEX GOES BRRR: 313 X: 19.6084 -INDEX GOES BRRR: 180 X: 11.2676 -INDEX GOES BRRR: 136 X: 8.52051 -INDEX GOES BRRR: 250 X: 15.6592 -INDEX GOES BRRR: 198 X: 12.4238 -INDEX GOES BRRR: 163 X: 10.2461 -INDEX GOES BRRR: 949 X: -4.62598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.40723 -INDEX GOES BRRR: 841 X: -11.3994 -INDEX GOES BRRR: 921 X: -6.39062 -INDEX GOES BRRR: 995 X: -1.7832 -INDEX GOES BRRR: 186 X: 11.6494 -INDEX GOES BRRR: 484 X: 30.2627 -INDEX GOES BRRR: 88 X: 5.5127 -INDEX GOES BRRR: 202 X: 12.627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 973 X: -3.14355 -INDEX GOES BRRR: 20 X: 1.29395 -INDEX GOES BRRR: 114 X: 7.17383 -INDEX GOES BRRR: 329 X: 20.6035 -INDEX GOES BRRR: 262 X: 16.3916 -INDEX GOES BRRR: 59 X: 3.70117 -INDEX GOES BRRR: 233 X: 14.6113 -INDEX GOES BRRR: 452 X: 28.2705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 424 X: 26.5352 -INDEX GOES BRRR: 1009 X: -0.922852 -INDEX GOES BRRR: 285 X: 17.833 -INDEX GOES BRRR: 192 X: 12.0254 -INDEX GOES BRRR: 224 X: 14.0195 -INDEX GOES BRRR: 231 X: 14.4443 -INDEX GOES BRRR: 102 X: 6.38281 -INDEX GOES BRRR: 984 X: -2.49609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 460 X: 28.7754 -INDEX GOES BRRR: 143 X: 8.9707 -INDEX GOES BRRR: 159 X: 9.9375 -INDEX GOES BRRR: 208 X: 13.0146 -INDEX GOES BRRR: 87 X: 5.44043 -INDEX GOES BRRR: 52 X: 3.27734 -INDEX GOES BRRR: 38 X: 2.41797 -INDEX GOES BRRR: 215 X: 13.4912 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 339 X: 21.2051 -INDEX GOES BRRR: 358 X: 22.4043 -INDEX GOES BRRR: 218 X: 13.6279 -INDEX GOES BRRR: 151 X: 9.44727 -INDEX GOES BRRR: 903 X: -7.50977 -INDEX GOES BRRR: 375 X: 23.4658 -INDEX GOES BRRR: 80 X: 5.05664 -INDEX GOES BRRR: 277 X: 17.3184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 925 X: -6.15527 -INDEX GOES BRRR: 231 X: 14.4414 -INDEX GOES BRRR: 46 X: 2.91602 -INDEX GOES BRRR: 387 X: 24.2461 -INDEX GOES BRRR: 233 X: 14.5801 -INDEX GOES BRRR: 61 X: 3.81445 -INDEX GOES BRRR: 74 X: 4.66699 -INDEX GOES BRRR: 245 X: 15.3672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 789 X: -14.6611 -INDEX GOES BRRR: 205 X: 12.8604 -INDEX GOES BRRR: 132 X: 8.27246 -INDEX GOES BRRR: 290 X: 18.1572 -INDEX GOES BRRR: 465 X: 29.1074 -INDEX GOES BRRR: 327 X: 20.4766 -INDEX GOES BRRR: 965 X: -3.62695 -INDEX GOES BRRR: 974 X: -3.11719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 300 X: 18.7686 -INDEX GOES BRRR: 366 X: 22.9014 -INDEX GOES BRRR: 63 X: 3.93848 -INDEX GOES BRRR: 276 X: 17.2891 -INDEX GOES BRRR: 113 X: 7.07129 -INDEX GOES BRRR: 413 X: 25.8555 -INDEX GOES BRRR: 10 X: 0.626953 -INDEX GOES BRRR: 13 X: 0.84375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 906 X: -7.32031 -INDEX GOES BRRR: 1001 X: -1.4043 -INDEX GOES BRRR: 36 X: 2.28125 -INDEX GOES BRRR: 241 X: 15.123 -INDEX GOES BRRR: 126 X: 7.89746 -INDEX GOES BRRR: 229 X: 14.334 -INDEX GOES BRRR: 76 X: 4.79199 -INDEX GOES BRRR: 119 X: 7.4375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1011 X: -0.780273 -INDEX GOES BRRR: 317 X: 19.8652 -INDEX GOES BRRR: 996 X: -1.74121 -INDEX GOES BRRR: 37 X: 2.35645 -INDEX GOES BRRR: 344 X: 21.5283 -INDEX GOES BRRR: 448 X: 28.002 -INDEX GOES BRRR: 53 X: 3.33398 -INDEX GOES BRRR: 413 X: 25.8721 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1011 X: -0.793945 -INDEX GOES BRRR: 195 X: 12.2275 -INDEX GOES BRRR: 238 X: 14.9316 -INDEX GOES BRRR: 282 X: 17.6816 -INDEX GOES BRRR: 73 X: 4.56934 -INDEX GOES BRRR: 270 X: 16.877 -INDEX GOES BRRR: 924 X: -6.24707 -INDEX GOES BRRR: 1007 X: -1.05566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 100 X: 6.27051 -INDEX GOES BRRR: 109 X: 6.83691 -INDEX GOES BRRR: 253 X: 15.8428 -INDEX GOES BRRR: 117 X: 7.34766 -INDEX GOES BRRR: 117 X: 7.32812 -INDEX GOES BRRR: 301 X: 18.8623 -INDEX GOES BRRR: 251 X: 15.7363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5508 -INDEX GOES BRRR: 191 X: 11.9502 -INDEX GOES BRRR: 158 X: 9.9082 -INDEX GOES BRRR: 127 X: 7.95996 -INDEX GOES BRRR: 32 X: 2.02832 -INDEX GOES BRRR: 394 X: 24.666 -INDEX GOES BRRR: 86 X: 5.37891 -INDEX GOES BRRR: 194 X: 12.1738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 294 X: 18.4062 -INDEX GOES BRRR: 255 X: 15.9512 -INDEX GOES BRRR: 954 X: -4.34473 -INDEX GOES BRRR: 219 X: 13.6904 -INDEX GOES BRRR: 18 X: 1.12793 -INDEX GOES BRRR: 423 X: 26.4736 -INDEX GOES BRRR: 195 X: 12.2021 -INDEX GOES BRRR: 954 X: -4.3252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.37891 -INDEX GOES BRRR: 243 X: 15.2041 -INDEX GOES BRRR: 238 X: 14.9014 -INDEX GOES BRRR: 1017 X: -0.383789 -INDEX GOES BRRR: 70 X: 4.39062 -INDEX GOES BRRR: 324 X: 20.2744 -INDEX GOES BRRR: 31 X: 1.96387 -INDEX GOES BRRR: 70 X: 4.41016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2021 -INDEX GOES BRRR: 454 X: 28.4268 -INDEX GOES BRRR: 35 X: 2.21484 -INDEX GOES BRRR: 447 X: 27.9844 -INDEX GOES BRRR: 123 X: 7.71777 -INDEX GOES BRRR: 82 X: 5.17871 -INDEX GOES BRRR: 479 X: 29.9736 -INDEX GOES BRRR: 66 X: 4.14355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.8301 -INDEX GOES BRRR: 768 X: -15.9834 -INDEX GOES BRRR: 200 X: 12.5508 -INDEX GOES BRRR: 18 X: 1.13867 -INDEX GOES BRRR: 53 X: 3.3623 -INDEX GOES BRRR: 69 X: 4.34082 -INDEX GOES BRRR: 879 X: -9.01367 -INDEX GOES BRRR: 206 X: 12.8994 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.043 -INDEX GOES BRRR: 234 X: 14.6309 -INDEX GOES BRRR: 254 X: 15.8965 -INDEX GOES BRRR: 164 X: 10.2939 -INDEX GOES BRRR: 255 X: 15.9375 -INDEX GOES BRRR: 51 X: 3.20215 -INDEX GOES BRRR: 218 X: 13.6807 -INDEX GOES BRRR: 340 X: 21.252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 917 X: -6.6543 -INDEX GOES BRRR: 58 X: 3.67188 -INDEX GOES BRRR: 246 X: 15.4131 -INDEX GOES BRRR: 3 X: 0.236328 -INDEX GOES BRRR: 215 X: 13.4512 -INDEX GOES BRRR: 279 X: 17.4609 -INDEX GOES BRRR: 77 X: 4.86133 -INDEX GOES BRRR: 152 X: 9.5 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 889 X: -8.40039 -INDEX GOES BRRR: 964 X: -3.70117 -INDEX GOES BRRR: 208 X: 13.0537 -INDEX GOES BRRR: 995 X: -1.77832 -INDEX GOES BRRR: 41 X: 2.58301 -INDEX GOES BRRR: 330 X: 20.6748 -INDEX GOES BRRR: 248 X: 15.5518 -INDEX GOES BRRR: 964 X: -3.71973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.39453 -INDEX GOES BRRR: 343 X: 21.4678 -INDEX GOES BRRR: 241 X: 15.0986 -INDEX GOES BRRR: 293 X: 18.3359 -INDEX GOES BRRR: 200 X: 12.5029 -INDEX GOES BRRR: 66 X: 4.16602 -INDEX GOES BRRR: 859 X: -10.2588 -INDEX GOES BRRR: 178 X: 11.1562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.75 -INDEX GOES BRRR: 301 X: 18.8701 -INDEX GOES BRRR: 45 X: 2.81348 -INDEX GOES BRRR: 201 X: 12.5928 -INDEX GOES BRRR: 74 X: 4.66211 -INDEX GOES BRRR: 298 X: 18.626 -INDEX GOES BRRR: 340 X: 21.3057 -INDEX GOES BRRR: 203 X: 12.7314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.67773 -INDEX GOES BRRR: 294 X: 18.418 -INDEX GOES BRRR: 337 X: 21.1025 -INDEX GOES BRRR: 66 X: 4.13477 -INDEX GOES BRRR: 435 X: 27.2197 -INDEX GOES BRRR: 222 X: 13.9336 -INDEX GOES BRRR: 420 X: 26.2861 -INDEX GOES BRRR: 326 X: 20.4277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2061 -INDEX GOES BRRR: 92 X: 5.79004 -INDEX GOES BRRR: 122 X: 7.67871 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 924 X: -6.23145 -INDEX GOES BRRR: 417 X: 26.0674 -INDEX GOES BRRR: 306 X: 19.1514 -INDEX GOES BRRR: 250 X: 15.6855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7256 -INDEX GOES BRRR: 88 X: 5.5166 -INDEX GOES BRRR: 282 X: 17.6426 -INDEX GOES BRRR: 231 X: 14.4893 -INDEX GOES BRRR: 32 X: 2.01758 -INDEX GOES BRRR: 14 X: 0.889648 -INDEX GOES BRRR: 92 X: 5.75977 -INDEX GOES BRRR: 129 X: 8.10449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 376 X: 23.5215 -INDEX GOES BRRR: 168 X: 10.5029 -INDEX GOES BRRR: 111 X: 6.98145 -INDEX GOES BRRR: 400 X: 25.041 -INDEX GOES BRRR: 108 X: 6.7666 -INDEX GOES BRRR: 81 X: 5.06445 -INDEX GOES BRRR: 243 X: 15.2188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7734 -INDEX GOES BRRR: 78 X: 4.92676 -INDEX GOES BRRR: 187 X: 11.7441 -INDEX GOES BRRR: 83 X: 5.24609 -INDEX GOES BRRR: 188 X: 11.7744 -INDEX GOES BRRR: 181 X: 11.3652 -INDEX GOES BRRR: 294 X: 18.3984 -INDEX GOES BRRR: 99 X: 6.23828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 319 X: 19.9814 -INDEX GOES BRRR: 239 X: 14.9531 -INDEX GOES BRRR: 273 X: 17.0723 -INDEX GOES BRRR: 81 X: 5.0791 -INDEX GOES BRRR: 190 X: 11.9033 -INDEX GOES BRRR: 811 X: -13.2617 -INDEX GOES BRRR: 22 X: 1.38867 -INDEX GOES BRRR: 113 X: 7.11328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2207 -INDEX GOES BRRR: 48 X: 3.05566 -INDEX GOES BRRR: 474 X: 29.627 -INDEX GOES BRRR: 100 X: 6.25195 -INDEX GOES BRRR: 217 X: 13.5986 -INDEX GOES BRRR: 323 X: 20.2256 -INDEX GOES BRRR: 157 X: 9.84668 -INDEX GOES BRRR: 222 X: 13.9248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.5693 -INDEX GOES BRRR: 378 X: 23.6865 -INDEX GOES BRRR: 109 X: 6.82715 -INDEX GOES BRRR: 800 X: -13.9492 -INDEX GOES BRRR: 295 X: 18.4775 -INDEX GOES BRRR: 1022 X: -0.107422 -INDEX GOES BRRR: 50 X: 3.16016 -INDEX GOES BRRR: 139 X: 8.74609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.57812 -INDEX GOES BRRR: 237 X: 14.8477 -INDEX GOES BRRR: 163 X: 10.2256 -INDEX GOES BRRR: 30 X: 1.93457 -INDEX GOES BRRR: 958 X: -4.12109 -INDEX GOES BRRR: 216 X: 13.5117 -INDEX GOES BRRR: 165 X: 10.373 -INDEX GOES BRRR: 202 X: 12.626 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 945 X: -4.93457 -INDEX GOES BRRR: 172 X: 10.8018 -INDEX GOES BRRR: 213 X: 13.3379 -INDEX GOES BRRR: 280 X: 17.5537 -INDEX GOES BRRR: 205 X: 12.8213 -INDEX GOES BRRR: 282 X: 17.6348 -INDEX GOES BRRR: 87 X: 5.46484 -INDEX GOES BRRR: 80 X: 5.00098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2451 -INDEX GOES BRRR: 988 X: -2.20312 -INDEX GOES BRRR: 100 X: 6.30469 -INDEX GOES BRRR: 305 X: 19.0859 -INDEX GOES BRRR: 290 X: 18.1826 -INDEX GOES BRRR: 126 X: 7.91797 -INDEX GOES BRRR: 282 X: 17.6855 -INDEX GOES BRRR: 117 X: 7.31445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.8691 -INDEX GOES BRRR: 114 X: 7.12598 -INDEX GOES BRRR: 1008 X: -0.985352 -INDEX GOES BRRR: 975 X: -3.04785 -INDEX GOES BRRR: 16 X: 1.02344 -INDEX GOES BRRR: 258 X: 16.167 -INDEX GOES BRRR: 73 X: 4.61523 -INDEX GOES BRRR: 98 X: 6.12988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.00977 -INDEX GOES BRRR: 214 X: 13.3975 -INDEX GOES BRRR: 29 X: 1.81934 -INDEX GOES BRRR: 140 X: 8.75098 -INDEX GOES BRRR: 108 X: 6.78418 -INDEX GOES BRRR: 872 X: -9.43848 -INDEX GOES BRRR: 120 X: 7.53125 -INDEX GOES BRRR: 318 X: 19.8906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.3965 -INDEX GOES BRRR: 1015 X: -0.53418 -INDEX GOES BRRR: 958 X: -4.07324 -INDEX GOES BRRR: 114 X: 7.13184 -INDEX GOES BRRR: 441 X: 27.585 -INDEX GOES BRRR: 980 X: -2.71289 -INDEX GOES BRRR: 243 X: 15.2412 -INDEX GOES BRRR: 135 X: 8.47949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 873 X: -9.39355 -INDEX GOES BRRR: 1003 X: -1.30566 -INDEX GOES BRRR: 297 X: 18.6104 -INDEX GOES BRRR: 87 X: 5.45996 -INDEX GOES BRRR: 22 X: 1.38965 -INDEX GOES BRRR: 925 X: -6.14453 -INDEX GOES BRRR: 162 X: 10.1729 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8232 -INDEX GOES BRRR: 281 X: 17.5654 -INDEX GOES BRRR: 123 X: 7.69336 -INDEX GOES BRRR: 117 X: 7.37305 -INDEX GOES BRRR: 271 X: 16.9619 -INDEX GOES BRRR: 992 X: -1.95215 -INDEX GOES BRRR: 144 X: 9.0127 -INDEX GOES BRRR: 95 X: 5.9834 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1621 -INDEX GOES BRRR: 370 X: 23.1807 -INDEX GOES BRRR: 161 X: 10.0791 -INDEX GOES BRRR: 153 X: 9.58203 -INDEX GOES BRRR: 740 X: -17.7158 -INDEX GOES BRRR: 93 X: 5.84375 -INDEX GOES BRRR: 277 X: 17.3691 -INDEX GOES BRRR: 136 X: 8.5459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.0332 -INDEX GOES BRRR: 276 X: 17.3057 -INDEX GOES BRRR: 189 X: 11.8174 -INDEX GOES BRRR: 981 X: -2.6416 -INDEX GOES BRRR: 150 X: 9.37988 -INDEX GOES BRRR: 26 X: 1.67285 -INDEX GOES BRRR: 204 X: 12.7715 -INDEX GOES BRRR: 205 X: 12.8721 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 421 X: 26.3154 -INDEX GOES BRRR: 74 X: 4.65332 -INDEX GOES BRRR: 222 X: 13.8838 -INDEX GOES BRRR: 860 X: -10.2148 -INDEX GOES BRRR: 947 X: -4.78027 -INDEX GOES BRRR: 888 X: -8.49023 -INDEX GOES BRRR: 786 X: -14.8545 -INDEX GOES BRRR: 737 X: -17.9346 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0127 -INDEX GOES BRRR: 124 X: 7.80762 -INDEX GOES BRRR: 204 X: 12.7998 -INDEX GOES BRRR: 328 X: 20.5557 -INDEX GOES BRRR: 135 X: 8.46191 -INDEX GOES BRRR: 241 X: 15.0967 -INDEX GOES BRRR: 54 X: 3.42188 -INDEX GOES BRRR: 151 X: 9.45508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.707 -INDEX GOES BRRR: 187 X: 11.7354 -INDEX GOES BRRR: 111 X: 6.95605 -INDEX GOES BRRR: 173 X: 10.8516 -INDEX GOES BRRR: 913 X: -6.87695 -INDEX GOES BRRR: 280 X: 17.5352 -INDEX GOES BRRR: 131 X: 8.19434 -INDEX GOES BRRR: 196 X: 12.2891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 390 X: 24.4014 -INDEX GOES BRRR: 200 X: 12.5439 -INDEX GOES BRRR: 223 X: 13.9824 -INDEX GOES BRRR: 76 X: 4.80859 -INDEX GOES BRRR: 242 X: 15.1602 -INDEX GOES BRRR: 215 X: 13.4482 -INDEX GOES BRRR: 266 X: 16.6514 -INDEX GOES BRRR: 429 X: 26.8271 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.126 -INDEX GOES BRRR: 337 X: 21.0762 -INDEX GOES BRRR: 157 X: 9.83984 -INDEX GOES BRRR: 992 X: -1.9668 -INDEX GOES BRRR: 882 X: -8.86914 -INDEX GOES BRRR: 136 X: 8.52148 -INDEX GOES BRRR: 135 X: 8.45898 -INDEX GOES BRRR: 380 X: 23.792 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.7852 -INDEX GOES BRRR: 1001 X: -1.38867 -INDEX GOES BRRR: 93 X: 5.87109 -INDEX GOES BRRR: 306 X: 19.1758 -INDEX GOES BRRR: 991 X: -2.03711 -INDEX GOES BRRR: 1017 X: -0.426758 -INDEX GOES BRRR: 826 X: -12.3398 -INDEX GOES BRRR: 47 X: 2.96973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9668 -INDEX GOES BRRR: 14 X: 0.881836 -INDEX GOES BRRR: 90 X: 5.64258 -INDEX GOES BRRR: 154 X: 9.62793 -INDEX GOES BRRR: 276 X: 17.2764 -INDEX GOES BRRR: 377 X: 23.5742 -INDEX GOES BRRR: 105 X: 6.59277 -INDEX GOES BRRR: 364 X: 22.8105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.74121 -INDEX GOES BRRR: 76 X: 4.7627 -INDEX GOES BRRR: 973 X: -3.14648 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 82 X: 5.18164 -INDEX GOES BRRR: 138 X: 8.62891 -INDEX GOES BRRR: 845 X: -11.1455 -INDEX GOES BRRR: 876 X: -9.19531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.71191 -INDEX GOES BRRR: 33 X: 2.08301 -INDEX GOES BRRR: 105 X: 6.56641 -INDEX GOES BRRR: 178 X: 11.1357 -INDEX GOES BRRR: 384 X: 24.0127 -INDEX GOES BRRR: 257 X: 16.0654 -INDEX GOES BRRR: 181 X: 11.3535 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 821 X: -12.6289 -INDEX GOES BRRR: 46 X: 2.92285 -INDEX GOES BRRR: 289 X: 18.123 -INDEX GOES BRRR: 214 X: 13.4199 -INDEX GOES BRRR: 235 X: 14.6885 -INDEX GOES BRRR: 109 X: 6.8457 -INDEX GOES BRRR: 63 X: 3.97949 -INDEX GOES BRRR: 294 X: 18.3818 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.29 -INDEX GOES BRRR: 78 X: 4.92773 -INDEX GOES BRRR: 66 X: 4.17383 -INDEX GOES BRRR: 142 X: 8.92969 -INDEX GOES BRRR: 54 X: 3.41406 -INDEX GOES BRRR: 90 X: 5.62988 -INDEX GOES BRRR: 370 X: 23.166 -INDEX GOES BRRR: 978 X: -2.81543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.6914 -INDEX GOES BRRR: 65 X: 4.08691 -INDEX GOES BRRR: 119 X: 7.49707 -INDEX GOES BRRR: 46 X: 2.89355 -INDEX GOES BRRR: 14 X: 0.924805 -INDEX GOES BRRR: 215 X: 13.4541 -INDEX GOES BRRR: 233 X: 14.6123 -INDEX GOES BRRR: 931 X: -5.77148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 341 X: 21.3701 -INDEX GOES BRRR: 392 X: 24.5479 -INDEX GOES BRRR: 102 X: 6.43066 -INDEX GOES BRRR: 322 X: 20.1406 -INDEX GOES BRRR: 80 X: 5.05957 -INDEX GOES BRRR: 304 X: 19.0088 -INDEX GOES BRRR: 329 X: 20.6133 -INDEX GOES BRRR: 99 X: 6.23145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.80664 -INDEX GOES BRRR: 119 X: 7.49316 -INDEX GOES BRRR: 948 X: -4.70508 -INDEX GOES BRRR: 89 X: 5.56738 -INDEX GOES BRRR: 148 X: 9.28027 -INDEX GOES BRRR: 169 X: 10.5947 -INDEX GOES BRRR: 405 X: 25.3438 -INDEX GOES BRRR: 1018 X: -0.374023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 711 X: -19.5566 -INDEX GOES BRRR: 325 X: 20.3428 -INDEX GOES BRRR: 306 X: 19.1475 -INDEX GOES BRRR: 1018 X: -0.361328 -INDEX GOES BRRR: 70 X: 4.43457 -INDEX GOES BRRR: 904 X: -7.45215 -INDEX GOES BRRR: 193 X: 12.0742 -INDEX GOES BRRR: 215 X: 13.4834 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.60938 -INDEX GOES BRRR: 384 X: 24.0059 -INDEX GOES BRRR: 140 X: 8.76562 -INDEX GOES BRRR: 122 X: 7.67969 -INDEX GOES BRRR: 312 X: 19.5537 -INDEX GOES BRRR: 1017 X: -0.400391 -INDEX GOES BRRR: 889 X: -8.39941 -INDEX GOES BRRR: 177 X: 11.1035 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 421 X: 26.3711 -INDEX GOES BRRR: 71 X: 4.4873 -INDEX GOES BRRR: 334 X: 20.918 -INDEX GOES BRRR: 418 X: 26.1572 -INDEX GOES BRRR: 63 X: 3.9502 -INDEX GOES BRRR: 239 X: 14.9912 -INDEX GOES BRRR: 392 X: 24.5498 -INDEX GOES BRRR: 228 X: 14.3105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.15625 -INDEX GOES BRRR: 287 X: 17.9902 -INDEX GOES BRRR: 690 X: -20.8252 -INDEX GOES BRRR: 139 X: 8.72168 -INDEX GOES BRRR: 282 X: 17.6445 -INDEX GOES BRRR: 88 X: 5.52539 -INDEX GOES BRRR: 352 X: 22.0518 -INDEX GOES BRRR: 289 X: 18.0889 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.21777 -INDEX GOES BRRR: 395 X: 24.7178 -INDEX GOES BRRR: 450 X: 28.1553 -INDEX GOES BRRR: 298 X: 18.626 -INDEX GOES BRRR: 464 X: 29.0156 -INDEX GOES BRRR: 4 X: 0.28125 -INDEX GOES BRRR: 119 X: 7.46484 -INDEX GOES BRRR: 198 X: 12.4131 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.8037 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 146 X: 9.1709 -INDEX GOES BRRR: 401 X: 25.1162 -INDEX GOES BRRR: 257 X: 16.0859 -INDEX GOES BRRR: 247 X: 15.4404 -INDEX GOES BRRR: 998 X: -1.60645 -INDEX GOES BRRR: 151 X: 9.46484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.88281 -INDEX GOES BRRR: 210 X: 13.125 -INDEX GOES BRRR: 118 X: 7.37988 -INDEX GOES BRRR: 396 X: 24.75 -INDEX GOES BRRR: 300 X: 18.7998 -INDEX GOES BRRR: 213 X: 13.3193 -INDEX GOES BRRR: 95 X: 5.96777 -INDEX GOES BRRR: 233 X: 14.5781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 980 X: -2.72559 -INDEX GOES BRRR: 178 X: 11.1621 -INDEX GOES BRRR: 105 X: 6.58887 -INDEX GOES BRRR: 189 X: 11.8584 -INDEX GOES BRRR: 352 X: 22.0322 -INDEX GOES BRRR: 261 X: 16.3672 -INDEX GOES BRRR: 997 X: -1.63477 -INDEX GOES BRRR: 92 X: 5.79199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 925 X: -6.13574 -INDEX GOES BRRR: 468 X: 29.3057 -INDEX GOES BRRR: 178 X: 11.1689 -INDEX GOES BRRR: 53 X: 3.32812 -INDEX GOES BRRR: 261 X: 16.3525 -INDEX GOES BRRR: 288 X: 18.0156 -INDEX GOES BRRR: 68 X: 4.27832 -INDEX GOES BRRR: 183 X: 11.4785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.638672 -INDEX GOES BRRR: 352 X: 22.0059 -INDEX GOES BRRR: 377 X: 23.6211 -INDEX GOES BRRR: 111 X: 6.94727 -INDEX GOES BRRR: 283 X: 17.6963 -INDEX GOES BRRR: 217 X: 13.6016 -INDEX GOES BRRR: 946 X: -4.83496 -INDEX GOES BRRR: 283 X: 17.7432 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5303 -INDEX GOES BRRR: 252 X: 15.791 -INDEX GOES BRRR: 1001 X: -1.37793 -INDEX GOES BRRR: 314 X: 19.6699 -INDEX GOES BRRR: 1010 X: -0.850586 -INDEX GOES BRRR: 864 X: -9.99023 -INDEX GOES BRRR: 185 X: 11.5869 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1543 -INDEX GOES BRRR: 432 X: 27.0469 -INDEX GOES BRRR: 982 X: -2.61133 -INDEX GOES BRRR: 954 X: -4.33301 -INDEX GOES BRRR: 16 X: 1.02734 -INDEX GOES BRRR: 56 X: 3.51074 -INDEX GOES BRRR: 362 X: 22.6455 -INDEX GOES BRRR: 380 X: 23.7617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.999 -INDEX GOES BRRR: 843 X: -11.2783 -INDEX GOES BRRR: 282 X: 17.6455 -INDEX GOES BRRR: 123 X: 7.74512 -INDEX GOES BRRR: 184 X: 11.5518 -INDEX GOES BRRR: 95 X: 5.99609 -INDEX GOES BRRR: 124 X: 7.75 -INDEX GOES BRRR: 165 X: 10.3193 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4883 -INDEX GOES BRRR: 267 X: 16.7197 -INDEX GOES BRRR: 278 X: 17.3945 -INDEX GOES BRRR: 416 X: 26.0576 -INDEX GOES BRRR: 169 X: 10.5801 -INDEX GOES BRRR: 458 X: 28.6748 -INDEX GOES BRRR: 79 X: 4.95996 -INDEX GOES BRRR: 380 X: 23.7939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 943 X: -5.05273 -INDEX GOES BRRR: 304 X: 19.0293 -INDEX GOES BRRR: 58 X: 3.64746 -INDEX GOES BRRR: 112 X: 7.04883 -INDEX GOES BRRR: 357 X: 22.3242 -INDEX GOES BRRR: 262 X: 16.4365 -INDEX GOES BRRR: 186 X: 11.6475 -INDEX GOES BRRR: 243 X: 15.1982 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.2812 -INDEX GOES BRRR: 4 X: 0.300781 -INDEX GOES BRRR: 112 X: 7.04688 -INDEX GOES BRRR: 36 X: 2.27051 -INDEX GOES BRRR: 1014 X: -0.585938 -INDEX GOES BRRR: 39 X: 2.46582 -INDEX GOES BRRR: 491 X: 30.7051 -INDEX GOES BRRR: 306 X: 19.1475 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 295 X: 18.4629 -INDEX GOES BRRR: 93 X: 5.83496 -INDEX GOES BRRR: 932 X: -5.74023 -INDEX GOES BRRR: 192 X: 12.0518 -INDEX GOES BRRR: 272 X: 17.0215 -INDEX GOES BRRR: 174 X: 10.9043 -INDEX GOES BRRR: 483 X: 30.2412 -INDEX GOES BRRR: 76 X: 4.75098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.39941 -INDEX GOES BRRR: 160 X: 10.0088 -INDEX GOES BRRR: 231 X: 14.4902 -INDEX GOES BRRR: 91 X: 5.73047 -INDEX GOES BRRR: 374 X: 23.4316 -INDEX GOES BRRR: 262 X: 16.417 -INDEX GOES BRRR: 204 X: 12.7852 -INDEX GOES BRRR: 13 X: 0.850586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 978 X: -2.86523 -INDEX GOES BRRR: 418 X: 26.1553 -INDEX GOES BRRR: 181 X: 11.3428 -INDEX GOES BRRR: 233 X: 14.5762 -INDEX GOES BRRR: 1020 X: -0.217773 -INDEX GOES BRRR: 450 X: 28.1611 -INDEX GOES BRRR: 184 X: 11.5439 -INDEX GOES BRRR: 916 X: -6.72363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.81738 -INDEX GOES BRRR: 339 X: 21.209 -INDEX GOES BRRR: 151 X: 9.45215 -INDEX GOES BRRR: 318 X: 19.9141 -INDEX GOES BRRR: 284 X: 17.7988 -INDEX GOES BRRR: 1 X: 0.0644531 -INDEX GOES BRRR: 59 X: 3.71094 -INDEX GOES BRRR: 250 X: 15.6299 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 200 X: 12.5078 -INDEX GOES BRRR: 1013 X: -0.680664 -INDEX GOES BRRR: 197 X: 12.3652 -INDEX GOES BRRR: 219 X: 13.7471 -INDEX GOES BRRR: 198 X: 12.3809 -INDEX GOES BRRR: 854 X: -10.5889 -INDEX GOES BRRR: 319 X: 19.9805 -INDEX GOES BRRR: 37 X: 2.3252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9395 -INDEX GOES BRRR: 904 X: -7.47266 -INDEX GOES BRRR: 205 X: 12.8545 -INDEX GOES BRRR: 0 X: 0.046875 -INDEX GOES BRRR: 244 X: 15.2686 -INDEX GOES BRRR: 268 X: 16.7822 -INDEX GOES BRRR: 167 X: 10.4941 -INDEX GOES BRRR: 83 X: 5.24121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.42773 -INDEX GOES BRRR: 93 X: 5.84863 -INDEX GOES BRRR: 453 X: 28.332 -INDEX GOES BRRR: 117 X: 7.35938 -INDEX GOES BRRR: 18 X: 1.17773 -INDEX GOES BRRR: 230 X: 14.415 -INDEX GOES BRRR: 72 X: 4.55762 -INDEX GOES BRRR: 476 X: 29.7734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.6963 -INDEX GOES BRRR: 320 X: 20.0322 -INDEX GOES BRRR: 98 X: 6.125 -INDEX GOES BRRR: 122 X: 7.65039 -INDEX GOES BRRR: 34 X: 2.17383 -INDEX GOES BRRR: 340 X: 21.29 -INDEX GOES BRRR: 38 X: 2.43262 -INDEX GOES BRRR: 383 X: 23.9736 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.91113 -INDEX GOES BRRR: 214 X: 13.4199 -INDEX GOES BRRR: 59 X: 3.71973 -INDEX GOES BRRR: 228 X: 14.3115 -INDEX GOES BRRR: 358 X: 22.4033 -INDEX GOES BRRR: 959 X: -4.05566 -INDEX GOES BRRR: 249 X: 15.6025 -INDEX GOES BRRR: 201 X: 12.6123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.2373 -INDEX GOES BRRR: 897 X: -7.93164 -INDEX GOES BRRR: 170 X: 10.6787 -INDEX GOES BRRR: 217 X: 13.5645 -INDEX GOES BRRR: 353 X: 22.0654 -INDEX GOES BRRR: 66 X: 4.17188 -INDEX GOES BRRR: 196 X: 12.251 -INDEX GOES BRRR: 229 X: 14.3438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.501953 -INDEX GOES BRRR: 289 X: 18.1055 -INDEX GOES BRRR: 216 X: 13.5215 -INDEX GOES BRRR: 190 X: 11.8857 -INDEX GOES BRRR: 111 X: 6.95801 -INDEX GOES BRRR: 222 X: 13.9043 -INDEX GOES BRRR: 151 X: 9.44629 -INDEX GOES BRRR: 42 X: 2.66992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.7197 -INDEX GOES BRRR: 62 X: 3.92188 -INDEX GOES BRRR: 388 X: 24.2793 -INDEX GOES BRRR: 267 X: 16.7129 -INDEX GOES BRRR: 338 X: 21.1416 -INDEX GOES BRRR: 382 X: 23.8809 -INDEX GOES BRRR: 95 X: 5.97559 -INDEX GOES BRRR: 133 X: 8.35938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 489 X: 30.5977 -INDEX GOES BRRR: 241 X: 15.0732 -INDEX GOES BRRR: 210 X: 13.1562 -INDEX GOES BRRR: 304 X: 19.041 -INDEX GOES BRRR: 70 X: 4.39453 -INDEX GOES BRRR: 120 X: 7.5332 -INDEX GOES BRRR: 177 X: 11.0732 -INDEX GOES BRRR: 26 X: 1.67773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7822 -INDEX GOES BRRR: 164 X: 10.2812 -INDEX GOES BRRR: 25 X: 1.5625 -INDEX GOES BRRR: 125 X: 7.83301 -INDEX GOES BRRR: 885 X: -8.65039 -INDEX GOES BRRR: 382 X: 23.8857 -INDEX GOES BRRR: 370 X: 23.1836 -INDEX GOES BRRR: 109 X: 6.83008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 387 X: 24.2148 -INDEX GOES BRRR: 157 X: 9.86719 -INDEX GOES BRRR: 427 X: 26.6973 -INDEX GOES BRRR: 414 X: 25.9326 -INDEX GOES BRRR: 139 X: 8.72461 -INDEX GOES BRRR: 219 X: 13.6904 -INDEX GOES BRRR: 104 X: 6.51367 -INDEX GOES BRRR: 343 X: 21.4873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2129 -INDEX GOES BRRR: 155 X: 9.73828 -INDEX GOES BRRR: 876 X: -9.23535 -INDEX GOES BRRR: 343 X: 21.4492 -INDEX GOES BRRR: 51 X: 3.2373 -INDEX GOES BRRR: 426 X: 26.6357 -INDEX GOES BRRR: 939 X: -5.28809 -INDEX GOES BRRR: 949 X: -4.68262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1012 X: -0.745117 -INDEX GOES BRRR: 288 X: 18.0371 -INDEX GOES BRRR: 248 X: 15.5566 -INDEX GOES BRRR: 140 X: 8.76465 -INDEX GOES BRRR: 115 X: 7.19043 -INDEX GOES BRRR: 951 X: -4.51953 -INDEX GOES BRRR: 165 X: 10.3438 -INDEX GOES BRRR: 126 X: 7.90625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 326 X: 20.4082 -INDEX GOES BRRR: 735 X: -18.0088 -INDEX GOES BRRR: 103 X: 6.49121 -INDEX GOES BRRR: 45 X: 2.85645 -INDEX GOES BRRR: 35 X: 2.1875 -INDEX GOES BRRR: 840 X: -11.457 -INDEX GOES BRRR: 76 X: 4.79199 -INDEX GOES BRRR: 13 X: 0.856445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.7148 -INDEX GOES BRRR: 359 X: 22.4717 -INDEX GOES BRRR: 1016 X: -0.484375 -INDEX GOES BRRR: 270 X: 16.8945 -INDEX GOES BRRR: 237 X: 14.8428 -INDEX GOES BRRR: 94 X: 5.92773 -INDEX GOES BRRR: 158 X: 9.88281 -INDEX GOES BRRR: 59 X: 3.74902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 946 X: -4.83301 -INDEX GOES BRRR: 115 X: 7.2373 -INDEX GOES BRRR: 264 X: 16.5566 -INDEX GOES BRRR: 274 X: 17.1758 -INDEX GOES BRRR: 866 X: -9.82227 -INDEX GOES BRRR: 956 X: -4.19824 -INDEX GOES BRRR: 170 X: 10.6523 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8311 -INDEX GOES BRRR: 968 X: -3.43945 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 262 X: 16.3867 -INDEX GOES BRRR: 147 X: 9.20703 -INDEX GOES BRRR: 272 X: 17.0186 -INDEX GOES BRRR: 1017 X: -0.418945 -INDEX GOES BRRR: 1013 X: -0.661133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.96387 -INDEX GOES BRRR: 134 X: 8.39941 -INDEX GOES BRRR: 257 X: 16.0986 -INDEX GOES BRRR: 1008 X: -0.991211 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1004 X: -1.20996 -INDEX GOES BRRR: 225 X: 14.0811 -INDEX GOES BRRR: 948 X: -4.74902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.71191 -INDEX GOES BRRR: 354 X: 22.1807 -INDEX GOES BRRR: 291 X: 18.249 -INDEX GOES BRRR: 161 X: 10.1064 -INDEX GOES BRRR: 24 X: 1.50391 -INDEX GOES BRRR: 450 X: 28.1289 -INDEX GOES BRRR: 196 X: 12.2793 -INDEX GOES BRRR: 400 X: 25.0469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 865 X: -9.91504 -INDEX GOES BRRR: 269 X: 16.8506 -INDEX GOES BRRR: 456 X: 28.5215 -INDEX GOES BRRR: 20 X: 1.2666 -INDEX GOES BRRR: 994 X: -1.81641 -INDEX GOES BRRR: 932 X: -5.69922 -INDEX GOES BRRR: 150 X: 9.41211 -INDEX GOES BRRR: 67 X: 4.21387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 470 X: 29.4004 -INDEX GOES BRRR: 209 X: 13.0879 -INDEX GOES BRRR: 168 X: 10.5303 -INDEX GOES BRRR: 402 X: 25.127 -INDEX GOES BRRR: 892 X: -8.22754 -INDEX GOES BRRR: 154 X: 9.66504 -INDEX GOES BRRR: 109 X: 6.81934 -INDEX GOES BRRR: 183 X: 11.4951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1592 -INDEX GOES BRRR: 200 X: 12.5176 -INDEX GOES BRRR: 201 X: 12.5635 -INDEX GOES BRRR: 308 X: 19.2646 -INDEX GOES BRRR: 186 X: 11.6279 -INDEX GOES BRRR: 260 X: 16.2686 -INDEX GOES BRRR: 239 X: 14.9922 -INDEX GOES BRRR: 235 X: 14.708 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 478 X: 29.8818 -INDEX GOES BRRR: 165 X: 10.3301 -INDEX GOES BRRR: 320 X: 20.0176 -INDEX GOES BRRR: 115 X: 7.19922 -INDEX GOES BRRR: 179 X: 11.2109 -INDEX GOES BRRR: 473 X: 29.5869 -INDEX GOES BRRR: 42 X: 2.66797 -INDEX GOES BRRR: 253 X: 15.8506 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 349 X: 21.8662 -INDEX GOES BRRR: 932 X: -5.69141 -INDEX GOES BRRR: 184 X: 11.5176 -INDEX GOES BRRR: 149 X: 9.35352 -INDEX GOES BRRR: 173 X: 10.8301 -INDEX GOES BRRR: 106 X: 6.66992 -INDEX GOES BRRR: 263 X: 16.4531 -INDEX GOES BRRR: 443 X: 27.7412 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 957 X: -4.13184 -INDEX GOES BRRR: 95 X: 5.98535 -INDEX GOES BRRR: 62 X: 3.9248 -INDEX GOES BRRR: 215 X: 13.4922 -INDEX GOES BRRR: 934 X: -5.58984 -INDEX GOES BRRR: 85 X: 5.33301 -INDEX GOES BRRR: 74 X: 4.66895 -INDEX GOES BRRR: 344 X: 21.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0645 -INDEX GOES BRRR: 24 X: 1.55859 -INDEX GOES BRRR: 274 X: 17.1475 -INDEX GOES BRRR: 767 X: -16.0439 -INDEX GOES BRRR: 91 X: 5.70996 -INDEX GOES BRRR: 897 X: -7.91699 -INDEX GOES BRRR: 101 X: 6.3125 -INDEX GOES BRRR: 58 X: 3.64062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4424 -INDEX GOES BRRR: 96 X: 6.05957 -INDEX GOES BRRR: 112 X: 7.0332 -INDEX GOES BRRR: 939 X: -5.3125 -INDEX GOES BRRR: 371 X: 23.2236 -INDEX GOES BRRR: 59 X: 3.74707 -INDEX GOES BRRR: 19 X: 1.21191 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.03223 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 40 X: 2.51074 -INDEX GOES BRRR: 407 X: 25.498 -INDEX GOES BRRR: 124 X: 7.75293 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 28 X: 1.80176 -INDEX GOES BRRR: 917 X: -6.67383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9844 -INDEX GOES BRRR: 279 X: 17.4951 -INDEX GOES BRRR: 53 X: 3.35059 -INDEX GOES BRRR: 37 X: 2.32324 -INDEX GOES BRRR: 68 X: 4.28516 -INDEX GOES BRRR: 39 X: 2.49512 -INDEX GOES BRRR: 178 X: 11.1514 -INDEX GOES BRRR: 219 X: 13.7363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 408 X: 25.5273 -INDEX GOES BRRR: 999 X: -1.50293 -INDEX GOES BRRR: 921 X: -6.42871 -INDEX GOES BRRR: 141 X: 8.85742 -INDEX GOES BRRR: 161 X: 10.1172 -INDEX GOES BRRR: 212 X: 13.3018 -INDEX GOES BRRR: 958 X: -4.1084 -INDEX GOES BRRR: 19 X: 1.20996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 51 X: 3.22168 -INDEX GOES BRRR: 107 X: 6.7002 -INDEX GOES BRRR: 87 X: 5.49316 -INDEX GOES BRRR: 937 X: -5.37891 -INDEX GOES BRRR: 1000 X: -1.45508 -INDEX GOES BRRR: 110 X: 6.90723 -INDEX GOES BRRR: 388 X: 24.2734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.13574 -INDEX GOES BRRR: 258 X: 16.1865 -INDEX GOES BRRR: 336 X: 21.0518 -INDEX GOES BRRR: 242 X: 15.1338 -INDEX GOES BRRR: 162 X: 10.1367 -INDEX GOES BRRR: 377 X: 23.5762 -INDEX GOES BRRR: 103 X: 6.48633 -INDEX GOES BRRR: 38 X: 2.41406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.67773 -INDEX GOES BRRR: 370 X: 23.1777 -INDEX GOES BRRR: 117 X: 7.31934 -INDEX GOES BRRR: 857 X: -10.4365 -INDEX GOES BRRR: 65 X: 4.12305 -INDEX GOES BRRR: 77 X: 4.81641 -INDEX GOES BRRR: 36 X: 2.28613 -INDEX GOES BRRR: 256 X: 16.0332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.3965 -INDEX GOES BRRR: 71 X: 4.48828 -INDEX GOES BRRR: 982 X: -2.58301 -INDEX GOES BRRR: 90 X: 5.625 -INDEX GOES BRRR: 944 X: -4.97656 -INDEX GOES BRRR: 313 X: 19.6084 -INDEX GOES BRRR: 41 X: 2.57617 -INDEX GOES BRRR: 286 X: 17.8936 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5352 -INDEX GOES BRRR: 175 X: 10.9785 -INDEX GOES BRRR: 355 X: 22.1934 -INDEX GOES BRRR: 18 X: 1.18457 -INDEX GOES BRRR: 145 X: 9.08594 -INDEX GOES BRRR: 90 X: 5.68555 -INDEX GOES BRRR: 168 X: 10.5508 -INDEX GOES BRRR: 49 X: 3.08203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5518 -INDEX GOES BRRR: 301 X: 18.8262 -INDEX GOES BRRR: 992 X: -1.96582 -INDEX GOES BRRR: 32 X: 2.02148 -INDEX GOES BRRR: 879 X: -9.03906 -INDEX GOES BRRR: 61 X: 3.86328 -INDEX GOES BRRR: 187 X: 11.7129 -INDEX GOES BRRR: 374 X: 23.4365 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 345 X: 21.5693 -INDEX GOES BRRR: 251 X: 15.7061 -INDEX GOES BRRR: 193 X: 12.1221 -INDEX GOES BRRR: 962 X: -3.84277 -INDEX GOES BRRR: 187 X: 11.7393 -INDEX GOES BRRR: 288 X: 18.0498 -INDEX GOES BRRR: 297 X: 18.6152 -INDEX GOES BRRR: 289 X: 18.0889 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9023 -INDEX GOES BRRR: 455 X: 28.4648 -INDEX GOES BRRR: 66 X: 4.15234 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 216 X: 13.5508 -INDEX GOES BRRR: 156 X: 9.77832 -INDEX GOES BRRR: 202 X: 12.626 -INDEX GOES BRRR: 23 X: 1.44434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.7041 -INDEX GOES BRRR: 136 X: 8.51172 -INDEX GOES BRRR: 103 X: 6.44336 -INDEX GOES BRRR: 187 X: 11.7256 -INDEX GOES BRRR: 125 X: 7.85352 -INDEX GOES BRRR: 33 X: 2.08984 -INDEX GOES BRRR: 42 X: 2.62793 -INDEX GOES BRRR: 88 X: 5.54688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6299 -INDEX GOES BRRR: 116 X: 7.26172 -INDEX GOES BRRR: 916 X: -6.7168 -INDEX GOES BRRR: 285 X: 17.8193 -INDEX GOES BRRR: 323 X: 20.1963 -INDEX GOES BRRR: 414 X: 25.9316 -INDEX GOES BRRR: 63 X: 3.95117 -INDEX GOES BRRR: 306 X: 19.1318 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.10254 -INDEX GOES BRRR: 173 X: 10.8711 -INDEX GOES BRRR: 1007 X: -1.04883 -INDEX GOES BRRR: 227 X: 14.1924 -INDEX GOES BRRR: 208 X: 13.0127 -INDEX GOES BRRR: 140 X: 8.75 -INDEX GOES BRRR: 122 X: 7.63086 -INDEX GOES BRRR: 220 X: 13.7715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.7812 -INDEX GOES BRRR: 218 X: 13.6416 -INDEX GOES BRRR: 936 X: -5.44336 -INDEX GOES BRRR: 1009 X: -0.875977 -INDEX GOES BRRR: 1006 X: -1.06738 -INDEX GOES BRRR: 80 X: 5.05469 -INDEX GOES BRRR: 106 X: 6.67578 -INDEX GOES BRRR: 928 X: -5.99219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.3828 -INDEX GOES BRRR: 83 X: 5.22168 -INDEX GOES BRRR: 150 X: 9.4082 -INDEX GOES BRRR: 133 X: 8.31543 -INDEX GOES BRRR: 129 X: 8.0752 -INDEX GOES BRRR: 47 X: 2.98828 -INDEX GOES BRRR: 316 X: 19.8086 -INDEX GOES BRRR: 269 X: 16.8711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1021 X: -0.162109 -INDEX GOES BRRR: 235 X: 14.6992 -INDEX GOES BRRR: 177 X: 11.0693 -INDEX GOES BRRR: 190 X: 11.8994 -INDEX GOES BRRR: 155 X: 9.72461 -INDEX GOES BRRR: 382 X: 23.8867 -INDEX GOES BRRR: 132 X: 8.2832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.1992 -INDEX GOES BRRR: 971 X: -3.30957 -INDEX GOES BRRR: 284 X: 17.7803 -INDEX GOES BRRR: 419 X: 26.2002 -INDEX GOES BRRR: 1021 X: -0.160156 -INDEX GOES BRRR: 45 X: 2.86621 -INDEX GOES BRRR: 190 X: 11.9014 -INDEX GOES BRRR: 130 X: 8.12793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.8789 -INDEX GOES BRRR: 827 X: -12.2646 -INDEX GOES BRRR: 457 X: 28.5928 -INDEX GOES BRRR: 199 X: 12.4893 -INDEX GOES BRRR: 324 X: 20.3047 -INDEX GOES BRRR: 209 X: 13.0918 -INDEX GOES BRRR: 956 X: -4.19531 -INDEX GOES BRRR: 432 X: 27.0127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.336914 -INDEX GOES BRRR: 161 X: 10.0898 -INDEX GOES BRRR: 79 X: 4.98633 -INDEX GOES BRRR: 872 X: -9.47168 -INDEX GOES BRRR: 452 X: 28.2715 -INDEX GOES BRRR: 111 X: 6.96094 -INDEX GOES BRRR: 120 X: 7.53418 -INDEX GOES BRRR: 314 X: 19.627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5518 -INDEX GOES BRRR: 383 X: 23.9727 -INDEX GOES BRRR: 156 X: 9.80957 -INDEX GOES BRRR: 184 X: 11.5391 -INDEX GOES BRRR: 54 X: 3.39453 -INDEX GOES BRRR: 470 X: 29.3975 -INDEX GOES BRRR: 212 X: 13.2852 -INDEX GOES BRRR: 114 X: 7.14062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6523 -INDEX GOES BRRR: 258 X: 16.1641 -INDEX GOES BRRR: 264 X: 16.5361 -INDEX GOES BRRR: 231 X: 14.4482 -INDEX GOES BRRR: 330 X: 20.6338 -INDEX GOES BRRR: 131 X: 8.20996 -INDEX GOES BRRR: 367 X: 22.9912 -INDEX GOES BRRR: 216 X: 13.5439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.749 -INDEX GOES BRRR: 254 X: 15.9277 -INDEX GOES BRRR: 947 X: -4.78223 -INDEX GOES BRRR: 108 X: 6.75488 -INDEX GOES BRRR: 323 X: 20.2344 -INDEX GOES BRRR: 174 X: 10.8877 -INDEX GOES BRRR: 910 X: -7.09863 -INDEX GOES BRRR: 305 X: 19.0771 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 287 X: 17.9707 -INDEX GOES BRRR: 241 X: 15.0986 -INDEX GOES BRRR: 42 X: 2.66113 -INDEX GOES BRRR: 322 X: 20.1621 -INDEX GOES BRRR: 968 X: -3.4541 -INDEX GOES BRRR: 130 X: 8.13672 -INDEX GOES BRRR: 985 X: -2.39355 -INDEX GOES BRRR: 482 X: 30.1846 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5342 -INDEX GOES BRRR: 166 X: 10.3857 -INDEX GOES BRRR: 893 X: -8.1377 -INDEX GOES BRRR: 208 X: 13.0225 -INDEX GOES BRRR: 344 X: 21.5518 -INDEX GOES BRRR: 225 X: 14.0957 -INDEX GOES BRRR: 264 X: 16.5273 -INDEX GOES BRRR: 105 X: 6.58691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.81543 -INDEX GOES BRRR: 178 X: 11.1309 -INDEX GOES BRRR: 287 X: 17.9561 -INDEX GOES BRRR: 427 X: 26.6992 -INDEX GOES BRRR: 87 X: 5.44336 -INDEX GOES BRRR: 42 X: 2.63965 -INDEX GOES BRRR: 240 X: 15.0342 -INDEX GOES BRRR: 456 X: 28.5234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.5293 -INDEX GOES BRRR: 152 X: 9.55078 -INDEX GOES BRRR: 99 X: 6.19336 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 299 X: 18.7197 -INDEX GOES BRRR: 33 X: 2.09961 -INDEX GOES BRRR: 244 X: 15.2529 -INDEX GOES BRRR: 73 X: 4.61621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.69434 -INDEX GOES BRRR: 75 X: 4.71875 -INDEX GOES BRRR: 270 X: 16.8965 -INDEX GOES BRRR: 187 X: 11.71 -INDEX GOES BRRR: 442 X: 27.6836 -INDEX GOES BRRR: 981 X: -2.66895 -INDEX GOES BRRR: 402 X: 25.1572 -INDEX GOES BRRR: 993 X: -1.90918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.2061 -INDEX GOES BRRR: 56 X: 3.50684 -INDEX GOES BRRR: 170 X: 10.6533 -INDEX GOES BRRR: 189 X: 11.8633 -INDEX GOES BRRR: 181 X: 11.3721 -INDEX GOES BRRR: 976 X: -2.95508 -INDEX GOES BRRR: 170 X: 10.6318 -INDEX GOES BRRR: 810 X: -13.332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.7754 -INDEX GOES BRRR: 123 X: 7.74609 -INDEX GOES BRRR: 389 X: 24.3555 -INDEX GOES BRRR: 332 X: 20.7568 -INDEX GOES BRRR: 108 X: 6.79395 -INDEX GOES BRRR: 268 X: 16.7559 -INDEX GOES BRRR: 27 X: 1.74316 -INDEX GOES BRRR: 481 X: 30.0791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.48535 -INDEX GOES BRRR: 924 X: -6.20801 -INDEX GOES BRRR: 33 X: 2.08105 -INDEX GOES BRRR: 60 X: 3.7627 -INDEX GOES BRRR: 37 X: 2.31934 -INDEX GOES BRRR: 170 X: 10.6826 -INDEX GOES BRRR: 328 X: 20.5508 -INDEX GOES BRRR: 982 X: -2.60254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 790 X: -14.5967 -INDEX GOES BRRR: 353 X: 22.0889 -INDEX GOES BRRR: 226 X: 14.1631 -INDEX GOES BRRR: 206 X: 12.8955 -INDEX GOES BRRR: 31 X: 1.99512 -INDEX GOES BRRR: 199 X: 12.4521 -INDEX GOES BRRR: 134 X: 8.43164 -INDEX GOES BRRR: 368 X: 23.0488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.5957 -INDEX GOES BRRR: 1021 X: -0.163086 -INDEX GOES BRRR: 267 X: 16.7109 -INDEX GOES BRRR: 170 X: 10.6416 -INDEX GOES BRRR: 168 X: 10.543 -INDEX GOES BRRR: 229 X: 14.3184 -INDEX GOES BRRR: 106 X: 6.63379 -INDEX GOES BRRR: 457 X: 28.5645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.22852 -INDEX GOES BRRR: 1020 X: -0.216797 -INDEX GOES BRRR: 1012 X: -0.719727 -INDEX GOES BRRR: 992 X: -1.99414 -INDEX GOES BRRR: 34 X: 2.15918 -INDEX GOES BRRR: 148 X: 9.28418 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 150 X: 9.40918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.77246 -INDEX GOES BRRR: 202 X: 12.6748 -INDEX GOES BRRR: 386 X: 24.1748 -INDEX GOES BRRR: 244 X: 15.2881 -INDEX GOES BRRR: 990 X: -2.125 -INDEX GOES BRRR: 6 X: 0.422852 -INDEX GOES BRRR: 122 X: 7.66797 -INDEX GOES BRRR: 206 X: 12.8799 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.9346 -INDEX GOES BRRR: 144 X: 9.00488 -INDEX GOES BRRR: 143 X: 8.94141 -INDEX GOES BRRR: 60 X: 3.77832 -INDEX GOES BRRR: 116 X: 7.25195 -INDEX GOES BRRR: 136 X: 8.53223 -INDEX GOES BRRR: 36 X: 2.25391 -INDEX GOES BRRR: 36 X: 2.28027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.384766 -INDEX GOES BRRR: 182 X: 11.4043 -INDEX GOES BRRR: 440 X: 27.5322 -INDEX GOES BRRR: 398 X: 24.8848 -INDEX GOES BRRR: 213 X: 13.3184 -INDEX GOES BRRR: 270 X: 16.8867 -INDEX GOES BRRR: 902 X: -7.59473 -INDEX GOES BRRR: 328 X: 20.5391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 70 X: 4.43262 -INDEX GOES BRRR: 1015 X: -0.557617 -INDEX GOES BRRR: 432 X: 27.0322 -INDEX GOES BRRR: 1016 X: -0.459961 -INDEX GOES BRRR: 408 X: 25.5381 -INDEX GOES BRRR: 106 X: 6.64258 -INDEX GOES BRRR: 142 X: 8.88965 -INDEX GOES BRRR: 86 X: 5.42676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.1953 -INDEX GOES BRRR: 128 X: 8.00977 -INDEX GOES BRRR: 198 X: 12.3887 -INDEX GOES BRRR: 234 X: 14.627 -INDEX GOES BRRR: 176 X: 11.0605 -INDEX GOES BRRR: 52 X: 3.27832 -INDEX GOES BRRR: 478 X: 29.9033 -INDEX GOES BRRR: 842 X: -11.3525 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6484 -INDEX GOES BRRR: 360 X: 22.5137 -INDEX GOES BRRR: 64 X: 4.05176 -INDEX GOES BRRR: 136 X: 8.53027 -INDEX GOES BRRR: 181 X: 11.335 -INDEX GOES BRRR: 162 X: 10.1377 -INDEX GOES BRRR: 58 X: 3.67773 -INDEX GOES BRRR: 236 X: 14.7803 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.39746 -INDEX GOES BRRR: 441 X: 27.5811 -INDEX GOES BRRR: 242 X: 15.1338 -INDEX GOES BRRR: 88 X: 5.5166 -INDEX GOES BRRR: 77 X: 4.84473 -INDEX GOES BRRR: 239 X: 14.9521 -INDEX GOES BRRR: 238 X: 14.9082 -INDEX GOES BRRR: 354 X: 22.1504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3643 -INDEX GOES BRRR: 179 X: 11.2422 -INDEX GOES BRRR: 243 X: 15.1904 -INDEX GOES BRRR: 43 X: 2.74805 -INDEX GOES BRRR: 374 X: 23.4062 -INDEX GOES BRRR: 918 X: -6.56445 -INDEX GOES BRRR: 123 X: 7.72754 -INDEX GOES BRRR: 144 X: 9.05078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2529 -INDEX GOES BRRR: 388 X: 24.2598 -INDEX GOES BRRR: 229 X: 14.3154 -INDEX GOES BRRR: 35 X: 2.2373 -INDEX GOES BRRR: 198 X: 12.4355 -INDEX GOES BRRR: 933 X: -5.64355 -INDEX GOES BRRR: 207 X: 12.9775 -INDEX GOES BRRR: 392 X: 24.5273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 860 X: -10.2256 -INDEX GOES BRRR: 389 X: 24.333 -INDEX GOES BRRR: 252 X: 15.8105 -INDEX GOES BRRR: 177 X: 11.0625 -INDEX GOES BRRR: 57 X: 3.58691 -INDEX GOES BRRR: 32 X: 2.00684 -INDEX GOES BRRR: 233 X: 14.6113 -INDEX GOES BRRR: 9 X: 0.564453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 886 X: -8.59766 -INDEX GOES BRRR: 296 X: 18.5303 -INDEX GOES BRRR: 240 X: 15.0293 -INDEX GOES BRRR: 122 X: 7.66699 -INDEX GOES BRRR: 1011 X: -0.762695 -INDEX GOES BRRR: 163 X: 10.2002 -INDEX GOES BRRR: 126 X: 7.93652 -INDEX GOES BRRR: 138 X: 8.62598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.66113 -INDEX GOES BRRR: 6 X: 0.408203 -INDEX GOES BRRR: 219 X: 13.6963 -INDEX GOES BRRR: 167 X: 10.4453 -INDEX GOES BRRR: 62 X: 3.87793 -INDEX GOES BRRR: 177 X: 11.0625 -INDEX GOES BRRR: 196 X: 12.2666 -INDEX GOES BRRR: 107 X: 6.68945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0371 -INDEX GOES BRRR: 241 X: 15.1152 -INDEX GOES BRRR: 280 X: 17.5137 -INDEX GOES BRRR: 123 X: 7.69141 -INDEX GOES BRRR: 965 X: -3.65332 -INDEX GOES BRRR: 306 X: 19.1797 -INDEX GOES BRRR: 404 X: 25.3018 -INDEX GOES BRRR: 198 X: 12.4355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.34668 -INDEX GOES BRRR: 288 X: 18.0566 -INDEX GOES BRRR: 114 X: 7.16602 -INDEX GOES BRRR: 180 X: 11.2598 -INDEX GOES BRRR: 61 X: 3.83008 -INDEX GOES BRRR: 447 X: 27.9736 -INDEX GOES BRRR: 977 X: -2.88086 -INDEX GOES BRRR: 305 X: 19.1162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.4873 -INDEX GOES BRRR: 36 X: 2.30664 -INDEX GOES BRRR: 233 X: 14.5977 -INDEX GOES BRRR: 316 X: 19.7666 -INDEX GOES BRRR: 371 X: 23.1924 -INDEX GOES BRRR: 151 X: 9.4873 -INDEX GOES BRRR: 380 X: 23.7686 -INDEX GOES BRRR: 356 X: 22.3018 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 375 X: 23.4932 -INDEX GOES BRRR: 490 X: 30.6543 -INDEX GOES BRRR: 999 X: -1.52441 -INDEX GOES BRRR: 97 X: 6.12305 -INDEX GOES BRRR: 1017 X: -0.424805 -INDEX GOES BRRR: 86 X: 5.4209 -INDEX GOES BRRR: 279 X: 17.4727 -INDEX GOES BRRR: 990 X: -2.12109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.95996 -INDEX GOES BRRR: 926 X: -6.09766 -INDEX GOES BRRR: 206 X: 12.9277 -INDEX GOES BRRR: 332 X: 20.7871 -INDEX GOES BRRR: 76 X: 4.78027 -INDEX GOES BRRR: 960 X: -3.95508 -INDEX GOES BRRR: 200 X: 12.5352 -INDEX GOES BRRR: 386 X: 24.1484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.7822 -INDEX GOES BRRR: 948 X: -4.74219 -INDEX GOES BRRR: 331 X: 20.7031 -INDEX GOES BRRR: 274 X: 17.1592 -INDEX GOES BRRR: 183 X: 11.4785 -INDEX GOES BRRR: 1008 X: -0.996094 -INDEX GOES BRRR: 92 X: 5.7959 -INDEX GOES BRRR: 433 X: 27.0996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.3174 -INDEX GOES BRRR: 270 X: 16.9248 -INDEX GOES BRRR: 231 X: 14.4473 -INDEX GOES BRRR: 1009 X: -0.899414 -INDEX GOES BRRR: 162 X: 10.1348 -INDEX GOES BRRR: 338 X: 21.1338 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 32 X: 2.01074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.58105 -INDEX GOES BRRR: 127 X: 7.95215 -INDEX GOES BRRR: 303 X: 18.9795 -INDEX GOES BRRR: 121 X: 7.58301 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 197 X: 12.3359 -INDEX GOES BRRR: 719 X: -19.0498 -INDEX GOES BRRR: 1013 X: -0.666992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.28418 -INDEX GOES BRRR: 121 X: 7.56641 -INDEX GOES BRRR: 122 X: 7.65723 -INDEX GOES BRRR: 241 X: 15.0801 -INDEX GOES BRRR: 284 X: 17.7861 -INDEX GOES BRRR: 484 X: 30.2627 -INDEX GOES BRRR: 15 X: 0.9375 -INDEX GOES BRRR: 193 X: 12.1172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.38281 -INDEX GOES BRRR: 645 X: -23.6729 -INDEX GOES BRRR: 206 X: 12.9307 -INDEX GOES BRRR: 985 X: -2.41699 -INDEX GOES BRRR: 21 X: 1.3623 -INDEX GOES BRRR: 284 X: 17.8037 -INDEX GOES BRRR: 44 X: 2.80762 -INDEX GOES BRRR: 154 X: 9.66797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.8867 -INDEX GOES BRRR: 227 X: 14.1934 -INDEX GOES BRRR: 375 X: 23.458 -INDEX GOES BRRR: 1011 X: -0.797852 -INDEX GOES BRRR: 228 X: 14.3105 -INDEX GOES BRRR: 74 X: 4.6416 -INDEX GOES BRRR: 974 X: -3.11426 -INDEX GOES BRRR: 204 X: 12.751 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5342 -INDEX GOES BRRR: 293 X: 18.3672 -INDEX GOES BRRR: 23 X: 1.44629 -INDEX GOES BRRR: 322 X: 20.1797 -INDEX GOES BRRR: 328 X: 20.5479 -INDEX GOES BRRR: 43 X: 2.70605 -INDEX GOES BRRR: 2 X: 0.138672 -INDEX GOES BRRR: 8 X: 0.521484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.52832 -INDEX GOES BRRR: 905 X: -7.42578 -INDEX GOES BRRR: 267 X: 16.7119 -INDEX GOES BRRR: 243 X: 15.2168 -INDEX GOES BRRR: 403 X: 25.2324 -INDEX GOES BRRR: 836 X: -11.7246 -INDEX GOES BRRR: 1004 X: -1.22559 -INDEX GOES BRRR: 115 X: 7.21094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.11426 -INDEX GOES BRRR: 119 X: 7.46387 -INDEX GOES BRRR: 186 X: 11.6455 -INDEX GOES BRRR: 300 X: 18.7568 -INDEX GOES BRRR: 190 X: 11.9062 -INDEX GOES BRRR: 144 X: 9.03711 -INDEX GOES BRRR: 156 X: 9.77344 -INDEX GOES BRRR: 117 X: 7.36523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 967 X: -3.53809 -INDEX GOES BRRR: 178 X: 11.1689 -INDEX GOES BRRR: 400 X: 25.0469 -INDEX GOES BRRR: 829 X: -12.1875 -INDEX GOES BRRR: 463 X: 28.9629 -INDEX GOES BRRR: 279 X: 17.4785 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 124 X: 7.78125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.43359 -INDEX GOES BRRR: 370 X: 23.1436 -INDEX GOES BRRR: 972 X: -3.23828 -INDEX GOES BRRR: 183 X: 11.499 -INDEX GOES BRRR: 989 X: -2.12988 -INDEX GOES BRRR: 919 X: -6.52246 -INDEX GOES BRRR: 475 X: 29.707 -INDEX GOES BRRR: 209 X: 13.0742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5137 -INDEX GOES BRRR: 395 X: 24.6973 -INDEX GOES BRRR: 191 X: 11.9775 -INDEX GOES BRRR: 100 X: 6.2959 -INDEX GOES BRRR: 81 X: 5.06738 -INDEX GOES BRRR: 253 X: 15.8652 -INDEX GOES BRRR: 183 X: 11.4766 -INDEX GOES BRRR: 292 X: 18.2695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.254883 -INDEX GOES BRRR: 167 X: 10.4932 -INDEX GOES BRRR: 89 X: 5.57715 -INDEX GOES BRRR: 86 X: 5.41309 -INDEX GOES BRRR: 280 X: 17.5127 -INDEX GOES BRRR: 464 X: 29.0498 -INDEX GOES BRRR: 170 X: 10.6318 -INDEX GOES BRRR: 310 X: 19.4307 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.7832 -INDEX GOES BRRR: 205 X: 12.874 -INDEX GOES BRRR: 952 X: -4.45898 -INDEX GOES BRRR: 62 X: 3.88184 -INDEX GOES BRRR: 878 X: -9.06348 -INDEX GOES BRRR: 66 X: 4.13574 -INDEX GOES BRRR: 101 X: 6.3252 -INDEX GOES BRRR: 216 X: 13.543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.258789 -INDEX GOES BRRR: 460 X: 28.7715 -INDEX GOES BRRR: 100 X: 6.30273 -INDEX GOES BRRR: 134 X: 8.43066 -INDEX GOES BRRR: 252 X: 15.79 -INDEX GOES BRRR: 10 X: 0.638672 -INDEX GOES BRRR: 51 X: 3.24121 -INDEX GOES BRRR: 141 X: 8.8291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 978 X: -2.83984 -INDEX GOES BRRR: 217 X: 13.5918 -INDEX GOES BRRR: 54 X: 3.43652 -INDEX GOES BRRR: 238 X: 14.9092 -INDEX GOES BRRR: 240 X: 15.0078 -INDEX GOES BRRR: 156 X: 9.78418 -INDEX GOES BRRR: 198 X: 12.4004 -INDEX GOES BRRR: 136 X: 8.56055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 69 X: 4.31934 -INDEX GOES BRRR: 322 X: 20.167 -INDEX GOES BRRR: 190 X: 11.8896 -INDEX GOES BRRR: 313 X: 19.6084 -INDEX GOES BRRR: 236 X: 14.7637 -INDEX GOES BRRR: 132 X: 8.30273 -INDEX GOES BRRR: 365 X: 22.8711 -INDEX GOES BRRR: 234 X: 14.6299 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 358 X: 22.3857 -INDEX GOES BRRR: 191 X: 11.9854 -INDEX GOES BRRR: 160 X: 10.0068 -INDEX GOES BRRR: 959 X: -4.0459 -INDEX GOES BRRR: 387 X: 24.209 -INDEX GOES BRRR: 152 X: 9.55859 -INDEX GOES BRRR: 796 X: -14.1924 -INDEX GOES BRRR: 996 X: -1.7168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.70312 -INDEX GOES BRRR: 16 X: 1.03223 -INDEX GOES BRRR: 13 X: 0.830078 -INDEX GOES BRRR: 453 X: 28.3486 -INDEX GOES BRRR: 139 X: 8.74707 -INDEX GOES BRRR: 140 X: 8.79102 -INDEX GOES BRRR: 115 X: 7.23242 -INDEX GOES BRRR: 979 X: -2.79199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0215 -INDEX GOES BRRR: 370 X: 23.1299 -INDEX GOES BRRR: 870 X: -9.58594 -INDEX GOES BRRR: 239 X: 14.9424 -INDEX GOES BRRR: 481 X: 30.1104 -INDEX GOES BRRR: 21 X: 1.3252 -INDEX GOES BRRR: 67 X: 4.20117 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 128 X: 8.05762 -INDEX GOES BRRR: 266 X: 16.6416 -INDEX GOES BRRR: 887 X: -8.54004 -INDEX GOES BRRR: 368 X: 23.0166 -INDEX GOES BRRR: 367 X: 22.9521 -INDEX GOES BRRR: 257 X: 16.0869 -INDEX GOES BRRR: 216 X: 13.5098 -INDEX GOES BRRR: 46 X: 2.92578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.66504 -INDEX GOES BRRR: 307 X: 19.21 -INDEX GOES BRRR: 989 X: -2.16016 -INDEX GOES BRRR: 867 X: -9.78809 -INDEX GOES BRRR: 234 X: 14.6787 -INDEX GOES BRRR: 215 X: 13.4424 -INDEX GOES BRRR: 131 X: 8.20312 -INDEX GOES BRRR: 318 X: 19.8809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.8906 -INDEX GOES BRRR: 366 X: 22.915 -INDEX GOES BRRR: 218 X: 13.6787 -INDEX GOES BRRR: 485 X: 30.3662 -INDEX GOES BRRR: 862 X: -10.0654 -INDEX GOES BRRR: 131 X: 8.24902 -INDEX GOES BRRR: 442 X: 27.6494 -INDEX GOES BRRR: 991 X: -2.0459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 771 X: -15.7773 -INDEX GOES BRRR: 247 X: 15.4883 -INDEX GOES BRRR: 991 X: -2.0459 -INDEX GOES BRRR: 309 X: 19.3506 -INDEX GOES BRRR: 50 X: 3.16113 -INDEX GOES BRRR: 283 X: 17.6895 -INDEX GOES BRRR: 204 X: 12.7803 -INDEX GOES BRRR: 236 X: 14.8057 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 97 X: 6.12207 -INDEX GOES BRRR: 903 X: -7.52246 -INDEX GOES BRRR: 12 X: 0.805664 -INDEX GOES BRRR: 313 X: 19.6143 -INDEX GOES BRRR: 974 X: -3.10742 -INDEX GOES BRRR: 120 X: 7.53027 -INDEX GOES BRRR: 916 X: -6.7168 -INDEX GOES BRRR: 161 X: 10.0674 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6836 -INDEX GOES BRRR: 333 X: 20.8135 -INDEX GOES BRRR: 55 X: 3.46777 -INDEX GOES BRRR: 918 X: -6.59473 -INDEX GOES BRRR: 363 X: 22.7432 -INDEX GOES BRRR: 300 X: 18.7988 -INDEX GOES BRRR: 162 X: 10.1768 -INDEX GOES BRRR: 319 X: 19.9844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.8789 -INDEX GOES BRRR: 824 X: -12.4385 -INDEX GOES BRRR: 1011 X: -0.789062 -INDEX GOES BRRR: 119 X: 7.49609 -INDEX GOES BRRR: 357 X: 22.3164 -INDEX GOES BRRR: 36 X: 2.30762 -INDEX GOES BRRR: 115 X: 7.18945 -INDEX GOES BRRR: 288 X: 18.0293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.40723 -INDEX GOES BRRR: 991 X: -2.03027 -INDEX GOES BRRR: 154 X: 9.63086 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 140 X: 8.77637 -INDEX GOES BRRR: 995 X: -1.7627 -INDEX GOES BRRR: 70 X: 4.41309 -INDEX GOES BRRR: 1 X: 0.0839844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 34 X: 2.17969 -INDEX GOES BRRR: 271 X: 16.9707 -INDEX GOES BRRR: 175 X: 10.9727 -INDEX GOES BRRR: 65 X: 4.0957 -INDEX GOES BRRR: 171 X: 10.6992 -INDEX GOES BRRR: 198 X: 12.4014 -INDEX GOES BRRR: 179 X: 11.1904 -INDEX GOES BRRR: 220 X: 13.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 25 X: 1.59961 -INDEX GOES BRRR: 1003 X: -1.26172 -INDEX GOES BRRR: 232 X: 14.543 -INDEX GOES BRRR: 269 X: 16.8447 -INDEX GOES BRRR: 359 X: 22.4434 -INDEX GOES BRRR: 392 X: 24.5 -INDEX GOES BRRR: 37 X: 2.33887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.917 -INDEX GOES BRRR: 254 X: 15.9111 -INDEX GOES BRRR: 200 X: 12.5146 -INDEX GOES BRRR: 145 X: 9.11816 -INDEX GOES BRRR: 787 X: -14.8008 -INDEX GOES BRRR: 120 X: 7.54492 -INDEX GOES BRRR: 207 X: 12.9404 -INDEX GOES BRRR: 100 X: 6.27637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0264 -INDEX GOES BRRR: 1004 X: -1.20996 -INDEX GOES BRRR: 233 X: 14.5664 -INDEX GOES BRRR: 163 X: 10.1924 -INDEX GOES BRRR: 228 X: 14.2783 -INDEX GOES BRRR: 194 X: 12.1455 -INDEX GOES BRRR: 244 X: 15.3086 -INDEX GOES BRRR: 98 X: 6.16309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 446 X: 27.8857 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 101 X: 6.34961 -INDEX GOES BRRR: 250 X: 15.6865 -INDEX GOES BRRR: 95 X: 5.98926 -INDEX GOES BRRR: 825 X: -12.4248 -INDEX GOES BRRR: 206 X: 12.9365 -INDEX GOES BRRR: 297 X: 18.6211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 0 X: 0.0605469 -INDEX GOES BRRR: 114 X: 7.125 -INDEX GOES BRRR: 46 X: 2.93262 -INDEX GOES BRRR: 56 X: 3.52051 -INDEX GOES BRRR: 370 X: 23.1533 -INDEX GOES BRRR: 198 X: 12.3887 -INDEX GOES BRRR: 1001 X: -1.42676 -INDEX GOES BRRR: 256 X: 16.0195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3584 -INDEX GOES BRRR: 182 X: 11.417 -INDEX GOES BRRR: 943 X: -5.04688 -INDEX GOES BRRR: 261 X: 16.3154 -INDEX GOES BRRR: 156 X: 9.78906 -INDEX GOES BRRR: 141 X: 8.83984 -INDEX GOES BRRR: 160 X: 10.042 -INDEX GOES BRRR: 362 X: 22.6279 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.46582 -INDEX GOES BRRR: 96 X: 6.00195 -INDEX GOES BRRR: 338 X: 21.1514 -INDEX GOES BRRR: 240 X: 15.0449 -INDEX GOES BRRR: 35 X: 2.21582 -INDEX GOES BRRR: 271 X: 16.9824 -INDEX GOES BRRR: 37 X: 2.32031 -INDEX GOES BRRR: 817 X: -12.9268 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0684 -INDEX GOES BRRR: 189 X: 11.8203 -INDEX GOES BRRR: 86 X: 5.42285 -INDEX GOES BRRR: 68 X: 4.26074 -INDEX GOES BRRR: 45 X: 2.8252 -INDEX GOES BRRR: 253 X: 15.8516 -INDEX GOES BRRR: 309 X: 19.3193 -INDEX GOES BRRR: 261 X: 16.3584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.14062 -INDEX GOES BRRR: 309 X: 19.3682 -INDEX GOES BRRR: 238 X: 14.8838 -INDEX GOES BRRR: 419 X: 26.2363 -INDEX GOES BRRR: 343 X: 21.4922 -INDEX GOES BRRR: 200 X: 12.5059 -INDEX GOES BRRR: 1017 X: -0.40625 -INDEX GOES BRRR: 168 X: 10.5371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9785 -INDEX GOES BRRR: 150 X: 9.43164 -INDEX GOES BRRR: 98 X: 6.12793 -INDEX GOES BRRR: 997 X: -1.62793 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 235 X: 14.7412 -INDEX GOES BRRR: 309 X: 19.3232 -INDEX GOES BRRR: 118 X: 7.39746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 943 X: -5.00293 -INDEX GOES BRRR: 314 X: 19.6797 -INDEX GOES BRRR: 217 X: 13.5947 -INDEX GOES BRRR: 110 X: 6.90527 -INDEX GOES BRRR: 120 X: 7.53125 -INDEX GOES BRRR: 296 X: 18.5107 -INDEX GOES BRRR: 452 X: 28.2959 -INDEX GOES BRRR: 77 X: 4.83887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.44531 -INDEX GOES BRRR: 366 X: 22.8887 -INDEX GOES BRRR: 52 X: 3.28809 -INDEX GOES BRRR: 139 X: 8.72559 -INDEX GOES BRRR: 83 X: 5.23242 -INDEX GOES BRRR: 1008 X: -0.96582 -INDEX GOES BRRR: 118 X: 7.41699 -INDEX GOES BRRR: 422 X: 26.4014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 35 X: 2.24512 -INDEX GOES BRRR: 879 X: -9.05469 -INDEX GOES BRRR: 78 X: 4.89746 -INDEX GOES BRRR: 478 X: 29.9307 -INDEX GOES BRRR: 466 X: 29.1377 -INDEX GOES BRRR: 401 X: 25.1084 -INDEX GOES BRRR: 115 X: 7.24023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.84766 -INDEX GOES BRRR: 58 X: 3.64258 -INDEX GOES BRRR: 448 X: 28.0439 -INDEX GOES BRRR: 355 X: 22.2314 -INDEX GOES BRRR: 278 X: 17.4141 -INDEX GOES BRRR: 489 X: 30.6191 -INDEX GOES BRRR: 363 X: 22.7324 -INDEX GOES BRRR: 139 X: 8.69629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9854 -INDEX GOES BRRR: 308 X: 19.293 -INDEX GOES BRRR: 1006 X: -1.11426 -INDEX GOES BRRR: 126 X: 7.88672 -INDEX GOES BRRR: 121 X: 7.56738 -INDEX GOES BRRR: 121 X: 7.6123 -INDEX GOES BRRR: 735 X: -18.0029 -INDEX GOES BRRR: 271 X: 16.9854 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.34277 -INDEX GOES BRRR: 120 X: 7.53125 -INDEX GOES BRRR: 360 X: 22.5605 -INDEX GOES BRRR: 268 X: 16.7764 -INDEX GOES BRRR: 156 X: 9.79199 -INDEX GOES BRRR: 688 X: -20.999 -INDEX GOES BRRR: 145 X: 9.09863 -INDEX GOES BRRR: 58 X: 3.68555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.5801 -INDEX GOES BRRR: 469 X: 29.3457 -INDEX GOES BRRR: 303 X: 18.9912 -INDEX GOES BRRR: 236 X: 14.751 -INDEX GOES BRRR: 805 X: -13.6758 -INDEX GOES BRRR: 220 X: 13.7539 -INDEX GOES BRRR: 162 X: 10.166 -INDEX GOES BRRR: 116 X: 7.28809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 876 X: -9.2041 -INDEX GOES BRRR: 243 X: 15.209 -INDEX GOES BRRR: 879 X: -9.01367 -INDEX GOES BRRR: 843 X: -11.2832 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 235 X: 14.6934 -INDEX GOES BRRR: 250 X: 15.6572 -INDEX GOES BRRR: 1015 X: -0.501953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.57324 -INDEX GOES BRRR: 1011 X: -0.754883 -INDEX GOES BRRR: 279 X: 17.4756 -INDEX GOES BRRR: 141 X: 8.84766 -INDEX GOES BRRR: 230 X: 14.375 -INDEX GOES BRRR: 205 X: 12.8516 -INDEX GOES BRRR: 205 X: 12.834 -INDEX GOES BRRR: 213 X: 13.3389 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1719 -INDEX GOES BRRR: 34 X: 2.14062 -INDEX GOES BRRR: 492 X: 30.792 -INDEX GOES BRRR: 162 X: 10.1533 -INDEX GOES BRRR: 325 X: 20.3447 -INDEX GOES BRRR: 105 X: 6.60742 -INDEX GOES BRRR: 156 X: 9.79688 -INDEX GOES BRRR: 264 X: 16.5068 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1572 -INDEX GOES BRRR: 53 X: 3.32617 -INDEX GOES BRRR: 50 X: 3.14453 -INDEX GOES BRRR: 365 X: 22.8145 -INDEX GOES BRRR: 185 X: 11.6084 -INDEX GOES BRRR: 148 X: 9.2832 -INDEX GOES BRRR: 1020 X: -0.201172 -INDEX GOES BRRR: 990 X: -2.07129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 383 X: 23.9658 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 957 X: -4.12891 -INDEX GOES BRRR: 269 X: 16.8467 -INDEX GOES BRRR: 76 X: 4.7832 -INDEX GOES BRRR: 306 X: 19.1807 -INDEX GOES BRRR: 193 X: 12.0664 -INDEX GOES BRRR: 54 X: 3.42188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 359 X: 22.4453 -INDEX GOES BRRR: 876 X: -9.2207 -INDEX GOES BRRR: 198 X: 12.3896 -INDEX GOES BRRR: 200 X: 12.5127 -INDEX GOES BRRR: 265 X: 16.5801 -INDEX GOES BRRR: 368 X: 23.0469 -INDEX GOES BRRR: 269 X: 16.8594 -INDEX GOES BRRR: 173 X: 10.8184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.62207 -INDEX GOES BRRR: 1015 X: -0.541016 -INDEX GOES BRRR: 377 X: 23.5752 -INDEX GOES BRRR: 228 X: 14.29 -INDEX GOES BRRR: 15 X: 0.957031 -INDEX GOES BRRR: 343 X: 21.4697 -INDEX GOES BRRR: 218 X: 13.665 -INDEX GOES BRRR: 165 X: 10.3604 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.886719 -INDEX GOES BRRR: 193 X: 12.1152 -INDEX GOES BRRR: 283 X: 17.71 -INDEX GOES BRRR: 137 X: 8.61523 -INDEX GOES BRRR: 933 X: -5.68359 -INDEX GOES BRRR: 345 X: 21.5625 -INDEX GOES BRRR: 80 X: 5.01367 -INDEX GOES BRRR: 883 X: -8.75391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.93066 -INDEX GOES BRRR: 82 X: 5.14355 -INDEX GOES BRRR: 63 X: 3.98535 -INDEX GOES BRRR: 428 X: 26.752 -INDEX GOES BRRR: 146 X: 9.13379 -INDEX GOES BRRR: 999 X: -1.5332 -INDEX GOES BRRR: 38 X: 2.41016 -INDEX GOES BRRR: 120 X: 7.52344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 419 X: 26.2109 -INDEX GOES BRRR: 284 X: 17.8115 -INDEX GOES BRRR: 833 X: -11.9336 -INDEX GOES BRRR: 188 X: 11.7949 -INDEX GOES BRRR: 335 X: 20.9844 -INDEX GOES BRRR: 147 X: 9.20215 -INDEX GOES BRRR: 248 X: 15.5156 -INDEX GOES BRRR: 58 X: 3.66504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.27246 -INDEX GOES BRRR: 405 X: 25.3516 -INDEX GOES BRRR: 76 X: 4.79492 -INDEX GOES BRRR: 299 X: 18.6904 -INDEX GOES BRRR: 78 X: 4.91602 -INDEX GOES BRRR: 45 X: 2.84473 -INDEX GOES BRRR: 33 X: 2.09961 -INDEX GOES BRRR: 298 X: 18.6826 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3203 -INDEX GOES BRRR: 163 X: 10.209 -INDEX GOES BRRR: 233 X: 14.5986 -INDEX GOES BRRR: 127 X: 7.98926 -INDEX GOES BRRR: 86 X: 5.3877 -INDEX GOES BRRR: 159 X: 9.9834 -INDEX GOES BRRR: 186 X: 11.626 -INDEX GOES BRRR: 258 X: 16.1777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 985 X: -2.43555 -INDEX GOES BRRR: 206 X: 12.9209 -INDEX GOES BRRR: 106 X: 6.67969 -INDEX GOES BRRR: 891 X: -8.31055 -INDEX GOES BRRR: 1022 X: -0.0742188 -INDEX GOES BRRR: 236 X: 14.791 -INDEX GOES BRRR: 72 X: 4.50879 -INDEX GOES BRRR: 435 X: 27.2256 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.30273 -INDEX GOES BRRR: 141 X: 8.8623 -INDEX GOES BRRR: 808 X: -13.499 -INDEX GOES BRRR: 356 X: 22.2754 -INDEX GOES BRRR: 111 X: 6.99316 -INDEX GOES BRRR: 89 X: 5.5752 -INDEX GOES BRRR: 458 X: 28.6836 -INDEX GOES BRRR: 342 X: 21.3975 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 343 X: 21.4707 -INDEX GOES BRRR: 195 X: 12.2197 -INDEX GOES BRRR: 343 X: 21.4658 -INDEX GOES BRRR: 212 X: 13.2969 -INDEX GOES BRRR: 147 X: 9.2168 -INDEX GOES BRRR: 297 X: 18.5977 -INDEX GOES BRRR: 257 X: 16.0908 -INDEX GOES BRRR: 336 X: 21.002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 349 X: 21.8135 -INDEX GOES BRRR: 228 X: 14.3008 -INDEX GOES BRRR: 171 X: 10.7207 -INDEX GOES BRRR: 10 X: 0.649414 -INDEX GOES BRRR: 40 X: 2.54102 -INDEX GOES BRRR: 300 X: 18.7881 -INDEX GOES BRRR: 462 X: 28.9111 -INDEX GOES BRRR: 167 X: 10.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.11133 -INDEX GOES BRRR: 103 X: 6.45898 -INDEX GOES BRRR: 256 X: 16.0576 -INDEX GOES BRRR: 351 X: 21.9541 -INDEX GOES BRRR: 251 X: 15.7217 -INDEX GOES BRRR: 131 X: 8.2207 -INDEX GOES BRRR: 16 X: 1.0332 -INDEX GOES BRRR: 209 X: 13.0645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 176 X: 11.0322 -INDEX GOES BRRR: 62 X: 3.88867 -INDEX GOES BRRR: 866 X: -9.83105 -INDEX GOES BRRR: 276 X: 17.2871 -INDEX GOES BRRR: 254 X: 15.9043 -INDEX GOES BRRR: 327 X: 20.459 -INDEX GOES BRRR: 78 X: 4.87988 -INDEX GOES BRRR: 99 X: 6.19336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.0127 -INDEX GOES BRRR: 159 X: 9.99512 -INDEX GOES BRRR: 99 X: 6.19629 -INDEX GOES BRRR: 1012 X: -0.700195 -INDEX GOES BRRR: 59 X: 3.71777 -INDEX GOES BRRR: 148 X: 9.27344 -INDEX GOES BRRR: 205 X: 12.8311 -INDEX GOES BRRR: 223 X: 13.96 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.5742 -INDEX GOES BRRR: 230 X: 14.4316 -INDEX GOES BRRR: 353 X: 22.1211 -INDEX GOES BRRR: 331 X: 20.6895 -INDEX GOES BRRR: 320 X: 20.0479 -INDEX GOES BRRR: 184 X: 11.5605 -INDEX GOES BRRR: 87 X: 5.44629 -INDEX GOES BRRR: 97 X: 6.12207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.20801 -INDEX GOES BRRR: 166 X: 10.3955 -INDEX GOES BRRR: 160 X: 10.0146 -INDEX GOES BRRR: 268 X: 16.7969 -INDEX GOES BRRR: 206 X: 12.9004 -INDEX GOES BRRR: 963 X: -3.78711 -INDEX GOES BRRR: 108 X: 6.80176 -INDEX GOES BRRR: 177 X: 11.0986 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1289 -INDEX GOES BRRR: 186 X: 11.6533 -INDEX GOES BRRR: 163 X: 10.2422 -INDEX GOES BRRR: 84 X: 5.28418 -INDEX GOES BRRR: 932 X: -5.69336 -INDEX GOES BRRR: 286 X: 17.8779 -INDEX GOES BRRR: 169 X: 10.6123 -INDEX GOES BRRR: 357 X: 22.3408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.79688 -INDEX GOES BRRR: 326 X: 20.4043 -INDEX GOES BRRR: 363 X: 22.7412 -INDEX GOES BRRR: 54 X: 3.37598 -INDEX GOES BRRR: 408 X: 25.5244 -INDEX GOES BRRR: 114 X: 7.14648 -INDEX GOES BRRR: 183 X: 11.4785 -INDEX GOES BRRR: 35 X: 2.19824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1017 X: -0.379883 -INDEX GOES BRRR: 256 X: 16.0303 -INDEX GOES BRRR: 242 X: 15.1494 -INDEX GOES BRRR: 92 X: 5.7959 -INDEX GOES BRRR: 336 X: 21.002 -INDEX GOES BRRR: 59 X: 3.72656 -INDEX GOES BRRR: 72 X: 4.55176 -INDEX GOES BRRR: 727 X: -18.5029 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.36426 -INDEX GOES BRRR: 240 X: 15.001 -INDEX GOES BRRR: 178 X: 11.1709 -INDEX GOES BRRR: 938 X: -5.36133 -INDEX GOES BRRR: 1013 X: -0.68457 -INDEX GOES BRRR: 71 X: 4.44043 -INDEX GOES BRRR: 413 X: 25.832 -INDEX GOES BRRR: 101 X: 6.35352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.47949 -INDEX GOES BRRR: 147 X: 9.20703 -INDEX GOES BRRR: 398 X: 24.8789 -INDEX GOES BRRR: 90 X: 5.67188 -INDEX GOES BRRR: 971 X: -3.29102 -INDEX GOES BRRR: 128 X: 8.01172 -INDEX GOES BRRR: 907 X: -7.29492 -INDEX GOES BRRR: 157 X: 9.82812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 792 X: -14.4951 -INDEX GOES BRRR: 46 X: 2.92578 -INDEX GOES BRRR: 421 X: 26.3145 -INDEX GOES BRRR: 243 X: 15.1973 -INDEX GOES BRRR: 419 X: 26.1953 -INDEX GOES BRRR: 192 X: 12.0459 -INDEX GOES BRRR: 102 X: 6.38965 -INDEX GOES BRRR: 402 X: 25.1826 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.14746 -INDEX GOES BRRR: 131 X: 8.21777 -INDEX GOES BRRR: 157 X: 9.86523 -INDEX GOES BRRR: 250 X: 15.6631 -INDEX GOES BRRR: 261 X: 16.3145 -INDEX GOES BRRR: 207 X: 12.9805 -INDEX GOES BRRR: 81 X: 5.08984 -INDEX GOES BRRR: 229 X: 14.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.04688 -INDEX GOES BRRR: 43 X: 2.6875 -INDEX GOES BRRR: 153 X: 9.60645 -INDEX GOES BRRR: 144 X: 9.02051 -INDEX GOES BRRR: 966 X: -3.59375 -INDEX GOES BRRR: 1020 X: -0.192383 -INDEX GOES BRRR: 144 X: 9.02051 -INDEX GOES BRRR: 867 X: -9.75781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.75098 -INDEX GOES BRRR: 473 X: 29.5645 -INDEX GOES BRRR: 327 X: 20.4766 -INDEX GOES BRRR: 141 X: 8.82617 -INDEX GOES BRRR: 1001 X: -1.38477 -INDEX GOES BRRR: 12 X: 0.768555 -INDEX GOES BRRR: 162 X: 10.1865 -INDEX GOES BRRR: 126 X: 7.88379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0615 -INDEX GOES BRRR: 382 X: 23.8789 -INDEX GOES BRRR: 250 X: 15.627 -INDEX GOES BRRR: 258 X: 16.1406 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 47 X: 2.96875 -INDEX GOES BRRR: 908 X: -7.20703 -INDEX GOES BRRR: 319 X: 19.9512 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.71875 -INDEX GOES BRRR: 264 X: 16.5352 -INDEX GOES BRRR: 50 X: 3.14355 -INDEX GOES BRRR: 188 X: 11.7676 -INDEX GOES BRRR: 39 X: 2.44238 -INDEX GOES BRRR: 306 X: 19.1777 -INDEX GOES BRRR: 114 X: 7.17773 -INDEX GOES BRRR: 345 X: 21.6201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 26 X: 1.63574 -INDEX GOES BRRR: 945 X: -4.93359 -INDEX GOES BRRR: 926 X: -6.0957 -INDEX GOES BRRR: 378 X: 23.6816 -INDEX GOES BRRR: 70 X: 4.40039 -INDEX GOES BRRR: 160 X: 10.0518 -INDEX GOES BRRR: 329 X: 20.6191 -INDEX GOES BRRR: 248 X: 15.5225 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.69434 -INDEX GOES BRRR: 2 X: 0.183594 -INDEX GOES BRRR: 212 X: 13.3037 -INDEX GOES BRRR: 289 X: 18.1084 -INDEX GOES BRRR: 75 X: 4.72852 -INDEX GOES BRRR: 341 X: 21.3311 -INDEX GOES BRRR: 284 X: 17.752 -INDEX GOES BRRR: 75 X: 4.72852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.10059 -INDEX GOES BRRR: 151 X: 9.44141 -INDEX GOES BRRR: 259 X: 16.2168 -INDEX GOES BRRR: 419 X: 26.2207 -INDEX GOES BRRR: 243 X: 15.2383 -INDEX GOES BRRR: 1013 X: -0.657227 -INDEX GOES BRRR: 275 X: 17.1934 -INDEX GOES BRRR: 388 X: 24.2637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 978 X: -2.81348 -INDEX GOES BRRR: 404 X: 25.2598 -INDEX GOES BRRR: 150 X: 9.42773 -INDEX GOES BRRR: 164 X: 10.2744 -INDEX GOES BRRR: 263 X: 16.46 -INDEX GOES BRRR: 393 X: 24.6201 -INDEX GOES BRRR: 379 X: 23.7275 -INDEX GOES BRRR: 449 X: 28.1182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.74414 -INDEX GOES BRRR: 128 X: 8.05664 -INDEX GOES BRRR: 151 X: 9.47656 -INDEX GOES BRRR: 205 X: 12.8301 -INDEX GOES BRRR: 169 X: 10.6201 -INDEX GOES BRRR: 14 X: 0.916992 -INDEX GOES BRRR: 177 X: 11.123 -INDEX GOES BRRR: 1014 X: -0.599609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0801 -INDEX GOES BRRR: 23 X: 1.45605 -INDEX GOES BRRR: 13 X: 0.834961 -INDEX GOES BRRR: 933 X: -5.67773 -INDEX GOES BRRR: 142 X: 8.8916 -INDEX GOES BRRR: 78 X: 4.91797 -INDEX GOES BRRR: 178 X: 11.1328 -INDEX GOES BRRR: 194 X: 12.167 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 22 X: 1.3916 -INDEX GOES BRRR: 928 X: -5.95605 -INDEX GOES BRRR: 97 X: 6.11523 -INDEX GOES BRRR: 1011 X: -0.785156 -INDEX GOES BRRR: 224 X: 14.0117 -INDEX GOES BRRR: 117 X: 7.34961 -INDEX GOES BRRR: 166 X: 10.415 -INDEX GOES BRRR: 285 X: 17.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9219 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 15 X: 0.939453 -INDEX GOES BRRR: 187 X: 11.7188 -INDEX GOES BRRR: 231 X: 14.4443 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 124 X: 7.79395 -INDEX GOES BRRR: 241 X: 15.0928 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.74805 -INDEX GOES BRRR: 158 X: 9.88086 -INDEX GOES BRRR: 21 X: 1.37012 -INDEX GOES BRRR: 151 X: 9.46387 -INDEX GOES BRRR: 439 X: 27.4824 -INDEX GOES BRRR: 272 X: 17.0322 -INDEX GOES BRRR: 105 X: 6.57227 -INDEX GOES BRRR: 61 X: 3.85449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5264 -INDEX GOES BRRR: 34 X: 2.17285 -INDEX GOES BRRR: 190 X: 11.8867 -INDEX GOES BRRR: 184 X: 11.5332 -INDEX GOES BRRR: 931 X: -5.7832 -INDEX GOES BRRR: 1016 X: -0.470703 -INDEX GOES BRRR: 35 X: 2.23633 -INDEX GOES BRRR: 916 X: -6.69434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.2295 -INDEX GOES BRRR: 394 X: 24.626 -INDEX GOES BRRR: 120 X: 7.53809 -INDEX GOES BRRR: 982 X: -2.57812 -INDEX GOES BRRR: 990 X: -2.06348 -INDEX GOES BRRR: 982 X: -2.58496 -INDEX GOES BRRR: 42 X: 2.67383 -INDEX GOES BRRR: 170 X: 10.6406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.35059 -INDEX GOES BRRR: 69 X: 4.34473 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 401 X: 25.0693 -INDEX GOES BRRR: 998 X: -1.58105 -INDEX GOES BRRR: 43 X: 2.74316 -INDEX GOES BRRR: 370 X: 23.1426 -INDEX GOES BRRR: 320 X: 20.0518 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.05176 -INDEX GOES BRRR: 984 X: -2.44336 -INDEX GOES BRRR: 165 X: 10.3174 -INDEX GOES BRRR: 351 X: 21.9521 -INDEX GOES BRRR: 219 X: 13.7432 -INDEX GOES BRRR: 1014 X: -0.571289 -INDEX GOES BRRR: 78 X: 4.92578 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.6982 -INDEX GOES BRRR: 119 X: 7.44238 -INDEX GOES BRRR: 105 X: 6.61426 -INDEX GOES BRRR: 149 X: 9.3418 -INDEX GOES BRRR: 811 X: -13.2842 -INDEX GOES BRRR: 63 X: 3.9834 -INDEX GOES BRRR: 875 X: -9.28809 -INDEX GOES BRRR: 89 X: 5.60156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.543 -INDEX GOES BRRR: 335 X: 20.9941 -INDEX GOES BRRR: 328 X: 20.5273 -INDEX GOES BRRR: 117 X: 7.35059 -INDEX GOES BRRR: 917 X: -6.68164 -INDEX GOES BRRR: 303 X: 18.9961 -INDEX GOES BRRR: 58 X: 3.66504 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.61914 -INDEX GOES BRRR: 150 X: 9.4082 -INDEX GOES BRRR: 58 X: 3.6582 -INDEX GOES BRRR: 139 X: 8.74023 -INDEX GOES BRRR: 337 X: 21.1191 -INDEX GOES BRRR: 68 X: 4.28613 -INDEX GOES BRRR: 97 X: 6.11328 -INDEX GOES BRRR: 242 X: 15.1455 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7031 -INDEX GOES BRRR: 69 X: 4.3584 -INDEX GOES BRRR: 45 X: 2.84082 -INDEX GOES BRRR: 95 X: 5.97559 -INDEX GOES BRRR: 37 X: 2.33398 -INDEX GOES BRRR: 67 X: 4.2041 -INDEX GOES BRRR: 985 X: -2.4209 -INDEX GOES BRRR: 140 X: 8.75586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 384 X: 24.0078 -INDEX GOES BRRR: 238 X: 14.9219 -INDEX GOES BRRR: 1002 X: -1.36914 -INDEX GOES BRRR: 108 X: 6.75293 -INDEX GOES BRRR: 103 X: 6.44922 -INDEX GOES BRRR: 926 X: -6.06543 -INDEX GOES BRRR: 126 X: 7.8877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8672 -INDEX GOES BRRR: 116 X: 7.29004 -INDEX GOES BRRR: 970 X: -3.35254 -INDEX GOES BRRR: 180 X: 11.2695 -INDEX GOES BRRR: 86 X: 5.39453 -INDEX GOES BRRR: 33 X: 2.11719 -INDEX GOES BRRR: 83 X: 5.23828 -INDEX GOES BRRR: 470 X: 29.3789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 330 X: 20.627 -INDEX GOES BRRR: 332 X: 20.7539 -INDEX GOES BRRR: 14 X: 0.90918 -INDEX GOES BRRR: 72 X: 4.54492 -INDEX GOES BRRR: 342 X: 21.4141 -INDEX GOES BRRR: 1022 X: -0.119141 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 450 X: 28.1387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9951 -INDEX GOES BRRR: 147 X: 9.23633 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 141 X: 8.86328 -INDEX GOES BRRR: 327 X: 20.4893 -INDEX GOES BRRR: 229 X: 14.3711 -INDEX GOES BRRR: 207 X: 12.9463 -INDEX GOES BRRR: 81 X: 5.07324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.94434 -INDEX GOES BRRR: 28 X: 1.76855 -INDEX GOES BRRR: 248 X: 15.5566 -INDEX GOES BRRR: 910 X: -7.06348 -INDEX GOES BRRR: 28 X: 1.80273 -INDEX GOES BRRR: 388 X: 24.2578 -INDEX GOES BRRR: 174 X: 10.8994 -INDEX GOES BRRR: 236 X: 14.7832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4463 -INDEX GOES BRRR: 327 X: 20.4883 -INDEX GOES BRRR: 268 X: 16.7891 -INDEX GOES BRRR: 1005 X: -1.15527 -INDEX GOES BRRR: 996 X: -1.71191 -INDEX GOES BRRR: 232 X: 14.5205 -INDEX GOES BRRR: 364 X: 22.7949 -INDEX GOES BRRR: 179 X: 11.2285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.8418 -INDEX GOES BRRR: 271 X: 16.9844 -INDEX GOES BRRR: 201 X: 12.5977 -INDEX GOES BRRR: 198 X: 12.4062 -INDEX GOES BRRR: 189 X: 11.8291 -INDEX GOES BRRR: 232 X: 14.502 -INDEX GOES BRRR: 363 X: 22.6953 -INDEX GOES BRRR: 466 X: 29.1602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 337 X: 21.1074 -INDEX GOES BRRR: 208 X: 13.0498 -INDEX GOES BRRR: 986 X: -2.36133 -INDEX GOES BRRR: 118 X: 7.42383 -INDEX GOES BRRR: 76 X: 4.77051 -INDEX GOES BRRR: 336 X: 21.0068 -INDEX GOES BRRR: 168 X: 10.5156 -INDEX GOES BRRR: 307 X: 19.2002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.8955 -INDEX GOES BRRR: 352 X: 22.0342 -INDEX GOES BRRR: 458 X: 28.6846 -INDEX GOES BRRR: 210 X: 13.1592 -INDEX GOES BRRR: 118 X: 7.42871 -INDEX GOES BRRR: 164 X: 10.2734 -INDEX GOES BRRR: 458 X: 28.6582 -INDEX GOES BRRR: 374 X: 23.4248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.84863 -INDEX GOES BRRR: 278 X: 17.3828 -INDEX GOES BRRR: 125 X: 7.84961 -INDEX GOES BRRR: 165 X: 10.3447 -INDEX GOES BRRR: 324 X: 20.2725 -INDEX GOES BRRR: 110 X: 6.92676 -INDEX GOES BRRR: 423 X: 26.4707 -INDEX GOES BRRR: 162 X: 10.1768 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 13 X: 0.87207 -INDEX GOES BRRR: 55 X: 3.47949 -INDEX GOES BRRR: 182 X: 11.4121 -INDEX GOES BRRR: 158 X: 9.92676 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 378 X: 23.6807 -INDEX GOES BRRR: 28 X: 1.79004 -INDEX GOES BRRR: 269 X: 16.8184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8281 -INDEX GOES BRRR: 229 X: 14.3223 -INDEX GOES BRRR: 409 X: 25.6133 -INDEX GOES BRRR: 147 X: 9.19824 -INDEX GOES BRRR: 299 X: 18.7305 -INDEX GOES BRRR: 191 X: 11.9639 -INDEX GOES BRRR: 275 X: 17.1953 -INDEX GOES BRRR: 281 X: 17.585 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.79883 -INDEX GOES BRRR: 175 X: 10.9688 -INDEX GOES BRRR: 47 X: 2.94141 -INDEX GOES BRRR: 49 X: 3.06641 -INDEX GOES BRRR: 157 X: 9.83008 -INDEX GOES BRRR: 176 X: 11.0518 -INDEX GOES BRRR: 134 X: 8.40137 -INDEX GOES BRRR: 93 X: 5.84863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 69 X: 4.37109 -INDEX GOES BRRR: 963 X: -3.79297 -INDEX GOES BRRR: 477 X: 29.8252 -INDEX GOES BRRR: 17 X: 1.09863 -INDEX GOES BRRR: 265 X: 16.5908 -INDEX GOES BRRR: 58 X: 3.6416 -INDEX GOES BRRR: 5 X: 0.323242 -INDEX GOES BRRR: 257 X: 16.0762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 374 X: 23.4268 -INDEX GOES BRRR: 72 X: 4.55664 -INDEX GOES BRRR: 254 X: 15.9004 -INDEX GOES BRRR: 912 X: -6.97656 -INDEX GOES BRRR: 154 X: 9.63184 -INDEX GOES BRRR: 197 X: 12.3682 -INDEX GOES BRRR: 259 X: 16.2168 -INDEX GOES BRRR: 179 X: 11.2109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.5957 -INDEX GOES BRRR: 317 X: 19.8164 -INDEX GOES BRRR: 406 X: 25.3799 -INDEX GOES BRRR: 116 X: 7.30957 -INDEX GOES BRRR: 281 X: 17.5742 -INDEX GOES BRRR: 124 X: 7.80566 -INDEX GOES BRRR: 142 X: 8.88379 -INDEX GOES BRRR: 912 X: -6.97266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 900 X: -7.72266 -INDEX GOES BRRR: 432 X: 27.0186 -INDEX GOES BRRR: 52 X: 3.25 -INDEX GOES BRRR: 247 X: 15.46 -INDEX GOES BRRR: 351 X: 21.9648 -INDEX GOES BRRR: 199 X: 12.4941 -INDEX GOES BRRR: 1016 X: -0.480469 -INDEX GOES BRRR: 171 X: 10.7432 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.56934 -INDEX GOES BRRR: 189 X: 11.8467 -INDEX GOES BRRR: 980 X: -2.72266 -INDEX GOES BRRR: 89 X: 5.56543 -INDEX GOES BRRR: 893 X: -8.13086 -INDEX GOES BRRR: 170 X: 10.6504 -INDEX GOES BRRR: 249 X: 15.6152 -INDEX GOES BRRR: 121 X: 7.5752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1 X: 0.0898438 -INDEX GOES BRRR: 162 X: 10.1582 -INDEX GOES BRRR: 74 X: 4.68652 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 375 X: 23.4395 -INDEX GOES BRRR: 225 X: 14.0742 -INDEX GOES BRRR: 16 X: 1.00488 -INDEX GOES BRRR: 899 X: -7.75586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.2207 -INDEX GOES BRRR: 353 X: 22.1143 -INDEX GOES BRRR: 176 X: 11.0312 -INDEX GOES BRRR: 984 X: -2.47754 -INDEX GOES BRRR: 917 X: -6.62598 -INDEX GOES BRRR: 230 X: 14.4033 -INDEX GOES BRRR: 342 X: 21.4023 -INDEX GOES BRRR: 196 X: 12.292 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.07129 -INDEX GOES BRRR: 85 X: 5.33887 -INDEX GOES BRRR: 306 X: 19.1602 -INDEX GOES BRRR: 70 X: 4.375 -INDEX GOES BRRR: 208 X: 13.0137 -INDEX GOES BRRR: 107 X: 6.72168 -INDEX GOES BRRR: 2 X: 0.183594 -INDEX GOES BRRR: 86 X: 5.39062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 257 X: 16.0791 -INDEX GOES BRRR: 45 X: 2.86719 -INDEX GOES BRRR: 394 X: 24.6846 -INDEX GOES BRRR: 206 X: 12.9287 -INDEX GOES BRRR: 281 X: 17.6104 -INDEX GOES BRRR: 225 X: 14.0645 -INDEX GOES BRRR: 438 X: 27.3936 -INDEX GOES BRRR: 277 X: 17.3457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 418 X: 26.1729 -INDEX GOES BRRR: 273 X: 17.1162 -INDEX GOES BRRR: 814 X: -13.0684 -INDEX GOES BRRR: 163 X: 10.1992 -INDEX GOES BRRR: 454 X: 28.3916 -INDEX GOES BRRR: 128 X: 8.04395 -INDEX GOES BRRR: 237 X: 14.8271 -INDEX GOES BRRR: 449 X: 28.0752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8389 -INDEX GOES BRRR: 995 X: -1.79492 -INDEX GOES BRRR: 186 X: 11.667 -INDEX GOES BRRR: 161 X: 10.1133 -INDEX GOES BRRR: 304 X: 19.0498 -INDEX GOES BRRR: 240 X: 15 -INDEX GOES BRRR: 198 X: 12.3809 -INDEX GOES BRRR: 95 X: 5.99219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0977 -INDEX GOES BRRR: 977 X: -2.8916 -INDEX GOES BRRR: 437 X: 27.3574 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1010 X: -0.855469 -INDEX GOES BRRR: 320 X: 20.0059 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 252 X: 15.8047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.2207 -INDEX GOES BRRR: 235 X: 14.7451 -INDEX GOES BRRR: 982 X: -2.58594 -INDEX GOES BRRR: 271 X: 16.9541 -INDEX GOES BRRR: 139 X: 8.72461 -INDEX GOES BRRR: 342 X: 21.4023 -INDEX GOES BRRR: 184 X: 11.5098 -INDEX GOES BRRR: 192 X: 12.0508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.43555 -INDEX GOES BRRR: 163 X: 10.1992 -INDEX GOES BRRR: 68 X: 4.30859 -INDEX GOES BRRR: 290 X: 18.1572 -INDEX GOES BRRR: 428 X: 26.7822 -INDEX GOES BRRR: 159 X: 9.94922 -INDEX GOES BRRR: 832 X: -11.9463 -INDEX GOES BRRR: 51 X: 3.21875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.3584 -INDEX GOES BRRR: 317 X: 19.8379 -INDEX GOES BRRR: 320 X: 20.0146 -INDEX GOES BRRR: 172 X: 10.7666 -INDEX GOES BRRR: 1022 X: -0.106445 -INDEX GOES BRRR: 1000 X: -1.46484 -INDEX GOES BRRR: 77 X: 4.83691 -INDEX GOES BRRR: 102 X: 6.43555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.377 -INDEX GOES BRRR: 38 X: 2.4209 -INDEX GOES BRRR: 937 X: -5.43164 -INDEX GOES BRRR: 43 X: 2.72949 -INDEX GOES BRRR: 203 X: 12.6934 -INDEX GOES BRRR: 984 X: -2.49609 -INDEX GOES BRRR: 60 X: 3.80762 -INDEX GOES BRRR: 872 X: -9.46875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.05664 -INDEX GOES BRRR: 109 X: 6.82324 -INDEX GOES BRRR: 206 X: 12.8975 -INDEX GOES BRRR: 206 X: 12.9033 -INDEX GOES BRRR: 95 X: 5.96582 -INDEX GOES BRRR: 18 X: 1.15234 -INDEX GOES BRRR: 257 X: 16.0693 -INDEX GOES BRRR: 16 X: 1.01367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 125 X: 7.81641 -INDEX GOES BRRR: 156 X: 9.75391 -INDEX GOES BRRR: 926 X: -6.08203 -INDEX GOES BRRR: 235 X: 14.7285 -INDEX GOES BRRR: 879 X: -9.05762 -INDEX GOES BRRR: 119 X: 7.44336 -INDEX GOES BRRR: 195 X: 12.2119 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.51172 -INDEX GOES BRRR: 264 X: 16.5059 -INDEX GOES BRRR: 465 X: 29.0713 -INDEX GOES BRRR: 308 X: 19.2988 -INDEX GOES BRRR: 158 X: 9.89551 -INDEX GOES BRRR: 106 X: 6.67188 -INDEX GOES BRRR: 168 X: 10.543 -INDEX GOES BRRR: 74 X: 4.64551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.02832 -INDEX GOES BRRR: 87 X: 5.46973 -INDEX GOES BRRR: 41 X: 2.60449 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 947 X: -4.78223 -INDEX GOES BRRR: 81 X: 5.09668 -INDEX GOES BRRR: 277 X: 17.3691 -INDEX GOES BRRR: 331 X: 20.708 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.354492 -INDEX GOES BRRR: 298 X: 18.6641 -INDEX GOES BRRR: 325 X: 20.3555 -INDEX GOES BRRR: 29 X: 1.81543 -INDEX GOES BRRR: 1014 X: -0.56543 -INDEX GOES BRRR: 377 X: 23.5723 -INDEX GOES BRRR: 83 X: 5.2207 -INDEX GOES BRRR: 300 X: 18.7988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.4062 -INDEX GOES BRRR: 247 X: 15.4971 -INDEX GOES BRRR: 123 X: 7.73535 -INDEX GOES BRRR: 313 X: 19.583 -INDEX GOES BRRR: 251 X: 15.6904 -INDEX GOES BRRR: 227 X: 14.2451 -INDEX GOES BRRR: 243 X: 15.2354 -INDEX GOES BRRR: 928 X: -5.96387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4941 -INDEX GOES BRRR: 64 X: 4.06152 -INDEX GOES BRRR: 349 X: 21.8652 -INDEX GOES BRRR: 1006 X: -1.11035 -INDEX GOES BRRR: 133 X: 8.33008 -INDEX GOES BRRR: 53 X: 3.32031 -INDEX GOES BRRR: 100 X: 6.29688 -INDEX GOES BRRR: 118 X: 7.37793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5361 -INDEX GOES BRRR: 155 X: 9.74121 -INDEX GOES BRRR: 151 X: 9.47363 -INDEX GOES BRRR: 103 X: 6.49707 -INDEX GOES BRRR: 283 X: 17.7051 -INDEX GOES BRRR: 52 X: 3.30859 -INDEX GOES BRRR: 860 X: -10.2168 -INDEX GOES BRRR: 57 X: 3.60449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.04395 -INDEX GOES BRRR: 168 X: 10.502 -INDEX GOES BRRR: 243 X: 15.2148 -INDEX GOES BRRR: 827 X: -12.2998 -INDEX GOES BRRR: 450 X: 28.1631 -INDEX GOES BRRR: 265 X: 16.6152 -INDEX GOES BRRR: 680 X: -21.4414 -INDEX GOES BRRR: 204 X: 12.7529 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.3477 -INDEX GOES BRRR: 1016 X: -0.442383 -INDEX GOES BRRR: 8 X: 0.538086 -INDEX GOES BRRR: 174 X: 10.9355 -INDEX GOES BRRR: 970 X: -3.33398 -INDEX GOES BRRR: 191 X: 11.9805 -INDEX GOES BRRR: 162 X: 10.1523 -INDEX GOES BRRR: 372 X: 23.2715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3291 -INDEX GOES BRRR: 136 X: 8.55566 -INDEX GOES BRRR: 190 X: 11.8838 -INDEX GOES BRRR: 225 X: 14.1123 -INDEX GOES BRRR: 282 X: 17.6865 -INDEX GOES BRRR: 923 X: -6.27148 -INDEX GOES BRRR: 468 X: 29.2764 -INDEX GOES BRRR: 487 X: 30.4766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 274 X: 17.1631 -INDEX GOES BRRR: 258 X: 16.1611 -INDEX GOES BRRR: 32 X: 2.02832 -INDEX GOES BRRR: 118 X: 7.39258 -INDEX GOES BRRR: 339 X: 21.2393 -INDEX GOES BRRR: 46 X: 2.875 -INDEX GOES BRRR: 170 X: 10.6865 -INDEX GOES BRRR: 174 X: 10.9238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.123 -INDEX GOES BRRR: 85 X: 5.35547 -INDEX GOES BRRR: 230 X: 14.4131 -INDEX GOES BRRR: 79 X: 4.9541 -INDEX GOES BRRR: 189 X: 11.8643 -INDEX GOES BRRR: 1016 X: -0.487305 -INDEX GOES BRRR: 114 X: 7.17871 -INDEX GOES BRRR: 239 X: 14.9707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.52344 -INDEX GOES BRRR: 255 X: 15.9678 -INDEX GOES BRRR: 175 X: 10.9961 -INDEX GOES BRRR: 912 X: -6.95312 -INDEX GOES BRRR: 176 X: 11.04 -INDEX GOES BRRR: 60 X: 3.80371 -INDEX GOES BRRR: 142 X: 8.88281 -INDEX GOES BRRR: 218 X: 13.6475 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 393 X: 24.5947 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 165 X: 10.3242 -INDEX GOES BRRR: 287 X: 17.9736 -INDEX GOES BRRR: 277 X: 17.3613 -INDEX GOES BRRR: 220 X: 13.8115 -INDEX GOES BRRR: 303 X: 18.9893 -INDEX GOES BRRR: 243 X: 15.2207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.875 -INDEX GOES BRRR: 938 X: -5.31348 -INDEX GOES BRRR: 299 X: 18.7139 -INDEX GOES BRRR: 278 X: 17.3809 -INDEX GOES BRRR: 1006 X: -1.06348 -INDEX GOES BRRR: 798 X: -14.0703 -INDEX GOES BRRR: 98 X: 6.14941 -INDEX GOES BRRR: 139 X: 8.72363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.8818 -INDEX GOES BRRR: 125 X: 7.81543 -INDEX GOES BRRR: 1011 X: -0.806641 -INDEX GOES BRRR: 4 X: 0.289062 -INDEX GOES BRRR: 21 X: 1.36914 -INDEX GOES BRRR: 915 X: -6.75879 -INDEX GOES BRRR: 275 X: 17.2227 -INDEX GOES BRRR: 375 X: 23.4492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.77637 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 273 X: 17.0967 -INDEX GOES BRRR: 257 X: 16.1123 -INDEX GOES BRRR: 209 X: 13.0713 -INDEX GOES BRRR: 399 X: 24.9785 -INDEX GOES BRRR: 292 X: 18.293 -INDEX GOES BRRR: 968 X: -3.5 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.21289 -INDEX GOES BRRR: 341 X: 21.3428 -INDEX GOES BRRR: 1016 X: -0.441406 -INDEX GOES BRRR: 322 X: 20.1797 -INDEX GOES BRRR: 319 X: 19.9834 -INDEX GOES BRRR: 271 X: 16.9707 -INDEX GOES BRRR: 119 X: 7.44824 -INDEX GOES BRRR: 152 X: 9.50391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8574 -INDEX GOES BRRR: 145 X: 9.09668 -INDEX GOES BRRR: 194 X: 12.1826 -INDEX GOES BRRR: 373 X: 23.3193 -INDEX GOES BRRR: 260 X: 16.2744 -INDEX GOES BRRR: 314 X: 19.6299 -INDEX GOES BRRR: 924 X: -6.19043 -INDEX GOES BRRR: 870 X: -9.59375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.43555 -INDEX GOES BRRR: 385 X: 24.085 -INDEX GOES BRRR: 36 X: 2.2998 -INDEX GOES BRRR: 1004 X: -1.24121 -INDEX GOES BRRR: 153 X: 9.60059 -INDEX GOES BRRR: 472 X: 29.5254 -INDEX GOES BRRR: 252 X: 15.75 -INDEX GOES BRRR: 395 X: 24.7275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 376 X: 23.5605 -INDEX GOES BRRR: 422 X: 26.4229 -INDEX GOES BRRR: 117 X: 7.33984 -INDEX GOES BRRR: 432 X: 27.0488 -INDEX GOES BRRR: 111 X: 6.9707 -INDEX GOES BRRR: 100 X: 6.29004 -INDEX GOES BRRR: 305 X: 19.0742 -INDEX GOES BRRR: 328 X: 20.5107 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.8916 -INDEX GOES BRRR: 167 X: 10.4707 -INDEX GOES BRRR: 140 X: 8.76855 -INDEX GOES BRRR: 104 X: 6.52148 -INDEX GOES BRRR: 81 X: 5.08496 -INDEX GOES BRRR: 225 X: 14.0771 -INDEX GOES BRRR: 1022 X: -0.0957031 -INDEX GOES BRRR: 187 X: 11.7373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.624 -INDEX GOES BRRR: 336 X: 21.0391 -INDEX GOES BRRR: 69 X: 4.33594 -INDEX GOES BRRR: 198 X: 12.377 -INDEX GOES BRRR: 56 X: 3.51953 -INDEX GOES BRRR: 174 X: 10.8936 -INDEX GOES BRRR: 271 X: 16.9395 -INDEX GOES BRRR: 98 X: 6.13281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.76855 -INDEX GOES BRRR: 375 X: 23.4609 -INDEX GOES BRRR: 101 X: 6.33789 -INDEX GOES BRRR: 64 X: 4.02734 -INDEX GOES BRRR: 106 X: 6.64746 -INDEX GOES BRRR: 915 X: -6.75195 -INDEX GOES BRRR: 229 X: 14.3721 -INDEX GOES BRRR: 450 X: 28.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.81445 -INDEX GOES BRRR: 39 X: 2.44629 -INDEX GOES BRRR: 183 X: 11.4434 -INDEX GOES BRRR: 78 X: 4.91602 -INDEX GOES BRRR: 75 X: 4.70703 -INDEX GOES BRRR: 277 X: 17.3398 -INDEX GOES BRRR: 212 X: 13.2832 -INDEX GOES BRRR: 981 X: -2.66406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.543 -INDEX GOES BRRR: 924 X: -6.24316 -INDEX GOES BRRR: 409 X: 25.6143 -INDEX GOES BRRR: 29 X: 1.83887 -INDEX GOES BRRR: 99 X: 6.24316 -INDEX GOES BRRR: 10 X: 0.652344 -INDEX GOES BRRR: 260 X: 16.2578 -INDEX GOES BRRR: 47 X: 2.98926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.49316 -INDEX GOES BRRR: 162 X: 10.1338 -INDEX GOES BRRR: 60 X: 3.75 -INDEX GOES BRRR: 357 X: 22.3711 -INDEX GOES BRRR: 242 X: 15.1289 -INDEX GOES BRRR: 1010 X: -0.825195 -INDEX GOES BRRR: 16 X: 1.05566 -INDEX GOES BRRR: 258 X: 16.1338 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.96387 -INDEX GOES BRRR: 130 X: 8.16797 -INDEX GOES BRRR: 239 X: 14.9746 -INDEX GOES BRRR: 900 X: -7.69336 -INDEX GOES BRRR: 94 X: 5.89941 -INDEX GOES BRRR: 83 X: 5.24023 -INDEX GOES BRRR: 128 X: 8.03711 -INDEX GOES BRRR: 943 X: -5.05273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 978 X: -2.82031 -INDEX GOES BRRR: 228 X: 14.2891 -INDEX GOES BRRR: 161 X: 10.0869 -INDEX GOES BRRR: 118 X: 7.37793 -INDEX GOES BRRR: 250 X: 15.6426 -INDEX GOES BRRR: 234 X: 14.6377 -INDEX GOES BRRR: 382 X: 23.8955 -INDEX GOES BRRR: 981 X: -2.67773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1436 -INDEX GOES BRRR: 161 X: 10.0918 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 165 X: 10.373 -INDEX GOES BRRR: 968 X: -3.49902 -INDEX GOES BRRR: 165 X: 10.3457 -INDEX GOES BRRR: 278 X: 17.4072 -INDEX GOES BRRR: 197 X: 12.3594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.6592 -INDEX GOES BRRR: 994 X: -1.86914 -INDEX GOES BRRR: 76 X: 4.77832 -INDEX GOES BRRR: 301 X: 18.8662 -INDEX GOES BRRR: 231 X: 14.4619 -INDEX GOES BRRR: 135 X: 8.47559 -INDEX GOES BRRR: 45 X: 2.82812 -INDEX GOES BRRR: 162 X: 10.165 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 364 X: 22.7598 -INDEX GOES BRRR: 131 X: 8.2373 -INDEX GOES BRRR: 152 X: 9.50586 -INDEX GOES BRRR: 275 X: 17.1982 -INDEX GOES BRRR: 160 X: 10.002 -INDEX GOES BRRR: 93 X: 5.87402 -INDEX GOES BRRR: 44 X: 2.75977 -INDEX GOES BRRR: 335 X: 20.9414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 393 X: 24.5996 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 999 X: -1.53516 -INDEX GOES BRRR: 944 X: -5 -INDEX GOES BRRR: 217 X: 13.6143 -INDEX GOES BRRR: 1022 X: -0.0839844 -INDEX GOES BRRR: 448 X: 28.0117 -INDEX GOES BRRR: 244 X: 15.2549 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.75488 -INDEX GOES BRRR: 180 X: 11.3057 -INDEX GOES BRRR: 224 X: 14.0137 -INDEX GOES BRRR: 332 X: 20.8105 -INDEX GOES BRRR: 134 X: 8.41309 -INDEX GOES BRRR: 217 X: 13.5996 -INDEX GOES BRRR: 94 X: 5.89355 -INDEX GOES BRRR: 66 X: 4.18555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 286 X: 17.8887 -INDEX GOES BRRR: 112 X: 7.00781 -INDEX GOES BRRR: 337 X: 21.085 -INDEX GOES BRRR: 265 X: 16.6172 -INDEX GOES BRRR: 143 X: 8.9375 -INDEX GOES BRRR: 358 X: 22.4326 -INDEX GOES BRRR: 305 X: 19.0996 -INDEX GOES BRRR: 332 X: 20.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 809 X: -13.3818 -INDEX GOES BRRR: 959 X: -4.04004 -INDEX GOES BRRR: 984 X: -2.44336 -INDEX GOES BRRR: 159 X: 9.98438 -INDEX GOES BRRR: 392 X: 24.5361 -INDEX GOES BRRR: 74 X: 4.67285 -INDEX GOES BRRR: 355 X: 22.2363 -INDEX GOES BRRR: 278 X: 17.418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5225 -INDEX GOES BRRR: 445 X: 27.8213 -INDEX GOES BRRR: 327 X: 20.4766 -INDEX GOES BRRR: 107 X: 6.72656 -INDEX GOES BRRR: 65 X: 4.09961 -INDEX GOES BRRR: 85 X: 5.35547 -INDEX GOES BRRR: 110 X: 6.93555 -INDEX GOES BRRR: 247 X: 15.4492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 941 X: -5.17188 -INDEX GOES BRRR: 279 X: 17.4551 -INDEX GOES BRRR: 303 X: 18.9854 -INDEX GOES BRRR: 370 X: 23.1582 -INDEX GOES BRRR: 363 X: 22.6895 -INDEX GOES BRRR: 93 X: 5.86816 -INDEX GOES BRRR: 854 X: -10.5635 -INDEX GOES BRRR: 152 X: 9.50293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.06738 -INDEX GOES BRRR: 238 X: 14.8926 -INDEX GOES BRRR: 157 X: 9.85547 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 129 X: 8.09863 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 254 X: 15.9287 -INDEX GOES BRRR: 215 X: 13.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.17285 -INDEX GOES BRRR: 350 X: 21.8926 -INDEX GOES BRRR: 38 X: 2.3916 -INDEX GOES BRRR: 191 X: 11.9824 -INDEX GOES BRRR: 274 X: 17.1436 -INDEX GOES BRRR: 416 X: 26.0332 -INDEX GOES BRRR: 959 X: -4.0625 -INDEX GOES BRRR: 262 X: 16.4141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4385 -INDEX GOES BRRR: 442 X: 27.6787 -INDEX GOES BRRR: 140 X: 8.77246 -INDEX GOES BRRR: 156 X: 9.75 -INDEX GOES BRRR: 995 X: -1.76172 -INDEX GOES BRRR: 290 X: 18.1289 -INDEX GOES BRRR: 52 X: 3.26562 -INDEX GOES BRRR: 65 X: 4.07422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 84 X: 5.25195 -INDEX GOES BRRR: 233 X: 14.6182 -INDEX GOES BRRR: 930 X: -5.85254 -INDEX GOES BRRR: 380 X: 23.7617 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 18 X: 1.16992 -INDEX GOES BRRR: 308 X: 19.2539 -INDEX GOES BRRR: 260 X: 16.2979 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 90 X: 5.62793 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 900 X: -7.70996 -INDEX GOES BRRR: 278 X: 17.4023 -INDEX GOES BRRR: 268 X: 16.8115 -INDEX GOES BRRR: 192 X: 12.001 -INDEX GOES BRRR: 74 X: 4.66895 -INDEX GOES BRRR: 1009 X: -0.932617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3594 -INDEX GOES BRRR: 61 X: 3.8418 -INDEX GOES BRRR: 161 X: 10.0684 -INDEX GOES BRRR: 198 X: 12.4258 -INDEX GOES BRRR: 95 X: 5.95703 -INDEX GOES BRRR: 105 X: 6.62012 -INDEX GOES BRRR: 139 X: 8.73242 -INDEX GOES BRRR: 922 X: -6.3457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3477 -INDEX GOES BRRR: 47 X: 2.96387 -INDEX GOES BRRR: 55 X: 3.4668 -INDEX GOES BRRR: 210 X: 13.1309 -INDEX GOES BRRR: 299 X: 18.7295 -INDEX GOES BRRR: 454 X: 28.4121 -INDEX GOES BRRR: 160 X: 10.0088 -INDEX GOES BRRR: 260 X: 16.2812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.7285 -INDEX GOES BRRR: 214 X: 13.4258 -INDEX GOES BRRR: 214 X: 13.3809 -INDEX GOES BRRR: 92 X: 5.78125 -INDEX GOES BRRR: 211 X: 13.2217 -INDEX GOES BRRR: 132 X: 8.30566 -INDEX GOES BRRR: 21 X: 1.36914 -INDEX GOES BRRR: 302 X: 18.9277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.35742 -INDEX GOES BRRR: 88 X: 5.54492 -INDEX GOES BRRR: 109 X: 6.86816 -INDEX GOES BRRR: 884 X: -8.70312 -INDEX GOES BRRR: 115 X: 7.22656 -INDEX GOES BRRR: 968 X: -3.49805 -INDEX GOES BRRR: 161 X: 10.0986 -INDEX GOES BRRR: 255 X: 15.96 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.54004 -INDEX GOES BRRR: 157 X: 9.8252 -INDEX GOES BRRR: 71 X: 4.46777 -INDEX GOES BRRR: 38 X: 2.39258 -INDEX GOES BRRR: 1006 X: -1.10352 -INDEX GOES BRRR: 214 X: 13.3916 -INDEX GOES BRRR: 1016 X: -0.451172 -INDEX GOES BRRR: 69 X: 4.37305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4443 -INDEX GOES BRRR: 220 X: 13.7959 -INDEX GOES BRRR: 377 X: 23.5752 -INDEX GOES BRRR: 145 X: 9.0791 -INDEX GOES BRRR: 217 X: 13.624 -INDEX GOES BRRR: 178 X: 11.1836 -INDEX GOES BRRR: 996 X: -1.7041 -INDEX GOES BRRR: 165 X: 10.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.71289 -INDEX GOES BRRR: 22 X: 1.39941 -INDEX GOES BRRR: 220 X: 13.8086 -INDEX GOES BRRR: 158 X: 9.88477 -INDEX GOES BRRR: 394 X: 24.6289 -INDEX GOES BRRR: 773 X: -15.6738 -INDEX GOES BRRR: 65 X: 4.09766 -INDEX GOES BRRR: 109 X: 6.83789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.07129 -INDEX GOES BRRR: 219 X: 13.748 -INDEX GOES BRRR: 139 X: 8.73828 -INDEX GOES BRRR: 67 X: 4.21094 -INDEX GOES BRRR: 112 X: 7.04785 -INDEX GOES BRRR: 465 X: 29.0918 -INDEX GOES BRRR: 176 X: 11.0137 -INDEX GOES BRRR: 28 X: 1.76562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 928 X: -5.97168 -INDEX GOES BRRR: 983 X: -2.55957 -INDEX GOES BRRR: 230 X: 14.375 -INDEX GOES BRRR: 63 X: 3.95117 -INDEX GOES BRRR: 261 X: 16.373 -INDEX GOES BRRR: 113 X: 7.0791 -INDEX GOES BRRR: 264 X: 16.5107 -INDEX GOES BRRR: 152 X: 9.55469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 889 X: -8.38379 -INDEX GOES BRRR: 143 X: 8.96289 -INDEX GOES BRRR: 147 X: 9.2041 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 324 X: 20.2881 -INDEX GOES BRRR: 94 X: 5.90918 -INDEX GOES BRRR: 272 X: 17.0518 -INDEX GOES BRRR: 209 X: 13.1182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.56641 -INDEX GOES BRRR: 295 X: 18.499 -INDEX GOES BRRR: 1003 X: -1.30176 -INDEX GOES BRRR: 62 X: 3.91113 -INDEX GOES BRRR: 74 X: 4.62695 -INDEX GOES BRRR: 100 X: 6.29102 -INDEX GOES BRRR: 1005 X: -1.18652 -INDEX GOES BRRR: 1011 X: -0.791016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.5166 -INDEX GOES BRRR: 173 X: 10.8486 -INDEX GOES BRRR: 1007 X: -1.01074 -INDEX GOES BRRR: 112 X: 7.03711 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 104 X: 6.52637 -INDEX GOES BRRR: 292 X: 18.2764 -INDEX GOES BRRR: 857 X: -10.418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.752 -INDEX GOES BRRR: 477 X: 29.8232 -INDEX GOES BRRR: 1019 X: -0.3125 -INDEX GOES BRRR: 11 X: 0.714844 -INDEX GOES BRRR: 248 X: 15.5293 -INDEX GOES BRRR: 960 X: -3.94141 -INDEX GOES BRRR: 240 X: 15.0088 -INDEX GOES BRRR: 895 X: -8.04883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.34473 -INDEX GOES BRRR: 410 X: 25.6436 -INDEX GOES BRRR: 143 X: 8.9707 -INDEX GOES BRRR: 265 X: 16.5889 -INDEX GOES BRRR: 939 X: -5.26172 -INDEX GOES BRRR: 955 X: -4.28809 -INDEX GOES BRRR: 193 X: 12.0752 -INDEX GOES BRRR: 108 X: 6.75098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.72754 -INDEX GOES BRRR: 50 X: 3.17871 -INDEX GOES BRRR: 276 X: 17.2979 -INDEX GOES BRRR: 61 X: 3.86133 -INDEX GOES BRRR: 275 X: 17.2383 -INDEX GOES BRRR: 97 X: 6.08789 -INDEX GOES BRRR: 155 X: 9.72461 -INDEX GOES BRRR: 840 X: -11.459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.16602 -INDEX GOES BRRR: 1020 X: -0.25 -INDEX GOES BRRR: 968 X: -3.44141 -INDEX GOES BRRR: 296 X: 18.5244 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 203 X: 12.7334 -INDEX GOES BRRR: 133 X: 8.3584 -INDEX GOES BRRR: 165 X: 10.3379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 345 X: 21.5918 -INDEX GOES BRRR: 59 X: 3.7041 -INDEX GOES BRRR: 187 X: 11.6895 -INDEX GOES BRRR: 142 X: 8.90137 -INDEX GOES BRRR: 252 X: 15.7988 -INDEX GOES BRRR: 263 X: 16.4443 -INDEX GOES BRRR: 1001 X: -1.38184 -INDEX GOES BRRR: 181 X: 11.3203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5557 -INDEX GOES BRRR: 203 X: 12.7236 -INDEX GOES BRRR: 142 X: 8.89258 -INDEX GOES BRRR: 43 X: 2.73828 -INDEX GOES BRRR: 130 X: 8.16211 -INDEX GOES BRRR: 1023 X: -0.0439453 -INDEX GOES BRRR: 283 X: 17.7012 -INDEX GOES BRRR: 129 X: 8.10742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.2383 -INDEX GOES BRRR: 106 X: 6.67188 -INDEX GOES BRRR: 58 X: 3.65332 -INDEX GOES BRRR: 68 X: 4.27441 -INDEX GOES BRRR: 864 X: -9.97266 -INDEX GOES BRRR: 975 X: -3.02148 -INDEX GOES BRRR: 167 X: 10.4424 -INDEX GOES BRRR: 179 X: 11.2178 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.2451 -INDEX GOES BRRR: 160 X: 10.0176 -INDEX GOES BRRR: 260 X: 16.3057 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 400 X: 25.0342 -INDEX GOES BRRR: 163 X: 10.2422 -INDEX GOES BRRR: 122 X: 7.67676 -INDEX GOES BRRR: 471 X: 29.4775 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.74512 -INDEX GOES BRRR: 785 X: -14.8779 -INDEX GOES BRRR: 207 X: 12.9668 -INDEX GOES BRRR: 400 X: 25.04 -INDEX GOES BRRR: 828 X: -12.2256 -INDEX GOES BRRR: 167 X: 10.46 -INDEX GOES BRRR: 28 X: 1.79883 -INDEX GOES BRRR: 472 X: 29.5127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 90 X: 5.66016 -INDEX GOES BRRR: 207 X: 12.998 -INDEX GOES BRRR: 233 X: 14.5967 -INDEX GOES BRRR: 990 X: -2.08398 -INDEX GOES BRRR: 318 X: 19.9102 -INDEX GOES BRRR: 194 X: 12.1279 -INDEX GOES BRRR: 259 X: 16.1992 -INDEX GOES BRRR: 944 X: -4.96777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.78906 -INDEX GOES BRRR: 56 X: 3.50488 -INDEX GOES BRRR: 66 X: 4.17578 -INDEX GOES BRRR: 115 X: 7.21387 -INDEX GOES BRRR: 138 X: 8.65039 -INDEX GOES BRRR: 107 X: 6.70508 -INDEX GOES BRRR: 164 X: 10.2627 -INDEX GOES BRRR: 257 X: 16.0723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.11621 -INDEX GOES BRRR: 797 X: -14.1494 -INDEX GOES BRRR: 406 X: 25.4248 -INDEX GOES BRRR: 247 X: 15.4795 -INDEX GOES BRRR: 257 X: 16.1133 -INDEX GOES BRRR: 282 X: 17.6475 -INDEX GOES BRRR: 462 X: 28.9072 -INDEX GOES BRRR: 628 X: -24.7178 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.36523 -INDEX GOES BRRR: 153 X: 9.62305 -INDEX GOES BRRR: 162 X: 10.1543 -INDEX GOES BRRR: 214 X: 13.3984 -INDEX GOES BRRR: 299 X: 18.7207 -INDEX GOES BRRR: 375 X: 23.4648 -INDEX GOES BRRR: 318 X: 19.9316 -INDEX GOES BRRR: 122 X: 7.62793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.40918 -INDEX GOES BRRR: 987 X: -2.26758 -INDEX GOES BRRR: 109 X: 6.86133 -INDEX GOES BRRR: 867 X: -9.78906 -INDEX GOES BRRR: 170 X: 10.6426 -INDEX GOES BRRR: 823 X: -12.5303 -INDEX GOES BRRR: 383 X: 23.9951 -INDEX GOES BRRR: 203 X: 12.7412 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.54883 -INDEX GOES BRRR: 134 X: 8.43066 -INDEX GOES BRRR: 215 X: 13.4609 -INDEX GOES BRRR: 108 X: 6.76367 -INDEX GOES BRRR: 0 X: 0.000976562 -INDEX GOES BRRR: 86 X: 5.41602 -INDEX GOES BRRR: 124 X: 7.7998 -INDEX GOES BRRR: 981 X: -2.64062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.47461 -INDEX GOES BRRR: 131 X: 8.1875 -INDEX GOES BRRR: 895 X: -8.00098 -INDEX GOES BRRR: 409 X: 25.6006 -INDEX GOES BRRR: 117 X: 7.36035 -INDEX GOES BRRR: 343 X: 21.4795 -INDEX GOES BRRR: 181 X: 11.3496 -INDEX GOES BRRR: 131 X: 8.21191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 405 X: 25.3721 -INDEX GOES BRRR: 89 X: 5.58105 -INDEX GOES BRRR: 261 X: 16.3467 -INDEX GOES BRRR: 889 X: -8.39453 -INDEX GOES BRRR: 128 X: 8.06055 -INDEX GOES BRRR: 7 X: 0.478516 -INDEX GOES BRRR: 329 X: 20.5996 -INDEX GOES BRRR: 996 X: -1.72168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.69336 -INDEX GOES BRRR: 149 X: 9.35938 -INDEX GOES BRRR: 125 X: 7.83984 -INDEX GOES BRRR: 258 X: 16.1387 -INDEX GOES BRRR: 276 X: 17.2686 -INDEX GOES BRRR: 965 X: -3.62891 -INDEX GOES BRRR: 56 X: 3.56152 -INDEX GOES BRRR: 105 X: 6.56348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 176 X: 11.0332 -INDEX GOES BRRR: 442 X: 27.6748 -INDEX GOES BRRR: 431 X: 26.9805 -INDEX GOES BRRR: 183 X: 11.4727 -INDEX GOES BRRR: 96 X: 6.02441 -INDEX GOES BRRR: 306 X: 19.1348 -INDEX GOES BRRR: 236 X: 14.7578 -INDEX GOES BRRR: 158 X: 9.89746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 967 X: -3.51172 -INDEX GOES BRRR: 373 X: 23.3359 -INDEX GOES BRRR: 339 X: 21.2314 -INDEX GOES BRRR: 204 X: 12.7842 -INDEX GOES BRRR: 987 X: -2.26172 -INDEX GOES BRRR: 72 X: 4.50098 -INDEX GOES BRRR: 148 X: 9.25195 -INDEX GOES BRRR: 247 X: 15.4541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 282 X: 17.6348 -INDEX GOES BRRR: 78 X: 4.89062 -INDEX GOES BRRR: 142 X: 8.89551 -INDEX GOES BRRR: 111 X: 6.9541 -INDEX GOES BRRR: 231 X: 14.4668 -INDEX GOES BRRR: 904 X: -7.49902 -INDEX GOES BRRR: 133 X: 8.3623 -INDEX GOES BRRR: 12 X: 0.806641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.904297 -INDEX GOES BRRR: 396 X: 24.7695 -INDEX GOES BRRR: 224 X: 14.0166 -INDEX GOES BRRR: 21 X: 1.3457 -INDEX GOES BRRR: 182 X: 11.4023 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 135 X: 8.45117 -INDEX GOES BRRR: 103 X: 6.49219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.82422 -INDEX GOES BRRR: 141 X: 8.81641 -INDEX GOES BRRR: 286 X: 17.9258 -INDEX GOES BRRR: 91 X: 5.71484 -INDEX GOES BRRR: 140 X: 8.75586 -INDEX GOES BRRR: 132 X: 8.25 -INDEX GOES BRRR: 98 X: 6.17285 -INDEX GOES BRRR: 275 X: 17.1904 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.4248 -INDEX GOES BRRR: 279 X: 17.4814 -INDEX GOES BRRR: 134 X: 8.43164 -INDEX GOES BRRR: 65 X: 4.09863 -INDEX GOES BRRR: 250 X: 15.6553 -INDEX GOES BRRR: 417 X: 26.085 -INDEX GOES BRRR: 56 X: 3.54883 -INDEX GOES BRRR: 323 X: 20.2109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 399 X: 24.9668 -INDEX GOES BRRR: 972 X: -3.19531 -INDEX GOES BRRR: 232 X: 14.5449 -INDEX GOES BRRR: 973 X: -3.14746 -INDEX GOES BRRR: 4 X: 0.266602 -INDEX GOES BRRR: 212 X: 13.3008 -INDEX GOES BRRR: 321 X: 20.0967 -INDEX GOES BRRR: 168 X: 10.5225 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.21387 -INDEX GOES BRRR: 343 X: 21.4727 -INDEX GOES BRRR: 266 X: 16.6377 -INDEX GOES BRRR: 24 X: 1.54297 -INDEX GOES BRRR: 346 X: 21.6816 -INDEX GOES BRRR: 114 X: 7.17969 -INDEX GOES BRRR: 309 X: 19.3564 -INDEX GOES BRRR: 1010 X: -0.820312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1719 -INDEX GOES BRRR: 173 X: 10.8506 -INDEX GOES BRRR: 334 X: 20.9111 -INDEX GOES BRRR: 68 X: 4.25195 -INDEX GOES BRRR: 250 X: 15.6279 -INDEX GOES BRRR: 956 X: -4.19531 -INDEX GOES BRRR: 343 X: 21.4814 -INDEX GOES BRRR: 211 X: 13.2158 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 456 X: 28.5 -INDEX GOES BRRR: 300 X: 18.7754 -INDEX GOES BRRR: 47 X: 2.96094 -INDEX GOES BRRR: 255 X: 15.9678 -INDEX GOES BRRR: 134 X: 8.38379 -INDEX GOES BRRR: 325 X: 20.3389 -INDEX GOES BRRR: 937 X: -5.41895 -INDEX GOES BRRR: 399 X: 24.9385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7676 -INDEX GOES BRRR: 1011 X: -0.796875 -INDEX GOES BRRR: 860 X: -10.209 -INDEX GOES BRRR: 206 X: 12.8789 -INDEX GOES BRRR: 133 X: 8.35059 -INDEX GOES BRRR: 3 X: 0.208008 -INDEX GOES BRRR: 286 X: 17.9336 -INDEX GOES BRRR: 35 X: 2.24609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 928 X: -5.98828 -INDEX GOES BRRR: 355 X: 22.2373 -INDEX GOES BRRR: 173 X: 10.8594 -INDEX GOES BRRR: 306 X: 19.1602 -INDEX GOES BRRR: 292 X: 18.2969 -INDEX GOES BRRR: 126 X: 7.8916 -INDEX GOES BRRR: 962 X: -3.84863 -INDEX GOES BRRR: 259 X: 16.2227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.16016 -INDEX GOES BRRR: 289 X: 18.084 -INDEX GOES BRRR: 276 X: 17.2578 -INDEX GOES BRRR: 153 X: 9.59668 -INDEX GOES BRRR: 224 X: 14.0244 -INDEX GOES BRRR: 57 X: 3.57227 -INDEX GOES BRRR: 394 X: 24.6377 -INDEX GOES BRRR: 159 X: 9.94629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.28223 -INDEX GOES BRRR: 920 X: -6.46484 -INDEX GOES BRRR: 51 X: 3.20898 -INDEX GOES BRRR: 102 X: 6.38867 -INDEX GOES BRRR: 83 X: 5.23438 -INDEX GOES BRRR: 320 X: 20.0215 -INDEX GOES BRRR: 485 X: 30.3135 -INDEX GOES BRRR: 961 X: -3.91406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.31934 -INDEX GOES BRRR: 88 X: 5.52246 -INDEX GOES BRRR: 106 X: 6.6748 -INDEX GOES BRRR: 206 X: 12.8926 -INDEX GOES BRRR: 238 X: 14.8867 -INDEX GOES BRRR: 841 X: -11.4004 -INDEX GOES BRRR: 162 X: 10.1377 -INDEX GOES BRRR: 257 X: 16.0938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.1377 -INDEX GOES BRRR: 213 X: 13.3486 -INDEX GOES BRRR: 284 X: 17.793 -INDEX GOES BRRR: 202 X: 12.6338 -INDEX GOES BRRR: 151 X: 9.4502 -INDEX GOES BRRR: 313 X: 19.6191 -INDEX GOES BRRR: 103 X: 6.4541 -INDEX GOES BRRR: 172 X: 10.7842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.89551 -INDEX GOES BRRR: 1 X: 0.078125 -INDEX GOES BRRR: 258 X: 16.1758 -INDEX GOES BRRR: 319 X: 19.9473 -INDEX GOES BRRR: 242 X: 15.125 -INDEX GOES BRRR: 116 X: 7.28516 -INDEX GOES BRRR: 64 X: 4.0498 -INDEX GOES BRRR: 840 X: -11.4697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 932 X: -5.71582 -INDEX GOES BRRR: 235 X: 14.7139 -INDEX GOES BRRR: 118 X: 7.40039 -INDEX GOES BRRR: 27 X: 1.69727 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 291 X: 18.1924 -INDEX GOES BRRR: 326 X: 20.4199 -INDEX GOES BRRR: 137 X: 8.57617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 323 X: 20.2168 -INDEX GOES BRRR: 949 X: -4.65625 -INDEX GOES BRRR: 53 X: 3.33398 -INDEX GOES BRRR: 221 X: 13.8701 -INDEX GOES BRRR: 217 X: 13.6094 -INDEX GOES BRRR: 335 X: 20.9473 -INDEX GOES BRRR: 722 X: -18.875 -INDEX GOES BRRR: 956 X: -4.21484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.666 -INDEX GOES BRRR: 132 X: 8.2959 -INDEX GOES BRRR: 23 X: 1.49805 -INDEX GOES BRRR: 922 X: -6.33398 -INDEX GOES BRRR: 283 X: 17.748 -INDEX GOES BRRR: 145 X: 9.07715 -INDEX GOES BRRR: 92 X: 5.80957 -INDEX GOES BRRR: 311 X: 19.4961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.95996 -INDEX GOES BRRR: 91 X: 5.71582 -INDEX GOES BRRR: 188 X: 11.7627 -INDEX GOES BRRR: 24 X: 1.55273 -INDEX GOES BRRR: 216 X: 13.5186 -INDEX GOES BRRR: 153 X: 9.58203 -INDEX GOES BRRR: 132 X: 8.2666 -INDEX GOES BRRR: 97 X: 6.08203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9355 -INDEX GOES BRRR: 352 X: 22.0176 -INDEX GOES BRRR: 50 X: 3.13281 -INDEX GOES BRRR: 198 X: 12.4316 -INDEX GOES BRRR: 208 X: 13.0029 -INDEX GOES BRRR: 223 X: 13.9941 -INDEX GOES BRRR: 276 X: 17.2578 -INDEX GOES BRRR: 184 X: 11.5088 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.83105 -INDEX GOES BRRR: 1005 X: -1.17773 -INDEX GOES BRRR: 229 X: 14.3232 -INDEX GOES BRRR: 118 X: 7.38086 -INDEX GOES BRRR: 58 X: 3.6377 -INDEX GOES BRRR: 201 X: 12.6055 -INDEX GOES BRRR: 18 X: 1.17285 -INDEX GOES BRRR: 100 X: 6.2959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7607 -INDEX GOES BRRR: 197 X: 12.3174 -INDEX GOES BRRR: 419 X: 26.1953 -INDEX GOES BRRR: 76 X: 4.75293 -INDEX GOES BRRR: 321 X: 20.0762 -INDEX GOES BRRR: 105 X: 6.58691 -INDEX GOES BRRR: 888 X: -8.4873 -INDEX GOES BRRR: 391 X: 24.4785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.15332 -INDEX GOES BRRR: 49 X: 3.07715 -INDEX GOES BRRR: 266 X: 16.667 -INDEX GOES BRRR: 941 X: -5.16895 -INDEX GOES BRRR: 963 X: -3.79883 -INDEX GOES BRRR: 269 X: 16.8262 -INDEX GOES BRRR: 296 X: 18.5283 -INDEX GOES BRRR: 1007 X: -1.01172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.57715 -INDEX GOES BRRR: 402 X: 25.1816 -INDEX GOES BRRR: 906 X: -7.33691 -INDEX GOES BRRR: 135 X: 8.44141 -INDEX GOES BRRR: 207 X: 12.9863 -INDEX GOES BRRR: 248 X: 15.5254 -INDEX GOES BRRR: 366 X: 22.9297 -INDEX GOES BRRR: 182 X: 11.3984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.8789 -INDEX GOES BRRR: 110 X: 6.88184 -INDEX GOES BRRR: 117 X: 7.3457 -INDEX GOES BRRR: 20 X: 1.29883 -INDEX GOES BRRR: 138 X: 8.65723 -INDEX GOES BRRR: 390 X: 24.418 -INDEX GOES BRRR: 165 X: 10.3662 -INDEX GOES BRRR: 220 X: 13.7822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.11523 -INDEX GOES BRRR: 227 X: 14.2012 -INDEX GOES BRRR: 265 X: 16.6084 -INDEX GOES BRRR: 913 X: -6.87891 -INDEX GOES BRRR: 268 X: 16.7559 -INDEX GOES BRRR: 418 X: 26.1279 -INDEX GOES BRRR: 187 X: 11.6875 -INDEX GOES BRRR: 147 X: 9.18848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3623 -INDEX GOES BRRR: 8 X: 0.536133 -INDEX GOES BRRR: 11 X: 0.692383 -INDEX GOES BRRR: 198 X: 12.375 -INDEX GOES BRRR: 1011 X: -0.767578 -INDEX GOES BRRR: 130 X: 8.16016 -INDEX GOES BRRR: 930 X: -5.81543 -INDEX GOES BRRR: 84 X: 5.27441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.0625 -INDEX GOES BRRR: 36 X: 2.30078 -INDEX GOES BRRR: 978 X: -2.82715 -INDEX GOES BRRR: 129 X: 8.10156 -INDEX GOES BRRR: 28 X: 1.81152 -INDEX GOES BRRR: 987 X: -2.25977 -INDEX GOES BRRR: 208 X: 13.0244 -INDEX GOES BRRR: 237 X: 14.8555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.81738 -INDEX GOES BRRR: 189 X: 11.8125 -INDEX GOES BRRR: 189 X: 11.8125 -INDEX GOES BRRR: 292 X: 18.2773 -INDEX GOES BRRR: 226 X: 14.1709 -INDEX GOES BRRR: 4 X: 0.276367 -INDEX GOES BRRR: 985 X: -2.38672 -INDEX GOES BRRR: 903 X: -7.55078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 949 X: -4.64355 -INDEX GOES BRRR: 253 X: 15.8672 -INDEX GOES BRRR: 400 X: 25.0176 -INDEX GOES BRRR: 186 X: 11.6836 -INDEX GOES BRRR: 261 X: 16.3213 -INDEX GOES BRRR: 946 X: -4.81348 -INDEX GOES BRRR: 105 X: 6.60254 -INDEX GOES BRRR: 985 X: -2.40137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.83984 -INDEX GOES BRRR: 1010 X: -0.870117 -INDEX GOES BRRR: 14 X: 0.916016 -INDEX GOES BRRR: 391 X: 24.4922 -INDEX GOES BRRR: 164 X: 10.2793 -INDEX GOES BRRR: 103 X: 6.46777 -INDEX GOES BRRR: 266 X: 16.6357 -INDEX GOES BRRR: 1019 X: -0.262695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3164 -INDEX GOES BRRR: 257 X: 16.0986 -INDEX GOES BRRR: 60 X: 3.78418 -INDEX GOES BRRR: 978 X: -2.85938 -INDEX GOES BRRR: 821 X: -12.6416 -INDEX GOES BRRR: 118 X: 7.37988 -INDEX GOES BRRR: 175 X: 10.9375 -INDEX GOES BRRR: 150 X: 9.4209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 751 X: -17.0166 -INDEX GOES BRRR: 1 X: 0.0683594 -INDEX GOES BRRR: 216 X: 13.5 -INDEX GOES BRRR: 157 X: 9.85156 -INDEX GOES BRRR: 157 X: 9.84473 -INDEX GOES BRRR: 174 X: 10.9238 -INDEX GOES BRRR: 14 X: 0.885742 -INDEX GOES BRRR: 422 X: 26.3906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6631 -INDEX GOES BRRR: 199 X: 12.4707 -INDEX GOES BRRR: 945 X: -4.91309 -INDEX GOES BRRR: 305 X: 19.0918 -INDEX GOES BRRR: 65 X: 4.0918 -INDEX GOES BRRR: 371 X: 23.2314 -INDEX GOES BRRR: 146 X: 9.15527 -INDEX GOES BRRR: 991 X: -2.04199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.999 -INDEX GOES BRRR: 384 X: 24.0273 -INDEX GOES BRRR: 337 X: 21.1201 -INDEX GOES BRRR: 392 X: 24.5449 -INDEX GOES BRRR: 398 X: 24.9258 -INDEX GOES BRRR: 994 X: -1.87109 -INDEX GOES BRRR: 1017 X: -0.423828 -INDEX GOES BRRR: 61 X: 3.83691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5312 -INDEX GOES BRRR: 296 X: 18.5049 -INDEX GOES BRRR: 257 X: 16.0811 -INDEX GOES BRRR: 43 X: 2.71191 -INDEX GOES BRRR: 285 X: 17.8389 -INDEX GOES BRRR: 176 X: 11.0244 -INDEX GOES BRRR: 87 X: 5.48145 -INDEX GOES BRRR: 129 X: 8.0752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.46387 -INDEX GOES BRRR: 268 X: 16.7715 -INDEX GOES BRRR: 376 X: 23.5166 -INDEX GOES BRRR: 241 X: 15.0771 -INDEX GOES BRRR: 374 X: 23.3906 -INDEX GOES BRRR: 209 X: 13.0674 -INDEX GOES BRRR: 990 X: -2.08105 -INDEX GOES BRRR: 36 X: 2.28125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.458 -INDEX GOES BRRR: 163 X: 10.2422 -INDEX GOES BRRR: 132 X: 8.26953 -INDEX GOES BRRR: 8 X: 0.521484 -INDEX GOES BRRR: 971 X: -3.29785 -INDEX GOES BRRR: 127 X: 7.99512 -INDEX GOES BRRR: 12 X: 0.759766 -INDEX GOES BRRR: 239 X: 14.9756 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.77051 -INDEX GOES BRRR: 126 X: 7.90137 -INDEX GOES BRRR: 407 X: 25.4717 -INDEX GOES BRRR: 45 X: 2.86719 -INDEX GOES BRRR: 178 X: 11.1689 -INDEX GOES BRRR: 280 X: 17.5498 -INDEX GOES BRRR: 886 X: -8.58398 -INDEX GOES BRRR: 70 X: 4.39355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.27734 -INDEX GOES BRRR: 244 X: 15.2773 -INDEX GOES BRRR: 267 X: 16.7002 -INDEX GOES BRRR: 53 X: 3.33301 -INDEX GOES BRRR: 364 X: 22.79 -INDEX GOES BRRR: 106 X: 6.64648 -INDEX GOES BRRR: 185 X: 11.6035 -INDEX GOES BRRR: 311 X: 19.4961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.131836 -INDEX GOES BRRR: 36 X: 2.30859 -INDEX GOES BRRR: 264 X: 16.5312 -INDEX GOES BRRR: 316 X: 19.7949 -INDEX GOES BRRR: 138 X: 8.67188 -INDEX GOES BRRR: 384 X: 24.0312 -INDEX GOES BRRR: 314 X: 19.6484 -INDEX GOES BRRR: 192 X: 12.0576 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 282 X: 17.668 -INDEX GOES BRRR: 208 X: 13.0039 -INDEX GOES BRRR: 862 X: -10.0947 -INDEX GOES BRRR: 263 X: 16.4463 -INDEX GOES BRRR: 66 X: 4.13281 -INDEX GOES BRRR: 9 X: 0.606445 -INDEX GOES BRRR: 212 X: 13.251 -INDEX GOES BRRR: 227 X: 14.2422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 966 X: -3.58301 -INDEX GOES BRRR: 343 X: 21.4629 -INDEX GOES BRRR: 481 X: 30.082 -INDEX GOES BRRR: 188 X: 11.7646 -INDEX GOES BRRR: 298 X: 18.6475 -INDEX GOES BRRR: 448 X: 28.0264 -INDEX GOES BRRR: 364 X: 22.75 -INDEX GOES BRRR: 140 X: 8.79785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 334 X: 20.8896 -INDEX GOES BRRR: 8 X: 0.524414 -INDEX GOES BRRR: 336 X: 21.001 -INDEX GOES BRRR: 174 X: 10.916 -INDEX GOES BRRR: 3 X: 0.199219 -INDEX GOES BRRR: 978 X: -2.82129 -INDEX GOES BRRR: 203 X: 12.7002 -INDEX GOES BRRR: 1018 X: -0.336914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 323 X: 20.2393 -INDEX GOES BRRR: 215 X: 13.459 -INDEX GOES BRRR: 978 X: -2.85742 -INDEX GOES BRRR: 469 X: 29.3545 -INDEX GOES BRRR: 236 X: 14.7539 -INDEX GOES BRRR: 126 X: 7.91895 -INDEX GOES BRRR: 318 X: 19.8926 -INDEX GOES BRRR: 256 X: 16.0469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 81 X: 5.08203 -INDEX GOES BRRR: 913 X: -6.93457 -INDEX GOES BRRR: 181 X: 11.3408 -INDEX GOES BRRR: 322 X: 20.1309 -INDEX GOES BRRR: 276 X: 17.2588 -INDEX GOES BRRR: 955 X: -4.30957 -INDEX GOES BRRR: 3 X: 0.244141 -INDEX GOES BRRR: 197 X: 12.334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 85 X: 5.31836 -INDEX GOES BRRR: 241 X: 15.1006 -INDEX GOES BRRR: 203 X: 12.7363 -INDEX GOES BRRR: 24 X: 1.55762 -INDEX GOES BRRR: 107 X: 6.71777 -INDEX GOES BRRR: 122 X: 7.6377 -INDEX GOES BRRR: 198 X: 12.4062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 995 X: -1.76172 -INDEX GOES BRRR: 267 X: 16.7002 -INDEX GOES BRRR: 97 X: 6.06836 -INDEX GOES BRRR: 965 X: -3.62598 -INDEX GOES BRRR: 46 X: 2.92578 -INDEX GOES BRRR: 200 X: 12.5195 -INDEX GOES BRRR: 363 X: 22.6963 -INDEX GOES BRRR: 356 X: 22.2744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2881 -INDEX GOES BRRR: 141 X: 8.8457 -INDEX GOES BRRR: 1014 X: -0.605469 -INDEX GOES BRRR: 15 X: 0.961914 -INDEX GOES BRRR: 292 X: 18.2617 -INDEX GOES BRRR: 62 X: 3.91992 -INDEX GOES BRRR: 94 X: 5.90918 -INDEX GOES BRRR: 25 X: 1.60254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0811 -INDEX GOES BRRR: 427 X: 26.7109 -INDEX GOES BRRR: 369 X: 23.1123 -INDEX GOES BRRR: 189 X: 11.8643 -INDEX GOES BRRR: 39 X: 2.49316 -INDEX GOES BRRR: 52 X: 3.27148 -INDEX GOES BRRR: 142 X: 8.88379 -INDEX GOES BRRR: 190 X: 11.9082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7744 -INDEX GOES BRRR: 802 X: -13.8184 -INDEX GOES BRRR: 940 X: -5.22949 -INDEX GOES BRRR: 153 X: 9.56738 -INDEX GOES BRRR: 21 X: 1.33105 -INDEX GOES BRRR: 961 X: -3.93262 -INDEX GOES BRRR: 1022 X: -0.0712891 -INDEX GOES BRRR: 58 X: 3.68457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3193 -INDEX GOES BRRR: 20 X: 1.25488 -INDEX GOES BRRR: 26 X: 1.6582 -INDEX GOES BRRR: 485 X: 30.3359 -INDEX GOES BRRR: 471 X: 29.4609 -INDEX GOES BRRR: 110 X: 6.87988 -INDEX GOES BRRR: 446 X: 27.9014 -INDEX GOES BRRR: 249 X: 15.6172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.50586 -INDEX GOES BRRR: 256 X: 16.0518 -INDEX GOES BRRR: 127 X: 7.95312 -INDEX GOES BRRR: 51 X: 3.18848 -INDEX GOES BRRR: 903 X: -7.53906 -INDEX GOES BRRR: 338 X: 21.1582 -INDEX GOES BRRR: 976 X: -2.94043 -INDEX GOES BRRR: 332 X: 20.792 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.61035 -INDEX GOES BRRR: 925 X: -6.16699 -INDEX GOES BRRR: 1007 X: -1.05078 -INDEX GOES BRRR: 207 X: 12.9756 -INDEX GOES BRRR: 483 X: 30.1934 -INDEX GOES BRRR: 937 X: -5.38672 -INDEX GOES BRRR: 288 X: 18.0283 -INDEX GOES BRRR: 113 X: 7.10059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.811523 -INDEX GOES BRRR: 253 X: 15.8311 -INDEX GOES BRRR: 131 X: 8.24414 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 236 X: 14.7871 -INDEX GOES BRRR: 224 X: 14.001 -INDEX GOES BRRR: 99 X: 6.20996 -INDEX GOES BRRR: 274 X: 17.1514 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.68848 -INDEX GOES BRRR: 981 X: -2.66797 -INDEX GOES BRRR: 205 X: 12.8125 -INDEX GOES BRRR: 130 X: 8.16895 -INDEX GOES BRRR: 916 X: -6.7002 -INDEX GOES BRRR: 983 X: -2.55859 -INDEX GOES BRRR: 317 X: 19.8691 -INDEX GOES BRRR: 70 X: 4.41016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.5498 -INDEX GOES BRRR: 69 X: 4.33594 -INDEX GOES BRRR: 1013 X: -0.625977 -INDEX GOES BRRR: 209 X: 13.0664 -INDEX GOES BRRR: 189 X: 11.8213 -INDEX GOES BRRR: 161 X: 10.0889 -INDEX GOES BRRR: 207 X: 12.9873 -INDEX GOES BRRR: 259 X: 16.2354 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 48 X: 3.02441 -INDEX GOES BRRR: 981 X: -2.68066 -INDEX GOES BRRR: 112 X: 7.01367 -INDEX GOES BRRR: 154 X: 9.66699 -INDEX GOES BRRR: 247 X: 15.4883 -INDEX GOES BRRR: 99 X: 6.2207 -INDEX GOES BRRR: 75 X: 4.72852 -INDEX GOES BRRR: 890 X: -8.375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 911 X: -7.00781 -INDEX GOES BRRR: 425 X: 26.5771 -INDEX GOES BRRR: 182 X: 11.4121 -INDEX GOES BRRR: 109 X: 6.84375 -INDEX GOES BRRR: 2 X: 0.155273 -INDEX GOES BRRR: 70 X: 4.43457 -INDEX GOES BRRR: 19 X: 1.24805 -INDEX GOES BRRR: 38 X: 2.41016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.29 -INDEX GOES BRRR: 86 X: 5.38379 -INDEX GOES BRRR: 192 X: 12.0586 -INDEX GOES BRRR: 237 X: 14.8369 -INDEX GOES BRRR: 181 X: 11.3223 -INDEX GOES BRRR: 815 X: -13.0361 -INDEX GOES BRRR: 368 X: 23.0518 -INDEX GOES BRRR: 859 X: -10.2529 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6699 -INDEX GOES BRRR: 282 X: 17.667 -INDEX GOES BRRR: 970 X: -3.33105 -INDEX GOES BRRR: 160 X: 10.0449 -INDEX GOES BRRR: 136 X: 8.5459 -INDEX GOES BRRR: 63 X: 3.98633 -INDEX GOES BRRR: 213 X: 13.3369 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.28516 -INDEX GOES BRRR: 1008 X: -0.978516 -INDEX GOES BRRR: 164 X: 10.2793 -INDEX GOES BRRR: 315 X: 19.7402 -INDEX GOES BRRR: 1003 X: -1.26172 -INDEX GOES BRRR: 250 X: 15.6738 -INDEX GOES BRRR: 799 X: -14.0186 -INDEX GOES BRRR: 122 X: 7.68652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.22266 -INDEX GOES BRRR: 253 X: 15.8174 -INDEX GOES BRRR: 277 X: 17.3535 -INDEX GOES BRRR: 184 X: 11.5039 -INDEX GOES BRRR: 61 X: 3.82715 -INDEX GOES BRRR: 193 X: 12.0752 -INDEX GOES BRRR: 12 X: 0.799805 -INDEX GOES BRRR: 61 X: 3.84082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.749 -INDEX GOES BRRR: 218 X: 13.6572 -INDEX GOES BRRR: 167 X: 10.4844 -INDEX GOES BRRR: 972 X: -3.22461 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 11 X: 0.713867 -INDEX GOES BRRR: 260 X: 16.2637 -INDEX GOES BRRR: 328 X: 20.5244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.81738 -INDEX GOES BRRR: 302 X: 18.8857 -INDEX GOES BRRR: 113 X: 7.11816 -INDEX GOES BRRR: 945 X: -4.87695 -INDEX GOES BRRR: 181 X: 11.3721 -INDEX GOES BRRR: 34 X: 2.14746 -INDEX GOES BRRR: 140 X: 8.75098 -INDEX GOES BRRR: 287 X: 17.9893 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.58887 -INDEX GOES BRRR: 974 X: -3.10156 -INDEX GOES BRRR: 65 X: 4.08105 -INDEX GOES BRRR: 209 X: 13.1094 -INDEX GOES BRRR: 22 X: 1.4248 -INDEX GOES BRRR: 224 X: 14.0293 -INDEX GOES BRRR: 51 X: 3.19727 -INDEX GOES BRRR: 308 X: 19.2686 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2979 -INDEX GOES BRRR: 112 X: 7.02734 -INDEX GOES BRRR: 47 X: 2.96387 -INDEX GOES BRRR: 270 X: 16.8887 -INDEX GOES BRRR: 94 X: 5.88867 -INDEX GOES BRRR: 269 X: 16.8311 -INDEX GOES BRRR: 235 X: 14.6875 -INDEX GOES BRRR: 152 X: 9.55762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 410 X: 25.6797 -INDEX GOES BRRR: 14 X: 0.875 -INDEX GOES BRRR: 125 X: 7.82812 -INDEX GOES BRRR: 215 X: 13.458 -INDEX GOES BRRR: 994 X: -1.8623 -INDEX GOES BRRR: 1015 X: -0.549805 -INDEX GOES BRRR: 95 X: 5.96484 -INDEX GOES BRRR: 169 X: 10.5674 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.54785 -INDEX GOES BRRR: 88 X: 5.51367 -INDEX GOES BRRR: 233 X: 14.6064 -INDEX GOES BRRR: 238 X: 14.8955 -INDEX GOES BRRR: 384 X: 24.0127 -INDEX GOES BRRR: 189 X: 11.8652 -INDEX GOES BRRR: 78 X: 4.88281 -INDEX GOES BRRR: 183 X: 11.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.7832 -INDEX GOES BRRR: 983 X: -2.50781 -INDEX GOES BRRR: 241 X: 15.1152 -INDEX GOES BRRR: 968 X: -3.49707 -INDEX GOES BRRR: 140 X: 8.80859 -INDEX GOES BRRR: 669 X: -22.1367 -INDEX GOES BRRR: 868 X: -9.74512 -INDEX GOES BRRR: 303 X: 18.9941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 294 X: 18.375 -INDEX GOES BRRR: 19 X: 1.19238 -INDEX GOES BRRR: 901 X: -7.6377 -INDEX GOES BRRR: 845 X: -11.1357 -INDEX GOES BRRR: 351 X: 21.9766 -INDEX GOES BRRR: 69 X: 4.34961 -INDEX GOES BRRR: 123 X: 7.74512 -INDEX GOES BRRR: 132 X: 8.30176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.21094 -INDEX GOES BRRR: 282 X: 17.6367 -INDEX GOES BRRR: 20 X: 1.27344 -INDEX GOES BRRR: 972 X: -3.21387 -INDEX GOES BRRR: 958 X: -4.08594 -INDEX GOES BRRR: 290 X: 18.1533 -INDEX GOES BRRR: 240 X: 15.0459 -INDEX GOES BRRR: 70 X: 4.41992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.2051 -INDEX GOES BRRR: 178 X: 11.1475 -INDEX GOES BRRR: 996 X: -1.71777 -INDEX GOES BRRR: 283 X: 17.7139 -INDEX GOES BRRR: 239 X: 14.9453 -INDEX GOES BRRR: 923 X: -6.2627 -INDEX GOES BRRR: 26 X: 1.65332 -INDEX GOES BRRR: 319 X: 19.9629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 159 X: 9.99023 -INDEX GOES BRRR: 97 X: 6.09082 -INDEX GOES BRRR: 261 X: 16.3525 -INDEX GOES BRRR: 299 X: 18.749 -INDEX GOES BRRR: 225 X: 14.0723 -INDEX GOES BRRR: 175 X: 10.9414 -INDEX GOES BRRR: 1001 X: -1.43457 -INDEX GOES BRRR: 428 X: 26.8096 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0625 -INDEX GOES BRRR: 367 X: 22.9629 -INDEX GOES BRRR: 253 X: 15.8652 -INDEX GOES BRRR: 182 X: 11.4014 -INDEX GOES BRRR: 1003 X: -1.28906 -INDEX GOES BRRR: 150 X: 9.38672 -INDEX GOES BRRR: 118 X: 7.37988 -INDEX GOES BRRR: 999 X: -1.50977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 84 X: 5.29199 -INDEX GOES BRRR: 357 X: 22.3535 -INDEX GOES BRRR: 965 X: -3.67285 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 330 X: 20.6279 -INDEX GOES BRRR: 806 X: -13.6211 -INDEX GOES BRRR: 952 X: -4.47852 -INDEX GOES BRRR: 81 X: 5.08301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 78 X: 4.91895 -INDEX GOES BRRR: 33 X: 2.11621 -INDEX GOES BRRR: 928 X: -5.9834 -INDEX GOES BRRR: 130 X: 8.12793 -INDEX GOES BRRR: 275 X: 17.2432 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 58 X: 3.63672 -INDEX GOES BRRR: 41 X: 2.58887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 36 X: 2.2832 -INDEX GOES BRRR: 270 X: 16.9102 -INDEX GOES BRRR: 235 X: 14.7432 -INDEX GOES BRRR: 750 X: -17.0762 -INDEX GOES BRRR: 92 X: 5.76758 -INDEX GOES BRRR: 62 X: 3.93164 -INDEX GOES BRRR: 163 X: 10.2275 -INDEX GOES BRRR: 472 X: 29.5566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.39648 -INDEX GOES BRRR: 45 X: 2.82617 -INDEX GOES BRRR: 275 X: 17.2158 -INDEX GOES BRRR: 189 X: 11.8154 -INDEX GOES BRRR: 282 X: 17.6689 -INDEX GOES BRRR: 149 X: 9.37402 -INDEX GOES BRRR: 96 X: 6.05859 -INDEX GOES BRRR: 224 X: 14.0283 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.71875 -INDEX GOES BRRR: 188 X: 11.7607 -INDEX GOES BRRR: 266 X: 16.6777 -INDEX GOES BRRR: 484 X: 30.2979 -INDEX GOES BRRR: 238 X: 14.916 -INDEX GOES BRRR: 57 X: 3.61719 -INDEX GOES BRRR: 226 X: 14.1416 -INDEX GOES BRRR: 30 X: 1.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 926 X: -6.06543 -INDEX GOES BRRR: 242 X: 15.1846 -INDEX GOES BRRR: 93 X: 5.87402 -INDEX GOES BRRR: 59 X: 3.70703 -INDEX GOES BRRR: 28 X: 1.80566 -INDEX GOES BRRR: 992 X: -1.97852 -INDEX GOES BRRR: 470 X: 29.3916 -INDEX GOES BRRR: 152 X: 9.54102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 270 X: 16.8984 -INDEX GOES BRRR: 62 X: 3.92578 -INDEX GOES BRRR: 838 X: -11.5928 -INDEX GOES BRRR: 291 X: 18.2266 -INDEX GOES BRRR: 316 X: 19.7871 -INDEX GOES BRRR: 43 X: 2.70215 -INDEX GOES BRRR: 36 X: 2.30957 -INDEX GOES BRRR: 170 X: 10.668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.49121 -INDEX GOES BRRR: 325 X: 20.3145 -INDEX GOES BRRR: 691 X: -20.8086 -INDEX GOES BRRR: 359 X: 22.4844 -INDEX GOES BRRR: 93 X: 5.83398 -INDEX GOES BRRR: 212 X: 13.2578 -INDEX GOES BRRR: 17 X: 1.06738 -INDEX GOES BRRR: 96 X: 6.00488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 991 X: -2.04688 -INDEX GOES BRRR: 172 X: 10.7559 -INDEX GOES BRRR: 408 X: 25.5264 -INDEX GOES BRRR: 161 X: 10.1045 -INDEX GOES BRRR: 349 X: 21.8193 -INDEX GOES BRRR: 938 X: -5.375 -INDEX GOES BRRR: 932 X: -5.71387 -INDEX GOES BRRR: 159 X: 9.93848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 969 X: -3.39746 -INDEX GOES BRRR: 998 X: -1.61133 -INDEX GOES BRRR: 925 X: -6.13574 -INDEX GOES BRRR: 667 X: -22.3057 -INDEX GOES BRRR: 389 X: 24.3311 -INDEX GOES BRRR: 155 X: 9.74414 -INDEX GOES BRRR: 203 X: 12.7324 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 923 X: -6.30664 -INDEX GOES BRRR: 867 X: -9.7793 -INDEX GOES BRRR: 364 X: 22.7666 -INDEX GOES BRRR: 1014 X: -0.607422 -INDEX GOES BRRR: 191 X: 11.9453 -INDEX GOES BRRR: 212 X: 13.2715 -INDEX GOES BRRR: 285 X: 17.8369 -INDEX GOES BRRR: 154 X: 9.67773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 253 X: 15.8213 -INDEX GOES BRRR: 138 X: 8.67285 -INDEX GOES BRRR: 215 X: 13.4482 -INDEX GOES BRRR: 101 X: 6.36035 -INDEX GOES BRRR: 458 X: 28.6777 -INDEX GOES BRRR: 83 X: 5.21387 -INDEX GOES BRRR: 85 X: 5.34766 -INDEX GOES BRRR: 166 X: 10.4219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 885 X: -8.67969 -INDEX GOES BRRR: 295 X: 18.4873 -INDEX GOES BRRR: 1010 X: -0.854492 -INDEX GOES BRRR: 89 X: 5.58203 -INDEX GOES BRRR: 410 X: 25.6846 -INDEX GOES BRRR: 874 X: -9.35352 -INDEX GOES BRRR: 148 X: 9.30957 -INDEX GOES BRRR: 398 X: 24.9346 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.24512 -INDEX GOES BRRR: 140 X: 8.76855 -INDEX GOES BRRR: 183 X: 11.4658 -INDEX GOES BRRR: 330 X: 20.6855 -INDEX GOES BRRR: 90 X: 5.625 -INDEX GOES BRRR: 43 X: 2.70605 -INDEX GOES BRRR: 191 X: 11.9922 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.2627 -INDEX GOES BRRR: 153 X: 9.57422 -INDEX GOES BRRR: 250 X: 15.6465 -INDEX GOES BRRR: 48 X: 3.05469 -INDEX GOES BRRR: 182 X: 11.4365 -INDEX GOES BRRR: 152 X: 9.51855 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 151 X: 9.46387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 374 X: 23.418 -INDEX GOES BRRR: 103 X: 6.4541 -INDEX GOES BRRR: 205 X: 12.8184 -INDEX GOES BRRR: 26 X: 1.6543 -INDEX GOES BRRR: 24 X: 1.50098 -INDEX GOES BRRR: 22 X: 1.38281 -INDEX GOES BRRR: 1010 X: -0.857422 -INDEX GOES BRRR: 353 X: 22.0889 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3184 -INDEX GOES BRRR: 22 X: 1.39453 -INDEX GOES BRRR: 130 X: 8.14941 -INDEX GOES BRRR: 436 X: 27.2822 -INDEX GOES BRRR: 220 X: 13.8066 -INDEX GOES BRRR: 141 X: 8.84375 -INDEX GOES BRRR: 166 X: 10.3838 -INDEX GOES BRRR: 155 X: 9.74023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 385 X: 24.083 -INDEX GOES BRRR: 352 X: 22.0537 -INDEX GOES BRRR: 238 X: 14.9062 -INDEX GOES BRRR: 124 X: 7.75977 -INDEX GOES BRRR: 12 X: 0.794922 -INDEX GOES BRRR: 267 X: 16.707 -INDEX GOES BRRR: 389 X: 24.373 -INDEX GOES BRRR: 221 X: 13.8262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 974 X: -3.08398 -INDEX GOES BRRR: 388 X: 24.3027 -INDEX GOES BRRR: 962 X: -3.83789 -INDEX GOES BRRR: 361 X: 22.582 -INDEX GOES BRRR: 342 X: 21.4258 -INDEX GOES BRRR: 115 X: 7.2168 -INDEX GOES BRRR: 319 X: 19.9746 -INDEX GOES BRRR: 292 X: 18.2734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.576172 -INDEX GOES BRRR: 247 X: 15.4502 -INDEX GOES BRRR: 54 X: 3.37891 -INDEX GOES BRRR: 246 X: 15.4268 -INDEX GOES BRRR: 978 X: -2.83691 -INDEX GOES BRRR: 244 X: 15.2617 -INDEX GOES BRRR: 1008 X: -0.979492 -INDEX GOES BRRR: 207 X: 12.9648 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.91797 -INDEX GOES BRRR: 274 X: 17.1816 -INDEX GOES BRRR: 134 X: 8.39648 -INDEX GOES BRRR: 988 X: -2.21387 -INDEX GOES BRRR: 399 X: 24.9521 -INDEX GOES BRRR: 186 X: 11.6768 -INDEX GOES BRRR: 293 X: 18.3594 -INDEX GOES BRRR: 153 X: 9.59375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5518 -INDEX GOES BRRR: 321 X: 20.0801 -INDEX GOES BRRR: 972 X: -3.21875 -INDEX GOES BRRR: 277 X: 17.3613 -INDEX GOES BRRR: 390 X: 24.3789 -INDEX GOES BRRR: 96 X: 6.02734 -INDEX GOES BRRR: 228 X: 14.2949 -INDEX GOES BRRR: 223 X: 13.959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.19043 -INDEX GOES BRRR: 50 X: 3.16602 -INDEX GOES BRRR: 143 X: 8.99219 -INDEX GOES BRRR: 82 X: 5.12793 -INDEX GOES BRRR: 409 X: 25.5908 -INDEX GOES BRRR: 101 X: 6.33691 -INDEX GOES BRRR: 851 X: -10.7793 -INDEX GOES BRRR: 353 X: 22.1201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.4014 -INDEX GOES BRRR: 114 X: 7.1582 -INDEX GOES BRRR: 358 X: 22.4131 -INDEX GOES BRRR: 315 X: 19.7051 -INDEX GOES BRRR: 247 X: 15.4482 -INDEX GOES BRRR: 5 X: 0.320312 -INDEX GOES BRRR: 334 X: 20.8789 -INDEX GOES BRRR: 179 X: 11.2402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 5 X: 0.34375 -INDEX GOES BRRR: 91 X: 5.73242 -INDEX GOES BRRR: 271 X: 16.9678 -INDEX GOES BRRR: 435 X: 27.1895 -INDEX GOES BRRR: 186 X: 11.6855 -INDEX GOES BRRR: 144 X: 9 -INDEX GOES BRRR: 99 X: 6.2168 -INDEX GOES BRRR: 280 X: 17.5068 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.16309 -INDEX GOES BRRR: 242 X: 15.1748 -INDEX GOES BRRR: 163 X: 10.1904 -INDEX GOES BRRR: 143 X: 8.94336 -INDEX GOES BRRR: 295 X: 18.4492 -INDEX GOES BRRR: 203 X: 12.6973 -INDEX GOES BRRR: 873 X: -9.40234 -INDEX GOES BRRR: 236 X: 14.7969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 392 X: 24.5127 -INDEX GOES BRRR: 959 X: -4.0293 -INDEX GOES BRRR: 313 X: 19.5908 -INDEX GOES BRRR: 59 X: 3.70605 -INDEX GOES BRRR: 346 X: 21.6523 -INDEX GOES BRRR: 173 X: 10.8359 -INDEX GOES BRRR: 131 X: 8.20215 -INDEX GOES BRRR: 188 X: 11.7979 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.28223 -INDEX GOES BRRR: 244 X: 15.3057 -INDEX GOES BRRR: 369 X: 23.0723 -INDEX GOES BRRR: 177 X: 11.1182 -INDEX GOES BRRR: 153 X: 9.61035 -INDEX GOES BRRR: 268 X: 16.752 -INDEX GOES BRRR: 209 X: 13.1162 -INDEX GOES BRRR: 64 X: 4.00391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 778 X: -15.3652 -INDEX GOES BRRR: 83 X: 5.21484 -INDEX GOES BRRR: 40 X: 2.51367 -INDEX GOES BRRR: 77 X: 4.86328 -INDEX GOES BRRR: 959 X: -4.01367 -INDEX GOES BRRR: 984 X: -2.4668 -INDEX GOES BRRR: 301 X: 18.8564 -INDEX GOES BRRR: 185 X: 11.6113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 378 X: 23.6484 -INDEX GOES BRRR: 335 X: 20.9658 -INDEX GOES BRRR: 280 X: 17.5312 -INDEX GOES BRRR: 223 X: 13.9453 -INDEX GOES BRRR: 254 X: 15.9238 -INDEX GOES BRRR: 310 X: 19.4268 -INDEX GOES BRRR: 952 X: -4.44238 -INDEX GOES BRRR: 143 X: 8.9834 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5596 -INDEX GOES BRRR: 5 X: 0.354492 -INDEX GOES BRRR: 65 X: 4.10156 -INDEX GOES BRRR: 136 X: 8.51855 -INDEX GOES BRRR: 269 X: 16.8691 -INDEX GOES BRRR: 998 X: -1.62305 -INDEX GOES BRRR: 996 X: -1.7168 -INDEX GOES BRRR: 133 X: 8.36816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.84668 -INDEX GOES BRRR: 76 X: 4.7627 -INDEX GOES BRRR: 977 X: -2.9375 -INDEX GOES BRRR: 942 X: -5.11328 -INDEX GOES BRRR: 98 X: 6.17676 -INDEX GOES BRRR: 20 X: 1.30176 -INDEX GOES BRRR: 123 X: 7.74316 -INDEX GOES BRRR: 174 X: 10.8965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9775 -INDEX GOES BRRR: 45 X: 2.81934 -INDEX GOES BRRR: 296 X: 18.5615 -INDEX GOES BRRR: 905 X: -7.3877 -INDEX GOES BRRR: 6 X: 0.411133 -INDEX GOES BRRR: 414 X: 25.9004 -INDEX GOES BRRR: 258 X: 16.1709 -INDEX GOES BRRR: 152 X: 9.50293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.32227 -INDEX GOES BRRR: 137 X: 8.56641 -INDEX GOES BRRR: 355 X: 22.2344 -INDEX GOES BRRR: 34 X: 2.16504 -INDEX GOES BRRR: 269 X: 16.8301 -INDEX GOES BRRR: 997 X: -1.66016 -INDEX GOES BRRR: 75 X: 4.73633 -INDEX GOES BRRR: 27 X: 1.72168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 387 X: 24.2256 -INDEX GOES BRRR: 155 X: 9.7334 -INDEX GOES BRRR: 339 X: 21.2178 -INDEX GOES BRRR: 110 X: 6.87598 -INDEX GOES BRRR: 1018 X: -0.357422 -INDEX GOES BRRR: 248 X: 15.5469 -INDEX GOES BRRR: 874 X: -9.33301 -INDEX GOES BRRR: 80 X: 5.05664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.667 -INDEX GOES BRRR: 146 X: 9.16992 -INDEX GOES BRRR: 49 X: 3.09082 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 196 X: 12.2979 -INDEX GOES BRRR: 122 X: 7.66309 -INDEX GOES BRRR: 30 X: 1.93066 -INDEX GOES BRRR: 104 X: 6.55859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 418 X: 26.1787 -INDEX GOES BRRR: 252 X: 15.7803 -INDEX GOES BRRR: 10 X: 0.629883 -INDEX GOES BRRR: 294 X: 18.4014 -INDEX GOES BRRR: 263 X: 16.4883 -INDEX GOES BRRR: 57 X: 3.57129 -INDEX GOES BRRR: 112 X: 7.0459 -INDEX GOES BRRR: 149 X: 9.36523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.1416 -INDEX GOES BRRR: 408 X: 25.542 -INDEX GOES BRRR: 178 X: 11.125 -INDEX GOES BRRR: 216 X: 13.5137 -INDEX GOES BRRR: 44 X: 2.78027 -INDEX GOES BRRR: 743 X: -17.502 -INDEX GOES BRRR: 153 X: 9.57617 -INDEX GOES BRRR: 165 X: 10.3574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 878 X: -9.09766 -INDEX GOES BRRR: 980 X: -2.70215 -INDEX GOES BRRR: 982 X: -2.5752 -INDEX GOES BRRR: 260 X: 16.2578 -INDEX GOES BRRR: 331 X: 20.7246 -INDEX GOES BRRR: 142 X: 8.91895 -INDEX GOES BRRR: 183 X: 11.4814 -INDEX GOES BRRR: 109 X: 6.83496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 338 X: 21.1338 -INDEX GOES BRRR: 138 X: 8.6416 -INDEX GOES BRRR: 62 X: 3.87695 -INDEX GOES BRRR: 748 X: -17.1982 -INDEX GOES BRRR: 911 X: -7.05762 -INDEX GOES BRRR: 219 X: 13.7373 -INDEX GOES BRRR: 283 X: 17.7129 -INDEX GOES BRRR: 287 X: 17.96 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.11523 -INDEX GOES BRRR: 208 X: 13.0342 -INDEX GOES BRRR: 342 X: 21.4082 -INDEX GOES BRRR: 144 X: 9.01562 -INDEX GOES BRRR: 54 X: 3.39844 -INDEX GOES BRRR: 78 X: 4.88867 -INDEX GOES BRRR: 97 X: 6.08301 -INDEX GOES BRRR: 152 X: 9.50098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1406 -INDEX GOES BRRR: 781 X: -15.1611 -INDEX GOES BRRR: 117 X: 7.33984 -INDEX GOES BRRR: 263 X: 16.4902 -INDEX GOES BRRR: 161 X: 10.0801 -INDEX GOES BRRR: 12 X: 0.791016 -INDEX GOES BRRR: 353 X: 22.1094 -INDEX GOES BRRR: 184 X: 11.5322 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.8477 -INDEX GOES BRRR: 13 X: 0.863281 -INDEX GOES BRRR: 373 X: 23.3682 -INDEX GOES BRRR: 999 X: -1.51074 -INDEX GOES BRRR: 116 X: 7.2832 -INDEX GOES BRRR: 950 X: -4.59375 -INDEX GOES BRRR: 1001 X: -1.42285 -INDEX GOES BRRR: 209 X: 13.1152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 310 X: 19.3867 -INDEX GOES BRRR: 129 X: 8.11621 -INDEX GOES BRRR: 63 X: 3.95996 -INDEX GOES BRRR: 1021 X: -0.151367 -INDEX GOES BRRR: 11 X: 0.6875 -INDEX GOES BRRR: 36 X: 2.30664 -INDEX GOES BRRR: 322 X: 20.1787 -INDEX GOES BRRR: 310 X: 19.4277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5449 -INDEX GOES BRRR: 938 X: -5.34082 -INDEX GOES BRRR: 976 X: -2.9541 -INDEX GOES BRRR: 260 X: 16.2988 -INDEX GOES BRRR: 146 X: 9.15137 -INDEX GOES BRRR: 208 X: 13.002 -INDEX GOES BRRR: 280 X: 17.5439 -INDEX GOES BRRR: 42 X: 2.68359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 65 X: 4.09668 -INDEX GOES BRRR: 379 X: 23.7344 -INDEX GOES BRRR: 161 X: 10.1221 -INDEX GOES BRRR: 1010 X: -0.828125 -INDEX GOES BRRR: 334 X: 20.9336 -INDEX GOES BRRR: 330 X: 20.6533 -INDEX GOES BRRR: 211 X: 13.2178 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.16699 -INDEX GOES BRRR: 201 X: 12.5801 -INDEX GOES BRRR: 235 X: 14.7168 -INDEX GOES BRRR: 186 X: 11.6855 -INDEX GOES BRRR: 29 X: 1.81348 -INDEX GOES BRRR: 827 X: -12.2715 -INDEX GOES BRRR: 242 X: 15.1748 -INDEX GOES BRRR: 15 X: 0.99707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.7451 -INDEX GOES BRRR: 382 X: 23.8828 -INDEX GOES BRRR: 244 X: 15.3008 -INDEX GOES BRRR: 358 X: 22.4111 -INDEX GOES BRRR: 50 X: 3.13965 -INDEX GOES BRRR: 19 X: 1.20996 -INDEX GOES BRRR: 163 X: 10.1982 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 376 X: 23.5264 -INDEX GOES BRRR: 73 X: 4.60938 -INDEX GOES BRRR: 281 X: 17.5859 -INDEX GOES BRRR: 459 X: 28.7285 -INDEX GOES BRRR: 14 X: 0.927734 -INDEX GOES BRRR: 152 X: 9.52539 -INDEX GOES BRRR: 294 X: 18.3896 -INDEX GOES BRRR: 344 X: 21.5381 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3379 -INDEX GOES BRRR: 232 X: 14.54 -INDEX GOES BRRR: 185 X: 11.5713 -INDEX GOES BRRR: 214 X: 13.4053 -INDEX GOES BRRR: 979 X: -2.76367 -INDEX GOES BRRR: 235 X: 14.7305 -INDEX GOES BRRR: 173 X: 10.8516 -INDEX GOES BRRR: 123 X: 7.74219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 359 X: 22.4814 -INDEX GOES BRRR: 118 X: 7.42871 -INDEX GOES BRRR: 1006 X: -1.08008 -INDEX GOES BRRR: 139 X: 8.72266 -INDEX GOES BRRR: 263 X: 16.4629 -INDEX GOES BRRR: 108 X: 6.78613 -INDEX GOES BRRR: 346 X: 21.6396 -INDEX GOES BRRR: 135 X: 8.48047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.1572 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 944 X: -4.93945 -INDEX GOES BRRR: 214 X: 13.3799 -INDEX GOES BRRR: 185 X: 11.5723 -INDEX GOES BRRR: 151 X: 9.48535 -INDEX GOES BRRR: 84 X: 5.31055 -INDEX GOES BRRR: 286 X: 17.9209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.55176 -INDEX GOES BRRR: 1013 X: -0.634766 -INDEX GOES BRRR: 326 X: 20.4141 -INDEX GOES BRRR: 78 X: 4.92188 -INDEX GOES BRRR: 295 X: 18.4639 -INDEX GOES BRRR: 94 X: 5.91211 -INDEX GOES BRRR: 232 X: 14.5518 -INDEX GOES BRRR: 66 X: 4.15723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.7227 -INDEX GOES BRRR: 161 X: 10.123 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 134 X: 8.41016 -INDEX GOES BRRR: 982 X: -2.58789 -INDEX GOES BRRR: 23 X: 1.49805 -INDEX GOES BRRR: 108 X: 6.77832 -INDEX GOES BRRR: 914 X: -6.81348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2432 -INDEX GOES BRRR: 28 X: 1.78027 -INDEX GOES BRRR: 221 X: 13.834 -INDEX GOES BRRR: 430 X: 26.9072 -INDEX GOES BRRR: 172 X: 10.7686 -INDEX GOES BRRR: 56 X: 3.5459 -INDEX GOES BRRR: 85 X: 5.32324 -INDEX GOES BRRR: 96 X: 6.03418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.40723 -INDEX GOES BRRR: 133 X: 8.35547 -INDEX GOES BRRR: 156 X: 9.7627 -INDEX GOES BRRR: 335 X: 20.9697 -INDEX GOES BRRR: 413 X: 25.8184 -INDEX GOES BRRR: 228 X: 14.2617 -INDEX GOES BRRR: 751 X: -17.0176 -INDEX GOES BRRR: 103 X: 6.46191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.44922 -INDEX GOES BRRR: 70 X: 4.43066 -INDEX GOES BRRR: 327 X: 20.4707 -INDEX GOES BRRR: 142 X: 8.91406 -INDEX GOES BRRR: 234 X: 14.6533 -INDEX GOES BRRR: 27 X: 1.74121 -INDEX GOES BRRR: 129 X: 8.11523 -INDEX GOES BRRR: 307 X: 19.2412 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 329 X: 20.584 -INDEX GOES BRRR: 231 X: 14.4834 -INDEX GOES BRRR: 15 X: 0.999023 -INDEX GOES BRRR: 969 X: -3.41406 -INDEX GOES BRRR: 907 X: -7.30371 -INDEX GOES BRRR: 105 X: 6.60742 -INDEX GOES BRRR: 179 X: 11.1934 -INDEX GOES BRRR: 927 X: -6.01074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.08398 -INDEX GOES BRRR: 287 X: 17.9492 -INDEX GOES BRRR: 95 X: 5.97559 -INDEX GOES BRRR: 311 X: 19.498 -INDEX GOES BRRR: 213 X: 13.3574 -INDEX GOES BRRR: 84 X: 5.26172 -INDEX GOES BRRR: 107 X: 6.69434 -INDEX GOES BRRR: 879 X: -9.05859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.86328 -INDEX GOES BRRR: 270 X: 16.9131 -INDEX GOES BRRR: 68 X: 4.25293 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 215 X: 13.4775 -INDEX GOES BRRR: 1018 X: -0.34375 -INDEX GOES BRRR: 102 X: 6.37988 -INDEX GOES BRRR: 122 X: 7.64648 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 961 X: -3.9209 -INDEX GOES BRRR: 260 X: 16.2793 -INDEX GOES BRRR: 53 X: 3.34961 -INDEX GOES BRRR: 201 X: 12.6201 -INDEX GOES BRRR: 994 X: -1.81934 -INDEX GOES BRRR: 881 X: -8.91016 -INDEX GOES BRRR: 62 X: 3.93457 -INDEX GOES BRRR: 50 X: 3.14258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 343 X: 21.4531 -INDEX GOES BRRR: 145 X: 9.07422 -INDEX GOES BRRR: 962 X: -3.8584 -INDEX GOES BRRR: 58 X: 3.66895 -INDEX GOES BRRR: 955 X: -4.30371 -INDEX GOES BRRR: 228 X: 14.2578 -INDEX GOES BRRR: 64 X: 4.00195 -INDEX GOES BRRR: 221 X: 13.8125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 853 X: -10.6309 -INDEX GOES BRRR: 271 X: 16.9648 -INDEX GOES BRRR: 301 X: 18.8633 -INDEX GOES BRRR: 382 X: 23.9238 -INDEX GOES BRRR: 393 X: 24.5771 -INDEX GOES BRRR: 995 X: -1.79297 -INDEX GOES BRRR: 67 X: 4.24219 -INDEX GOES BRRR: 969 X: -3.40137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1013 X: -0.637695 -INDEX GOES BRRR: 107 X: 6.69141 -INDEX GOES BRRR: 983 X: -2.55957 -INDEX GOES BRRR: 212 X: 13.2676 -INDEX GOES BRRR: 373 X: 23.3242 -INDEX GOES BRRR: 276 X: 17.2607 -INDEX GOES BRRR: 67 X: 4.24219 -INDEX GOES BRRR: 199 X: 12.4814 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6533 -INDEX GOES BRRR: 69 X: 4.32227 -INDEX GOES BRRR: 273 X: 17.0947 -INDEX GOES BRRR: 196 X: 12.3115 -INDEX GOES BRRR: 70 X: 4.40723 -INDEX GOES BRRR: 240 X: 15.0566 -INDEX GOES BRRR: 52 X: 3.27637 -INDEX GOES BRRR: 127 X: 7.94238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.7324 -INDEX GOES BRRR: 153 X: 9.57324 -INDEX GOES BRRR: 1012 X: -0.720703 -INDEX GOES BRRR: 1006 X: -1.1123 -INDEX GOES BRRR: 72 X: 4.51074 -INDEX GOES BRRR: 913 X: -6.93164 -INDEX GOES BRRR: 337 X: 21.0723 -INDEX GOES BRRR: 393 X: 24.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2754 -INDEX GOES BRRR: 128 X: 8.0459 -INDEX GOES BRRR: 180 X: 11.2979 -INDEX GOES BRRR: 149 X: 9.3125 -INDEX GOES BRRR: 304 X: 19.0166 -INDEX GOES BRRR: 957 X: -4.1709 -INDEX GOES BRRR: 86 X: 5.39551 -INDEX GOES BRRR: 250 X: 15.6377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9248 -INDEX GOES BRRR: 185 X: 11.6094 -INDEX GOES BRRR: 988 X: -2.21191 -INDEX GOES BRRR: 307 X: 19.2422 -INDEX GOES BRRR: 1013 X: -0.6875 -INDEX GOES BRRR: 36 X: 2.28125 -INDEX GOES BRRR: 39 X: 2.48438 -INDEX GOES BRRR: 150 X: 9.39355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.47656 -INDEX GOES BRRR: 246 X: 15.3799 -INDEX GOES BRRR: 312 X: 19.5488 -INDEX GOES BRRR: 267 X: 16.7227 -INDEX GOES BRRR: 6 X: 0.390625 -INDEX GOES BRRR: 179 X: 11.209 -INDEX GOES BRRR: 90 X: 5.62695 -INDEX GOES BRRR: 79 X: 4.98242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.64453 -INDEX GOES BRRR: 85 X: 5.34863 -INDEX GOES BRRR: 165 X: 10.3623 -INDEX GOES BRRR: 227 X: 14.2383 -INDEX GOES BRRR: 228 X: 14.3066 -INDEX GOES BRRR: 202 X: 12.6426 -INDEX GOES BRRR: 185 X: 11.5703 -INDEX GOES BRRR: 108 X: 6.80469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.72266 -INDEX GOES BRRR: 96 X: 6.03516 -INDEX GOES BRRR: 158 X: 9.88477 -INDEX GOES BRRR: 421 X: 26.3506 -INDEX GOES BRRR: 247 X: 15.4619 -INDEX GOES BRRR: 273 X: 17.1191 -INDEX GOES BRRR: 115 X: 7.20898 -INDEX GOES BRRR: 207 X: 12.9609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 937 X: -5.37891 -INDEX GOES BRRR: 122 X: 7.66016 -INDEX GOES BRRR: 96 X: 6.00586 -INDEX GOES BRRR: 251 X: 15.7432 -INDEX GOES BRRR: 271 X: 16.9668 -INDEX GOES BRRR: 263 X: 16.4902 -INDEX GOES BRRR: 326 X: 20.3945 -INDEX GOES BRRR: 49 X: 3.07031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.14062 -INDEX GOES BRRR: 205 X: 12.8525 -INDEX GOES BRRR: 998 X: -1.59375 -INDEX GOES BRRR: 955 X: -4.25781 -INDEX GOES BRRR: 349 X: 21.8135 -INDEX GOES BRRR: 204 X: 12.7666 -INDEX GOES BRRR: 6 X: 0.383789 -INDEX GOES BRRR: 402 X: 25.1445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 952 X: -4.48633 -INDEX GOES BRRR: 145 X: 9.08789 -INDEX GOES BRRR: 162 X: 10.1406 -INDEX GOES BRRR: 179 X: 11.1904 -INDEX GOES BRRR: 28 X: 1.7666 -INDEX GOES BRRR: 49 X: 3.10254 -INDEX GOES BRRR: 155 X: 9.72754 -INDEX GOES BRRR: 899 X: -7.80664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0146 -INDEX GOES BRRR: 981 X: -2.62598 -INDEX GOES BRRR: 174 X: 10.8799 -INDEX GOES BRRR: 239 X: 14.9902 -INDEX GOES BRRR: 58 X: 3.68555 -INDEX GOES BRRR: 487 X: 30.4727 -INDEX GOES BRRR: 280 X: 17.5078 -INDEX GOES BRRR: 186 X: 11.6523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.883789 -INDEX GOES BRRR: 231 X: 14.4404 -INDEX GOES BRRR: 696 X: -20.4629 -INDEX GOES BRRR: 197 X: 12.3672 -INDEX GOES BRRR: 239 X: 14.9434 -INDEX GOES BRRR: 38 X: 2.3916 -INDEX GOES BRRR: 279 X: 17.4766 -INDEX GOES BRRR: 278 X: 17.4072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 285 X: 17.8477 -INDEX GOES BRRR: 109 X: 6.84082 -INDEX GOES BRRR: 1000 X: -1.46973 -INDEX GOES BRRR: 98 X: 6.15332 -INDEX GOES BRRR: 46 X: 2.89844 -INDEX GOES BRRR: 101 X: 6.36914 -INDEX GOES BRRR: 136 X: 8.55566 -INDEX GOES BRRR: 245 X: 15.3721 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.81543 -INDEX GOES BRRR: 270 X: 16.9238 -INDEX GOES BRRR: 249 X: 15.5762 -INDEX GOES BRRR: 120 X: 7.50098 -INDEX GOES BRRR: 148 X: 9.30078 -INDEX GOES BRRR: 46 X: 2.91992 -INDEX GOES BRRR: 176 X: 11.0537 -INDEX GOES BRRR: 81 X: 5.09863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 970 X: -3.32812 -INDEX GOES BRRR: 1022 X: -0.115234 -INDEX GOES BRRR: 346 X: 21.6543 -INDEX GOES BRRR: 4 X: 0.25 -INDEX GOES BRRR: 310 X: 19.416 -INDEX GOES BRRR: 170 X: 10.6621 -INDEX GOES BRRR: 183 X: 11.4834 -INDEX GOES BRRR: 257 X: 16.0947 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4307 -INDEX GOES BRRR: 1001 X: -1.43457 -INDEX GOES BRRR: 42 X: 2.67285 -INDEX GOES BRRR: 295 X: 18.4785 -INDEX GOES BRRR: 47 X: 2.94922 -INDEX GOES BRRR: 318 X: 19.9082 -INDEX GOES BRRR: 160 X: 10.0156 -INDEX GOES BRRR: 1 X: 0.0703125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.86621 -INDEX GOES BRRR: 132 X: 8.31152 -INDEX GOES BRRR: 73 X: 4.58887 -INDEX GOES BRRR: 126 X: 7.89453 -INDEX GOES BRRR: 183 X: 11.4795 -INDEX GOES BRRR: 414 X: 25.9131 -INDEX GOES BRRR: 161 X: 10.0723 -INDEX GOES BRRR: 249 X: 15.6182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.651367 -INDEX GOES BRRR: 74 X: 4.65039 -INDEX GOES BRRR: 87 X: 5.43945 -INDEX GOES BRRR: 928 X: -5.94531 -INDEX GOES BRRR: 41 X: 2.59668 -INDEX GOES BRRR: 12 X: 0.797852 -INDEX GOES BRRR: 85 X: 5.36816 -INDEX GOES BRRR: 292 X: 18.293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.65625 -INDEX GOES BRRR: 61 X: 3.82617 -INDEX GOES BRRR: 331 X: 20.7422 -INDEX GOES BRRR: 250 X: 15.6572 -INDEX GOES BRRR: 310 X: 19.3818 -INDEX GOES BRRR: 180 X: 11.252 -INDEX GOES BRRR: 188 X: 11.7549 -INDEX GOES BRRR: 905 X: -7.4209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1807 -INDEX GOES BRRR: 832 X: -11.9873 -INDEX GOES BRRR: 143 X: 8.94922 -INDEX GOES BRRR: 84 X: 5.26172 -INDEX GOES BRRR: 272 X: 17.002 -INDEX GOES BRRR: 203 X: 12.7383 -INDEX GOES BRRR: 53 X: 3.3291 -INDEX GOES BRRR: 255 X: 15.958 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.87012 -INDEX GOES BRRR: 237 X: 14.8574 -INDEX GOES BRRR: 296 X: 18.5146 -INDEX GOES BRRR: 71 X: 4.48828 -INDEX GOES BRRR: 152 X: 9.5 -INDEX GOES BRRR: 217 X: 13.5967 -INDEX GOES BRRR: 57 X: 3.57129 -INDEX GOES BRRR: 974 X: -3.12207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 937 X: -5.39941 -INDEX GOES BRRR: 152 X: 9.50781 -INDEX GOES BRRR: 223 X: 13.9746 -INDEX GOES BRRR: 22 X: 1.40625 -INDEX GOES BRRR: 139 X: 8.69043 -INDEX GOES BRRR: 191 X: 11.9678 -INDEX GOES BRRR: 99 X: 6.20996 -INDEX GOES BRRR: 400 X: 25.0283 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.500977 -INDEX GOES BRRR: 329 X: 20.5625 -INDEX GOES BRRR: 59 X: 3.6875 -INDEX GOES BRRR: 62 X: 3.92969 -INDEX GOES BRRR: 202 X: 12.6523 -INDEX GOES BRRR: 26 X: 1.65527 -INDEX GOES BRRR: 84 X: 5.25781 -INDEX GOES BRRR: 60 X: 3.7832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 453 X: 28.3682 -INDEX GOES BRRR: 1005 X: -1.16602 -INDEX GOES BRRR: 271 X: 16.959 -INDEX GOES BRRR: 252 X: 15.75 -INDEX GOES BRRR: 81 X: 5.11816 -INDEX GOES BRRR: 253 X: 15.8496 -INDEX GOES BRRR: 177 X: 11.084 -INDEX GOES BRRR: 66 X: 4.14453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.66797 -INDEX GOES BRRR: 278 X: 17.4082 -INDEX GOES BRRR: 210 X: 13.1455 -INDEX GOES BRRR: 163 X: 10.2256 -INDEX GOES BRRR: 1001 X: -1.4209 -INDEX GOES BRRR: 49 X: 3.11426 -INDEX GOES BRRR: 64 X: 4.00879 -INDEX GOES BRRR: 340 X: 21.3066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.5625 -INDEX GOES BRRR: 236 X: 14.7959 -INDEX GOES BRRR: 363 X: 22.708 -INDEX GOES BRRR: 184 X: 11.5352 -INDEX GOES BRRR: 80 X: 5.03223 -INDEX GOES BRRR: 157 X: 9.83301 -INDEX GOES BRRR: 384 X: 24.0576 -INDEX GOES BRRR: 98 X: 6.15039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.47754 -INDEX GOES BRRR: 84 X: 5.31152 -INDEX GOES BRRR: 774 X: -15.6094 -INDEX GOES BRRR: 1001 X: -1.43262 -INDEX GOES BRRR: 1008 X: -0.974609 -INDEX GOES BRRR: 252 X: 15.7764 -INDEX GOES BRRR: 134 X: 8.38477 -INDEX GOES BRRR: 45 X: 2.87012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.64844 -INDEX GOES BRRR: 214 X: 13.4121 -INDEX GOES BRRR: 935 X: -5.5459 -INDEX GOES BRRR: 255 X: 15.999 -INDEX GOES BRRR: 1009 X: -0.886719 -INDEX GOES BRRR: 342 X: 21.4092 -INDEX GOES BRRR: 216 X: 13.543 -INDEX GOES BRRR: 337 X: 21.0791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2812 -INDEX GOES BRRR: 12 X: 0.804688 -INDEX GOES BRRR: 191 X: 11.9395 -INDEX GOES BRRR: 162 X: 10.1689 -INDEX GOES BRRR: 935 X: -5.51172 -INDEX GOES BRRR: 940 X: -5.19238 -INDEX GOES BRRR: 932 X: -5.73926 -INDEX GOES BRRR: 213 X: 13.3477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 310 X: 19.4365 -INDEX GOES BRRR: 210 X: 13.1709 -INDEX GOES BRRR: 90 X: 5.62988 -INDEX GOES BRRR: 27 X: 1.70605 -INDEX GOES BRRR: 328 X: 20.5596 -INDEX GOES BRRR: 487 X: 30.4912 -INDEX GOES BRRR: 210 X: 13.1299 -INDEX GOES BRRR: 464 X: 29.0371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0205 -INDEX GOES BRRR: 57 X: 3.56348 -INDEX GOES BRRR: 142 X: 8.875 -INDEX GOES BRRR: 75 X: 4.74609 -INDEX GOES BRRR: 250 X: 15.6777 -INDEX GOES BRRR: 100 X: 6.26758 -INDEX GOES BRRR: 78 X: 4.92578 -INDEX GOES BRRR: 52 X: 3.26074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7539 -INDEX GOES BRRR: 209 X: 13.0869 -INDEX GOES BRRR: 147 X: 9.21387 -INDEX GOES BRRR: 73 X: 4.58496 -INDEX GOES BRRR: 281 X: 17.5908 -INDEX GOES BRRR: 9 X: 0.568359 -INDEX GOES BRRR: 334 X: 20.9043 -INDEX GOES BRRR: 46 X: 2.87793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4365 -INDEX GOES BRRR: 281 X: 17.5645 -INDEX GOES BRRR: 296 X: 18.5557 -INDEX GOES BRRR: 300 X: 18.7812 -INDEX GOES BRRR: 987 X: -2.25684 -INDEX GOES BRRR: 388 X: 24.2998 -INDEX GOES BRRR: 200 X: 12.5049 -INDEX GOES BRRR: 892 X: -8.24609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 408 X: 25.502 -INDEX GOES BRRR: 300 X: 18.7646 -INDEX GOES BRRR: 337 X: 21.1162 -INDEX GOES BRRR: 348 X: 21.7949 -INDEX GOES BRRR: 225 X: 14.1162 -INDEX GOES BRRR: 148 X: 9.30078 -INDEX GOES BRRR: 153 X: 9.6123 -INDEX GOES BRRR: 261 X: 16.373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 367 X: 22.9844 -INDEX GOES BRRR: 483 X: 30.2031 -INDEX GOES BRRR: 385 X: 24.0889 -INDEX GOES BRRR: 291 X: 18.2021 -INDEX GOES BRRR: 339 X: 21.2158 -INDEX GOES BRRR: 48 X: 3.0293 -INDEX GOES BRRR: 421 X: 26.3145 -INDEX GOES BRRR: 413 X: 25.8164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6367 -INDEX GOES BRRR: 286 X: 17.9297 -INDEX GOES BRRR: 225 X: 14.0752 -INDEX GOES BRRR: 269 X: 16.8164 -INDEX GOES BRRR: 140 X: 8.76367 -INDEX GOES BRRR: 368 X: 23.0566 -INDEX GOES BRRR: 242 X: 15.1543 -INDEX GOES BRRR: 448 X: 28.04 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9932 -INDEX GOES BRRR: 190 X: 11.8877 -INDEX GOES BRRR: 262 X: 16.4365 -INDEX GOES BRRR: 385 X: 24.0908 -INDEX GOES BRRR: 891 X: -8.26367 -INDEX GOES BRRR: 30 X: 1.88086 -INDEX GOES BRRR: 973 X: -3.16699 -INDEX GOES BRRR: 313 X: 19.5889 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8398 -INDEX GOES BRRR: 254 X: 15.9199 -INDEX GOES BRRR: 933 X: -5.63574 -INDEX GOES BRRR: 106 X: 6.64453 -INDEX GOES BRRR: 253 X: 15.835 -INDEX GOES BRRR: 1007 X: -1.00781 -INDEX GOES BRRR: 66 X: 4.1377 -INDEX GOES BRRR: 934 X: -5.61816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.87402 -INDEX GOES BRRR: 319 X: 19.9697 -INDEX GOES BRRR: 908 X: -7.2168 -INDEX GOES BRRR: 432 X: 27.0205 -INDEX GOES BRRR: 963 X: -3.77832 -INDEX GOES BRRR: 10 X: 0.637695 -INDEX GOES BRRR: 124 X: 7.75879 -INDEX GOES BRRR: 203 X: 12.7061 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 374 X: 23.3799 -INDEX GOES BRRR: 327 X: 20.4639 -INDEX GOES BRRR: 321 X: 20.1123 -INDEX GOES BRRR: 286 X: 17.9062 -INDEX GOES BRRR: 270 X: 16.877 -INDEX GOES BRRR: 309 X: 19.3232 -INDEX GOES BRRR: 203 X: 12.6885 -INDEX GOES BRRR: 221 X: 13.833 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.82812 -INDEX GOES BRRR: 334 X: 20.9297 -INDEX GOES BRRR: 422 X: 26.3926 -INDEX GOES BRRR: 113 X: 7.08789 -INDEX GOES BRRR: 186 X: 11.6816 -INDEX GOES BRRR: 323 X: 20.2109 -INDEX GOES BRRR: 256 X: 16.0459 -INDEX GOES BRRR: 272 X: 17.0059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9775 -INDEX GOES BRRR: 210 X: 13.1514 -INDEX GOES BRRR: 164 X: 10.2578 -INDEX GOES BRRR: 391 X: 24.4668 -INDEX GOES BRRR: 210 X: 13.1562 -INDEX GOES BRRR: 126 X: 7.92871 -INDEX GOES BRRR: 954 X: -4.35645 -INDEX GOES BRRR: 904 X: -7.45801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.87402 -INDEX GOES BRRR: 288 X: 18.0195 -INDEX GOES BRRR: 983 X: -2.55371 -INDEX GOES BRRR: 1 X: 0.0830078 -INDEX GOES BRRR: 243 X: 15.2178 -INDEX GOES BRRR: 286 X: 17.8799 -INDEX GOES BRRR: 272 X: 17.0107 -INDEX GOES BRRR: 95 X: 5.96484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 90 X: 5.6709 -INDEX GOES BRRR: 113 X: 7.09961 -INDEX GOES BRRR: 64 X: 4.0332 -INDEX GOES BRRR: 998 X: -1.59277 -INDEX GOES BRRR: 262 X: 16.3955 -INDEX GOES BRRR: 153 X: 9.59961 -INDEX GOES BRRR: 171 X: 10.6963 -INDEX GOES BRRR: 403 X: 25.2295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 940 X: -5.22168 -INDEX GOES BRRR: 788 X: -14.7402 -INDEX GOES BRRR: 992 X: -1.97168 -INDEX GOES BRRR: 56 X: 3.5459 -INDEX GOES BRRR: 382 X: 23.9238 -INDEX GOES BRRR: 91 X: 5.7041 -INDEX GOES BRRR: 95 X: 5.99707 -INDEX GOES BRRR: 356 X: 22.2725 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0674 -INDEX GOES BRRR: 351 X: 21.96 -INDEX GOES BRRR: 970 X: -3.33301 -INDEX GOES BRRR: 895 X: -8.04395 -INDEX GOES BRRR: 4 X: 0.250977 -INDEX GOES BRRR: 940 X: -5.24121 -INDEX GOES BRRR: 396 X: 24.7969 -INDEX GOES BRRR: 18 X: 1.13086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.38379 -INDEX GOES BRRR: 147 X: 9.23047 -INDEX GOES BRRR: 897 X: -7.8877 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 305 X: 19.1123 -INDEX GOES BRRR: 246 X: 15.4219 -INDEX GOES BRRR: 73 X: 4.56543 -INDEX GOES BRRR: 972 X: -3.21387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.02539 -INDEX GOES BRRR: 157 X: 9.82422 -INDEX GOES BRRR: 154 X: 9.66309 -INDEX GOES BRRR: 413 X: 25.8701 -INDEX GOES BRRR: 139 X: 8.73145 -INDEX GOES BRRR: 175 X: 10.9512 -INDEX GOES BRRR: 150 X: 9.43164 -INDEX GOES BRRR: 118 X: 7.38281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2383 -INDEX GOES BRRR: 857 X: -10.376 -INDEX GOES BRRR: 332 X: 20.752 -INDEX GOES BRRR: 1019 X: -0.282227 -INDEX GOES BRRR: 290 X: 18.1348 -INDEX GOES BRRR: 98 X: 6.13672 -INDEX GOES BRRR: 104 X: 6.54102 -INDEX GOES BRRR: 367 X: 22.9844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0469 -INDEX GOES BRRR: 979 X: -2.80371 -INDEX GOES BRRR: 319 X: 19.9932 -INDEX GOES BRRR: 316 X: 19.8037 -INDEX GOES BRRR: 821 X: -12.6367 -INDEX GOES BRRR: 386 X: 24.1328 -INDEX GOES BRRR: 1009 X: -0.912109 -INDEX GOES BRRR: 92 X: 5.80176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.1074 -INDEX GOES BRRR: 65 X: 4.07715 -INDEX GOES BRRR: 155 X: 9.72656 -INDEX GOES BRRR: 976 X: -2.99805 -INDEX GOES BRRR: 314 X: 19.6777 -INDEX GOES BRRR: 175 X: 10.9395 -INDEX GOES BRRR: 289 X: 18.1094 -INDEX GOES BRRR: 238 X: 14.917 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 308 X: 19.3027 -INDEX GOES BRRR: 434 X: 27.1299 -INDEX GOES BRRR: 190 X: 11.8877 -INDEX GOES BRRR: 925 X: -6.12891 -INDEX GOES BRRR: 962 X: -3.86133 -INDEX GOES BRRR: 270 X: 16.8818 -INDEX GOES BRRR: 358 X: 22.3906 -INDEX GOES BRRR: 245 X: 15.3535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.4033 -INDEX GOES BRRR: 133 X: 8.33301 -INDEX GOES BRRR: 348 X: 21.7744 -INDEX GOES BRRR: 152 X: 9.55664 -INDEX GOES BRRR: 221 X: 13.8604 -INDEX GOES BRRR: 162 X: 10.1738 -INDEX GOES BRRR: 249 X: 15.6045 -INDEX GOES BRRR: 152 X: 9.55469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.269531 -INDEX GOES BRRR: 149 X: 9.32031 -INDEX GOES BRRR: 327 X: 20.4697 -INDEX GOES BRRR: 81 X: 5.11621 -INDEX GOES BRRR: 346 X: 21.6299 -INDEX GOES BRRR: 266 X: 16.625 -INDEX GOES BRRR: 967 X: -3.56152 -INDEX GOES BRRR: 43 X: 2.71484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9199 -INDEX GOES BRRR: 199 X: 12.4805 -INDEX GOES BRRR: 159 X: 9.98438 -INDEX GOES BRRR: 960 X: -3.96094 -INDEX GOES BRRR: 214 X: 13.3916 -INDEX GOES BRRR: 77 X: 4.82227 -INDEX GOES BRRR: 956 X: -4.2041 -INDEX GOES BRRR: 138 X: 8.63477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.15137 -INDEX GOES BRRR: 82 X: 5.16602 -INDEX GOES BRRR: 190 X: 11.9102 -INDEX GOES BRRR: 72 X: 4.51562 -INDEX GOES BRRR: 228 X: 14.2744 -INDEX GOES BRRR: 98 X: 6.13086 -INDEX GOES BRRR: 322 X: 20.1553 -INDEX GOES BRRR: 964 X: -3.72363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0078 -INDEX GOES BRRR: 338 X: 21.1826 -INDEX GOES BRRR: 88 X: 5.5459 -INDEX GOES BRRR: 265 X: 16.5869 -INDEX GOES BRRR: 75 X: 4.69629 -INDEX GOES BRRR: 237 X: 14.8457 -INDEX GOES BRRR: 329 X: 20.5791 -INDEX GOES BRRR: 306 X: 19.1816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.2471 -INDEX GOES BRRR: 141 X: 8.83984 -INDEX GOES BRRR: 484 X: 30.2529 -INDEX GOES BRRR: 48 X: 3.02637 -INDEX GOES BRRR: 71 X: 4.47266 -INDEX GOES BRRR: 152 X: 9.52246 -INDEX GOES BRRR: 134 X: 8.4082 -INDEX GOES BRRR: 410 X: 25.6318 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 438 X: 27.3984 -INDEX GOES BRRR: 182 X: 11.3887 -INDEX GOES BRRR: 110 X: 6.89355 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 44 X: 2.79199 -INDEX GOES BRRR: 168 X: 10.5264 -INDEX GOES BRRR: 136 X: 8.54492 -INDEX GOES BRRR: 240 X: 15 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 476 X: 29.7754 -INDEX GOES BRRR: 304 X: 19.0029 -INDEX GOES BRRR: 422 X: 26.415 -INDEX GOES BRRR: 828 X: -12.248 -INDEX GOES BRRR: 1021 X: -0.146484 -INDEX GOES BRRR: 940 X: -5.23828 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 13 X: 0.833984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.56738 -INDEX GOES BRRR: 204 X: 12.7656 -INDEX GOES BRRR: 279 X: 17.4756 -INDEX GOES BRRR: 133 X: 8.35938 -INDEX GOES BRRR: 125 X: 7.83105 -INDEX GOES BRRR: 90 X: 5.64551 -INDEX GOES BRRR: 66 X: 4.14551 -INDEX GOES BRRR: 134 X: 8.42969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.59082 -INDEX GOES BRRR: 326 X: 20.3818 -INDEX GOES BRRR: 147 X: 9.24805 -INDEX GOES BRRR: 274 X: 17.1797 -INDEX GOES BRRR: 366 X: 22.8857 -INDEX GOES BRRR: 306 X: 19.1826 -INDEX GOES BRRR: 268 X: 16.7998 -INDEX GOES BRRR: 475 X: 29.7041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 34 X: 2.14551 -INDEX GOES BRRR: 7 X: 0.490234 -INDEX GOES BRRR: 176 X: 11.0439 -INDEX GOES BRRR: 191 X: 11.9482 -INDEX GOES BRRR: 203 X: 12.7031 -INDEX GOES BRRR: 265 X: 16.5635 -INDEX GOES BRRR: 353 X: 22.1172 -INDEX GOES BRRR: 427 X: 26.7266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 970 X: -3.36719 -INDEX GOES BRRR: 271 X: 16.9629 -INDEX GOES BRRR: 190 X: 11.9268 -INDEX GOES BRRR: 25 X: 1.58008 -INDEX GOES BRRR: 166 X: 10.3809 -INDEX GOES BRRR: 291 X: 18.2285 -INDEX GOES BRRR: 1002 X: -1.34863 -INDEX GOES BRRR: 83 X: 5.2041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.5332 -INDEX GOES BRRR: 209 X: 13.1084 -INDEX GOES BRRR: 326 X: 20.376 -INDEX GOES BRRR: 324 X: 20.2578 -INDEX GOES BRRR: 115 X: 7.19238 -INDEX GOES BRRR: 93 X: 5.82227 -INDEX GOES BRRR: 69 X: 4.31445 -INDEX GOES BRRR: 245 X: 15.3145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.71387 -INDEX GOES BRRR: 179 X: 11.1904 -INDEX GOES BRRR: 181 X: 11.3691 -INDEX GOES BRRR: 39 X: 2.44824 -INDEX GOES BRRR: 292 X: 18.252 -INDEX GOES BRRR: 41 X: 2.60645 -INDEX GOES BRRR: 254 X: 15.8926 -INDEX GOES BRRR: 83 X: 5.22754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4326 -INDEX GOES BRRR: 148 X: 9.29199 -INDEX GOES BRRR: 286 X: 17.9141 -INDEX GOES BRRR: 280 X: 17.5303 -INDEX GOES BRRR: 487 X: 30.4375 -INDEX GOES BRRR: 310 X: 19.3994 -INDEX GOES BRRR: 129 X: 8.08008 -INDEX GOES BRRR: 141 X: 8.85352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0293 -INDEX GOES BRRR: 960 X: -3.95312 -INDEX GOES BRRR: 205 X: 12.8232 -INDEX GOES BRRR: 172 X: 10.8008 -INDEX GOES BRRR: 182 X: 11.4326 -INDEX GOES BRRR: 44 X: 2.80469 -INDEX GOES BRRR: 102 X: 6.38281 -INDEX GOES BRRR: 157 X: 9.85547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 447 X: 27.9453 -INDEX GOES BRRR: 401 X: 25.0703 -INDEX GOES BRRR: 210 X: 13.1289 -INDEX GOES BRRR: 26 X: 1.66602 -INDEX GOES BRRR: 37 X: 2.36719 -INDEX GOES BRRR: 81 X: 5.09961 -INDEX GOES BRRR: 146 X: 9.1416 -INDEX GOES BRRR: 213 X: 13.3691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.87598 -INDEX GOES BRRR: 116 X: 7.27246 -INDEX GOES BRRR: 972 X: -3.24219 -INDEX GOES BRRR: 970 X: -3.32031 -INDEX GOES BRRR: 377 X: 23.5957 -INDEX GOES BRRR: 149 X: 9.33691 -INDEX GOES BRRR: 204 X: 12.8047 -INDEX GOES BRRR: 103 X: 6.44629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 257 X: 16.1201 -INDEX GOES BRRR: 206 X: 12.8818 -INDEX GOES BRRR: 430 X: 26.8779 -INDEX GOES BRRR: 418 X: 26.1533 -INDEX GOES BRRR: 1016 X: -0.498047 -INDEX GOES BRRR: 1016 X: -0.477539 -INDEX GOES BRRR: 282 X: 17.6787 -INDEX GOES BRRR: 1023 X: -0.0615234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.6709 -INDEX GOES BRRR: 259 X: 16.2197 -INDEX GOES BRRR: 191 X: 11.9736 -INDEX GOES BRRR: 302 X: 18.9346 -INDEX GOES BRRR: 447 X: 27.9785 -INDEX GOES BRRR: 177 X: 11.1201 -INDEX GOES BRRR: 78 X: 4.90625 -INDEX GOES BRRR: 152 X: 9.54785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 339 X: 21.1924 -INDEX GOES BRRR: 271 X: 16.9629 -INDEX GOES BRRR: 114 X: 7.17871 -INDEX GOES BRRR: 259 X: 16.2227 -INDEX GOES BRRR: 96 X: 6.00293 -INDEX GOES BRRR: 966 X: -3.60254 -INDEX GOES BRRR: 201 X: 12.5957 -INDEX GOES BRRR: 25 X: 1.61816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 998 X: -1.59277 -INDEX GOES BRRR: 259 X: 16.2197 -INDEX GOES BRRR: 976 X: -2.96191 -INDEX GOES BRRR: 402 X: 25.1387 -INDEX GOES BRRR: 218 X: 13.6719 -INDEX GOES BRRR: 439 X: 27.4844 -INDEX GOES BRRR: 895 X: -8.0332 -INDEX GOES BRRR: 223 X: 13.9863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6328 -INDEX GOES BRRR: 89 X: 5.57227 -INDEX GOES BRRR: 101 X: 6.31934 -INDEX GOES BRRR: 187 X: 11.7441 -INDEX GOES BRRR: 988 X: -2.22363 -INDEX GOES BRRR: 123 X: 7.71777 -INDEX GOES BRRR: 110 X: 6.88574 -INDEX GOES BRRR: 195 X: 12.2275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 999 X: -1.54102 -INDEX GOES BRRR: 129 X: 8.0791 -INDEX GOES BRRR: 251 X: 15.7393 -INDEX GOES BRRR: 249 X: 15.5898 -INDEX GOES BRRR: 373 X: 23.3125 -INDEX GOES BRRR: 933 X: -5.62891 -INDEX GOES BRRR: 21 X: 1.35352 -INDEX GOES BRRR: 86 X: 5.42773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.22949 -INDEX GOES BRRR: 955 X: -4.2793 -INDEX GOES BRRR: 152 X: 9.55371 -INDEX GOES BRRR: 65 X: 4.1123 -INDEX GOES BRRR: 269 X: 16.8223 -INDEX GOES BRRR: 127 X: 7.99902 -INDEX GOES BRRR: 300 X: 18.7588 -INDEX GOES BRRR: 1004 X: -1.24121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3174 -INDEX GOES BRRR: 245 X: 15.3564 -INDEX GOES BRRR: 119 X: 7.48047 -INDEX GOES BRRR: 387 X: 24.2432 -INDEX GOES BRRR: 51 X: 3.19629 -INDEX GOES BRRR: 165 X: 10.3291 -INDEX GOES BRRR: 955 X: -4.28809 -INDEX GOES BRRR: 74 X: 4.67285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1768 -INDEX GOES BRRR: 77 X: 4.8252 -INDEX GOES BRRR: 117 X: 7.3291 -INDEX GOES BRRR: 324 X: 20.2881 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 98 X: 6.16504 -INDEX GOES BRRR: 261 X: 16.3408 -INDEX GOES BRRR: 224 X: 14.0273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.75781 -INDEX GOES BRRR: 218 X: 13.6445 -INDEX GOES BRRR: 380 X: 23.7734 -INDEX GOES BRRR: 281 X: 17.5859 -INDEX GOES BRRR: 271 X: 16.9727 -INDEX GOES BRRR: 18 X: 1.14258 -INDEX GOES BRRR: 43 X: 2.71973 -INDEX GOES BRRR: 398 X: 24.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.15723 -INDEX GOES BRRR: 58 X: 3.62793 -INDEX GOES BRRR: 340 X: 21.2842 -INDEX GOES BRRR: 141 X: 8.84375 -INDEX GOES BRRR: 209 X: 13.1016 -INDEX GOES BRRR: 117 X: 7.35156 -INDEX GOES BRRR: 154 X: 9.65234 -INDEX GOES BRRR: 195 X: 12.2393 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.52148 -INDEX GOES BRRR: 316 X: 19.7705 -INDEX GOES BRRR: 363 X: 22.7158 -INDEX GOES BRRR: 365 X: 22.8691 -INDEX GOES BRRR: 338 X: 21.1768 -INDEX GOES BRRR: 302 X: 18.9004 -INDEX GOES BRRR: 913 X: -6.92383 -INDEX GOES BRRR: 991 X: -2.05078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.33203 -INDEX GOES BRRR: 261 X: 16.3613 -INDEX GOES BRRR: 234 X: 14.6299 -INDEX GOES BRRR: 62 X: 3.90332 -INDEX GOES BRRR: 888 X: -8.46484 -INDEX GOES BRRR: 303 X: 18.9443 -INDEX GOES BRRR: 1019 X: -0.300781 -INDEX GOES BRRR: 153 X: 9.61426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2305 -INDEX GOES BRRR: 112 X: 7.00293 -INDEX GOES BRRR: 220 X: 13.7812 -INDEX GOES BRRR: 62 X: 3.91113 -INDEX GOES BRRR: 66 X: 4.13672 -INDEX GOES BRRR: 416 X: 26.001 -INDEX GOES BRRR: 61 X: 3.84863 -INDEX GOES BRRR: 88 X: 5.5166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.3115 -INDEX GOES BRRR: 965 X: -3.65039 -INDEX GOES BRRR: 17 X: 1.10742 -INDEX GOES BRRR: 116 X: 7.28516 -INDEX GOES BRRR: 64 X: 4.02539 -INDEX GOES BRRR: 236 X: 14.7539 -INDEX GOES BRRR: 183 X: 11.4629 -INDEX GOES BRRR: 398 X: 24.8838 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.1104 -INDEX GOES BRRR: 242 X: 15.1816 -INDEX GOES BRRR: 89 X: 5.59277 -INDEX GOES BRRR: 923 X: -6.2959 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 487 X: 30.4951 -INDEX GOES BRRR: 973 X: -3.15918 -INDEX GOES BRRR: 259 X: 16.2471 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2041 -INDEX GOES BRRR: 210 X: 13.1367 -INDEX GOES BRRR: 131 X: 8.22949 -INDEX GOES BRRR: 209 X: 13.1133 -INDEX GOES BRRR: 152 X: 9.55664 -INDEX GOES BRRR: 338 X: 21.127 -INDEX GOES BRRR: 252 X: 15.7734 -INDEX GOES BRRR: 111 X: 6.94531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.52832 -INDEX GOES BRRR: 375 X: 23.4541 -INDEX GOES BRRR: 287 X: 17.9375 -INDEX GOES BRRR: 124 X: 7.76172 -INDEX GOES BRRR: 52 X: 3.25684 -INDEX GOES BRRR: 116 X: 7.27148 -INDEX GOES BRRR: 28 X: 1.76855 -INDEX GOES BRRR: 180 X: 11.2549 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 459 X: 28.7246 -INDEX GOES BRRR: 16 X: 1.00488 -INDEX GOES BRRR: 82 X: 5.15527 -INDEX GOES BRRR: 104 X: 6.50684 -INDEX GOES BRRR: 233 X: 14.5928 -INDEX GOES BRRR: 233 X: 14.6094 -INDEX GOES BRRR: 155 X: 9.74512 -INDEX GOES BRRR: 202 X: 12.6309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.65527 -INDEX GOES BRRR: 933 X: -5.64844 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 40 X: 2.50879 -INDEX GOES BRRR: 282 X: 17.6367 -INDEX GOES BRRR: 135 X: 8.47656 -INDEX GOES BRRR: 231 X: 14.4736 -INDEX GOES BRRR: 61 X: 3.82422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 883 X: -8.80762 -INDEX GOES BRRR: 328 X: 20.5293 -INDEX GOES BRRR: 62 X: 3.90625 -INDEX GOES BRRR: 760 X: -16.4561 -INDEX GOES BRRR: 906 X: -7.375 -INDEX GOES BRRR: 275 X: 17.249 -INDEX GOES BRRR: 223 X: 13.9551 -INDEX GOES BRRR: 71 X: 4.45215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7314 -INDEX GOES BRRR: 154 X: 9.63379 -INDEX GOES BRRR: 29 X: 1.86523 -INDEX GOES BRRR: 277 X: 17.3721 -INDEX GOES BRRR: 297 X: 18.5625 -INDEX GOES BRRR: 295 X: 18.4424 -INDEX GOES BRRR: 9 X: 0.599609 -INDEX GOES BRRR: 272 X: 17.0117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.7998 -INDEX GOES BRRR: 201 X: 12.5996 -INDEX GOES BRRR: 313 X: 19.582 -INDEX GOES BRRR: 313 X: 19.5801 -INDEX GOES BRRR: 908 X: -7.2168 -INDEX GOES BRRR: 230 X: 14.4268 -INDEX GOES BRRR: 466 X: 29.1777 -INDEX GOES BRRR: 395 X: 24.7178 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.2549 -INDEX GOES BRRR: 91 X: 5.69727 -INDEX GOES BRRR: 202 X: 12.6523 -INDEX GOES BRRR: 64 X: 4.0459 -INDEX GOES BRRR: 243 X: 15.1904 -INDEX GOES BRRR: 82 X: 5.12988 -INDEX GOES BRRR: 809 X: -13.3936 -INDEX GOES BRRR: 238 X: 14.8926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 997 X: -1.64941 -INDEX GOES BRRR: 186 X: 11.6807 -INDEX GOES BRRR: 127 X: 7.94629 -INDEX GOES BRRR: 234 X: 14.6611 -INDEX GOES BRRR: 842 X: -11.3545 -INDEX GOES BRRR: 936 X: -5.4668 -INDEX GOES BRRR: 75 X: 4.71289 -INDEX GOES BRRR: 25 X: 1.58203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.742188 -INDEX GOES BRRR: 189 X: 11.833 -INDEX GOES BRRR: 71 X: 4.48926 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 392 X: 24.5283 -INDEX GOES BRRR: 272 X: 17.0244 -INDEX GOES BRRR: 223 X: 13.9531 -INDEX GOES BRRR: 237 X: 14.8555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8379 -INDEX GOES BRRR: 56 X: 3.51367 -INDEX GOES BRRR: 29 X: 1.85742 -INDEX GOES BRRR: 258 X: 16.1621 -INDEX GOES BRRR: 269 X: 16.8623 -INDEX GOES BRRR: 46 X: 2.91016 -INDEX GOES BRRR: 176 X: 11.0273 -INDEX GOES BRRR: 299 X: 18.6943 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 455 X: 28.4912 -INDEX GOES BRRR: 987 X: -2.25391 -INDEX GOES BRRR: 120 X: 7.5459 -INDEX GOES BRRR: 186 X: 11.6289 -INDEX GOES BRRR: 143 X: 8.95703 -INDEX GOES BRRR: 164 X: 10.2754 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 983 X: -2.53906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.46484 -INDEX GOES BRRR: 258 X: 16.1582 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 720 X: -18.9707 -INDEX GOES BRRR: 274 X: 17.1797 -INDEX GOES BRRR: 267 X: 16.7432 -INDEX GOES BRRR: 172 X: 10.7725 -INDEX GOES BRRR: 138 X: 8.625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.68652 -INDEX GOES BRRR: 304 X: 19.0225 -INDEX GOES BRRR: 119 X: 7.45215 -INDEX GOES BRRR: 254 X: 15.8955 -INDEX GOES BRRR: 330 X: 20.6562 -INDEX GOES BRRR: 49 X: 3.0625 -INDEX GOES BRRR: 92 X: 5.78027 -INDEX GOES BRRR: 15 X: 0.951172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.65918 -INDEX GOES BRRR: 230 X: 14.4219 -INDEX GOES BRRR: 59 X: 3.71484 -INDEX GOES BRRR: 1008 X: -0.951172 -INDEX GOES BRRR: 45 X: 2.85938 -INDEX GOES BRRR: 326 X: 20.4111 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 178 X: 11.1504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 958 X: -4.11621 -INDEX GOES BRRR: 156 X: 9.75 -INDEX GOES BRRR: 316 X: 19.7793 -INDEX GOES BRRR: 281 X: 17.5771 -INDEX GOES BRRR: 906 X: -7.37305 -INDEX GOES BRRR: 456 X: 28.5459 -INDEX GOES BRRR: 239 X: 14.9961 -INDEX GOES BRRR: 179 X: 11.2373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8711 -INDEX GOES BRRR: 327 X: 20.498 -INDEX GOES BRRR: 836 X: -11.7275 -INDEX GOES BRRR: 311 X: 19.4463 -INDEX GOES BRRR: 757 X: -16.6543 -INDEX GOES BRRR: 8 X: 0.561523 -INDEX GOES BRRR: 148 X: 9.27148 -INDEX GOES BRRR: 949 X: -4.6709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 15 X: 0.978516 -INDEX GOES BRRR: 180 X: 11.2783 -INDEX GOES BRRR: 378 X: 23.625 -INDEX GOES BRRR: 919 X: -6.51367 -INDEX GOES BRRR: 762 X: -16.3516 -INDEX GOES BRRR: 973 X: -3.13184 -INDEX GOES BRRR: 403 X: 25.2422 -INDEX GOES BRRR: 32 X: 2.02344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.20215 -INDEX GOES BRRR: 149 X: 9.35449 -INDEX GOES BRRR: 124 X: 7.75977 -INDEX GOES BRRR: 279 X: 17.4531 -INDEX GOES BRRR: 238 X: 14.916 -INDEX GOES BRRR: 120 X: 7.50098 -INDEX GOES BRRR: 74 X: 4.66113 -INDEX GOES BRRR: 104 X: 6.54883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.79102 -INDEX GOES BRRR: 97 X: 6.07422 -INDEX GOES BRRR: 282 X: 17.6865 -INDEX GOES BRRR: 289 X: 18.0781 -INDEX GOES BRRR: 446 X: 27.8838 -INDEX GOES BRRR: 319 X: 19.9893 -INDEX GOES BRRR: 119 X: 7.47363 -INDEX GOES BRRR: 230 X: 14.4111 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0459 -INDEX GOES BRRR: 169 X: 10.5859 -INDEX GOES BRRR: 105 X: 6.57031 -INDEX GOES BRRR: 223 X: 13.9434 -INDEX GOES BRRR: 888 X: -8.45605 -INDEX GOES BRRR: 261 X: 16.3643 -INDEX GOES BRRR: 907 X: -7.29395 -INDEX GOES BRRR: 398 X: 24.9199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1514 -INDEX GOES BRRR: 303 X: 18.9736 -INDEX GOES BRRR: 141 X: 8.86816 -INDEX GOES BRRR: 170 X: 10.6318 -INDEX GOES BRRR: 947 X: -4.77148 -INDEX GOES BRRR: 162 X: 10.1396 -INDEX GOES BRRR: 494 X: 30.877 -INDEX GOES BRRR: 82 X: 5.13379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5195 -INDEX GOES BRRR: 148 X: 9.31055 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 347 X: 21.7178 -INDEX GOES BRRR: 13 X: 0.854492 -INDEX GOES BRRR: 97 X: 6.09375 -INDEX GOES BRRR: 360 X: 22.543 -INDEX GOES BRRR: 37 X: 2.33789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.543 -INDEX GOES BRRR: 1023 X: -0.0117188 -INDEX GOES BRRR: 141 X: 8.8418 -INDEX GOES BRRR: 311 X: 19.4814 -INDEX GOES BRRR: 38 X: 2.37598 -INDEX GOES BRRR: 13 X: 0.865234 -INDEX GOES BRRR: 0 X: 0.0126953 -INDEX GOES BRRR: 225 X: 14.0986 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 378 X: 23.6709 -INDEX GOES BRRR: 185 X: 11.6074 -INDEX GOES BRRR: 967 X: -3.53809 -INDEX GOES BRRR: 406 X: 25.3984 -INDEX GOES BRRR: 488 X: 30.542 -INDEX GOES BRRR: 205 X: 12.8525 -INDEX GOES BRRR: 340 X: 21.3008 -INDEX GOES BRRR: 185 X: 11.6123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.62891 -INDEX GOES BRRR: 25 X: 1.56543 -INDEX GOES BRRR: 195 X: 12.2314 -INDEX GOES BRRR: 76 X: 4.76953 -INDEX GOES BRRR: 131 X: 8.22168 -INDEX GOES BRRR: 353 X: 22.0693 -INDEX GOES BRRR: 991 X: -2.01855 -INDEX GOES BRRR: 162 X: 10.1523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2275 -INDEX GOES BRRR: 270 X: 16.8857 -INDEX GOES BRRR: 212 X: 13.3047 -INDEX GOES BRRR: 108 X: 6.80273 -INDEX GOES BRRR: 249 X: 15.6074 -INDEX GOES BRRR: 22 X: 1.43066 -INDEX GOES BRRR: 282 X: 17.6592 -INDEX GOES BRRR: 878 X: -9.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 487 X: 30.4521 -INDEX GOES BRRR: 860 X: -10.2021 -INDEX GOES BRRR: 200 X: 12.5117 -INDEX GOES BRRR: 350 X: 21.9062 -INDEX GOES BRRR: 114 X: 7.18457 -INDEX GOES BRRR: 978 X: -2.85254 -INDEX GOES BRRR: 483 X: 30.2334 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6807 -INDEX GOES BRRR: 152 X: 9.55469 -INDEX GOES BRRR: 219 X: 13.7314 -INDEX GOES BRRR: 168 X: 10.501 -INDEX GOES BRRR: 242 X: 15.1377 -INDEX GOES BRRR: 352 X: 22.0059 -INDEX GOES BRRR: 173 X: 10.8447 -INDEX GOES BRRR: 8 X: 0.511719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 159 X: 9.9541 -INDEX GOES BRRR: 216 X: 13.5605 -INDEX GOES BRRR: 383 X: 23.9639 -INDEX GOES BRRR: 989 X: -2.15332 -INDEX GOES BRRR: 299 X: 18.6914 -INDEX GOES BRRR: 121 X: 7.57422 -INDEX GOES BRRR: 188 X: 11.8086 -INDEX GOES BRRR: 272 X: 17.0537 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 932 X: -5.7168 -INDEX GOES BRRR: 893 X: -8.16797 -INDEX GOES BRRR: 246 X: 15.4346 -INDEX GOES BRRR: 90 X: 5.67871 -INDEX GOES BRRR: 76 X: 4.75 -INDEX GOES BRRR: 347 X: 21.7334 -INDEX GOES BRRR: 213 X: 13.3418 -INDEX GOES BRRR: 115 X: 7.22559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.22363 -INDEX GOES BRRR: 233 X: 14.5674 -INDEX GOES BRRR: 356 X: 22.2627 -INDEX GOES BRRR: 416 X: 26.0479 -INDEX GOES BRRR: 202 X: 12.6533 -INDEX GOES BRRR: 191 X: 11.9492 -INDEX GOES BRRR: 235 X: 14.7266 -INDEX GOES BRRR: 24 X: 1.54297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.95898 -INDEX GOES BRRR: 382 X: 23.9355 -INDEX GOES BRRR: 256 X: 16.0332 -INDEX GOES BRRR: 160 X: 10.0234 -INDEX GOES BRRR: 134 X: 8.3916 -INDEX GOES BRRR: 328 X: 20.5127 -INDEX GOES BRRR: 15 X: 0.975586 -INDEX GOES BRRR: 12 X: 0.771484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 850 X: -10.8682 -INDEX GOES BRRR: 377 X: 23.5967 -INDEX GOES BRRR: 18 X: 1.18457 -INDEX GOES BRRR: 171 X: 10.7422 -INDEX GOES BRRR: 337 X: 21.085 -INDEX GOES BRRR: 178 X: 11.1514 -INDEX GOES BRRR: 134 X: 8.42773 -INDEX GOES BRRR: 140 X: 8.77344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.84668 -INDEX GOES BRRR: 76 X: 4.79004 -INDEX GOES BRRR: 23 X: 1.4707 -INDEX GOES BRRR: 155 X: 9.69629 -INDEX GOES BRRR: 487 X: 30.4834 -INDEX GOES BRRR: 212 X: 13.2549 -INDEX GOES BRRR: 1019 X: -0.25293 -INDEX GOES BRRR: 254 X: 15.8887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1406 -INDEX GOES BRRR: 42 X: 2.68555 -INDEX GOES BRRR: 260 X: 16.2578 -INDEX GOES BRRR: 288 X: 18.0254 -INDEX GOES BRRR: 838 X: -11.6143 -INDEX GOES BRRR: 863 X: -10.0234 -INDEX GOES BRRR: 908 X: -7.19531 -INDEX GOES BRRR: 148 X: 9.28516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 274 X: 17.1777 -INDEX GOES BRRR: 1005 X: -1.16602 -INDEX GOES BRRR: 161 X: 10.0703 -INDEX GOES BRRR: 193 X: 12.0967 -INDEX GOES BRRR: 977 X: -2.89746 -INDEX GOES BRRR: 71 X: 4.48145 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 219 X: 13.7031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 919 X: -6.54785 -INDEX GOES BRRR: 153 X: 9.60645 -INDEX GOES BRRR: 238 X: 14.9092 -INDEX GOES BRRR: 139 X: 8.69531 -INDEX GOES BRRR: 288 X: 18.0449 -INDEX GOES BRRR: 128 X: 8.02441 -INDEX GOES BRRR: 250 X: 15.6758 -INDEX GOES BRRR: 121 X: 7.59863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.54004 -INDEX GOES BRRR: 173 X: 10.8408 -INDEX GOES BRRR: 142 X: 8.92188 -INDEX GOES BRRR: 1018 X: -0.354492 -INDEX GOES BRRR: 40 X: 2.50781 -INDEX GOES BRRR: 191 X: 11.9941 -INDEX GOES BRRR: 93 X: 5.81836 -INDEX GOES BRRR: 57 X: 3.59082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.64941 -INDEX GOES BRRR: 199 X: 12.457 -INDEX GOES BRRR: 962 X: -3.86035 -INDEX GOES BRRR: 311 X: 19.459 -INDEX GOES BRRR: 163 X: 10.1904 -INDEX GOES BRRR: 1001 X: -1.39062 -INDEX GOES BRRR: 52 X: 3.31055 -INDEX GOES BRRR: 368 X: 23.0508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 880 X: -8.94434 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 55 X: 3.45898 -INDEX GOES BRRR: 306 X: 19.1348 -INDEX GOES BRRR: 440 X: 27.5459 -INDEX GOES BRRR: 206 X: 12.8926 -INDEX GOES BRRR: 287 X: 17.9688 -INDEX GOES BRRR: 195 X: 12.2305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6348 -INDEX GOES BRRR: 312 X: 19.502 -INDEX GOES BRRR: 141 X: 8.86816 -INDEX GOES BRRR: 984 X: -2.49121 -INDEX GOES BRRR: 1023 X: -0.00488281 -INDEX GOES BRRR: 158 X: 9.87988 -INDEX GOES BRRR: 419 X: 26.209 -INDEX GOES BRRR: 213 X: 13.3242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 472 X: 29.5605 -INDEX GOES BRRR: 854 X: -10.6045 -INDEX GOES BRRR: 181 X: 11.3682 -INDEX GOES BRRR: 258 X: 16.165 -INDEX GOES BRRR: 347 X: 21.7363 -INDEX GOES BRRR: 877 X: -9.16602 -INDEX GOES BRRR: 453 X: 28.3369 -INDEX GOES BRRR: 154 X: 9.66016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9766 -INDEX GOES BRRR: 224 X: 14.0254 -INDEX GOES BRRR: 990 X: -2.08301 -INDEX GOES BRRR: 346 X: 21.6328 -INDEX GOES BRRR: 123 X: 7.70508 -INDEX GOES BRRR: 103 X: 6.45703 -INDEX GOES BRRR: 420 X: 26.2529 -INDEX GOES BRRR: 167 X: 10.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.550781 -INDEX GOES BRRR: 473 X: 29.5752 -INDEX GOES BRRR: 108 X: 6.75391 -INDEX GOES BRRR: 294 X: 18.3916 -INDEX GOES BRRR: 132 X: 8.2832 -INDEX GOES BRRR: 237 X: 14.8418 -INDEX GOES BRRR: 262 X: 16.3818 -INDEX GOES BRRR: 14 X: 0.894531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 23 X: 1.45117 -INDEX GOES BRRR: 250 X: 15.6611 -INDEX GOES BRRR: 134 X: 8.38086 -INDEX GOES BRRR: 88 X: 5.52832 -INDEX GOES BRRR: 452 X: 28.2686 -INDEX GOES BRRR: 867 X: -9.76172 -INDEX GOES BRRR: 109 X: 6.82031 -INDEX GOES BRRR: 157 X: 9.86426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5723 -INDEX GOES BRRR: 225 X: 14.0723 -INDEX GOES BRRR: 231 X: 14.4883 -INDEX GOES BRRR: 272 X: 17.0439 -INDEX GOES BRRR: 123 X: 7.70117 -INDEX GOES BRRR: 922 X: -6.37207 -INDEX GOES BRRR: 81 X: 5.08301 -INDEX GOES BRRR: 457 X: 28.6182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6455 -INDEX GOES BRRR: 45 X: 2.86719 -INDEX GOES BRRR: 159 X: 9.96387 -INDEX GOES BRRR: 243 X: 15.1943 -INDEX GOES BRRR: 71 X: 4.4707 -INDEX GOES BRRR: 190 X: 11.8857 -INDEX GOES BRRR: 264 X: 16.5225 -INDEX GOES BRRR: 93 X: 5.83398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9297 -INDEX GOES BRRR: 965 X: -3.67285 -INDEX GOES BRRR: 149 X: 9.35059 -INDEX GOES BRRR: 127 X: 7.98926 -INDEX GOES BRRR: 249 X: 15.6201 -INDEX GOES BRRR: 141 X: 8.8252 -INDEX GOES BRRR: 353 X: 22.0654 -INDEX GOES BRRR: 221 X: 13.8467 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 388 X: 24.2656 -INDEX GOES BRRR: 213 X: 13.3682 -INDEX GOES BRRR: 187 X: 11.7188 -INDEX GOES BRRR: 229 X: 14.3281 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 237 X: 14.8594 -INDEX GOES BRRR: 27 X: 1.71875 -INDEX GOES BRRR: 972 X: -3.23535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.76074 -INDEX GOES BRRR: 0 X: 0.0488281 -INDEX GOES BRRR: 191 X: 11.9805 -INDEX GOES BRRR: 755 X: -16.7725 -INDEX GOES BRRR: 127 X: 7.94141 -INDEX GOES BRRR: 1016 X: -0.482422 -INDEX GOES BRRR: 46 X: 2.93066 -INDEX GOES BRRR: 150 X: 9.43164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 48 X: 3.00391 -INDEX GOES BRRR: 142 X: 8.92383 -INDEX GOES BRRR: 7 X: 0.442383 -INDEX GOES BRRR: 39 X: 2.44922 -INDEX GOES BRRR: 130 X: 8.13477 -INDEX GOES BRRR: 979 X: -2.80957 -INDEX GOES BRRR: 29 X: 1.8623 -INDEX GOES BRRR: 108 X: 6.75195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 923 X: -6.2959 -INDEX GOES BRRR: 242 X: 15.1387 -INDEX GOES BRRR: 274 X: 17.1436 -INDEX GOES BRRR: 290 X: 18.1406 -INDEX GOES BRRR: 254 X: 15.8896 -INDEX GOES BRRR: 8 X: 0.53125 -INDEX GOES BRRR: 18 X: 1.14648 -INDEX GOES BRRR: 245 X: 15.3721 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.69434 -INDEX GOES BRRR: 442 X: 27.6572 -INDEX GOES BRRR: 80 X: 5.00977 -INDEX GOES BRRR: 242 X: 15.1855 -INDEX GOES BRRR: 44 X: 2.75684 -INDEX GOES BRRR: 88 X: 5.50879 -INDEX GOES BRRR: 435 X: 27.2158 -INDEX GOES BRRR: 353 X: 22.0898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3457 -INDEX GOES BRRR: 901 X: -7.68359 -INDEX GOES BRRR: 287 X: 17.9629 -INDEX GOES BRRR: 227 X: 14.2275 -INDEX GOES BRRR: 89 X: 5.57227 -INDEX GOES BRRR: 946 X: -4.83105 -INDEX GOES BRRR: 300 X: 18.7734 -INDEX GOES BRRR: 935 X: -5.53027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 932 X: -5.73145 -INDEX GOES BRRR: 250 X: 15.6572 -INDEX GOES BRRR: 204 X: 12.7588 -INDEX GOES BRRR: 254 X: 15.9014 -INDEX GOES BRRR: 106 X: 6.68262 -INDEX GOES BRRR: 198 X: 12.4316 -INDEX GOES BRRR: 980 X: -2.71191 -INDEX GOES BRRR: 168 X: 10.5322 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.2832 -INDEX GOES BRRR: 317 X: 19.8613 -INDEX GOES BRRR: 185 X: 11.5938 -INDEX GOES BRRR: 1023 X: -0.0234375 -INDEX GOES BRRR: 956 X: -4.25 -INDEX GOES BRRR: 928 X: -5.94434 -INDEX GOES BRRR: 194 X: 12.1592 -INDEX GOES BRRR: 359 X: 22.4922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0518 -INDEX GOES BRRR: 756 X: -16.75 -INDEX GOES BRRR: 211 X: 13.248 -INDEX GOES BRRR: 973 X: -3.16309 -INDEX GOES BRRR: 375 X: 23.459 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 77 X: 4.8584 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1001 X: -1.41797 -INDEX GOES BRRR: 201 X: 12.5967 -INDEX GOES BRRR: 171 X: 10.7412 -INDEX GOES BRRR: 276 X: 17.3027 -INDEX GOES BRRR: 4 X: 0.302734 -INDEX GOES BRRR: 340 X: 21.2578 -INDEX GOES BRRR: 106 X: 6.66992 -INDEX GOES BRRR: 237 X: 14.8232 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 800 X: -13.9492 -INDEX GOES BRRR: 52 X: 3.25 -INDEX GOES BRRR: 100 X: 6.29395 -INDEX GOES BRRR: 244 X: 15.2852 -INDEX GOES BRRR: 241 X: 15.0879 -INDEX GOES BRRR: 206 X: 12.9365 -INDEX GOES BRRR: 117 X: 7.31836 -INDEX GOES BRRR: 40 X: 2.56152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.14355 -INDEX GOES BRRR: 72 X: 4.53027 -INDEX GOES BRRR: 921 X: -6.42578 -INDEX GOES BRRR: 198 X: 12.3984 -INDEX GOES BRRR: 123 X: 7.69141 -INDEX GOES BRRR: 252 X: 15.7988 -INDEX GOES BRRR: 955 X: -4.25488 -INDEX GOES BRRR: 232 X: 14.5156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 288 X: 18.0234 -INDEX GOES BRRR: 981 X: -2.64551 -INDEX GOES BRRR: 884 X: -8.74414 -INDEX GOES BRRR: 43 X: 2.71875 -INDEX GOES BRRR: 108 X: 6.77148 -INDEX GOES BRRR: 840 X: -11.4629 -INDEX GOES BRRR: 170 X: 10.6836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 945 X: -4.88281 -INDEX GOES BRRR: 837 X: -11.6279 -INDEX GOES BRRR: 131 X: 8.21191 -INDEX GOES BRRR: 218 X: 13.6357 -INDEX GOES BRRR: 147 X: 9.20801 -INDEX GOES BRRR: 56 X: 3.50684 -INDEX GOES BRRR: 19 X: 1.2373 -INDEX GOES BRRR: 324 X: 20.2725 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0762 -INDEX GOES BRRR: 44 X: 2.80078 -INDEX GOES BRRR: 919 X: -6.51953 -INDEX GOES BRRR: 49 X: 3.10742 -INDEX GOES BRRR: 386 X: 24.166 -INDEX GOES BRRR: 236 X: 14.7861 -INDEX GOES BRRR: 176 X: 11.042 -INDEX GOES BRRR: 228 X: 14.3076 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1807 -INDEX GOES BRRR: 37 X: 2.33789 -INDEX GOES BRRR: 470 X: 29.3916 -INDEX GOES BRRR: 145 X: 9.08984 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 279 X: 17.4717 -INDEX GOES BRRR: 374 X: 23.4307 -INDEX GOES BRRR: 268 X: 16.7979 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2227 -INDEX GOES BRRR: 301 X: 18.8496 -INDEX GOES BRRR: 235 X: 14.7109 -INDEX GOES BRRR: 34 X: 2.16016 -INDEX GOES BRRR: 362 X: 22.6846 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 340 X: 21.2842 -INDEX GOES BRRR: 980 X: -2.7373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4238 -INDEX GOES BRRR: 494 X: 30.8838 -INDEX GOES BRRR: 955 X: -4.29883 -INDEX GOES BRRR: 141 X: 8.85742 -INDEX GOES BRRR: 379 X: 23.707 -INDEX GOES BRRR: 222 X: 13.8877 -INDEX GOES BRRR: 388 X: 24.2832 -INDEX GOES BRRR: 476 X: 29.7539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 329 X: 20.5879 -INDEX GOES BRRR: 356 X: 22.3037 -INDEX GOES BRRR: 216 X: 13.5586 -INDEX GOES BRRR: 51 X: 3.2334 -INDEX GOES BRRR: 71 X: 4.48828 -INDEX GOES BRRR: 162 X: 10.1758 -INDEX GOES BRRR: 150 X: 9.41113 -INDEX GOES BRRR: 84 X: 5.26367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.99609 -INDEX GOES BRRR: 91 X: 5.72656 -INDEX GOES BRRR: 259 X: 16.209 -INDEX GOES BRRR: 86 X: 5.43262 -INDEX GOES BRRR: 370 X: 23.1582 -INDEX GOES BRRR: 57 X: 3.60254 -INDEX GOES BRRR: 89 X: 5.5625 -INDEX GOES BRRR: 70 X: 4.42578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7334 -INDEX GOES BRRR: 57 X: 3.58203 -INDEX GOES BRRR: 352 X: 22.0205 -INDEX GOES BRRR: 87 X: 5.48047 -INDEX GOES BRRR: 203 X: 12.7021 -INDEX GOES BRRR: 207 X: 12.9414 -INDEX GOES BRRR: 364 X: 22.7559 -INDEX GOES BRRR: 294 X: 18.4219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.11426 -INDEX GOES BRRR: 258 X: 16.1729 -INDEX GOES BRRR: 186 X: 11.6309 -INDEX GOES BRRR: 1016 X: -0.442383 -INDEX GOES BRRR: 262 X: 16.3818 -INDEX GOES BRRR: 234 X: 14.625 -INDEX GOES BRRR: 182 X: 11.4131 -INDEX GOES BRRR: 913 X: -6.92188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.01562 -INDEX GOES BRRR: 219 X: 13.7246 -INDEX GOES BRRR: 436 X: 27.3076 -INDEX GOES BRRR: 155 X: 9.72754 -INDEX GOES BRRR: 252 X: 15.8076 -INDEX GOES BRRR: 365 X: 22.833 -INDEX GOES BRRR: 968 X: -3.48633 -INDEX GOES BRRR: 258 X: 16.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.7139 -INDEX GOES BRRR: 315 X: 19.7393 -INDEX GOES BRRR: 217 X: 13.6104 -INDEX GOES BRRR: 242 X: 15.1846 -INDEX GOES BRRR: 49 X: 3.10547 -INDEX GOES BRRR: 88 X: 5.52539 -INDEX GOES BRRR: 237 X: 14.8242 -INDEX GOES BRRR: 289 X: 18.1123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 974 X: -3.08008 -INDEX GOES BRRR: 133 X: 8.3291 -INDEX GOES BRRR: 315 X: 19.7451 -INDEX GOES BRRR: 177 X: 11.0742 -INDEX GOES BRRR: 268 X: 16.7676 -INDEX GOES BRRR: 988 X: -2.22168 -INDEX GOES BRRR: 241 X: 15.0801 -INDEX GOES BRRR: 95 X: 5.97266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.80469 -INDEX GOES BRRR: 82 X: 5.16895 -INDEX GOES BRRR: 210 X: 13.1787 -INDEX GOES BRRR: 91 X: 5.69336 -INDEX GOES BRRR: 80 X: 5.06055 -INDEX GOES BRRR: 25 X: 1.61035 -INDEX GOES BRRR: 55 X: 3.43945 -INDEX GOES BRRR: 472 X: 29.5566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.875 -INDEX GOES BRRR: 827 X: -12.2842 -INDEX GOES BRRR: 938 X: -5.33496 -INDEX GOES BRRR: 168 X: 10.5098 -INDEX GOES BRRR: 877 X: -9.17383 -INDEX GOES BRRR: 843 X: -11.2695 -INDEX GOES BRRR: 848 X: -10.9531 -INDEX GOES BRRR: 358 X: 22.4277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 391 X: 24.458 -INDEX GOES BRRR: 79 X: 4.99023 -INDEX GOES BRRR: 125 X: 7.83789 -INDEX GOES BRRR: 130 X: 8.17188 -INDEX GOES BRRR: 287 X: 17.9463 -INDEX GOES BRRR: 116 X: 7.25098 -INDEX GOES BRRR: 59 X: 3.70703 -INDEX GOES BRRR: 277 X: 17.374 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8359 -INDEX GOES BRRR: 122 X: 7.65723 -INDEX GOES BRRR: 294 X: 18.4326 -INDEX GOES BRRR: 259 X: 16.2451 -INDEX GOES BRRR: 437 X: 27.3584 -INDEX GOES BRRR: 7 X: 0.448242 -INDEX GOES BRRR: 181 X: 11.3125 -INDEX GOES BRRR: 313 X: 19.6191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.60156 -INDEX GOES BRRR: 216 X: 13.5586 -INDEX GOES BRRR: 257 X: 16.0762 -INDEX GOES BRRR: 345 X: 21.5898 -INDEX GOES BRRR: 161 X: 10.0928 -INDEX GOES BRRR: 964 X: -3.70312 -INDEX GOES BRRR: 233 X: 14.5996 -INDEX GOES BRRR: 321 X: 20.0918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9834 -INDEX GOES BRRR: 217 X: 13.5996 -INDEX GOES BRRR: 316 X: 19.7959 -INDEX GOES BRRR: 259 X: 16.2412 -INDEX GOES BRRR: 227 X: 14.2373 -INDEX GOES BRRR: 104 X: 6.50781 -INDEX GOES BRRR: 292 X: 18.3047 -INDEX GOES BRRR: 189 X: 11.8672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1426 -INDEX GOES BRRR: 836 X: -11.7432 -INDEX GOES BRRR: 20 X: 1.29297 -INDEX GOES BRRR: 234 X: 14.6689 -INDEX GOES BRRR: 238 X: 14.8955 -INDEX GOES BRRR: 190 X: 11.875 -INDEX GOES BRRR: 382 X: 23.8877 -INDEX GOES BRRR: 139 X: 8.72266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.8223 -INDEX GOES BRRR: 209 X: 13.0908 -INDEX GOES BRRR: 144 X: 9.03516 -INDEX GOES BRRR: 977 X: -2.93164 -INDEX GOES BRRR: 444 X: 27.7725 -INDEX GOES BRRR: 9 X: 0.594727 -INDEX GOES BRRR: 188 X: 11.7881 -INDEX GOES BRRR: 1006 X: -1.11133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.748 -INDEX GOES BRRR: 863 X: -10.0449 -INDEX GOES BRRR: 884 X: -8.69727 -INDEX GOES BRRR: 224 X: 14.0146 -INDEX GOES BRRR: 71 X: 4.49805 -INDEX GOES BRRR: 388 X: 24.2793 -INDEX GOES BRRR: 452 X: 28.3066 -INDEX GOES BRRR: 231 X: 14.4531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 7 X: 0.490234 -INDEX GOES BRRR: 137 X: 8.61914 -INDEX GOES BRRR: 306 X: 19.1758 -INDEX GOES BRRR: 65 X: 4.11035 -INDEX GOES BRRR: 345 X: 21.6162 -INDEX GOES BRRR: 202 X: 12.6592 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 46 X: 2.9248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.188477 -INDEX GOES BRRR: 180 X: 11.291 -INDEX GOES BRRR: 165 X: 10.3467 -INDEX GOES BRRR: 176 X: 11.04 -INDEX GOES BRRR: 102 X: 6.4082 -INDEX GOES BRRR: 87 X: 5.49707 -INDEX GOES BRRR: 324 X: 20.2637 -INDEX GOES BRRR: 103 X: 6.4541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.52051 -INDEX GOES BRRR: 89 X: 5.59668 -INDEX GOES BRRR: 494 X: 30.9082 -INDEX GOES BRRR: 375 X: 23.4453 -INDEX GOES BRRR: 421 X: 26.3506 -INDEX GOES BRRR: 144 X: 9.02539 -INDEX GOES BRRR: 225 X: 14.0996 -INDEX GOES BRRR: 49 X: 3.07031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.6709 -INDEX GOES BRRR: 125 X: 7.83984 -INDEX GOES BRRR: 372 X: 23.2695 -INDEX GOES BRRR: 76 X: 4.76953 -INDEX GOES BRRR: 947 X: -4.76855 -INDEX GOES BRRR: 265 X: 16.5947 -INDEX GOES BRRR: 173 X: 10.8633 -INDEX GOES BRRR: 305 X: 19.0723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.2129 -INDEX GOES BRRR: 1014 X: -0.59082 -INDEX GOES BRRR: 957 X: -4.14355 -INDEX GOES BRRR: 63 X: 3.9873 -INDEX GOES BRRR: 183 X: 11.4375 -INDEX GOES BRRR: 23 X: 1.44531 -INDEX GOES BRRR: 998 X: -1.61133 -INDEX GOES BRRR: 72 X: 4.55469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.18262 -INDEX GOES BRRR: 44 X: 2.76172 -INDEX GOES BRRR: 1 X: 0.113281 -INDEX GOES BRRR: 260 X: 16.2832 -INDEX GOES BRRR: 221 X: 13.8711 -INDEX GOES BRRR: 968 X: -3.49707 -INDEX GOES BRRR: 431 X: 26.9619 -INDEX GOES BRRR: 178 X: 11.1406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5908 -INDEX GOES BRRR: 200 X: 12.501 -INDEX GOES BRRR: 157 X: 9.82227 -INDEX GOES BRRR: 287 X: 17.9883 -INDEX GOES BRRR: 207 X: 12.9941 -INDEX GOES BRRR: 93 X: 5.84082 -INDEX GOES BRRR: 404 X: 25.2617 -INDEX GOES BRRR: 764 X: -16.2314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 408 X: 25.5352 -INDEX GOES BRRR: 895 X: -8.01074 -INDEX GOES BRRR: 184 X: 11.5293 -INDEX GOES BRRR: 368 X: 23.0557 -INDEX GOES BRRR: 265 X: 16.5957 -INDEX GOES BRRR: 937 X: -5.42969 -INDEX GOES BRRR: 198 X: 12.4365 -INDEX GOES BRRR: 349 X: 21.8438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1436 -INDEX GOES BRRR: 319 X: 19.9424 -INDEX GOES BRRR: 108 X: 6.76465 -INDEX GOES BRRR: 56 X: 3.54395 -INDEX GOES BRRR: 203 X: 12.7256 -INDEX GOES BRRR: 40 X: 2.54102 -INDEX GOES BRRR: 109 X: 6.81836 -INDEX GOES BRRR: 217 X: 13.5771 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.1357 -INDEX GOES BRRR: 252 X: 15.8047 -INDEX GOES BRRR: 114 X: 7.13184 -INDEX GOES BRRR: 244 X: 15.2529 -INDEX GOES BRRR: 1008 X: -0.94043 -INDEX GOES BRRR: 141 X: 8.84277 -INDEX GOES BRRR: 269 X: 16.8477 -INDEX GOES BRRR: 965 X: -3.62988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.3857 -INDEX GOES BRRR: 215 X: 13.4932 -INDEX GOES BRRR: 185 X: 11.6152 -INDEX GOES BRRR: 437 X: 27.3682 -INDEX GOES BRRR: 121 X: 7.61133 -INDEX GOES BRRR: 289 X: 18.1055 -INDEX GOES BRRR: 327 X: 20.4658 -INDEX GOES BRRR: 117 X: 7.32324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5781 -INDEX GOES BRRR: 232 X: 14.5391 -INDEX GOES BRRR: 267 X: 16.7334 -INDEX GOES BRRR: 71 X: 4.4375 -INDEX GOES BRRR: 177 X: 11.0898 -INDEX GOES BRRR: 435 X: 27.1963 -INDEX GOES BRRR: 425 X: 26.582 -INDEX GOES BRRR: 208 X: 13.0615 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3135 -INDEX GOES BRRR: 167 X: 10.4561 -INDEX GOES BRRR: 236 X: 14.7539 -INDEX GOES BRRR: 214 X: 13.4102 -INDEX GOES BRRR: 168 X: 10.5332 -INDEX GOES BRRR: 18 X: 1.18164 -INDEX GOES BRRR: 111 X: 6.95508 -INDEX GOES BRRR: 204 X: 12.8047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 378 X: 23.6748 -INDEX GOES BRRR: 41 X: 2.60742 -INDEX GOES BRRR: 195 X: 12.2373 -INDEX GOES BRRR: 410 X: 25.6699 -INDEX GOES BRRR: 264 X: 16.5537 -INDEX GOES BRRR: 299 X: 18.7158 -INDEX GOES BRRR: 268 X: 16.7588 -INDEX GOES BRRR: 194 X: 12.1289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.85156 -INDEX GOES BRRR: 973 X: -3.18359 -INDEX GOES BRRR: 189 X: 11.8604 -INDEX GOES BRRR: 99 X: 6.23926 -INDEX GOES BRRR: 251 X: 15.7305 -INDEX GOES BRRR: 378 X: 23.6836 -INDEX GOES BRRR: 267 X: 16.7383 -INDEX GOES BRRR: 976 X: -2.94531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 23 X: 1.48145 -INDEX GOES BRRR: 415 X: 25.9424 -INDEX GOES BRRR: 247 X: 15.4561 -INDEX GOES BRRR: 79 X: 4.96973 -INDEX GOES BRRR: 169 X: 10.583 -INDEX GOES BRRR: 284 X: 17.7666 -INDEX GOES BRRR: 215 X: 13.4443 -INDEX GOES BRRR: 254 X: 15.876 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.6895 -INDEX GOES BRRR: 974 X: -3.06641 -INDEX GOES BRRR: 182 X: 11.3906 -INDEX GOES BRRR: 141 X: 8.8291 -INDEX GOES BRRR: 3 X: 0.192383 -INDEX GOES BRRR: 224 X: 14.0195 -INDEX GOES BRRR: 9 X: 0.59375 -INDEX GOES BRRR: 165 X: 10.3691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.4541 -INDEX GOES BRRR: 46 X: 2.88477 -INDEX GOES BRRR: 982 X: -2.56738 -INDEX GOES BRRR: 232 X: 14.5566 -INDEX GOES BRRR: 66 X: 4.15234 -INDEX GOES BRRR: 105 X: 6.58203 -INDEX GOES BRRR: 100 X: 6.28711 -INDEX GOES BRRR: 287 X: 17.9492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 399 X: 24.9648 -INDEX GOES BRRR: 390 X: 24.4258 -INDEX GOES BRRR: 55 X: 3.4668 -INDEX GOES BRRR: 371 X: 23.2109 -INDEX GOES BRRR: 253 X: 15.8213 -INDEX GOES BRRR: 267 X: 16.7373 -INDEX GOES BRRR: 437 X: 27.3281 -INDEX GOES BRRR: 227 X: 14.2402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5107 -INDEX GOES BRRR: 244 X: 15.2871 -INDEX GOES BRRR: 156 X: 9.79688 -INDEX GOES BRRR: 217 X: 13.5654 -INDEX GOES BRRR: 336 X: 21.0381 -INDEX GOES BRRR: 191 X: 11.9883 -INDEX GOES BRRR: 1014 X: -0.618164 -INDEX GOES BRRR: 341 X: 21.334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.300781 -INDEX GOES BRRR: 249 X: 15.5693 -INDEX GOES BRRR: 179 X: 11.2373 -INDEX GOES BRRR: 222 X: 13.9014 -INDEX GOES BRRR: 891 X: -8.25586 -INDEX GOES BRRR: 410 X: 25.6699 -INDEX GOES BRRR: 954 X: -4.37207 -INDEX GOES BRRR: 290 X: 18.1846 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.38574 -INDEX GOES BRRR: 64 X: 4.00781 -INDEX GOES BRRR: 432 X: 27.002 -INDEX GOES BRRR: 406 X: 25.3799 -INDEX GOES BRRR: 957 X: -4.16406 -INDEX GOES BRRR: 55 X: 3.47461 -INDEX GOES BRRR: 446 X: 27.8896 -INDEX GOES BRRR: 170 X: 10.626 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.94531 -INDEX GOES BRRR: 159 X: 9.99609 -INDEX GOES BRRR: 967 X: -3.51074 -INDEX GOES BRRR: 208 X: 13.0273 -INDEX GOES BRRR: 106 X: 6.64941 -INDEX GOES BRRR: 218 X: 13.6816 -INDEX GOES BRRR: 936 X: -5.46973 -INDEX GOES BRRR: 67 X: 4.20117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 461 X: 28.8447 -INDEX GOES BRRR: 897 X: -7.89551 -INDEX GOES BRRR: 197 X: 12.3613 -INDEX GOES BRRR: 141 X: 8.83496 -INDEX GOES BRRR: 68 X: 4.28809 -INDEX GOES BRRR: 162 X: 10.1641 -INDEX GOES BRRR: 881 X: -8.89355 -INDEX GOES BRRR: 104 X: 6.52441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.69629 -INDEX GOES BRRR: 18 X: 1.1416 -INDEX GOES BRRR: 462 X: 28.9121 -INDEX GOES BRRR: 115 X: 7.21582 -INDEX GOES BRRR: 158 X: 9.90625 -INDEX GOES BRRR: 134 X: 8.42969 -INDEX GOES BRRR: 268 X: 16.7656 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9971 -INDEX GOES BRRR: 459 X: 28.7119 -INDEX GOES BRRR: 38 X: 2.42285 -INDEX GOES BRRR: 229 X: 14.335 -INDEX GOES BRRR: 357 X: 22.3613 -INDEX GOES BRRR: 921 X: -6.4209 -INDEX GOES BRRR: 266 X: 16.6387 -INDEX GOES BRRR: 118 X: 7.41406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6289 -INDEX GOES BRRR: 385 X: 24.1104 -INDEX GOES BRRR: 30 X: 1.93359 -INDEX GOES BRRR: 231 X: 14.4805 -INDEX GOES BRRR: 207 X: 12.9385 -INDEX GOES BRRR: 143 X: 8.94824 -INDEX GOES BRRR: 946 X: -4.81836 -INDEX GOES BRRR: 72 X: 4.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4922 -INDEX GOES BRRR: 188 X: 11.7607 -INDEX GOES BRRR: 53 X: 3.36133 -INDEX GOES BRRR: 36 X: 2.28711 -INDEX GOES BRRR: 66 X: 4.14551 -INDEX GOES BRRR: 46 X: 2.89844 -INDEX GOES BRRR: 79 X: 4.95703 -INDEX GOES BRRR: 272 X: 17.0127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 478 X: 29.8916 -INDEX GOES BRRR: 880 X: -8.97363 -INDEX GOES BRRR: 1013 X: -0.626953 -INDEX GOES BRRR: 253 X: 15.8525 -INDEX GOES BRRR: 930 X: -5.8584 -INDEX GOES BRRR: 817 X: -12.8965 -INDEX GOES BRRR: 261 X: 16.3164 -INDEX GOES BRRR: 169 X: 10.5684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9033 -INDEX GOES BRRR: 261 X: 16.3311 -INDEX GOES BRRR: 64 X: 4.03516 -INDEX GOES BRRR: 358 X: 22.4316 -INDEX GOES BRRR: 260 X: 16.2656 -INDEX GOES BRRR: 1020 X: -0.219727 -INDEX GOES BRRR: 675 X: -21.7754 -INDEX GOES BRRR: 922 X: -6.31738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.23145 -INDEX GOES BRRR: 952 X: -4.47852 -INDEX GOES BRRR: 316 X: 19.8066 -INDEX GOES BRRR: 259 X: 16.2021 -INDEX GOES BRRR: 963 X: -3.77734 -INDEX GOES BRRR: 72 X: 4.51172 -INDEX GOES BRRR: 157 X: 9.83301 -INDEX GOES BRRR: 124 X: 7.75391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.24316 -INDEX GOES BRRR: 803 X: -13.7734 -INDEX GOES BRRR: 413 X: 25.8477 -INDEX GOES BRRR: 109 X: 6.85938 -INDEX GOES BRRR: 179 X: 11.2119 -INDEX GOES BRRR: 62 X: 3.88867 -INDEX GOES BRRR: 178 X: 11.166 -INDEX GOES BRRR: 255 X: 15.999 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.517578 -INDEX GOES BRRR: 190 X: 11.8809 -INDEX GOES BRRR: 182 X: 11.4033 -INDEX GOES BRRR: 257 X: 16.0645 -INDEX GOES BRRR: 122 X: 7.65234 -INDEX GOES BRRR: 816 X: -12.9395 -INDEX GOES BRRR: 842 X: -11.3457 -INDEX GOES BRRR: 193 X: 12.0664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9961 -INDEX GOES BRRR: 173 X: 10.8281 -INDEX GOES BRRR: 354 X: 22.1484 -INDEX GOES BRRR: 174 X: 10.8906 -INDEX GOES BRRR: 35 X: 2.19922 -INDEX GOES BRRR: 42 X: 2.6709 -INDEX GOES BRRR: 219 X: 13.6924 -INDEX GOES BRRR: 277 X: 17.3477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 425 X: 26.5938 -INDEX GOES BRRR: 135 X: 8.49805 -INDEX GOES BRRR: 145 X: 9.0791 -INDEX GOES BRRR: 437 X: 27.3193 -INDEX GOES BRRR: 924 X: -6.21094 -INDEX GOES BRRR: 56 X: 3.51172 -INDEX GOES BRRR: 963 X: -3.76367 -INDEX GOES BRRR: 981 X: -2.66211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 404 X: 25.2812 -INDEX GOES BRRR: 337 X: 21.0889 -INDEX GOES BRRR: 469 X: 29.334 -INDEX GOES BRRR: 232 X: 14.5518 -INDEX GOES BRRR: 977 X: -2.92773 -INDEX GOES BRRR: 184 X: 11.5244 -INDEX GOES BRRR: 855 X: -10.5283 -INDEX GOES BRRR: 257 X: 16.1182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.54395 -INDEX GOES BRRR: 200 X: 12.5244 -INDEX GOES BRRR: 192 X: 12.0254 -INDEX GOES BRRR: 97 X: 6.11719 -INDEX GOES BRRR: 930 X: -5.8418 -INDEX GOES BRRR: 153 X: 9.57422 -INDEX GOES BRRR: 153 X: 9.5918 -INDEX GOES BRRR: 896 X: -7.94629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.43848 -INDEX GOES BRRR: 371 X: 23.2412 -INDEX GOES BRRR: 38 X: 2.38965 -INDEX GOES BRRR: 74 X: 4.63086 -INDEX GOES BRRR: 195 X: 12.2051 -INDEX GOES BRRR: 392 X: 24.5439 -INDEX GOES BRRR: 316 X: 19.7793 -INDEX GOES BRRR: 1006 X: -1.09082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6689 -INDEX GOES BRRR: 48 X: 3.00293 -INDEX GOES BRRR: 48 X: 3.01172 -INDEX GOES BRRR: 59 X: 3.68848 -INDEX GOES BRRR: 108 X: 6.79883 -INDEX GOES BRRR: 398 X: 24.9043 -INDEX GOES BRRR: 293 X: 18.3711 -INDEX GOES BRRR: 427 X: 26.7383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 936 X: -5.45898 -INDEX GOES BRRR: 389 X: 24.3506 -INDEX GOES BRRR: 286 X: 17.9033 -INDEX GOES BRRR: 109 X: 6.83594 -INDEX GOES BRRR: 154 X: 9.6582 -INDEX GOES BRRR: 94 X: 5.91699 -INDEX GOES BRRR: 193 X: 12.083 -INDEX GOES BRRR: 171 X: 10.7354 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1012 X: -0.723633 -INDEX GOES BRRR: 217 X: 13.5713 -INDEX GOES BRRR: 271 X: 16.958 -INDEX GOES BRRR: 261 X: 16.3135 -INDEX GOES BRRR: 158 X: 9.92969 -INDEX GOES BRRR: 360 X: 22.5107 -INDEX GOES BRRR: 37 X: 2.33105 -INDEX GOES BRRR: 1005 X: -1.13086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 952 X: -4.48145 -INDEX GOES BRRR: 318 X: 19.9355 -INDEX GOES BRRR: 35 X: 2.19434 -INDEX GOES BRRR: 964 X: -3.69336 -INDEX GOES BRRR: 380 X: 23.7949 -INDEX GOES BRRR: 885 X: -8.63867 -INDEX GOES BRRR: 109 X: 6.8291 -INDEX GOES BRRR: 263 X: 16.4736 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.46973 -INDEX GOES BRRR: 103 X: 6.49023 -INDEX GOES BRRR: 881 X: -8.91699 -INDEX GOES BRRR: 241 X: 15.0811 -INDEX GOES BRRR: 917 X: -6.6416 -INDEX GOES BRRR: 169 X: 10.5986 -INDEX GOES BRRR: 449 X: 28.1152 -INDEX GOES BRRR: 34 X: 2.1709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.21875 -INDEX GOES BRRR: 31 X: 1.94141 -INDEX GOES BRRR: 850 X: -10.8643 -INDEX GOES BRRR: 172 X: 10.7969 -INDEX GOES BRRR: 839 X: -11.5361 -INDEX GOES BRRR: 1018 X: -0.318359 -INDEX GOES BRRR: 33 X: 2.11035 -INDEX GOES BRRR: 96 X: 6.00781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 767 X: -16.0059 -INDEX GOES BRRR: 345 X: 21.5986 -INDEX GOES BRRR: 10 X: 0.683594 -INDEX GOES BRRR: 129 X: 8.07812 -INDEX GOES BRRR: 115 X: 7.20703 -INDEX GOES BRRR: 55 X: 3.49121 -INDEX GOES BRRR: 122 X: 7.65625 -INDEX GOES BRRR: 910 X: -7.06445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.1357 -INDEX GOES BRRR: 11 X: 0.69043 -INDEX GOES BRRR: 137 X: 8.57422 -INDEX GOES BRRR: 305 X: 19.0986 -INDEX GOES BRRR: 227 X: 14.2061 -INDEX GOES BRRR: 116 X: 7.27441 -INDEX GOES BRRR: 125 X: 7.87305 -INDEX GOES BRRR: 198 X: 12.4199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.70312 -INDEX GOES BRRR: 848 X: -10.9766 -INDEX GOES BRRR: 430 X: 26.916 -INDEX GOES BRRR: 84 X: 5.2793 -INDEX GOES BRRR: 10 X: 0.668945 -INDEX GOES BRRR: 436 X: 27.2529 -INDEX GOES BRRR: 354 X: 22.165 -INDEX GOES BRRR: 120 X: 7.54492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.26074 -INDEX GOES BRRR: 266 X: 16.666 -INDEX GOES BRRR: 132 X: 8.26172 -INDEX GOES BRRR: 82 X: 5.14844 -INDEX GOES BRRR: 306 X: 19.1797 -INDEX GOES BRRR: 207 X: 12.9443 -INDEX GOES BRRR: 983 X: -2.50586 -INDEX GOES BRRR: 201 X: 12.6201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 319 X: 19.9922 -INDEX GOES BRRR: 973 X: -3.16602 -INDEX GOES BRRR: 89 X: 5.6084 -INDEX GOES BRRR: 136 X: 8.53125 -INDEX GOES BRRR: 178 X: 11.1855 -INDEX GOES BRRR: 262 X: 16.4268 -INDEX GOES BRRR: 171 X: 10.7041 -INDEX GOES BRRR: 214 X: 13.4268 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.04004 -INDEX GOES BRRR: 129 X: 8.07715 -INDEX GOES BRRR: 84 X: 5.2627 -INDEX GOES BRRR: 279 X: 17.4619 -INDEX GOES BRRR: 131 X: 8.23438 -INDEX GOES BRRR: 139 X: 8.74609 -INDEX GOES BRRR: 348 X: 21.7656 -INDEX GOES BRRR: 208 X: 13.0381 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7451 -INDEX GOES BRRR: 982 X: -2.56641 -INDEX GOES BRRR: 878 X: -9.09668 -INDEX GOES BRRR: 869 X: -9.68164 -INDEX GOES BRRR: 214 X: 13.3936 -INDEX GOES BRRR: 384 X: 24.0576 -INDEX GOES BRRR: 120 X: 7.50391 -INDEX GOES BRRR: 348 X: 21.7715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.09277 -INDEX GOES BRRR: 208 X: 13.0391 -INDEX GOES BRRR: 197 X: 12.3467 -INDEX GOES BRRR: 113 X: 7.06641 -INDEX GOES BRRR: 189 X: 11.8564 -INDEX GOES BRRR: 330 X: 20.6602 -INDEX GOES BRRR: 900 X: -7.7168 -INDEX GOES BRRR: 116 X: 7.2832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 342 X: 21.4277 -INDEX GOES BRRR: 835 X: -11.7959 -INDEX GOES BRRR: 197 X: 12.3301 -INDEX GOES BRRR: 1020 X: -0.192383 -INDEX GOES BRRR: 330 X: 20.6338 -INDEX GOES BRRR: 234 X: 14.6826 -INDEX GOES BRRR: 255 X: 15.9443 -INDEX GOES BRRR: 218 X: 13.6426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1816 -INDEX GOES BRRR: 393 X: 24.6094 -INDEX GOES BRRR: 193 X: 12.0986 -INDEX GOES BRRR: 2 X: 0.166016 -INDEX GOES BRRR: 348 X: 21.7744 -INDEX GOES BRRR: 62 X: 3.8916 -INDEX GOES BRRR: 248 X: 15.5264 -INDEX GOES BRRR: 167 X: 10.4824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.76172 -INDEX GOES BRRR: 119 X: 7.47559 -INDEX GOES BRRR: 757 X: -16.6494 -INDEX GOES BRRR: 210 X: 13.1279 -INDEX GOES BRRR: 977 X: -2.88477 -INDEX GOES BRRR: 101 X: 6.35938 -INDEX GOES BRRR: 257 X: 16.0986 -INDEX GOES BRRR: 23 X: 1.47754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.27051 -INDEX GOES BRRR: 255 X: 15.9834 -INDEX GOES BRRR: 199 X: 12.4639 -INDEX GOES BRRR: 937 X: -5.39551 -INDEX GOES BRRR: 315 X: 19.7432 -INDEX GOES BRRR: 108 X: 6.7793 -INDEX GOES BRRR: 74 X: 4.64648 -INDEX GOES BRRR: 436 X: 27.2646 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.94434 -INDEX GOES BRRR: 257 X: 16.0879 -INDEX GOES BRRR: 147 X: 9.21973 -INDEX GOES BRRR: 283 X: 17.7383 -INDEX GOES BRRR: 10 X: 0.643555 -INDEX GOES BRRR: 395 X: 24.6973 -INDEX GOES BRRR: 429 X: 26.8311 -INDEX GOES BRRR: 241 X: 15.0732 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9082 -INDEX GOES BRRR: 196 X: 12.292 -INDEX GOES BRRR: 413 X: 25.8535 -INDEX GOES BRRR: 314 X: 19.6768 -INDEX GOES BRRR: 235 X: 14.7158 -INDEX GOES BRRR: 205 X: 12.8379 -INDEX GOES BRRR: 65 X: 4.09961 -INDEX GOES BRRR: 416 X: 26.0488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.74121 -INDEX GOES BRRR: 123 X: 7.70215 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 93 X: 5.81836 -INDEX GOES BRRR: 336 X: 21.002 -INDEX GOES BRRR: 199 X: 12.4707 -INDEX GOES BRRR: 354 X: 22.1602 -INDEX GOES BRRR: 150 X: 9.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.08887 -INDEX GOES BRRR: 242 X: 15.1807 -INDEX GOES BRRR: 237 X: 14.832 -INDEX GOES BRRR: 996 X: -1.70801 -INDEX GOES BRRR: 896 X: -7.98242 -INDEX GOES BRRR: 42 X: 2.62598 -INDEX GOES BRRR: 235 X: 14.7012 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 964 X: -3.73242 -INDEX GOES BRRR: 258 X: 16.1826 -INDEX GOES BRRR: 283 X: 17.7305 -INDEX GOES BRRR: 12 X: 0.796875 -INDEX GOES BRRR: 249 X: 15.5957 -INDEX GOES BRRR: 44 X: 2.7998 -INDEX GOES BRRR: 113 X: 7.09375 -INDEX GOES BRRR: 262 X: 16.3926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 440 X: 27.5117 -INDEX GOES BRRR: 200 X: 12.5527 -INDEX GOES BRRR: 328 X: 20.5352 -INDEX GOES BRRR: 191 X: 11.9561 -INDEX GOES BRRR: 329 X: 20.583 -INDEX GOES BRRR: 940 X: -5.23828 -INDEX GOES BRRR: 1003 X: -1.30762 -INDEX GOES BRRR: 996 X: -1.69141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 981 X: -2.68457 -INDEX GOES BRRR: 154 X: 9.63867 -INDEX GOES BRRR: 84 X: 5.28223 -INDEX GOES BRRR: 73 X: 4.57422 -INDEX GOES BRRR: 118 X: 7.43164 -INDEX GOES BRRR: 116 X: 7.29102 -INDEX GOES BRRR: 265 X: 16.5781 -INDEX GOES BRRR: 75 X: 4.70312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.36133 -INDEX GOES BRRR: 341 X: 21.3467 -INDEX GOES BRRR: 266 X: 16.668 -INDEX GOES BRRR: 463 X: 28.9873 -INDEX GOES BRRR: 85 X: 5.34473 -INDEX GOES BRRR: 245 X: 15.3672 -INDEX GOES BRRR: 67 X: 4.2002 -INDEX GOES BRRR: 301 X: 18.8145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 330 X: 20.6553 -INDEX GOES BRRR: 970 X: -3.33105 -INDEX GOES BRRR: 232 X: 14.5576 -INDEX GOES BRRR: 42 X: 2.68262 -INDEX GOES BRRR: 1014 X: -0.574219 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 79 X: 4.99219 -INDEX GOES BRRR: 129 X: 8.11816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 903 X: -7.54395 -INDEX GOES BRRR: 61 X: 3.82715 -INDEX GOES BRRR: 968 X: -3.48828 -INDEX GOES BRRR: 275 X: 17.1875 -INDEX GOES BRRR: 413 X: 25.8643 -INDEX GOES BRRR: 241 X: 15.0898 -INDEX GOES BRRR: 60 X: 3.75 -INDEX GOES BRRR: 435 X: 27.2188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.13086 -INDEX GOES BRRR: 975 X: -3.04297 -INDEX GOES BRRR: 15 X: 0.942383 -INDEX GOES BRRR: 277 X: 17.3516 -INDEX GOES BRRR: 44 X: 2.76758 -INDEX GOES BRRR: 368 X: 23.0029 -INDEX GOES BRRR: 30 X: 1.88965 -INDEX GOES BRRR: 65 X: 4.08691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 276 X: 17.3027 -INDEX GOES BRRR: 149 X: 9.31641 -INDEX GOES BRRR: 990 X: -2.11133 -INDEX GOES BRRR: 344 X: 21.5293 -INDEX GOES BRRR: 151 X: 9.49414 -INDEX GOES BRRR: 268 X: 16.7646 -INDEX GOES BRRR: 62 X: 3.88379 -INDEX GOES BRRR: 93 X: 5.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6543 -INDEX GOES BRRR: 105 X: 6.59961 -INDEX GOES BRRR: 113 X: 7.09277 -INDEX GOES BRRR: 951 X: -4.53027 -INDEX GOES BRRR: 132 X: 8.26367 -INDEX GOES BRRR: 250 X: 15.6455 -INDEX GOES BRRR: 37 X: 2.36035 -INDEX GOES BRRR: 991 X: -2.02637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.10156 -INDEX GOES BRRR: 227 X: 14.2021 -INDEX GOES BRRR: 171 X: 10.6875 -INDEX GOES BRRR: 312 X: 19.501 -INDEX GOES BRRR: 95 X: 5.98633 -INDEX GOES BRRR: 43 X: 2.69531 -INDEX GOES BRRR: 421 X: 26.3613 -INDEX GOES BRRR: 159 X: 9.95605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.541 -INDEX GOES BRRR: 214 X: 13.4111 -INDEX GOES BRRR: 1019 X: -0.293945 -INDEX GOES BRRR: 187 X: 11.6953 -INDEX GOES BRRR: 73 X: 4.5957 -INDEX GOES BRRR: 18 X: 1.12695 -INDEX GOES BRRR: 401 X: 25.1201 -INDEX GOES BRRR: 32 X: 2.00098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.49902 -INDEX GOES BRRR: 162 X: 10.165 -INDEX GOES BRRR: 289 X: 18.1104 -INDEX GOES BRRR: 99 X: 6.19922 -INDEX GOES BRRR: 115 X: 7.23926 -INDEX GOES BRRR: 152 X: 9.51367 -INDEX GOES BRRR: 158 X: 9.90137 -INDEX GOES BRRR: 265 X: 16.5889 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 446 X: 27.9092 -INDEX GOES BRRR: 956 X: -4.25 -INDEX GOES BRRR: 972 X: -3.20703 -INDEX GOES BRRR: 114 X: 7.17383 -INDEX GOES BRRR: 445 X: 27.8564 -INDEX GOES BRRR: 148 X: 9.30566 -INDEX GOES BRRR: 393 X: 24.5771 -INDEX GOES BRRR: 283 X: 17.7207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2588 -INDEX GOES BRRR: 273 X: 17.0947 -INDEX GOES BRRR: 407 X: 25.4756 -INDEX GOES BRRR: 60 X: 3.77539 -INDEX GOES BRRR: 158 X: 9.875 -INDEX GOES BRRR: 165 X: 10.3203 -INDEX GOES BRRR: 414 X: 25.9229 -INDEX GOES BRRR: 909 X: -7.15527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0977 -INDEX GOES BRRR: 970 X: -3.33398 -INDEX GOES BRRR: 258 X: 16.1475 -INDEX GOES BRRR: 225 X: 14.0713 -INDEX GOES BRRR: 215 X: 13.4434 -INDEX GOES BRRR: 996 X: -1.73828 -INDEX GOES BRRR: 47 X: 2.95312 -INDEX GOES BRRR: 135 X: 8.49902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6855 -INDEX GOES BRRR: 30 X: 1.87695 -INDEX GOES BRRR: 191 X: 11.9424 -INDEX GOES BRRR: 389 X: 24.334 -INDEX GOES BRRR: 294 X: 18.4346 -INDEX GOES BRRR: 347 X: 21.7432 -INDEX GOES BRRR: 164 X: 10.292 -INDEX GOES BRRR: 384 X: 24.0029 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.249 -INDEX GOES BRRR: 13 X: 0.84375 -INDEX GOES BRRR: 282 X: 17.6689 -INDEX GOES BRRR: 197 X: 12.3223 -INDEX GOES BRRR: 157 X: 9.81934 -INDEX GOES BRRR: 819 X: -12.7861 -INDEX GOES BRRR: 262 X: 16.4277 -INDEX GOES BRRR: 211 X: 13.1914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.31836 -INDEX GOES BRRR: 46 X: 2.9209 -INDEX GOES BRRR: 368 X: 23.0029 -INDEX GOES BRRR: 965 X: -3.64062 -INDEX GOES BRRR: 873 X: -9.39551 -INDEX GOES BRRR: 967 X: -3.5166 -INDEX GOES BRRR: 336 X: 21.0459 -INDEX GOES BRRR: 158 X: 9.88574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9883 -INDEX GOES BRRR: 187 X: 11.7109 -INDEX GOES BRRR: 242 X: 15.1523 -INDEX GOES BRRR: 996 X: -1.71191 -INDEX GOES BRRR: 315 X: 19.6924 -INDEX GOES BRRR: 176 X: 11.0596 -INDEX GOES BRRR: 271 X: 16.9414 -INDEX GOES BRRR: 966 X: -3.56836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1 X: 0.078125 -INDEX GOES BRRR: 19 X: 1.19922 -INDEX GOES BRRR: 127 X: 7.97168 -INDEX GOES BRRR: 313 X: 19.5654 -INDEX GOES BRRR: 321 X: 20.1064 -INDEX GOES BRRR: 93 X: 5.83496 -INDEX GOES BRRR: 157 X: 9.8623 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1008 X: -0.951172 -INDEX GOES BRRR: 67 X: 4.19531 -INDEX GOES BRRR: 843 X: -11.2627 -INDEX GOES BRRR: 977 X: -2.90723 -INDEX GOES BRRR: 57 X: 3.62402 -INDEX GOES BRRR: 256 X: 16.04 -INDEX GOES BRRR: 361 X: 22.6074 -INDEX GOES BRRR: 101 X: 6.36816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 285 X: 17.8564 -INDEX GOES BRRR: 398 X: 24.9072 -INDEX GOES BRRR: 90 X: 5.67773 -INDEX GOES BRRR: 97 X: 6.11523 -INDEX GOES BRRR: 147 X: 9.18848 -INDEX GOES BRRR: 1003 X: -1.30371 -INDEX GOES BRRR: 121 X: 7.56348 -INDEX GOES BRRR: 188 X: 11.7773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.52051 -INDEX GOES BRRR: 62 X: 3.90625 -INDEX GOES BRRR: 146 X: 9.17676 -INDEX GOES BRRR: 365 X: 22.834 -INDEX GOES BRRR: 235 X: 14.7275 -INDEX GOES BRRR: 245 X: 15.3545 -INDEX GOES BRRR: 296 X: 18.5205 -INDEX GOES BRRR: 291 X: 18.2246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 797 X: -14.1592 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 972 X: -3.21582 -INDEX GOES BRRR: 117 X: 7.31543 -INDEX GOES BRRR: 309 X: 19.3496 -INDEX GOES BRRR: 336 X: 21.04 -INDEX GOES BRRR: 78 X: 4.89746 -INDEX GOES BRRR: 187 X: 11.7275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.584 -INDEX GOES BRRR: 364 X: 22.8008 -INDEX GOES BRRR: 347 X: 21.7393 -INDEX GOES BRRR: 190 X: 11.916 -INDEX GOES BRRR: 954 X: -4.32324 -INDEX GOES BRRR: 727 X: -18.5527 -INDEX GOES BRRR: 109 X: 6.85254 -INDEX GOES BRRR: 222 X: 13.8818 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 953 X: -4.38281 -INDEX GOES BRRR: 363 X: 22.7197 -INDEX GOES BRRR: 62 X: 3.88867 -INDEX GOES BRRR: 62 X: 3.91602 -INDEX GOES BRRR: 142 X: 8.9248 -INDEX GOES BRRR: 113 X: 7.08398 -INDEX GOES BRRR: 62 X: 3.88281 -INDEX GOES BRRR: 22 X: 1.42578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.63672 -INDEX GOES BRRR: 293 X: 18.3477 -INDEX GOES BRRR: 158 X: 9.88086 -INDEX GOES BRRR: 253 X: 15.8516 -INDEX GOES BRRR: 354 X: 22.1689 -INDEX GOES BRRR: 48 X: 3.05078 -INDEX GOES BRRR: 270 X: 16.8838 -INDEX GOES BRRR: 8 X: 0.510742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.34277 -INDEX GOES BRRR: 38 X: 2.41602 -INDEX GOES BRRR: 991 X: -2.05273 -INDEX GOES BRRR: 224 X: 14.0361 -INDEX GOES BRRR: 327 X: 20.4824 -INDEX GOES BRRR: 180 X: 11.2803 -INDEX GOES BRRR: 207 X: 12.9863 -INDEX GOES BRRR: 180 X: 11.2783 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.8857 -INDEX GOES BRRR: 826 X: -12.3682 -INDEX GOES BRRR: 903 X: -7.50391 -INDEX GOES BRRR: 359 X: 22.4512 -INDEX GOES BRRR: 97 X: 6.08008 -INDEX GOES BRRR: 985 X: -2.4043 -INDEX GOES BRRR: 170 X: 10.6475 -INDEX GOES BRRR: 945 X: -4.92969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9824 -INDEX GOES BRRR: 205 X: 12.8232 -INDEX GOES BRRR: 442 X: 27.6865 -INDEX GOES BRRR: 971 X: -3.25391 -INDEX GOES BRRR: 246 X: 15.4004 -INDEX GOES BRRR: 159 X: 9.99414 -INDEX GOES BRRR: 323 X: 20.2236 -INDEX GOES BRRR: 238 X: 14.9092 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.41211 -INDEX GOES BRRR: 281 X: 17.5674 -INDEX GOES BRRR: 85 X: 5.33789 -INDEX GOES BRRR: 454 X: 28.3945 -INDEX GOES BRRR: 278 X: 17.3818 -INDEX GOES BRRR: 193 X: 12.0703 -INDEX GOES BRRR: 88 X: 5.52734 -INDEX GOES BRRR: 387 X: 24.2012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.07617 -INDEX GOES BRRR: 240 X: 15.0078 -INDEX GOES BRRR: 84 X: 5.25391 -INDEX GOES BRRR: 280 X: 17.5566 -INDEX GOES BRRR: 180 X: 11.3086 -INDEX GOES BRRR: 494 X: 30.8818 -INDEX GOES BRRR: 854 X: -10.5986 -INDEX GOES BRRR: 1020 X: -0.203125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 78 X: 4.92188 -INDEX GOES BRRR: 307 X: 19.2402 -INDEX GOES BRRR: 192 X: 12.0166 -INDEX GOES BRRR: 988 X: -2.20605 -INDEX GOES BRRR: 373 X: 23.3535 -INDEX GOES BRRR: 92 X: 5.79004 -INDEX GOES BRRR: 69 X: 4.33594 -INDEX GOES BRRR: 113 X: 7.12305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3408 -INDEX GOES BRRR: 953 X: -4.4209 -INDEX GOES BRRR: 184 X: 11.5264 -INDEX GOES BRRR: 404 X: 25.2549 -INDEX GOES BRRR: 120 X: 7.51953 -INDEX GOES BRRR: 300 X: 18.7656 -INDEX GOES BRRR: 49 X: 3.11914 -INDEX GOES BRRR: 207 X: 12.9385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 383 X: 23.9922 -INDEX GOES BRRR: 297 X: 18.6006 -INDEX GOES BRRR: 286 X: 17.9072 -INDEX GOES BRRR: 180 X: 11.3037 -INDEX GOES BRRR: 231 X: 14.4824 -INDEX GOES BRRR: 276 X: 17.3027 -INDEX GOES BRRR: 843 X: -11.2637 -INDEX GOES BRRR: 1017 X: -0.4375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.47266 -INDEX GOES BRRR: 100 X: 6.28711 -INDEX GOES BRRR: 197 X: 12.3701 -INDEX GOES BRRR: 234 X: 14.6777 -INDEX GOES BRRR: 125 X: 7.83691 -INDEX GOES BRRR: 106 X: 6.63672 -INDEX GOES BRRR: 895 X: -8.05957 -INDEX GOES BRRR: 351 X: 21.9873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.3662 -INDEX GOES BRRR: 369 X: 23.083 -INDEX GOES BRRR: 358 X: 22.4043 -INDEX GOES BRRR: 387 X: 24.2051 -INDEX GOES BRRR: 293 X: 18.3525 -INDEX GOES BRRR: 365 X: 22.8418 -INDEX GOES BRRR: 982 X: -2.58105 -INDEX GOES BRRR: 16 X: 1.0166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.06738 -INDEX GOES BRRR: 319 X: 19.9414 -INDEX GOES BRRR: 287 X: 17.9795 -INDEX GOES BRRR: 976 X: -2.95703 -INDEX GOES BRRR: 343 X: 21.4443 -INDEX GOES BRRR: 941 X: -5.14453 -INDEX GOES BRRR: 167 X: 10.4424 -INDEX GOES BRRR: 91 X: 5.7334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 22 X: 1.43359 -INDEX GOES BRRR: 44 X: 2.80371 -INDEX GOES BRRR: 162 X: 10.1865 -INDEX GOES BRRR: 348 X: 21.79 -INDEX GOES BRRR: 143 X: 8.93945 -INDEX GOES BRRR: 121 X: 7.5957 -INDEX GOES BRRR: 979 X: -2.76074 -INDEX GOES BRRR: 49 X: 3.11816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.68262 -INDEX GOES BRRR: 183 X: 11.4854 -INDEX GOES BRRR: 132 X: 8.28516 -INDEX GOES BRRR: 34 X: 2.15332 -INDEX GOES BRRR: 398 X: 24.8896 -INDEX GOES BRRR: 140 X: 8.79199 -INDEX GOES BRRR: 304 X: 19.0439 -INDEX GOES BRRR: 221 X: 13.8408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 943 X: -5.02441 -INDEX GOES BRRR: 924 X: -6.22559 -INDEX GOES BRRR: 218 X: 13.6309 -INDEX GOES BRRR: 170 X: 10.6387 -INDEX GOES BRRR: 392 X: 24.5391 -INDEX GOES BRRR: 283 X: 17.7002 -INDEX GOES BRRR: 232 X: 14.5254 -INDEX GOES BRRR: 111 X: 6.98926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2607 -INDEX GOES BRRR: 91 X: 5.74707 -INDEX GOES BRRR: 317 X: 19.8574 -INDEX GOES BRRR: 271 X: 16.9443 -INDEX GOES BRRR: 185 X: 11.6084 -INDEX GOES BRRR: 991 X: -2.0625 -INDEX GOES BRRR: 430 X: 26.9199 -INDEX GOES BRRR: 68 X: 4.31055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.68262 -INDEX GOES BRRR: 176 X: 11.0342 -INDEX GOES BRRR: 907 X: -7.29004 -INDEX GOES BRRR: 163 X: 10.1992 -INDEX GOES BRRR: 270 X: 16.8955 -INDEX GOES BRRR: 138 X: 8.66992 -INDEX GOES BRRR: 221 X: 13.8369 -INDEX GOES BRRR: 151 X: 9.49805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.351562 -INDEX GOES BRRR: 67 X: 4.23047 -INDEX GOES BRRR: 101 X: 6.31836 -INDEX GOES BRRR: 476 X: 29.7871 -INDEX GOES BRRR: 148 X: 9.30762 -INDEX GOES BRRR: 296 X: 18.5381 -INDEX GOES BRRR: 377 X: 23.5889 -INDEX GOES BRRR: 915 X: -6.76074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 414 X: 25.9072 -INDEX GOES BRRR: 108 X: 6.75586 -INDEX GOES BRRR: 304 X: 19.0254 -INDEX GOES BRRR: 154 X: 9.67188 -INDEX GOES BRRR: 169 X: 10.5908 -INDEX GOES BRRR: 121 X: 7.60156 -INDEX GOES BRRR: 398 X: 24.9365 -INDEX GOES BRRR: 281 X: 17.5645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 923 X: -6.28613 -INDEX GOES BRRR: 372 X: 23.293 -INDEX GOES BRRR: 98 X: 6.13867 -INDEX GOES BRRR: 124 X: 7.75977 -INDEX GOES BRRR: 41 X: 2.57422 -INDEX GOES BRRR: 421 X: 26.3418 -INDEX GOES BRRR: 945 X: -4.9248 -INDEX GOES BRRR: 160 X: 10.0117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.35645 -INDEX GOES BRRR: 321 X: 20.0664 -INDEX GOES BRRR: 315 X: 19.7021 -INDEX GOES BRRR: 51 X: 3.23926 -INDEX GOES BRRR: 35 X: 2.24121 -INDEX GOES BRRR: 329 X: 20.6035 -INDEX GOES BRRR: 106 X: 6.63965 -INDEX GOES BRRR: 184 X: 11.5215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.51465 -INDEX GOES BRRR: 78 X: 4.89648 -INDEX GOES BRRR: 101 X: 6.33691 -INDEX GOES BRRR: 979 X: -2.76367 -INDEX GOES BRRR: 126 X: 7.91797 -INDEX GOES BRRR: 409 X: 25.5859 -INDEX GOES BRRR: 33 X: 2.11719 -INDEX GOES BRRR: 229 X: 14.3516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9795 -INDEX GOES BRRR: 51 X: 3.20996 -INDEX GOES BRRR: 0 X: 0.0449219 -INDEX GOES BRRR: 276 X: 17.2881 -INDEX GOES BRRR: 214 X: 13.4121 -INDEX GOES BRRR: 174 X: 10.9072 -INDEX GOES BRRR: 167 X: 10.4561 -INDEX GOES BRRR: 228 X: 14.2988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 934 X: -5.57715 -INDEX GOES BRRR: 221 X: 13.8447 -INDEX GOES BRRR: 987 X: -2.26562 -INDEX GOES BRRR: 180 X: 11.251 -INDEX GOES BRRR: 985 X: -2.40723 -INDEX GOES BRRR: 208 X: 13.0342 -INDEX GOES BRRR: 243 X: 15.2129 -INDEX GOES BRRR: 859 X: -10.3086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 383 X: 23.9629 -INDEX GOES BRRR: 491 X: 30.7021 -INDEX GOES BRRR: 977 X: -2.87695 -INDEX GOES BRRR: 980 X: -2.70898 -INDEX GOES BRRR: 885 X: -8.66797 -INDEX GOES BRRR: 351 X: 21.9727 -INDEX GOES BRRR: 148 X: 9.26367 -INDEX GOES BRRR: 385 X: 24.085 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3506 -INDEX GOES BRRR: 249 X: 15.5654 -INDEX GOES BRRR: 179 X: 11.2256 -INDEX GOES BRRR: 315 X: 19.6924 -INDEX GOES BRRR: 14 X: 0.905273 -INDEX GOES BRRR: 288 X: 18.0508 -INDEX GOES BRRR: 80 X: 5.01367 -INDEX GOES BRRR: 172 X: 10.7656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3555 -INDEX GOES BRRR: 112 X: 7.05957 -INDEX GOES BRRR: 159 X: 9.95508 -INDEX GOES BRRR: 58 X: 3.68066 -INDEX GOES BRRR: 822 X: -12.6162 -INDEX GOES BRRR: 880 X: -8.95605 -INDEX GOES BRRR: 276 X: 17.2588 -INDEX GOES BRRR: 456 X: 28.5312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0654 -INDEX GOES BRRR: 770 X: -15.8428 -INDEX GOES BRRR: 156 X: 9.77051 -INDEX GOES BRRR: 122 X: 7.65234 -INDEX GOES BRRR: 61 X: 3.82324 -INDEX GOES BRRR: 31 X: 1.98535 -INDEX GOES BRRR: 55 X: 3.49121 -INDEX GOES BRRR: 231 X: 14.4541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.19531 -INDEX GOES BRRR: 331 X: 20.6904 -INDEX GOES BRRR: 266 X: 16.6738 -INDEX GOES BRRR: 26 X: 1.66895 -INDEX GOES BRRR: 202 X: 12.6455 -INDEX GOES BRRR: 963 X: -3.79688 -INDEX GOES BRRR: 277 X: 17.3691 -INDEX GOES BRRR: 143 X: 8.95605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 968 X: -3.45117 -INDEX GOES BRRR: 160 X: 10.0537 -INDEX GOES BRRR: 186 X: 11.6328 -INDEX GOES BRRR: 290 X: 18.1475 -INDEX GOES BRRR: 82 X: 5.15918 -INDEX GOES BRRR: 46 X: 2.92969 -INDEX GOES BRRR: 40 X: 2.5 -INDEX GOES BRRR: 53 X: 3.34863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 947 X: -4.78418 -INDEX GOES BRRR: 294 X: 18.4365 -INDEX GOES BRRR: 156 X: 9.80664 -INDEX GOES BRRR: 163 X: 10.249 -INDEX GOES BRRR: 287 X: 17.9678 -INDEX GOES BRRR: 244 X: 15.2881 -INDEX GOES BRRR: 452 X: 28.2539 -INDEX GOES BRRR: 351 X: 21.9678 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.79004 -INDEX GOES BRRR: 179 X: 11.248 -INDEX GOES BRRR: 148 X: 9.25293 -INDEX GOES BRRR: 487 X: 30.4434 -INDEX GOES BRRR: 234 X: 14.627 -INDEX GOES BRRR: 62 X: 3.87891 -INDEX GOES BRRR: 341 X: 21.3398 -INDEX GOES BRRR: 354 X: 22.1621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9619 -INDEX GOES BRRR: 60 X: 3.79102 -INDEX GOES BRRR: 121 X: 7.60938 -INDEX GOES BRRR: 210 X: 13.1826 -INDEX GOES BRRR: 150 X: 9.39941 -INDEX GOES BRRR: 965 X: -3.63574 -INDEX GOES BRRR: 86 X: 5.43262 -INDEX GOES BRRR: 448 X: 28.0342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.0459 -INDEX GOES BRRR: 21 X: 1.34766 -INDEX GOES BRRR: 998 X: -1.59082 -INDEX GOES BRRR: 143 X: 8.96777 -INDEX GOES BRRR: 149 X: 9.36914 -INDEX GOES BRRR: 43 X: 2.7041 -INDEX GOES BRRR: 146 X: 9.12891 -INDEX GOES BRRR: 34 X: 2.1582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.52832 -INDEX GOES BRRR: 244 X: 15.2539 -INDEX GOES BRRR: 77 X: 4.84961 -INDEX GOES BRRR: 213 X: 13.3584 -INDEX GOES BRRR: 162 X: 10.1484 -INDEX GOES BRRR: 95 X: 5.98047 -INDEX GOES BRRR: 151 X: 9.47852 -INDEX GOES BRRR: 353 X: 22.0908 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.05664 -INDEX GOES BRRR: 279 X: 17.4863 -INDEX GOES BRRR: 475 X: 29.748 -INDEX GOES BRRR: 3 X: 0.200195 -INDEX GOES BRRR: 132 X: 8.28125 -INDEX GOES BRRR: 44 X: 2.77148 -INDEX GOES BRRR: 39 X: 2.47363 -INDEX GOES BRRR: 142 X: 8.91016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.435547 -INDEX GOES BRRR: 936 X: -5.49023 -INDEX GOES BRRR: 146 X: 9.16211 -INDEX GOES BRRR: 234 X: 14.6826 -INDEX GOES BRRR: 11 X: 0.693359 -INDEX GOES BRRR: 271 X: 16.9854 -INDEX GOES BRRR: 249 X: 15.6201 -INDEX GOES BRRR: 20 X: 1.2998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8145 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 93 X: 5.82031 -INDEX GOES BRRR: 21 X: 1.37402 -INDEX GOES BRRR: 339 X: 21.2158 -INDEX GOES BRRR: 170 X: 10.6455 -INDEX GOES BRRR: 247 X: 15.4863 -INDEX GOES BRRR: 262 X: 16.3809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.23633 -INDEX GOES BRRR: 143 X: 8.97852 -INDEX GOES BRRR: 47 X: 2.94434 -INDEX GOES BRRR: 103 X: 6.44238 -INDEX GOES BRRR: 351 X: 21.9873 -INDEX GOES BRRR: 262 X: 16.3789 -INDEX GOES BRRR: 167 X: 10.4922 -INDEX GOES BRRR: 983 X: -2.51562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 200 X: 12.5596 -INDEX GOES BRRR: 181 X: 11.3682 -INDEX GOES BRRR: 436 X: 27.2705 -INDEX GOES BRRR: 236 X: 14.7715 -INDEX GOES BRRR: 949 X: -4.66406 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 923 X: -6.30371 -INDEX GOES BRRR: 106 X: 6.65039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4932 -INDEX GOES BRRR: 273 X: 17.1152 -INDEX GOES BRRR: 46 X: 2.91699 -INDEX GOES BRRR: 203 X: 12.7109 -INDEX GOES BRRR: 43 X: 2.73145 -INDEX GOES BRRR: 307 X: 19.2412 -INDEX GOES BRRR: 662 X: -22.625 -INDEX GOES BRRR: 150 X: 9.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 176 X: 11.0029 -INDEX GOES BRRR: 456 X: 28.543 -INDEX GOES BRRR: 250 X: 15.6543 -INDEX GOES BRRR: 322 X: 20.1299 -INDEX GOES BRRR: 234 X: 14.6367 -INDEX GOES BRRR: 152 X: 9.51367 -INDEX GOES BRRR: 233 X: 14.6123 -INDEX GOES BRRR: 368 X: 23.0469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.7607 -INDEX GOES BRRR: 953 X: -4.41895 -INDEX GOES BRRR: 12 X: 0.77832 -INDEX GOES BRRR: 122 X: 7.67676 -INDEX GOES BRRR: 845 X: -11.1572 -INDEX GOES BRRR: 159 X: 9.98145 -INDEX GOES BRRR: 154 X: 9.63477 -INDEX GOES BRRR: 56 X: 3.52637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.91699 -INDEX GOES BRRR: 976 X: -2.93945 -INDEX GOES BRRR: 188 X: 11.7852 -INDEX GOES BRRR: 466 X: 29.1768 -INDEX GOES BRRR: 45 X: 2.85059 -INDEX GOES BRRR: 130 X: 8.1709 -INDEX GOES BRRR: 302 X: 18.8906 -INDEX GOES BRRR: 409 X: 25.583 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2764 -INDEX GOES BRRR: 199 X: 12.4658 -INDEX GOES BRRR: 214 X: 13.4307 -INDEX GOES BRRR: 108 X: 6.78418 -INDEX GOES BRRR: 924 X: -6.19531 -INDEX GOES BRRR: 147 X: 9.21387 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 107 X: 6.71875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7119 -INDEX GOES BRRR: 157 X: 9.85742 -INDEX GOES BRRR: 47 X: 2.95215 -INDEX GOES BRRR: 85 X: 5.37305 -INDEX GOES BRRR: 134 X: 8.43555 -INDEX GOES BRRR: 323 X: 20.2275 -INDEX GOES BRRR: 442 X: 27.6699 -INDEX GOES BRRR: 70 X: 4.38184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2158 -INDEX GOES BRRR: 135 X: 8.46387 -INDEX GOES BRRR: 938 X: -5.32422 -INDEX GOES BRRR: 925 X: -6.16699 -INDEX GOES BRRR: 86 X: 5.41016 -INDEX GOES BRRR: 470 X: 29.4092 -INDEX GOES BRRR: 61 X: 3.84375 -INDEX GOES BRRR: 7 X: 0.461914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 979 X: -2.76172 -INDEX GOES BRRR: 7 X: 0.484375 -INDEX GOES BRRR: 266 X: 16.665 -INDEX GOES BRRR: 171 X: 10.6934 -INDEX GOES BRRR: 1014 X: -0.587891 -INDEX GOES BRRR: 330 X: 20.6865 -INDEX GOES BRRR: 884 X: -8.69141 -INDEX GOES BRRR: 213 X: 13.3232 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 916 X: -6.70996 -INDEX GOES BRRR: 915 X: -6.76367 -INDEX GOES BRRR: 204 X: 12.792 -INDEX GOES BRRR: 182 X: 11.3926 -INDEX GOES BRRR: 110 X: 6.91699 -INDEX GOES BRRR: 275 X: 17.2217 -INDEX GOES BRRR: 89 X: 5.56348 -INDEX GOES BRRR: 262 X: 16.4277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 965 X: -3.66406 -INDEX GOES BRRR: 411 X: 25.7129 -INDEX GOES BRRR: 222 X: 13.8867 -INDEX GOES BRRR: 318 X: 19.875 -INDEX GOES BRRR: 986 X: -2.37012 -INDEX GOES BRRR: 101 X: 6.35156 -INDEX GOES BRRR: 28 X: 1.76465 -INDEX GOES BRRR: 333 X: 20.8398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.61133 -INDEX GOES BRRR: 228 X: 14.3047 -INDEX GOES BRRR: 70 X: 4.3916 -INDEX GOES BRRR: 125 X: 7.86133 -INDEX GOES BRRR: 193 X: 12.1221 -INDEX GOES BRRR: 120 X: 7.54395 -INDEX GOES BRRR: 24 X: 1.54297 -INDEX GOES BRRR: 220 X: 13.7842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7051 -INDEX GOES BRRR: 307 X: 19.2363 -INDEX GOES BRRR: 875 X: -9.25488 -INDEX GOES BRRR: 261 X: 16.333 -INDEX GOES BRRR: 229 X: 14.3359 -INDEX GOES BRRR: 955 X: -4.29883 -INDEX GOES BRRR: 266 X: 16.6416 -INDEX GOES BRRR: 394 X: 24.6582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.359375 -INDEX GOES BRRR: 774 X: -15.5771 -INDEX GOES BRRR: 236 X: 14.7969 -INDEX GOES BRRR: 419 X: 26.2188 -INDEX GOES BRRR: 211 X: 13.2041 -INDEX GOES BRRR: 104 X: 6.52539 -INDEX GOES BRRR: 257 X: 16.1221 -INDEX GOES BRRR: 6 X: 0.410156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.7832 -INDEX GOES BRRR: 153 X: 9.58691 -INDEX GOES BRRR: 907 X: -7.25098 -INDEX GOES BRRR: 701 X: -20.1426 -INDEX GOES BRRR: 278 X: 17.4287 -INDEX GOES BRRR: 116 X: 7.25781 -INDEX GOES BRRR: 7 X: 0.494141 -INDEX GOES BRRR: 169 X: 10.6006 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4551 -INDEX GOES BRRR: 20 X: 1.26758 -INDEX GOES BRRR: 217 X: 13.5996 -INDEX GOES BRRR: 88 X: 5.53711 -INDEX GOES BRRR: 972 X: -3.19727 -INDEX GOES BRRR: 47 X: 2.93945 -INDEX GOES BRRR: 237 X: 14.8359 -INDEX GOES BRRR: 112 X: 7.05762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 413 X: 25.8271 -INDEX GOES BRRR: 282 X: 17.6455 -INDEX GOES BRRR: 354 X: 22.1406 -INDEX GOES BRRR: 177 X: 11.1064 -INDEX GOES BRRR: 91 X: 5.72461 -INDEX GOES BRRR: 212 X: 13.2998 -INDEX GOES BRRR: 464 X: 29.0312 -INDEX GOES BRRR: 360 X: 22.5352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.167 -INDEX GOES BRRR: 118 X: 7.40039 -INDEX GOES BRRR: 186 X: 11.6826 -INDEX GOES BRRR: 970 X: -3.35156 -INDEX GOES BRRR: 88 X: 5.51758 -INDEX GOES BRRR: 140 X: 8.77148 -INDEX GOES BRRR: 404 X: 25.2607 -INDEX GOES BRRR: 383 X: 23.9902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.7861 -INDEX GOES BRRR: 159 X: 9.9873 -INDEX GOES BRRR: 372 X: 23.2637 -INDEX GOES BRRR: 205 X: 12.8721 -INDEX GOES BRRR: 96 X: 6.01172 -INDEX GOES BRRR: 256 X: 16.0391 -INDEX GOES BRRR: 1005 X: -1.1416 -INDEX GOES BRRR: 171 X: 10.7158 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2168 -INDEX GOES BRRR: 5 X: 0.355469 -INDEX GOES BRRR: 34 X: 2.1748 -INDEX GOES BRRR: 356 X: 22.2881 -INDEX GOES BRRR: 276 X: 17.2705 -INDEX GOES BRRR: 65 X: 4.06836 -INDEX GOES BRRR: 3 X: 0.24707 -INDEX GOES BRRR: 460 X: 28.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.13379 -INDEX GOES BRRR: 461 X: 28.8477 -INDEX GOES BRRR: 325 X: 20.3682 -INDEX GOES BRRR: 301 X: 18.8643 -INDEX GOES BRRR: 51 X: 3.2334 -INDEX GOES BRRR: 157 X: 9.85547 -INDEX GOES BRRR: 988 X: -2.23145 -INDEX GOES BRRR: 244 X: 15.2744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.51172 -INDEX GOES BRRR: 313 X: 19.6162 -INDEX GOES BRRR: 230 X: 14.4082 -INDEX GOES BRRR: 224 X: 14.0146 -INDEX GOES BRRR: 110 X: 6.92188 -INDEX GOES BRRR: 59 X: 3.73926 -INDEX GOES BRRR: 63 X: 3.98145 -INDEX GOES BRRR: 265 X: 16.583 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.88574 -INDEX GOES BRRR: 140 X: 8.79004 -INDEX GOES BRRR: 37 X: 2.34473 -INDEX GOES BRRR: 42 X: 2.66992 -INDEX GOES BRRR: 30 X: 1.89355 -INDEX GOES BRRR: 28 X: 1.78906 -INDEX GOES BRRR: 173 X: 10.8496 -INDEX GOES BRRR: 988 X: -2.18945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7617 -INDEX GOES BRRR: 219 X: 13.6914 -INDEX GOES BRRR: 230 X: 14.4043 -INDEX GOES BRRR: 921 X: -6.40723 -INDEX GOES BRRR: 157 X: 9.87207 -INDEX GOES BRRR: 187 X: 11.7178 -INDEX GOES BRRR: 223 X: 13.9932 -INDEX GOES BRRR: 271 X: 16.959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.59961 -INDEX GOES BRRR: 371 X: 23.2061 -INDEX GOES BRRR: 158 X: 9.87695 -INDEX GOES BRRR: 313 X: 19.5762 -INDEX GOES BRRR: 143 X: 8.97266 -INDEX GOES BRRR: 345 X: 21.6221 -INDEX GOES BRRR: 76 X: 4.78613 -INDEX GOES BRRR: 263 X: 16.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6416 -INDEX GOES BRRR: 93 X: 5.87207 -INDEX GOES BRRR: 18 X: 1.14355 -INDEX GOES BRRR: 177 X: 11.0898 -INDEX GOES BRRR: 964 X: -3.74707 -INDEX GOES BRRR: 17 X: 1.09863 -INDEX GOES BRRR: 134 X: 8.40039 -INDEX GOES BRRR: 27 X: 1.72656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9668 -INDEX GOES BRRR: 211 X: 13.2393 -INDEX GOES BRRR: 330 X: 20.6855 -INDEX GOES BRRR: 209 X: 13.0771 -INDEX GOES BRRR: 198 X: 12.3848 -INDEX GOES BRRR: 14 X: 0.920898 -INDEX GOES BRRR: 976 X: -2.93848 -INDEX GOES BRRR: 89 X: 5.61621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 78 X: 4.91406 -INDEX GOES BRRR: 318 X: 19.8818 -INDEX GOES BRRR: 205 X: 12.8291 -INDEX GOES BRRR: 445 X: 27.8643 -INDEX GOES BRRR: 9 X: 0.609375 -INDEX GOES BRRR: 112 X: 7.03906 -INDEX GOES BRRR: 245 X: 15.3711 -INDEX GOES BRRR: 49 X: 3.0752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3516 -INDEX GOES BRRR: 18 X: 1.14258 -INDEX GOES BRRR: 916 X: -6.69043 -INDEX GOES BRRR: 288 X: 18.0254 -INDEX GOES BRRR: 175 X: 10.9502 -INDEX GOES BRRR: 137 X: 8.61523 -INDEX GOES BRRR: 82 X: 5.16895 -INDEX GOES BRRR: 265 X: 16.6035 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.94824 -INDEX GOES BRRR: 1018 X: -0.357422 -INDEX GOES BRRR: 242 X: 15.1689 -INDEX GOES BRRR: 332 X: 20.8047 -INDEX GOES BRRR: 860 X: -10.2021 -INDEX GOES BRRR: 96 X: 6.00391 -INDEX GOES BRRR: 352 X: 22.0293 -INDEX GOES BRRR: 41 X: 2.59082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.11035 -INDEX GOES BRRR: 413 X: 25.8418 -INDEX GOES BRRR: 154 X: 9.68066 -INDEX GOES BRRR: 102 X: 6.38867 -INDEX GOES BRRR: 925 X: -6.18164 -INDEX GOES BRRR: 109 X: 6.86035 -INDEX GOES BRRR: 117 X: 7.35547 -INDEX GOES BRRR: 387 X: 24.2275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.25391 -INDEX GOES BRRR: 243 X: 15.2412 -INDEX GOES BRRR: 307 X: 19.1904 -INDEX GOES BRRR: 994 X: -1.83789 -INDEX GOES BRRR: 413 X: 25.8525 -INDEX GOES BRRR: 1002 X: -1.36328 -INDEX GOES BRRR: 905 X: -7.42188 -INDEX GOES BRRR: 244 X: 15.251 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 29 X: 1.85254 -INDEX GOES BRRR: 309 X: 19.374 -INDEX GOES BRRR: 140 X: 8.77441 -INDEX GOES BRRR: 900 X: -7.69434 -INDEX GOES BRRR: 188 X: 11.8076 -INDEX GOES BRRR: 293 X: 18.3506 -INDEX GOES BRRR: 1017 X: -0.416016 -INDEX GOES BRRR: 322 X: 20.1816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1709 -INDEX GOES BRRR: 28 X: 1.80664 -INDEX GOES BRRR: 897 X: -7.89648 -INDEX GOES BRRR: 39 X: 2.45215 -INDEX GOES BRRR: 951 X: -4.5498 -INDEX GOES BRRR: 96 X: 6 -INDEX GOES BRRR: 86 X: 5.40918 -INDEX GOES BRRR: 216 X: 13.5137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.47363 -INDEX GOES BRRR: 175 X: 10.9893 -INDEX GOES BRRR: 151 X: 9.45508 -INDEX GOES BRRR: 949 X: -4.67773 -INDEX GOES BRRR: 1001 X: -1.41406 -INDEX GOES BRRR: 282 X: 17.6475 -INDEX GOES BRRR: 181 X: 11.3359 -INDEX GOES BRRR: 119 X: 7.49219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0654 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 345 X: 21.6123 -INDEX GOES BRRR: 0 X: 0.0107422 -INDEX GOES BRRR: 903 X: -7.55566 -INDEX GOES BRRR: 156 X: 9.79688 -INDEX GOES BRRR: 49 X: 3.0752 -INDEX GOES BRRR: 205 X: 12.8428 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.68652 -INDEX GOES BRRR: 150 X: 9.38867 -INDEX GOES BRRR: 118 X: 7.41602 -INDEX GOES BRRR: 168 X: 10.5391 -INDEX GOES BRRR: 56 X: 3.52246 -INDEX GOES BRRR: 304 X: 19.0244 -INDEX GOES BRRR: 216 X: 13.5312 -INDEX GOES BRRR: 1 X: 0.117188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.86133 -INDEX GOES BRRR: 936 X: -5.45117 -INDEX GOES BRRR: 63 X: 3.96777 -INDEX GOES BRRR: 107 X: 6.74414 -INDEX GOES BRRR: 17 X: 1.06934 -INDEX GOES BRRR: 426 X: 26.6494 -INDEX GOES BRRR: 130 X: 8.14844 -INDEX GOES BRRR: 127 X: 7.93848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5479 -INDEX GOES BRRR: 494 X: 30.9346 -INDEX GOES BRRR: 55 X: 3.48535 -INDEX GOES BRRR: 948 X: -4.69336 -INDEX GOES BRRR: 28 X: 1.80664 -INDEX GOES BRRR: 264 X: 16.5156 -INDEX GOES BRRR: 357 X: 22.3262 -INDEX GOES BRRR: 830 X: -12.0674 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 835 X: -11.7998 -INDEX GOES BRRR: 66 X: 4.13965 -INDEX GOES BRRR: 380 X: 23.7793 -INDEX GOES BRRR: 315 X: 19.748 -INDEX GOES BRRR: 173 X: 10.8535 -INDEX GOES BRRR: 450 X: 28.1494 -INDEX GOES BRRR: 781 X: -15.1348 -INDEX GOES BRRR: 92 X: 5.79395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.5674 -INDEX GOES BRRR: 320 X: 20.0146 -INDEX GOES BRRR: 193 X: 12.1094 -INDEX GOES BRRR: 276 X: 17.2842 -INDEX GOES BRRR: 175 X: 10.9834 -INDEX GOES BRRR: 35 X: 2.23926 -INDEX GOES BRRR: 948 X: -4.69336 -INDEX GOES BRRR: 252 X: 15.8115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 386 X: 24.1582 -INDEX GOES BRRR: 276 X: 17.2559 -INDEX GOES BRRR: 130 X: 8.12695 -INDEX GOES BRRR: 313 X: 19.6104 -INDEX GOES BRRR: 313 X: 19.5938 -INDEX GOES BRRR: 109 X: 6.8457 -INDEX GOES BRRR: 911 X: -7.0459 -INDEX GOES BRRR: 290 X: 18.1406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.95801 -INDEX GOES BRRR: 150 X: 9.38672 -INDEX GOES BRRR: 396 X: 24.7822 -INDEX GOES BRRR: 1005 X: -1.17188 -INDEX GOES BRRR: 30 X: 1.91406 -INDEX GOES BRRR: 280 X: 17.5459 -INDEX GOES BRRR: 391 X: 24.4717 -INDEX GOES BRRR: 259 X: 16.2236 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.502 -INDEX GOES BRRR: 495 X: 30.9883 -INDEX GOES BRRR: 276 X: 17.3066 -INDEX GOES BRRR: 260 X: 16.2715 -INDEX GOES BRRR: 903 X: -7.55762 -INDEX GOES BRRR: 894 X: -8.06836 -INDEX GOES BRRR: 169 X: 10.5986 -INDEX GOES BRRR: 995 X: -1.80859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.49121 -INDEX GOES BRRR: 301 X: 18.8232 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 148 X: 9.2666 -INDEX GOES BRRR: 922 X: -6.34863 -INDEX GOES BRRR: 165 X: 10.3711 -INDEX GOES BRRR: 252 X: 15.7705 -INDEX GOES BRRR: 302 X: 18.9121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 821 X: -12.6807 -INDEX GOES BRRR: 144 X: 9.02832 -INDEX GOES BRRR: 261 X: 16.373 -INDEX GOES BRRR: 290 X: 18.1396 -INDEX GOES BRRR: 990 X: -2.09863 -INDEX GOES BRRR: 142 X: 8.88379 -INDEX GOES BRRR: 303 X: 18.957 -INDEX GOES BRRR: 46 X: 2.89648 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 960 X: -3.95703 -INDEX GOES BRRR: 216 X: 13.5557 -INDEX GOES BRRR: 1010 X: -0.864258 -INDEX GOES BRRR: 128 X: 8.03809 -INDEX GOES BRRR: 875 X: -9.30566 -INDEX GOES BRRR: 218 X: 13.6582 -INDEX GOES BRRR: 929 X: -5.89453 -INDEX GOES BRRR: 365 X: 22.8252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.58887 -INDEX GOES BRRR: 491 X: 30.7305 -INDEX GOES BRRR: 119 X: 7.48926 -INDEX GOES BRRR: 963 X: -3.77832 -INDEX GOES BRRR: 105 X: 6.57422 -INDEX GOES BRRR: 151 X: 9.46582 -INDEX GOES BRRR: 17 X: 1.12207 -INDEX GOES BRRR: 347 X: 21.7031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.7803 -INDEX GOES BRRR: 1011 X: -0.810547 -INDEX GOES BRRR: 288 X: 18.0156 -INDEX GOES BRRR: 50 X: 3.16406 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 102 X: 6.37988 -INDEX GOES BRRR: 956 X: -4.19043 -INDEX GOES BRRR: 207 X: 12.9424 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.58887 -INDEX GOES BRRR: 32 X: 2.0293 -INDEX GOES BRRR: 12 X: 0.767578 -INDEX GOES BRRR: 186 X: 11.6592 -INDEX GOES BRRR: 343 X: 21.4766 -INDEX GOES BRRR: 10 X: 0.641602 -INDEX GOES BRRR: 176 X: 11.0547 -INDEX GOES BRRR: 92 X: 5.76074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 475 X: 29.7373 -INDEX GOES BRRR: 362 X: 22.6484 -INDEX GOES BRRR: 143 X: 8.99219 -INDEX GOES BRRR: 121 X: 7.61035 -INDEX GOES BRRR: 183 X: 11.4385 -INDEX GOES BRRR: 357 X: 22.3174 -INDEX GOES BRRR: 101 X: 6.35742 -INDEX GOES BRRR: 224 X: 14.0244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6436 -INDEX GOES BRRR: 466 X: 29.1504 -INDEX GOES BRRR: 425 X: 26.5918 -INDEX GOES BRRR: 370 X: 23.1621 -INDEX GOES BRRR: 260 X: 16.3115 -INDEX GOES BRRR: 138 X: 8.625 -INDEX GOES BRRR: 201 X: 12.582 -INDEX GOES BRRR: 216 X: 13.5264 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.7568 -INDEX GOES BRRR: 152 X: 9.52539 -INDEX GOES BRRR: 281 X: 17.5908 -INDEX GOES BRRR: 140 X: 8.78223 -INDEX GOES BRRR: 1004 X: -1.21973 -INDEX GOES BRRR: 21 X: 1.35938 -INDEX GOES BRRR: 243 X: 15.2305 -INDEX GOES BRRR: 467 X: 29.1924 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 300 X: 18.7803 -INDEX GOES BRRR: 104 X: 6.53027 -INDEX GOES BRRR: 209 X: 13.0928 -INDEX GOES BRRR: 252 X: 15.7881 -INDEX GOES BRRR: 98 X: 6.12891 -INDEX GOES BRRR: 255 X: 15.9805 -INDEX GOES BRRR: 52 X: 3.25293 -INDEX GOES BRRR: 331 X: 20.7051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 424 X: 26.5352 -INDEX GOES BRRR: 888 X: -8.47266 -INDEX GOES BRRR: 493 X: 30.8398 -INDEX GOES BRRR: 136 X: 8.54102 -INDEX GOES BRRR: 141 X: 8.8125 -INDEX GOES BRRR: 997 X: -1.67285 -INDEX GOES BRRR: 256 X: 16.001 -INDEX GOES BRRR: 74 X: 4.63867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3721 -INDEX GOES BRRR: 916 X: -6.73047 -INDEX GOES BRRR: 182 X: 11.4043 -INDEX GOES BRRR: 243 X: 15.2178 -INDEX GOES BRRR: 929 X: -5.91797 -INDEX GOES BRRR: 106 X: 6.66113 -INDEX GOES BRRR: 166 X: 10.3809 -INDEX GOES BRRR: 24 X: 1.53027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4805 -INDEX GOES BRRR: 383 X: 23.9609 -INDEX GOES BRRR: 287 X: 17.9609 -INDEX GOES BRRR: 323 X: 20.2021 -INDEX GOES BRRR: 11 X: 0.695312 -INDEX GOES BRRR: 48 X: 3.00391 -INDEX GOES BRRR: 317 X: 19.8125 -INDEX GOES BRRR: 153 X: 9.5791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 236 X: 14.7539 -INDEX GOES BRRR: 56 X: 3.52246 -INDEX GOES BRRR: 211 X: 13.2139 -INDEX GOES BRRR: 198 X: 12.3916 -INDEX GOES BRRR: 20 X: 1.27637 -INDEX GOES BRRR: 195 X: 12.2305 -INDEX GOES BRRR: 907 X: -7.26367 -INDEX GOES BRRR: 218 X: 13.6582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 239 X: 14.9756 -INDEX GOES BRRR: 319 X: 19.9814 -INDEX GOES BRRR: 209 X: 13.0986 -INDEX GOES BRRR: 133 X: 8.32129 -INDEX GOES BRRR: 332 X: 20.792 -INDEX GOES BRRR: 395 X: 24.71 -INDEX GOES BRRR: 865 X: -9.90625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.04102 -INDEX GOES BRRR: 254 X: 15.9297 -INDEX GOES BRRR: 151 X: 9.46582 -INDEX GOES BRRR: 402 X: 25.1787 -INDEX GOES BRRR: 94 X: 5.875 -INDEX GOES BRRR: 179 X: 11.2441 -INDEX GOES BRRR: 11 X: 0.697266 -INDEX GOES BRRR: 451 X: 28.2139 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 414 X: 25.9238 -INDEX GOES BRRR: 86 X: 5.42969 -INDEX GOES BRRR: 185 X: 11.583 -INDEX GOES BRRR: 95 X: 5.98438 -INDEX GOES BRRR: 949 X: -4.66406 -INDEX GOES BRRR: 177 X: 11.1074 -INDEX GOES BRRR: 407 X: 25.4844 -INDEX GOES BRRR: 325 X: 20.3672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.15625 -INDEX GOES BRRR: 17 X: 1.09863 -INDEX GOES BRRR: 202 X: 12.6377 -INDEX GOES BRRR: 133 X: 8.36914 -INDEX GOES BRRR: 61 X: 3.86426 -INDEX GOES BRRR: 83 X: 5.19043 -INDEX GOES BRRR: 0 X: 0.0205078 -INDEX GOES BRRR: 204 X: 12.7773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.2334 -INDEX GOES BRRR: 62 X: 3.9043 -INDEX GOES BRRR: 939 X: -5.30859 -INDEX GOES BRRR: 242 X: 15.1318 -INDEX GOES BRRR: 84 X: 5.30273 -INDEX GOES BRRR: 145 X: 9.0918 -INDEX GOES BRRR: 171 X: 10.7383 -INDEX GOES BRRR: 306 X: 19.1514 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6592 -INDEX GOES BRRR: 88 X: 5.52148 -INDEX GOES BRRR: 73 X: 4.56445 -INDEX GOES BRRR: 40 X: 2.50586 -INDEX GOES BRRR: 98 X: 6.16602 -INDEX GOES BRRR: 39 X: 2.43945 -INDEX GOES BRRR: 1019 X: -0.302734 -INDEX GOES BRRR: 111 X: 6.96289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 945 X: -4.93652 -INDEX GOES BRRR: 345 X: 21.5977 -INDEX GOES BRRR: 177 X: 11.1113 -INDEX GOES BRRR: 1015 X: -0.518555 -INDEX GOES BRRR: 65 X: 4.07422 -INDEX GOES BRRR: 163 X: 10.1895 -INDEX GOES BRRR: 136 X: 8.52832 -INDEX GOES BRRR: 275 X: 17.2422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 402 X: 25.1455 -INDEX GOES BRRR: 257 X: 16.1152 -INDEX GOES BRRR: 108 X: 6.79004 -INDEX GOES BRRR: 124 X: 7.81055 -INDEX GOES BRRR: 385 X: 24.0879 -INDEX GOES BRRR: 990 X: -2.10449 -INDEX GOES BRRR: 103 X: 6.47559 -INDEX GOES BRRR: 115 X: 7.19824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 292 X: 18.2949 -INDEX GOES BRRR: 954 X: -4.37109 -INDEX GOES BRRR: 922 X: -6.36719 -INDEX GOES BRRR: 192 X: 12.0264 -INDEX GOES BRRR: 353 X: 22.0732 -INDEX GOES BRRR: 429 X: 26.8691 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 996 X: -1.72656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.166 -INDEX GOES BRRR: 39 X: 2.49805 -INDEX GOES BRRR: 248 X: 15.5049 -INDEX GOES BRRR: 988 X: -2.23438 -INDEX GOES BRRR: 158 X: 9.91895 -INDEX GOES BRRR: 222 X: 13.8867 -INDEX GOES BRRR: 29 X: 1.84766 -INDEX GOES BRRR: 127 X: 7.97168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 391 X: 24.4639 -INDEX GOES BRRR: 111 X: 6.97754 -INDEX GOES BRRR: 144 X: 9.03711 -INDEX GOES BRRR: 947 X: -4.75977 -INDEX GOES BRRR: 939 X: -5.25879 -INDEX GOES BRRR: 11 X: 0.700195 -INDEX GOES BRRR: 953 X: -4.39844 -INDEX GOES BRRR: 183 X: 11.4805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 90 X: 5.6543 -INDEX GOES BRRR: 315 X: 19.7314 -INDEX GOES BRRR: 405 X: 25.3428 -INDEX GOES BRRR: 273 X: 17.0908 -INDEX GOES BRRR: 410 X: 25.6787 -INDEX GOES BRRR: 149 X: 9.36719 -INDEX GOES BRRR: 873 X: -9.39746 -INDEX GOES BRRR: 301 X: 18.835 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.125 -INDEX GOES BRRR: 961 X: -3.88184 -INDEX GOES BRRR: 416 X: 26.0264 -INDEX GOES BRRR: 83 X: 5.22559 -INDEX GOES BRRR: 466 X: 29.1826 -INDEX GOES BRRR: 91 X: 5.74805 -INDEX GOES BRRR: 70 X: 4.40137 -INDEX GOES BRRR: 243 X: 15.2383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.69727 -INDEX GOES BRRR: 166 X: 10.4346 -INDEX GOES BRRR: 965 X: -3.6748 -INDEX GOES BRRR: 969 X: -3.38281 -INDEX GOES BRRR: 932 X: -5.72266 -INDEX GOES BRRR: 764 X: -16.2461 -INDEX GOES BRRR: 199 X: 12.4502 -INDEX GOES BRRR: 267 X: 16.6875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.47559 -INDEX GOES BRRR: 112 X: 7.02539 -INDEX GOES BRRR: 81 X: 5.06348 -INDEX GOES BRRR: 213 X: 13.3613 -INDEX GOES BRRR: 979 X: -2.77539 -INDEX GOES BRRR: 175 X: 10.9541 -INDEX GOES BRRR: 8 X: 0.555664 -INDEX GOES BRRR: 184 X: 11.501 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4434 -INDEX GOES BRRR: 357 X: 22.3135 -INDEX GOES BRRR: 305 X: 19.0869 -INDEX GOES BRRR: 181 X: 11.3525 -INDEX GOES BRRR: 155 X: 9.71094 -INDEX GOES BRRR: 998 X: -1.61035 -INDEX GOES BRRR: 325 X: 20.3379 -INDEX GOES BRRR: 278 X: 17.4316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 961 X: -3.9209 -INDEX GOES BRRR: 793 X: -14.4102 -INDEX GOES BRRR: 252 X: 15.7549 -INDEX GOES BRRR: 289 X: 18.0732 -INDEX GOES BRRR: 278 X: 17.4121 -INDEX GOES BRRR: 923 X: -6.30078 -INDEX GOES BRRR: 288 X: 18.0215 -INDEX GOES BRRR: 215 X: 13.4619 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 802 X: -13.8174 -INDEX GOES BRRR: 140 X: 8.80273 -INDEX GOES BRRR: 21 X: 1.35449 -INDEX GOES BRRR: 1010 X: -0.828125 -INDEX GOES BRRR: 267 X: 16.7188 -INDEX GOES BRRR: 997 X: -1.63184 -INDEX GOES BRRR: 130 X: 8.18164 -INDEX GOES BRRR: 210 X: 13.1641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.90332 -INDEX GOES BRRR: 228 X: 14.2832 -INDEX GOES BRRR: 918 X: -6.56641 -INDEX GOES BRRR: 1002 X: -1.35938 -INDEX GOES BRRR: 347 X: 21.7031 -INDEX GOES BRRR: 1016 X: -0.454102 -INDEX GOES BRRR: 204 X: 12.7539 -INDEX GOES BRRR: 105 X: 6.59082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 401 X: 25.0781 -INDEX GOES BRRR: 994 X: -1.82324 -INDEX GOES BRRR: 839 X: -11.5557 -INDEX GOES BRRR: 328 X: 20.5234 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 151 X: 9.4375 -INDEX GOES BRRR: 949 X: -4.66406 -INDEX GOES BRRR: 400 X: 25.0127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.5498 -INDEX GOES BRRR: 112 X: 7.00098 -INDEX GOES BRRR: 947 X: -4.80176 -INDEX GOES BRRR: 1003 X: -1.27344 -INDEX GOES BRRR: 165 X: 10.3457 -INDEX GOES BRRR: 290 X: 18.166 -INDEX GOES BRRR: 130 X: 8.18066 -INDEX GOES BRRR: 151 X: 9.47363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.1123 -INDEX GOES BRRR: 279 X: 17.4697 -INDEX GOES BRRR: 132 X: 8.25195 -INDEX GOES BRRR: 85 X: 5.36914 -INDEX GOES BRRR: 227 X: 14.1992 -INDEX GOES BRRR: 124 X: 7.76074 -INDEX GOES BRRR: 444 X: 27.7891 -INDEX GOES BRRR: 120 X: 7.55957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0693 -INDEX GOES BRRR: 399 X: 24.9971 -INDEX GOES BRRR: 70 X: 4.43652 -INDEX GOES BRRR: 63 X: 3.98926 -INDEX GOES BRRR: 986 X: -2.31641 -INDEX GOES BRRR: 82 X: 5.1709 -INDEX GOES BRRR: 42 X: 2.67578 -INDEX GOES BRRR: 5 X: 0.361328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.53418 -INDEX GOES BRRR: 285 X: 17.834 -INDEX GOES BRRR: 912 X: -6.93945 -INDEX GOES BRRR: 997 X: -1.63672 -INDEX GOES BRRR: 146 X: 9.16406 -INDEX GOES BRRR: 332 X: 20.791 -INDEX GOES BRRR: 155 X: 9.73926 -INDEX GOES BRRR: 997 X: -1.67969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1855 -INDEX GOES BRRR: 169 X: 10.5938 -INDEX GOES BRRR: 445 X: 27.8203 -INDEX GOES BRRR: 204 X: 12.7637 -INDEX GOES BRRR: 183 X: 11.4971 -INDEX GOES BRRR: 55 X: 3.47266 -INDEX GOES BRRR: 58 X: 3.68164 -INDEX GOES BRRR: 276 X: 17.2891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.27637 -INDEX GOES BRRR: 112 X: 7.04785 -INDEX GOES BRRR: 189 X: 11.8281 -INDEX GOES BRRR: 1011 X: -0.780273 -INDEX GOES BRRR: 113 X: 7.09766 -INDEX GOES BRRR: 132 X: 8.29395 -INDEX GOES BRRR: 370 X: 23.1855 -INDEX GOES BRRR: 52 X: 3.25391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.418 -INDEX GOES BRRR: 433 X: 27.1201 -INDEX GOES BRRR: 180 X: 11.3086 -INDEX GOES BRRR: 414 X: 25.8887 -INDEX GOES BRRR: 137 X: 8.59473 -INDEX GOES BRRR: 52 X: 3.28516 -INDEX GOES BRRR: 303 X: 18.9541 -INDEX GOES BRRR: 157 X: 9.86621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.13477 -INDEX GOES BRRR: 1010 X: -0.864258 -INDEX GOES BRRR: 194 X: 12.1777 -INDEX GOES BRRR: 11 X: 0.691406 -INDEX GOES BRRR: 425 X: 26.5684 -INDEX GOES BRRR: 83 X: 5.24316 -INDEX GOES BRRR: 1016 X: -0.496094 -INDEX GOES BRRR: 97 X: 6.07031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 83 X: 5.20703 -INDEX GOES BRRR: 295 X: 18.4512 -INDEX GOES BRRR: 163 X: 10.2314 -INDEX GOES BRRR: 880 X: -9 -INDEX GOES BRRR: 284 X: 17.7559 -INDEX GOES BRRR: 144 X: 9.05273 -INDEX GOES BRRR: 134 X: 8.43457 -INDEX GOES BRRR: 289 X: 18.0703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.19043 -INDEX GOES BRRR: 998 X: -1.61914 -INDEX GOES BRRR: 187 X: 11.7168 -INDEX GOES BRRR: 211 X: 13.2305 -INDEX GOES BRRR: 346 X: 21.6797 -INDEX GOES BRRR: 196 X: 12.2939 -INDEX GOES BRRR: 191 X: 11.9404 -INDEX GOES BRRR: 920 X: -6.48926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.375 -INDEX GOES BRRR: 282 X: 17.6318 -INDEX GOES BRRR: 64 X: 4.02637 -INDEX GOES BRRR: 17 X: 1.11719 -INDEX GOES BRRR: 350 X: 21.9258 -INDEX GOES BRRR: 413 X: 25.8438 -INDEX GOES BRRR: 37 X: 2.34961 -INDEX GOES BRRR: 71 X: 4.47852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 925 X: -6.13477 -INDEX GOES BRRR: 261 X: 16.3691 -INDEX GOES BRRR: 68 X: 4.28516 -INDEX GOES BRRR: 204 X: 12.7803 -INDEX GOES BRRR: 202 X: 12.6523 -INDEX GOES BRRR: 177 X: 11.1152 -INDEX GOES BRRR: 373 X: 23.3486 -INDEX GOES BRRR: 341 X: 21.3701 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 415 X: 25.999 -INDEX GOES BRRR: 137 X: 8.60254 -INDEX GOES BRRR: 374 X: 23.4365 -INDEX GOES BRRR: 150 X: 9.38672 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 126 X: 7.91113 -INDEX GOES BRRR: 180 X: 11.2559 -INDEX GOES BRRR: 261 X: 16.3682 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.03027 -INDEX GOES BRRR: 994 X: -1.87305 -INDEX GOES BRRR: 309 X: 19.3564 -INDEX GOES BRRR: 144 X: 9.05859 -INDEX GOES BRRR: 259 X: 16.1885 -INDEX GOES BRRR: 992 X: -1.9834 -INDEX GOES BRRR: 176 X: 11.0088 -INDEX GOES BRRR: 206 X: 12.9326 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.0625 -INDEX GOES BRRR: 0 X: 0.0205078 -INDEX GOES BRRR: 52 X: 3.30469 -INDEX GOES BRRR: 244 X: 15.2656 -INDEX GOES BRRR: 13 X: 0.8125 -INDEX GOES BRRR: 236 X: 14.7832 -INDEX GOES BRRR: 232 X: 14.5479 -INDEX GOES BRRR: 226 X: 14.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 379 X: 23.6934 -INDEX GOES BRRR: 315 X: 19.7314 -INDEX GOES BRRR: 421 X: 26.3262 -INDEX GOES BRRR: 402 X: 25.1689 -INDEX GOES BRRR: 305 X: 19.0723 -INDEX GOES BRRR: 37 X: 2.36523 -INDEX GOES BRRR: 154 X: 9.62598 -INDEX GOES BRRR: 129 X: 8.10352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 468 X: 29.2842 -INDEX GOES BRRR: 102 X: 6.38086 -INDEX GOES BRRR: 13 X: 0.834961 -INDEX GOES BRRR: 824 X: -12.4961 -INDEX GOES BRRR: 302 X: 18.8799 -INDEX GOES BRRR: 12 X: 0.801758 -INDEX GOES BRRR: 919 X: -6.51855 -INDEX GOES BRRR: 164 X: 10.2695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 955 X: -4.30176 -INDEX GOES BRRR: 210 X: 13.1328 -INDEX GOES BRRR: 201 X: 12.6055 -INDEX GOES BRRR: 198 X: 12.3896 -INDEX GOES BRRR: 442 X: 27.6279 -INDEX GOES BRRR: 138 X: 8.63281 -INDEX GOES BRRR: 388 X: 24.3047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 449 X: 28.1074 -INDEX GOES BRRR: 39 X: 2.4375 -INDEX GOES BRRR: 146 X: 9.13574 -INDEX GOES BRRR: 110 X: 6.9043 -INDEX GOES BRRR: 38 X: 2.43652 -INDEX GOES BRRR: 100 X: 6.25586 -INDEX GOES BRRR: 63 X: 3.99512 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.1582 -INDEX GOES BRRR: 132 X: 8.29883 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 233 X: 14.5752 -INDEX GOES BRRR: 303 X: 18.9629 -INDEX GOES BRRR: 69 X: 4.37305 -INDEX GOES BRRR: 212 X: 13.2529 -INDEX GOES BRRR: 323 X: 20.209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 308 X: 19.3105 -INDEX GOES BRRR: 149 X: 9.31445 -INDEX GOES BRRR: 176 X: 11.0596 -INDEX GOES BRRR: 795 X: -14.2832 -INDEX GOES BRRR: 428 X: 26.7705 -INDEX GOES BRRR: 324 X: 20.293 -INDEX GOES BRRR: 281 X: 17.6006 -INDEX GOES BRRR: 192 X: 12.0479 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 320 X: 20.0381 -INDEX GOES BRRR: 1005 X: -1.16504 -INDEX GOES BRRR: 48 X: 3.0332 -INDEX GOES BRRR: 279 X: 17.4639 -INDEX GOES BRRR: 330 X: 20.6426 -INDEX GOES BRRR: 129 X: 8.09766 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 314 X: 19.6455 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.832 -INDEX GOES BRRR: 83 X: 5.19629 -INDEX GOES BRRR: 183 X: 11.4902 -INDEX GOES BRRR: 347 X: 21.7197 -INDEX GOES BRRR: 396 X: 24.7539 -INDEX GOES BRRR: 117 X: 7.36621 -INDEX GOES BRRR: 240 X: 15.0361 -INDEX GOES BRRR: 1013 X: -0.642578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.3721 -INDEX GOES BRRR: 234 X: 14.6738 -INDEX GOES BRRR: 1022 X: -0.0732422 -INDEX GOES BRRR: 352 X: 22.0508 -INDEX GOES BRRR: 941 X: -5.12988 -INDEX GOES BRRR: 55 X: 3.44727 -INDEX GOES BRRR: 220 X: 13.8037 -INDEX GOES BRRR: 1009 X: -0.902344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.74023 -INDEX GOES BRRR: 138 X: 8.6377 -INDEX GOES BRRR: 80 X: 5.02637 -INDEX GOES BRRR: 299 X: 18.7441 -INDEX GOES BRRR: 7 X: 0.443359 -INDEX GOES BRRR: 43 X: 2.69824 -INDEX GOES BRRR: 405 X: 25.3154 -INDEX GOES BRRR: 78 X: 4.88086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.7969 -INDEX GOES BRRR: 249 X: 15.6084 -INDEX GOES BRRR: 116 X: 7.25098 -INDEX GOES BRRR: 352 X: 22.0039 -INDEX GOES BRRR: 174 X: 10.8984 -INDEX GOES BRRR: 252 X: 15.7529 -INDEX GOES BRRR: 25 X: 1.58789 -INDEX GOES BRRR: 921 X: -6.41016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8604 -INDEX GOES BRRR: 192 X: 12.0566 -INDEX GOES BRRR: 62 X: 3.93066 -INDEX GOES BRRR: 31 X: 1.98047 -INDEX GOES BRRR: 467 X: 29.2373 -INDEX GOES BRRR: 177 X: 11.0957 -INDEX GOES BRRR: 279 X: 17.4697 -INDEX GOES BRRR: 491 X: 30.7471 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 319 X: 19.9717 -INDEX GOES BRRR: 264 X: 16.541 -INDEX GOES BRRR: 74 X: 4.62598 -INDEX GOES BRRR: 280 X: 17.5078 -INDEX GOES BRRR: 39 X: 2.49121 -INDEX GOES BRRR: 475 X: 29.7197 -INDEX GOES BRRR: 296 X: 18.5078 -INDEX GOES BRRR: 971 X: -3.29297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.7041 -INDEX GOES BRRR: 242 X: 15.1348 -INDEX GOES BRRR: 309 X: 19.3691 -INDEX GOES BRRR: 298 X: 18.6836 -INDEX GOES BRRR: 241 X: 15.1104 -INDEX GOES BRRR: 7 X: 0.44043 -INDEX GOES BRRR: 91 X: 5.71875 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.64844 -INDEX GOES BRRR: 267 X: 16.7217 -INDEX GOES BRRR: 217 X: 13.5771 -INDEX GOES BRRR: 1 X: 0.0947266 -INDEX GOES BRRR: 856 X: -10.4922 -INDEX GOES BRRR: 981 X: -2.66406 -INDEX GOES BRRR: 245 X: 15.3467 -INDEX GOES BRRR: 926 X: -6.07031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 910 X: -7.09668 -INDEX GOES BRRR: 218 X: 13.6426 -INDEX GOES BRRR: 200 X: 12.5283 -INDEX GOES BRRR: 29 X: 1.83301 -INDEX GOES BRRR: 205 X: 12.8418 -INDEX GOES BRRR: 290 X: 18.1758 -INDEX GOES BRRR: 299 X: 18.6914 -INDEX GOES BRRR: 60 X: 3.80371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.62305 -INDEX GOES BRRR: 144 X: 9.05957 -INDEX GOES BRRR: 140 X: 8.77441 -INDEX GOES BRRR: 921 X: -6.41309 -INDEX GOES BRRR: 130 X: 8.12988 -INDEX GOES BRRR: 483 X: 30.2441 -INDEX GOES BRRR: 143 X: 8.96289 -INDEX GOES BRRR: 127 X: 7.95605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5498 -INDEX GOES BRRR: 468 X: 29.2812 -INDEX GOES BRRR: 284 X: 17.79 -INDEX GOES BRRR: 184 X: 11.5225 -INDEX GOES BRRR: 243 X: 15.2119 -INDEX GOES BRRR: 166 X: 10.3857 -INDEX GOES BRRR: 229 X: 14.3223 -INDEX GOES BRRR: 261 X: 16.3213 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 14 X: 0.901367 -INDEX GOES BRRR: 111 X: 6.9375 -INDEX GOES BRRR: 77 X: 4.83301 -INDEX GOES BRRR: 379 X: 23.7041 -INDEX GOES BRRR: 208 X: 13.0107 -INDEX GOES BRRR: 752 X: -16.9395 -INDEX GOES BRRR: 395 X: 24.708 -INDEX GOES BRRR: 249 X: 15.584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9326 -INDEX GOES BRRR: 317 X: 19.8369 -INDEX GOES BRRR: 33 X: 2.10645 -INDEX GOES BRRR: 80 X: 5.05371 -INDEX GOES BRRR: 294 X: 18.4004 -INDEX GOES BRRR: 42 X: 2.68457 -INDEX GOES BRRR: 255 X: 15.9453 -INDEX GOES BRRR: 349 X: 21.8291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.31445 -INDEX GOES BRRR: 172 X: 10.7744 -INDEX GOES BRRR: 230 X: 14.415 -INDEX GOES BRRR: 960 X: -3.98047 -INDEX GOES BRRR: 1019 X: -0.267578 -INDEX GOES BRRR: 162 X: 10.1846 -INDEX GOES BRRR: 225 X: 14.0967 -INDEX GOES BRRR: 78 X: 4.92773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.36523 -INDEX GOES BRRR: 236 X: 14.8115 -INDEX GOES BRRR: 246 X: 15.3848 -INDEX GOES BRRR: 251 X: 15.6934 -INDEX GOES BRRR: 850 X: -10.8613 -INDEX GOES BRRR: 307 X: 19.1973 -INDEX GOES BRRR: 304 X: 19.0508 -INDEX GOES BRRR: 103 X: 6.44922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.8291 -INDEX GOES BRRR: 207 X: 12.9941 -INDEX GOES BRRR: 365 X: 22.8662 -INDEX GOES BRRR: 1000 X: -1.49609 -INDEX GOES BRRR: 311 X: 19.4443 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 255 X: 15.9375 -INDEX GOES BRRR: 936 X: -5.5 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 790 X: -14.5957 -INDEX GOES BRRR: 237 X: 14.8301 -INDEX GOES BRRR: 233 X: 14.5674 -INDEX GOES BRRR: 314 X: 19.6445 -INDEX GOES BRRR: 209 X: 13.1221 -INDEX GOES BRRR: 192 X: 12.0215 -INDEX GOES BRRR: 71 X: 4.44531 -INDEX GOES BRRR: 373 X: 23.333 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.02734 -INDEX GOES BRRR: 169 X: 10.5684 -INDEX GOES BRRR: 246 X: 15.4053 -INDEX GOES BRRR: 217 X: 13.6133 -INDEX GOES BRRR: 441 X: 27.6045 -INDEX GOES BRRR: 152 X: 9.54688 -INDEX GOES BRRR: 1022 X: -0.102539 -INDEX GOES BRRR: 225 X: 14.0771 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 972 X: -3.20508 -INDEX GOES BRRR: 102 X: 6.39355 -INDEX GOES BRRR: 142 X: 8.87598 -INDEX GOES BRRR: 289 X: 18.0752 -INDEX GOES BRRR: 111 X: 6.98828 -INDEX GOES BRRR: 908 X: -7.2207 -INDEX GOES BRRR: 809 X: -13.4355 -INDEX GOES BRRR: 344 X: 21.5303 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 980 X: -2.73828 -INDEX GOES BRRR: 195 X: 12.2256 -INDEX GOES BRRR: 971 X: -3.26367 -INDEX GOES BRRR: 901 X: -7.65625 -INDEX GOES BRRR: 929 X: -5.91992 -INDEX GOES BRRR: 891 X: -8.2666 -INDEX GOES BRRR: 293 X: 18.3564 -INDEX GOES BRRR: 39 X: 2.48438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.46387 -INDEX GOES BRRR: 921 X: -6.43457 -INDEX GOES BRRR: 136 X: 8.55176 -INDEX GOES BRRR: 176 X: 11.0225 -INDEX GOES BRRR: 285 X: 17.8145 -INDEX GOES BRRR: 21 X: 1.36523 -INDEX GOES BRRR: 176 X: 11.0166 -INDEX GOES BRRR: 263 X: 16.4619 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.4668 -INDEX GOES BRRR: 438 X: 27.3906 -INDEX GOES BRRR: 69 X: 4.34277 -INDEX GOES BRRR: 79 X: 4.9834 -INDEX GOES BRRR: 847 X: -11.0342 -INDEX GOES BRRR: 124 X: 7.76465 -INDEX GOES BRRR: 224 X: 14.0059 -INDEX GOES BRRR: 271 X: 16.9395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.4141 -INDEX GOES BRRR: 26 X: 1.62793 -INDEX GOES BRRR: 58 X: 3.63867 -INDEX GOES BRRR: 952 X: -4.45801 -INDEX GOES BRRR: 299 X: 18.7051 -INDEX GOES BRRR: 764 X: -16.2314 -INDEX GOES BRRR: 184 X: 11.5488 -INDEX GOES BRRR: 269 X: 16.873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0947 -INDEX GOES BRRR: 351 X: 21.959 -INDEX GOES BRRR: 15 X: 0.99707 -INDEX GOES BRRR: 986 X: -2.35156 -INDEX GOES BRRR: 93 X: 5.82617 -INDEX GOES BRRR: 130 X: 8.12891 -INDEX GOES BRRR: 158 X: 9.88672 -INDEX GOES BRRR: 179 X: 11.1885 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4414 -INDEX GOES BRRR: 187 X: 11.7236 -INDEX GOES BRRR: 207 X: 12.9873 -INDEX GOES BRRR: 88 X: 5.5 -INDEX GOES BRRR: 183 X: 11.4941 -INDEX GOES BRRR: 30 X: 1.91992 -INDEX GOES BRRR: 1019 X: -0.272461 -INDEX GOES BRRR: 144 X: 9.01367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 874 X: -9.35938 -INDEX GOES BRRR: 199 X: 12.4873 -INDEX GOES BRRR: 49 X: 3.09473 -INDEX GOES BRRR: 801 X: -13.916 -INDEX GOES BRRR: 37 X: 2.33008 -INDEX GOES BRRR: 161 X: 10.0791 -INDEX GOES BRRR: 244 X: 15.2861 -INDEX GOES BRRR: 314 X: 19.6738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 36 X: 2.26172 -INDEX GOES BRRR: 43 X: 2.69824 -INDEX GOES BRRR: 395 X: 24.7383 -INDEX GOES BRRR: 297 X: 18.5801 -INDEX GOES BRRR: 272 X: 17.041 -INDEX GOES BRRR: 188 X: 11.7695 -INDEX GOES BRRR: 316 X: 19.8037 -INDEX GOES BRRR: 327 X: 20.458 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1494 -INDEX GOES BRRR: 285 X: 17.8203 -INDEX GOES BRRR: 249 X: 15.5947 -INDEX GOES BRRR: 924 X: -6.24902 -INDEX GOES BRRR: 416 X: 26.0234 -INDEX GOES BRRR: 191 X: 11.9893 -INDEX GOES BRRR: 159 X: 9.97168 -INDEX GOES BRRR: 235 X: 14.7275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.0332 -INDEX GOES BRRR: 288 X: 18.0283 -INDEX GOES BRRR: 52 X: 3.26172 -INDEX GOES BRRR: 274 X: 17.1455 -INDEX GOES BRRR: 92 X: 5.79492 -INDEX GOES BRRR: 33 X: 2.0918 -INDEX GOES BRRR: 224 X: 14.002 -INDEX GOES BRRR: 8 X: 0.529297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 988 X: -2.24316 -INDEX GOES BRRR: 353 X: 22.0928 -INDEX GOES BRRR: 1018 X: -0.331055 -INDEX GOES BRRR: 307 X: 19.21 -INDEX GOES BRRR: 284 X: 17.7773 -INDEX GOES BRRR: 892 X: -8.23535 -INDEX GOES BRRR: 952 X: -4.44434 -INDEX GOES BRRR: 238 X: 14.9102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.4756 -INDEX GOES BRRR: 1005 X: -1.13281 -INDEX GOES BRRR: 69 X: 4.35156 -INDEX GOES BRRR: 293 X: 18.3662 -INDEX GOES BRRR: 363 X: 22.7334 -INDEX GOES BRRR: 342 X: 21.375 -INDEX GOES BRRR: 293 X: 18.3125 -INDEX GOES BRRR: 206 X: 12.876 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 9 X: 0.59082 -INDEX GOES BRRR: 204 X: 12.7568 -INDEX GOES BRRR: 278 X: 17.4268 -INDEX GOES BRRR: 204 X: 12.7871 -INDEX GOES BRRR: 792 X: -14.498 -INDEX GOES BRRR: 274 X: 17.1787 -INDEX GOES BRRR: 313 X: 19.6084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 933 X: -5.66211 -INDEX GOES BRRR: 248 X: 15.5508 -INDEX GOES BRRR: 954 X: -4.34277 -INDEX GOES BRRR: 983 X: -2.50195 -INDEX GOES BRRR: 156 X: 9.75781 -INDEX GOES BRRR: 180 X: 11.2949 -INDEX GOES BRRR: 982 X: -2.61914 -INDEX GOES BRRR: 311 X: 19.4512 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8301 -INDEX GOES BRRR: 399 X: 24.958 -INDEX GOES BRRR: 363 X: 22.6895 -INDEX GOES BRRR: 189 X: 11.8467 -INDEX GOES BRRR: 203 X: 12.7168 -INDEX GOES BRRR: 271 X: 16.9629 -INDEX GOES BRRR: 3 X: 0.249023 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.1875 -INDEX GOES BRRR: 151 X: 9.45996 -INDEX GOES BRRR: 149 X: 9.3418 -INDEX GOES BRRR: 36 X: 2.31055 -INDEX GOES BRRR: 251 X: 15.707 -INDEX GOES BRRR: 419 X: 26.2227 -INDEX GOES BRRR: 229 X: 14.3389 -INDEX GOES BRRR: 171 X: 10.7275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2529 -INDEX GOES BRRR: 184 X: 11.5068 -INDEX GOES BRRR: 20 X: 1.28125 -INDEX GOES BRRR: 266 X: 16.667 -INDEX GOES BRRR: 989 X: -2.1543 -INDEX GOES BRRR: 148 X: 9.27441 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 247 X: 15.4424 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0566 -INDEX GOES BRRR: 112 X: 7.03516 -INDEX GOES BRRR: 160 X: 10.0332 -INDEX GOES BRRR: 410 X: 25.6426 -INDEX GOES BRRR: 126 X: 7.90527 -INDEX GOES BRRR: 429 X: 26.8691 -INDEX GOES BRRR: 66 X: 4.14941 -INDEX GOES BRRR: 189 X: 11.8291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.82715 -INDEX GOES BRRR: 312 X: 19.5244 -INDEX GOES BRRR: 130 X: 8.18262 -INDEX GOES BRRR: 104 X: 6.55078 -INDEX GOES BRRR: 301 X: 18.8174 -INDEX GOES BRRR: 202 X: 12.6758 -INDEX GOES BRRR: 106 X: 6.68359 -INDEX GOES BRRR: 443 X: 27.7148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.7793 -INDEX GOES BRRR: 45 X: 2.83887 -INDEX GOES BRRR: 249 X: 15.5664 -INDEX GOES BRRR: 55 X: 3.43848 -INDEX GOES BRRR: 145 X: 9.08691 -INDEX GOES BRRR: 979 X: -2.79004 -INDEX GOES BRRR: 242 X: 15.165 -INDEX GOES BRRR: 338 X: 21.1514 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 913 X: -6.91211 -INDEX GOES BRRR: 884 X: -8.70312 -INDEX GOES BRRR: 238 X: 14.9004 -INDEX GOES BRRR: 136 X: 8.50586 -INDEX GOES BRRR: 341 X: 21.332 -INDEX GOES BRRR: 319 X: 19.9629 -INDEX GOES BRRR: 397 X: 24.8174 -INDEX GOES BRRR: 145 X: 9.10059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.4082 -INDEX GOES BRRR: 974 X: -3.11914 -INDEX GOES BRRR: 88 X: 5.55957 -INDEX GOES BRRR: 944 X: -4.95117 -INDEX GOES BRRR: 160 X: 10.0557 -INDEX GOES BRRR: 85 X: 5.34863 -INDEX GOES BRRR: 944 X: -4.94922 -INDEX GOES BRRR: 221 X: 13.833 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 958 X: -4.09668 -INDEX GOES BRRR: 225 X: 14.124 -INDEX GOES BRRR: 23 X: 1.4502 -INDEX GOES BRRR: 145 X: 9.06934 -INDEX GOES BRRR: 266 X: 16.6533 -INDEX GOES BRRR: 266 X: 16.6807 -INDEX GOES BRRR: 306 X: 19.1416 -INDEX GOES BRRR: 883 X: -8.79883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.6973 -INDEX GOES BRRR: 369 X: 23.083 -INDEX GOES BRRR: 82 X: 5.13379 -INDEX GOES BRRR: 1002 X: -1.32324 -INDEX GOES BRRR: 320 X: 20.0107 -INDEX GOES BRRR: 26 X: 1.65918 -INDEX GOES BRRR: 444 X: 27.7695 -INDEX GOES BRRR: 277 X: 17.3174 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 331 X: 20.7441 -INDEX GOES BRRR: 161 X: 10.1064 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 36 X: 2.25098 -INDEX GOES BRRR: 192 X: 12.0439 -INDEX GOES BRRR: 295 X: 18.4844 -INDEX GOES BRRR: 127 X: 7.94727 -INDEX GOES BRRR: 180 X: 11.2588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.3525 -INDEX GOES BRRR: 13 X: 0.867188 -INDEX GOES BRRR: 412 X: 25.7793 -INDEX GOES BRRR: 920 X: -6.48047 -INDEX GOES BRRR: 133 X: 8.35156 -INDEX GOES BRRR: 946 X: -4.83594 -INDEX GOES BRRR: 979 X: -2.79199 -INDEX GOES BRRR: 369 X: 23.1104 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1016 X: -0.479492 -INDEX GOES BRRR: 11 X: 0.705078 -INDEX GOES BRRR: 481 X: 30.082 -INDEX GOES BRRR: 35 X: 2.20312 -INDEX GOES BRRR: 289 X: 18.0752 -INDEX GOES BRRR: 318 X: 19.9219 -INDEX GOES BRRR: 317 X: 19.8379 -INDEX GOES BRRR: 991 X: -2.05762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2256 -INDEX GOES BRRR: 192 X: 12.0322 -INDEX GOES BRRR: 221 X: 13.873 -INDEX GOES BRRR: 156 X: 9.80371 -INDEX GOES BRRR: 864 X: -9.98047 -INDEX GOES BRRR: 942 X: -5.0918 -INDEX GOES BRRR: 38 X: 2.40723 -INDEX GOES BRRR: 105 X: 6.5791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 70 X: 4.40234 -INDEX GOES BRRR: 905 X: -7.40723 -INDEX GOES BRRR: 146 X: 9.17188 -INDEX GOES BRRR: 98 X: 6.1748 -INDEX GOES BRRR: 347 X: 21.7295 -INDEX GOES BRRR: 156 X: 9.80371 -INDEX GOES BRRR: 16 X: 1.01074 -INDEX GOES BRRR: 225 X: 14.1162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.1914 -INDEX GOES BRRR: 979 X: -2.77637 -INDEX GOES BRRR: 142 X: 8.91406 -INDEX GOES BRRR: 104 X: 6.50977 -INDEX GOES BRRR: 230 X: 14.3994 -INDEX GOES BRRR: 805 X: -13.6865 -INDEX GOES BRRR: 306 X: 19.1406 -INDEX GOES BRRR: 326 X: 20.3877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 102 X: 6.37695 -INDEX GOES BRRR: 1021 X: -0.168945 -INDEX GOES BRRR: 941 X: -5.1377 -INDEX GOES BRRR: 240 X: 15.0498 -INDEX GOES BRRR: 105 X: 6.58398 -INDEX GOES BRRR: 12 X: 0.801758 -INDEX GOES BRRR: 990 X: -2.12402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.7559 -INDEX GOES BRRR: 494 X: 30.877 -INDEX GOES BRRR: 111 X: 6.95215 -INDEX GOES BRRR: 275 X: 17.1992 -INDEX GOES BRRR: 287 X: 17.9961 -INDEX GOES BRRR: 156 X: 9.79297 -INDEX GOES BRRR: 1018 X: -0.333984 -INDEX GOES BRRR: 190 X: 11.9238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 297 X: 18.5781 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1016 X: -0.5 -INDEX GOES BRRR: 350 X: 21.875 -INDEX GOES BRRR: 246 X: 15.4121 -INDEX GOES BRRR: 161 X: 10.0859 -INDEX GOES BRRR: 234 X: 14.6709 -INDEX GOES BRRR: 207 X: 12.96 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 233 X: 14.5859 -INDEX GOES BRRR: 174 X: 10.9307 -INDEX GOES BRRR: 50 X: 3.14746 -INDEX GOES BRRR: 329 X: 20.6143 -INDEX GOES BRRR: 246 X: 15.3828 -INDEX GOES BRRR: 96 X: 6.05859 -INDEX GOES BRRR: 25 X: 1.58594 -INDEX GOES BRRR: 4 X: 0.266602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 477 X: 29.8477 -INDEX GOES BRRR: 51 X: 3.19531 -INDEX GOES BRRR: 127 X: 7.97363 -INDEX GOES BRRR: 263 X: 16.4873 -INDEX GOES BRRR: 287 X: 17.9707 -INDEX GOES BRRR: 46 X: 2.88086 -INDEX GOES BRRR: 55 X: 3.44727 -INDEX GOES BRRR: 99 X: 6.23926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 960 X: -3.99512 -INDEX GOES BRRR: 404 X: 25.3008 -INDEX GOES BRRR: 259 X: 16.249 -INDEX GOES BRRR: 78 X: 4.91992 -INDEX GOES BRRR: 80 X: 5.04297 -INDEX GOES BRRR: 386 X: 24.1396 -INDEX GOES BRRR: 328 X: 20.5381 -INDEX GOES BRRR: 1000 X: -1.48633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.2793 -INDEX GOES BRRR: 774 X: -15.6143 -INDEX GOES BRRR: 341 X: 21.3301 -INDEX GOES BRRR: 35 X: 2.19238 -INDEX GOES BRRR: 35 X: 2.21484 -INDEX GOES BRRR: 60 X: 3.7998 -INDEX GOES BRRR: 183 X: 11.4961 -INDEX GOES BRRR: 158 X: 9.91504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1012 X: -0.742188 -INDEX GOES BRRR: 33 X: 2.0752 -INDEX GOES BRRR: 330 X: 20.6748 -INDEX GOES BRRR: 26 X: 1.67188 -INDEX GOES BRRR: 362 X: 22.6855 -INDEX GOES BRRR: 1005 X: -1.18262 -INDEX GOES BRRR: 280 X: 17.542 -INDEX GOES BRRR: 264 X: 16.5439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 176 X: 11.0088 -INDEX GOES BRRR: 257 X: 16.1201 -INDEX GOES BRRR: 1012 X: -0.746094 -INDEX GOES BRRR: 7 X: 0.485352 -INDEX GOES BRRR: 309 X: 19.3545 -INDEX GOES BRRR: 431 X: 26.9658 -INDEX GOES BRRR: 77 X: 4.86426 -INDEX GOES BRRR: 124 X: 7.77148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0449 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 88 X: 5.56152 -INDEX GOES BRRR: 997 X: -1.68555 -INDEX GOES BRRR: 253 X: 15.8584 -INDEX GOES BRRR: 132 X: 8.25781 -INDEX GOES BRRR: 41 X: 2.57227 -INDEX GOES BRRR: 965 X: -3.68359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.62207 -INDEX GOES BRRR: 172 X: 10.8037 -INDEX GOES BRRR: 891 X: -8.29395 -INDEX GOES BRRR: 868 X: -9.69141 -INDEX GOES BRRR: 21 X: 1.3252 -INDEX GOES BRRR: 154 X: 9.65625 -INDEX GOES BRRR: 396 X: 24.7705 -INDEX GOES BRRR: 289 X: 18.0791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.8945 -INDEX GOES BRRR: 285 X: 17.8262 -INDEX GOES BRRR: 55 X: 3.47168 -INDEX GOES BRRR: 189 X: 11.8408 -INDEX GOES BRRR: 342 X: 21.4326 -INDEX GOES BRRR: 57 X: 3.58789 -INDEX GOES BRRR: 182 X: 11.4043 -INDEX GOES BRRR: 381 X: 23.874 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9053 -INDEX GOES BRRR: 105 X: 6.5752 -INDEX GOES BRRR: 451 X: 28.2178 -INDEX GOES BRRR: 34 X: 2.16504 -INDEX GOES BRRR: 123 X: 7.74121 -INDEX GOES BRRR: 295 X: 18.4941 -INDEX GOES BRRR: 296 X: 18.502 -INDEX GOES BRRR: 108 X: 6.81055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 857 X: -10.3896 -INDEX GOES BRRR: 237 X: 14.8682 -INDEX GOES BRRR: 410 X: 25.6475 -INDEX GOES BRRR: 226 X: 14.1475 -INDEX GOES BRRR: 951 X: -4.50781 -INDEX GOES BRRR: 982 X: -2.59863 -INDEX GOES BRRR: 183 X: 11.4795 -INDEX GOES BRRR: 221 X: 13.8223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.769531 -INDEX GOES BRRR: 248 X: 15.5146 -INDEX GOES BRRR: 375 X: 23.4395 -INDEX GOES BRRR: 44 X: 2.75098 -INDEX GOES BRRR: 415 X: 25.9414 -INDEX GOES BRRR: 14 X: 0.928711 -INDEX GOES BRRR: 116 X: 7.30859 -INDEX GOES BRRR: 323 X: 20.2217 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1748 -INDEX GOES BRRR: 279 X: 17.4746 -INDEX GOES BRRR: 995 X: -1.77637 -INDEX GOES BRRR: 301 X: 18.832 -INDEX GOES BRRR: 114 X: 7.16406 -INDEX GOES BRRR: 282 X: 17.6387 -INDEX GOES BRRR: 357 X: 22.333 -INDEX GOES BRRR: 22 X: 1.39941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 822 X: -12.6113 -INDEX GOES BRRR: 170 X: 10.6611 -INDEX GOES BRRR: 175 X: 10.9463 -INDEX GOES BRRR: 292 X: 18.2715 -INDEX GOES BRRR: 72 X: 4.55957 -INDEX GOES BRRR: 311 X: 19.4932 -INDEX GOES BRRR: 267 X: 16.7158 -INDEX GOES BRRR: 214 X: 13.4277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6523 -INDEX GOES BRRR: 320 X: 20.042 -INDEX GOES BRRR: 108 X: 6.75 -INDEX GOES BRRR: 205 X: 12.8447 -INDEX GOES BRRR: 142 X: 8.88867 -INDEX GOES BRRR: 260 X: 16.2646 -INDEX GOES BRRR: 213 X: 13.3242 -INDEX GOES BRRR: 86 X: 5.42285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6348 -INDEX GOES BRRR: 113 X: 7.10742 -INDEX GOES BRRR: 280 X: 17.5557 -INDEX GOES BRRR: 767 X: -16.0078 -INDEX GOES BRRR: 213 X: 13.374 -INDEX GOES BRRR: 142 X: 8.89062 -INDEX GOES BRRR: 314 X: 19.6768 -INDEX GOES BRRR: 142 X: 8.9248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9111 -INDEX GOES BRRR: 1016 X: -0.470703 -INDEX GOES BRRR: 925 X: -6.15723 -INDEX GOES BRRR: 17 X: 1.07324 -INDEX GOES BRRR: 401 X: 25.123 -INDEX GOES BRRR: 70 X: 4.43262 -INDEX GOES BRRR: 963 X: -3.77441 -INDEX GOES BRRR: 248 X: 15.5244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.99414 -INDEX GOES BRRR: 974 X: -3.11133 -INDEX GOES BRRR: 361 X: 22.6035 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 302 X: 18.8818 -INDEX GOES BRRR: 88 X: 5.50586 -INDEX GOES BRRR: 227 X: 14.2148 -INDEX GOES BRRR: 192 X: 12.0098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 857 X: -10.4375 -INDEX GOES BRRR: 339 X: 21.2197 -INDEX GOES BRRR: 238 X: 14.9229 -INDEX GOES BRRR: 215 X: 13.4961 -INDEX GOES BRRR: 351 X: 21.9482 -INDEX GOES BRRR: 295 X: 18.4609 -INDEX GOES BRRR: 86 X: 5.38867 -INDEX GOES BRRR: 211 X: 13.1963 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.74121 -INDEX GOES BRRR: 241 X: 15.0908 -INDEX GOES BRRR: 0 X: 0.0439453 -INDEX GOES BRRR: 51 X: 3.20312 -INDEX GOES BRRR: 107 X: 6.71191 -INDEX GOES BRRR: 320 X: 20.0469 -INDEX GOES BRRR: 162 X: 10.168 -INDEX GOES BRRR: 223 X: 13.9482 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3359 -INDEX GOES BRRR: 985 X: -2.40918 -INDEX GOES BRRR: 482 X: 30.1465 -INDEX GOES BRRR: 879 X: -9.03027 -INDEX GOES BRRR: 170 X: 10.625 -INDEX GOES BRRR: 125 X: 7.82324 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 327 X: 20.4375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4258 -INDEX GOES BRRR: 885 X: -8.63379 -INDEX GOES BRRR: 3 X: 0.214844 -INDEX GOES BRRR: 70 X: 4.42285 -INDEX GOES BRRR: 883 X: -8.80859 -INDEX GOES BRRR: 248 X: 15.5566 -INDEX GOES BRRR: 989 X: -2.16895 -INDEX GOES BRRR: 388 X: 24.2637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 877 X: -9.1582 -INDEX GOES BRRR: 249 X: 15.5703 -INDEX GOES BRRR: 112 X: 7.06152 -INDEX GOES BRRR: 25 X: 1.57617 -INDEX GOES BRRR: 309 X: 19.3604 -INDEX GOES BRRR: 220 X: 13.7764 -INDEX GOES BRRR: 317 X: 19.8174 -INDEX GOES BRRR: 296 X: 18.5361 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9961 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 139 X: 8.68848 -INDEX GOES BRRR: 263 X: 16.4541 -INDEX GOES BRRR: 234 X: 14.6611 -INDEX GOES BRRR: 224 X: 14.0078 -INDEX GOES BRRR: 246 X: 15.4053 -INDEX GOES BRRR: 122 X: 7.63672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0175781 -INDEX GOES BRRR: 291 X: 18.2402 -INDEX GOES BRRR: 51 X: 3.19043 -INDEX GOES BRRR: 382 X: 23.9316 -INDEX GOES BRRR: 370 X: 23.1602 -INDEX GOES BRRR: 171 X: 10.7119 -INDEX GOES BRRR: 224 X: 14.0371 -INDEX GOES BRRR: 125 X: 7.81641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.1895 -INDEX GOES BRRR: 211 X: 13.1943 -INDEX GOES BRRR: 164 X: 10.2529 -INDEX GOES BRRR: 152 X: 9.52051 -INDEX GOES BRRR: 17 X: 1.07812 -INDEX GOES BRRR: 72 X: 4.50781 -INDEX GOES BRRR: 77 X: 4.83203 -INDEX GOES BRRR: 21 X: 1.36914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.2754 -INDEX GOES BRRR: 1016 X: -0.447266 -INDEX GOES BRRR: 105 X: 6.61523 -INDEX GOES BRRR: 165 X: 10.374 -INDEX GOES BRRR: 218 X: 13.6543 -INDEX GOES BRRR: 83 X: 5.19336 -INDEX GOES BRRR: 435 X: 27.2471 -INDEX GOES BRRR: 432 X: 27.0244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.7402 -INDEX GOES BRRR: 224 X: 14.043 -INDEX GOES BRRR: 294 X: 18.4268 -INDEX GOES BRRR: 953 X: -4.38867 -INDEX GOES BRRR: 188 X: 11.7744 -INDEX GOES BRRR: 163 X: 10.1885 -INDEX GOES BRRR: 95 X: 5.97266 -INDEX GOES BRRR: 56 X: 3.53711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.688477 -INDEX GOES BRRR: 188 X: 11.7969 -INDEX GOES BRRR: 83 X: 5.19824 -INDEX GOES BRRR: 898 X: -7.82227 -INDEX GOES BRRR: 244 X: 15.2627 -INDEX GOES BRRR: 136 X: 8.54785 -INDEX GOES BRRR: 1011 X: -0.754883 -INDEX GOES BRRR: 24 X: 1.56152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.543945 -INDEX GOES BRRR: 284 X: 17.7598 -INDEX GOES BRRR: 115 X: 7.24316 -INDEX GOES BRRR: 901 X: -7.67969 -INDEX GOES BRRR: 258 X: 16.1846 -INDEX GOES BRRR: 143 X: 8.9707 -INDEX GOES BRRR: 426 X: 26.6279 -INDEX GOES BRRR: 80 X: 5.01562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 973 X: -3.18555 -INDEX GOES BRRR: 206 X: 12.9004 -INDEX GOES BRRR: 167 X: 10.4932 -INDEX GOES BRRR: 321 X: 20.1211 -INDEX GOES BRRR: 198 X: 12.375 -INDEX GOES BRRR: 71 X: 4.49902 -INDEX GOES BRRR: 140 X: 8.77344 -INDEX GOES BRRR: 145 X: 9.0918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 374 X: 23.4365 -INDEX GOES BRRR: 110 X: 6.91602 -INDEX GOES BRRR: 962 X: -3.85156 -INDEX GOES BRRR: 135 X: 8.44141 -INDEX GOES BRRR: 942 X: -5.06445 -INDEX GOES BRRR: 389 X: 24.3711 -INDEX GOES BRRR: 338 X: 21.1299 -INDEX GOES BRRR: 288 X: 18.0537 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 409 X: 25.623 -INDEX GOES BRRR: 24 X: 1.5498 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 467 X: 29.2207 -INDEX GOES BRRR: 203 X: 12.7441 -INDEX GOES BRRR: 68 X: 4.2627 -INDEX GOES BRRR: 74 X: 4.63379 -INDEX GOES BRRR: 441 X: 27.6123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 981 X: -2.65332 -INDEX GOES BRRR: 245 X: 15.3535 -INDEX GOES BRRR: 915 X: -6.78516 -INDEX GOES BRRR: 63 X: 3.97168 -INDEX GOES BRRR: 142 X: 8.90723 -INDEX GOES BRRR: 917 X: -6.6709 -INDEX GOES BRRR: 887 X: -8.5127 -INDEX GOES BRRR: 101 X: 6.36816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 270 X: 16.8867 -INDEX GOES BRRR: 245 X: 15.3672 -INDEX GOES BRRR: 171 X: 10.7021 -INDEX GOES BRRR: 989 X: -2.17773 -INDEX GOES BRRR: 203 X: 12.7354 -INDEX GOES BRRR: 986 X: -2.35254 -INDEX GOES BRRR: 263 X: 16.4697 -INDEX GOES BRRR: 223 X: 13.9766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.49902 -INDEX GOES BRRR: 864 X: -9.99023 -INDEX GOES BRRR: 98 X: 6.1709 -INDEX GOES BRRR: 116 X: 7.30469 -INDEX GOES BRRR: 344 X: 21.5127 -INDEX GOES BRRR: 999 X: -1.54199 -INDEX GOES BRRR: 153 X: 9.61328 -INDEX GOES BRRR: 13 X: 0.864258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3359 -INDEX GOES BRRR: 313 X: 19.582 -INDEX GOES BRRR: 107 X: 6.69238 -INDEX GOES BRRR: 137 X: 8.59082 -INDEX GOES BRRR: 330 X: 20.6543 -INDEX GOES BRRR: 1016 X: -0.480469 -INDEX GOES BRRR: 1014 X: -0.624023 -INDEX GOES BRRR: 95 X: 5.94922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 360 X: 22.5547 -INDEX GOES BRRR: 166 X: 10.4043 -INDEX GOES BRRR: 76 X: 4.75195 -INDEX GOES BRRR: 983 X: -2.50586 -INDEX GOES BRRR: 100 X: 6.30859 -INDEX GOES BRRR: 250 X: 15.6406 -INDEX GOES BRRR: 186 X: 11.6357 -INDEX GOES BRRR: 210 X: 13.1855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 331 X: 20.7109 -INDEX GOES BRRR: 874 X: -9.33984 -INDEX GOES BRRR: 125 X: 7.85156 -INDEX GOES BRRR: 964 X: -3.69043 -INDEX GOES BRRR: 396 X: 24.7998 -INDEX GOES BRRR: 252 X: 15.8105 -INDEX GOES BRRR: 309 X: 19.3438 -INDEX GOES BRRR: 24 X: 1.55078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.61719 -INDEX GOES BRRR: 926 X: -6.10547 -INDEX GOES BRRR: 314 X: 19.6465 -INDEX GOES BRRR: 250 X: 15.6289 -INDEX GOES BRRR: 349 X: 21.8428 -INDEX GOES BRRR: 890 X: -8.37109 -INDEX GOES BRRR: 929 X: -5.92383 -INDEX GOES BRRR: 984 X: -2.49414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4463 -INDEX GOES BRRR: 68 X: 4.30566 -INDEX GOES BRRR: 240 X: 15.0205 -INDEX GOES BRRR: 79 X: 4.96387 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 77 X: 4.86523 -INDEX GOES BRRR: 271 X: 16.9375 -INDEX GOES BRRR: 347 X: 21.7207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.18262 -INDEX GOES BRRR: 8 X: 0.506836 -INDEX GOES BRRR: 187 X: 11.7295 -INDEX GOES BRRR: 929 X: -5.88867 -INDEX GOES BRRR: 10 X: 0.628906 -INDEX GOES BRRR: 138 X: 8.65625 -INDEX GOES BRRR: 252 X: 15.7764 -INDEX GOES BRRR: 57 X: 3.60156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 364 X: 22.8105 -INDEX GOES BRRR: 45 X: 2.81738 -INDEX GOES BRRR: 108 X: 6.76562 -INDEX GOES BRRR: 975 X: -3.00879 -INDEX GOES BRRR: 204 X: 12.7646 -INDEX GOES BRRR: 296 X: 18.5146 -INDEX GOES BRRR: 48 X: 3.04785 -INDEX GOES BRRR: 943 X: -5.01758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.88477 -INDEX GOES BRRR: 201 X: 12.6133 -INDEX GOES BRRR: 298 X: 18.6611 -INDEX GOES BRRR: 149 X: 9.37305 -INDEX GOES BRRR: 143 X: 8.98242 -INDEX GOES BRRR: 38 X: 2.38574 -INDEX GOES BRRR: 204 X: 12.8027 -INDEX GOES BRRR: 323 X: 20.2285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 257 X: 16.0762 -INDEX GOES BRRR: 9 X: 0.589844 -INDEX GOES BRRR: 239 X: 14.9551 -INDEX GOES BRRR: 967 X: -3.54297 -INDEX GOES BRRR: 982 X: -2.56738 -INDEX GOES BRRR: 176 X: 11.0049 -INDEX GOES BRRR: 144 X: 9.02734 -INDEX GOES BRRR: 145 X: 9.0752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1012 X: -0.719727 -INDEX GOES BRRR: 237 X: 14.8223 -INDEX GOES BRRR: 245 X: 15.3447 -INDEX GOES BRRR: 335 X: 20.9395 -INDEX GOES BRRR: 981 X: -2.62598 -INDEX GOES BRRR: 1003 X: -1.25098 -INDEX GOES BRRR: 243 X: 15.2119 -INDEX GOES BRRR: 990 X: -2.07422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0928 -INDEX GOES BRRR: 147 X: 9.2168 -INDEX GOES BRRR: 117 X: 7.34668 -INDEX GOES BRRR: 352 X: 22.0537 -INDEX GOES BRRR: 1018 X: -0.34375 -INDEX GOES BRRR: 903 X: -7.53516 -INDEX GOES BRRR: 134 X: 8.42773 -INDEX GOES BRRR: 1018 X: -0.332031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 380 X: 23.7979 -INDEX GOES BRRR: 302 X: 18.8975 -INDEX GOES BRRR: 118 X: 7.41895 -INDEX GOES BRRR: 203 X: 12.6982 -INDEX GOES BRRR: 92 X: 5.78906 -INDEX GOES BRRR: 987 X: -2.29492 -INDEX GOES BRRR: 73 X: 4.61426 -INDEX GOES BRRR: 196 X: 12.2637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.916 -INDEX GOES BRRR: 356 X: 22.2979 -INDEX GOES BRRR: 211 X: 13.2383 -INDEX GOES BRRR: 99 X: 6.22363 -INDEX GOES BRRR: 179 X: 11.209 -INDEX GOES BRRR: 349 X: 21.8291 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 370 X: 23.1631 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.86426 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 81 X: 5.1123 -INDEX GOES BRRR: 74 X: 4.6582 -INDEX GOES BRRR: 198 X: 12.3994 -INDEX GOES BRRR: 82 X: 5.14746 -INDEX GOES BRRR: 257 X: 16.0908 -INDEX GOES BRRR: 21 X: 1.3291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 612 X: -25.6895 -INDEX GOES BRRR: 2 X: 0.152344 -INDEX GOES BRRR: 349 X: 21.8252 -INDEX GOES BRRR: 76 X: 4.77051 -INDEX GOES BRRR: 392 X: 24.5303 -INDEX GOES BRRR: 404 X: 25.3057 -INDEX GOES BRRR: 348 X: 21.7598 -INDEX GOES BRRR: 60 X: 3.76953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.55957 -INDEX GOES BRRR: 94 X: 5.91309 -INDEX GOES BRRR: 194 X: 12.1318 -INDEX GOES BRRR: 370 X: 23.1484 -INDEX GOES BRRR: 475 X: 29.7148 -INDEX GOES BRRR: 298 X: 18.6738 -INDEX GOES BRRR: 976 X: -2.96973 -INDEX GOES BRRR: 443 X: 27.6895 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.63574 -INDEX GOES BRRR: 170 X: 10.6475 -INDEX GOES BRRR: 74 X: 4.67871 -INDEX GOES BRRR: 64 X: 4.02246 -INDEX GOES BRRR: 171 X: 10.6973 -INDEX GOES BRRR: 317 X: 19.8496 -INDEX GOES BRRR: 243 X: 15.2158 -INDEX GOES BRRR: 887 X: -8.55078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.91602 -INDEX GOES BRRR: 159 X: 9.94434 -INDEX GOES BRRR: 161 X: 10.0713 -INDEX GOES BRRR: 937 X: -5.43359 -INDEX GOES BRRR: 293 X: 18.3379 -INDEX GOES BRRR: 202 X: 12.6738 -INDEX GOES BRRR: 111 X: 6.96875 -INDEX GOES BRRR: 211 X: 13.2236 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1357 -INDEX GOES BRRR: 286 X: 17.9043 -INDEX GOES BRRR: 148 X: 9.31055 -INDEX GOES BRRR: 153 X: 9.60254 -INDEX GOES BRRR: 490 X: 30.6494 -INDEX GOES BRRR: 189 X: 11.8301 -INDEX GOES BRRR: 60 X: 3.78613 -INDEX GOES BRRR: 344 X: 21.5156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 953 X: -4.40625 -INDEX GOES BRRR: 19 X: 1.21777 -INDEX GOES BRRR: 155 X: 9.74414 -INDEX GOES BRRR: 152 X: 9.54785 -INDEX GOES BRRR: 934 X: -5.61133 -INDEX GOES BRRR: 53 X: 3.36719 -INDEX GOES BRRR: 46 X: 2.91504 -INDEX GOES BRRR: 453 X: 28.3574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 370 X: 23.126 -INDEX GOES BRRR: 346 X: 21.6719 -INDEX GOES BRRR: 161 X: 10.0674 -INDEX GOES BRRR: 150 X: 9.41113 -INDEX GOES BRRR: 1016 X: -0.463867 -INDEX GOES BRRR: 1019 X: -0.303711 -INDEX GOES BRRR: 136 X: 8.55859 -INDEX GOES BRRR: 1019 X: -0.273438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 477 X: 29.873 -INDEX GOES BRRR: 923 X: -6.27344 -INDEX GOES BRRR: 339 X: 21.1992 -INDEX GOES BRRR: 392 X: 24.5381 -INDEX GOES BRRR: 179 X: 11.2451 -INDEX GOES BRRR: 385 X: 24.0947 -INDEX GOES BRRR: 80 X: 5.02148 -INDEX GOES BRRR: 18 X: 1.12988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 418 X: 26.1309 -INDEX GOES BRRR: 239 X: 14.9648 -INDEX GOES BRRR: 858 X: -10.334 -INDEX GOES BRRR: 188 X: 11.8018 -INDEX GOES BRRR: 997 X: -1.65527 -INDEX GOES BRRR: 720 X: -19 -INDEX GOES BRRR: 168 X: 10.502 -INDEX GOES BRRR: 290 X: 18.166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.76465 -INDEX GOES BRRR: 124 X: 7.75781 -INDEX GOES BRRR: 176 X: 11.0488 -INDEX GOES BRRR: 317 X: 19.8574 -INDEX GOES BRRR: 360 X: 22.5273 -INDEX GOES BRRR: 100 X: 6.29102 -INDEX GOES BRRR: 1000 X: -1.45508 -INDEX GOES BRRR: 392 X: 24.5439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1318 -INDEX GOES BRRR: 295 X: 18.4688 -INDEX GOES BRRR: 249 X: 15.5732 -INDEX GOES BRRR: 374 X: 23.4102 -INDEX GOES BRRR: 61 X: 3.82422 -INDEX GOES BRRR: 995 X: -1.75684 -INDEX GOES BRRR: 48 X: 3 -INDEX GOES BRRR: 274 X: 17.1621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.0742 -INDEX GOES BRRR: 933 X: -5.63965 -INDEX GOES BRRR: 900 X: -7.69922 -INDEX GOES BRRR: 466 X: 29.1787 -INDEX GOES BRRR: 857 X: -10.4023 -INDEX GOES BRRR: 399 X: 24.9844 -INDEX GOES BRRR: 1018 X: -0.362305 -INDEX GOES BRRR: 238 X: 14.8936 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.0859 -INDEX GOES BRRR: 100 X: 6.27734 -INDEX GOES BRRR: 420 X: 26.2793 -INDEX GOES BRRR: 20 X: 1.30176 -INDEX GOES BRRR: 3 X: 0.240234 -INDEX GOES BRRR: 204 X: 12.7734 -INDEX GOES BRRR: 387 X: 24.2441 -INDEX GOES BRRR: 42 X: 2.66016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.4795 -INDEX GOES BRRR: 198 X: 12.4287 -INDEX GOES BRRR: 350 X: 21.8945 -INDEX GOES BRRR: 57 X: 3.62012 -INDEX GOES BRRR: 474 X: 29.6602 -INDEX GOES BRRR: 800 X: -13.9404 -INDEX GOES BRRR: 301 X: 18.8535 -INDEX GOES BRRR: 217 X: 13.5996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.874 -INDEX GOES BRRR: 316 X: 19.7998 -INDEX GOES BRRR: 163 X: 10.1943 -INDEX GOES BRRR: 223 X: 13.9863 -INDEX GOES BRRR: 421 X: 26.3662 -INDEX GOES BRRR: 764 X: -16.2012 -INDEX GOES BRRR: 356 X: 22.2705 -INDEX GOES BRRR: 194 X: 12.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 409 X: 25.5664 -INDEX GOES BRRR: 770 X: -15.8203 -INDEX GOES BRRR: 101 X: 6.31641 -INDEX GOES BRRR: 102 X: 6.38477 -INDEX GOES BRRR: 203 X: 12.6973 -INDEX GOES BRRR: 218 X: 13.6455 -INDEX GOES BRRR: 54 X: 3.40723 -INDEX GOES BRRR: 169 X: 10.6182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 919 X: -6.55664 -INDEX GOES BRRR: 148 X: 9.25488 -INDEX GOES BRRR: 202 X: 12.6846 -INDEX GOES BRRR: 986 X: -2.37012 -INDEX GOES BRRR: 240 X: 15.0156 -INDEX GOES BRRR: 168 X: 10.5547 -INDEX GOES BRRR: 296 X: 18.5361 -INDEX GOES BRRR: 211 X: 13.1924 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.3418 -INDEX GOES BRRR: 88 X: 5.5459 -INDEX GOES BRRR: 237 X: 14.832 -INDEX GOES BRRR: 95 X: 5.95703 -INDEX GOES BRRR: 206 X: 12.8848 -INDEX GOES BRRR: 877 X: -9.12891 -INDEX GOES BRRR: 967 X: -3.52832 -INDEX GOES BRRR: 62 X: 3.90332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2529 -INDEX GOES BRRR: 246 X: 15.4297 -INDEX GOES BRRR: 242 X: 15.1318 -INDEX GOES BRRR: 116 X: 7.31152 -INDEX GOES BRRR: 104 X: 6.50684 -INDEX GOES BRRR: 937 X: -5.37793 -INDEX GOES BRRR: 171 X: 10.7227 -INDEX GOES BRRR: 258 X: 16.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.35352 -INDEX GOES BRRR: 406 X: 25.4199 -INDEX GOES BRRR: 283 X: 17.6953 -INDEX GOES BRRR: 167 X: 10.4863 -INDEX GOES BRRR: 188 X: 11.7871 -INDEX GOES BRRR: 1023 X: -0.0283203 -INDEX GOES BRRR: 408 X: 25.5596 -INDEX GOES BRRR: 112 X: 7.00391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 276 X: 17.3096 -INDEX GOES BRRR: 962 X: -3.875 -INDEX GOES BRRR: 237 X: 14.8691 -INDEX GOES BRRR: 178 X: 11.1484 -INDEX GOES BRRR: 90 X: 5.63477 -INDEX GOES BRRR: 949 X: -4.67773 -INDEX GOES BRRR: 366 X: 22.9062 -INDEX GOES BRRR: 312 X: 19.5537 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 810 X: -13.373 -INDEX GOES BRRR: 927 X: -6.04688 -INDEX GOES BRRR: 425 X: 26.6006 -INDEX GOES BRRR: 82 X: 5.16016 -INDEX GOES BRRR: 65 X: 4.08984 -INDEX GOES BRRR: 178 X: 11.1816 -INDEX GOES BRRR: 973 X: -3.18262 -INDEX GOES BRRR: 126 X: 7.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 426 X: 26.6787 -INDEX GOES BRRR: 246 X: 15.4355 -INDEX GOES BRRR: 39 X: 2.47266 -INDEX GOES BRRR: 148 X: 9.29297 -INDEX GOES BRRR: 1004 X: -1.2168 -INDEX GOES BRRR: 200 X: 12.5518 -INDEX GOES BRRR: 308 X: 19.2588 -INDEX GOES BRRR: 246 X: 15.4248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.1582 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 135 X: 8.4834 -INDEX GOES BRRR: 14 X: 0.904297 -INDEX GOES BRRR: 906 X: -7.37109 -INDEX GOES BRRR: 317 X: 19.8262 -INDEX GOES BRRR: 154 X: 9.66504 -INDEX GOES BRRR: 8 X: 0.560547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3301 -INDEX GOES BRRR: 373 X: 23.3174 -INDEX GOES BRRR: 34 X: 2.12793 -INDEX GOES BRRR: 289 X: 18.0928 -INDEX GOES BRRR: 459 X: 28.7344 -INDEX GOES BRRR: 156 X: 9.77148 -INDEX GOES BRRR: 182 X: 11.4092 -INDEX GOES BRRR: 307 X: 19.2168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6777 -INDEX GOES BRRR: 374 X: 23.3945 -INDEX GOES BRRR: 336 X: 21.0508 -INDEX GOES BRRR: 25 X: 1.60352 -INDEX GOES BRRR: 282 X: 17.6533 -INDEX GOES BRRR: 934 X: -5.6123 -INDEX GOES BRRR: 132 X: 8.26953 -INDEX GOES BRRR: 110 X: 6.91504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 915 X: -6.76465 -INDEX GOES BRRR: 139 X: 8.73535 -INDEX GOES BRRR: 416 X: 26.0537 -INDEX GOES BRRR: 283 X: 17.6875 -INDEX GOES BRRR: 286 X: 17.9297 -INDEX GOES BRRR: 151 X: 9.46484 -INDEX GOES BRRR: 72 X: 4.5498 -INDEX GOES BRRR: 4 X: 0.266602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.03125 -INDEX GOES BRRR: 144 X: 9.00391 -INDEX GOES BRRR: 143 X: 8.9375 -INDEX GOES BRRR: 384 X: 24.0098 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 230 X: 14.3955 -INDEX GOES BRRR: 220 X: 13.7871 -INDEX GOES BRRR: 67 X: 4.2002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5107 -INDEX GOES BRRR: 297 X: 18.585 -INDEX GOES BRRR: 413 X: 25.8457 -INDEX GOES BRRR: 301 X: 18.8447 -INDEX GOES BRRR: 163 X: 10.2422 -INDEX GOES BRRR: 727 X: -18.543 -INDEX GOES BRRR: 998 X: -1.56543 -INDEX GOES BRRR: 90 X: 5.67578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.71582 -INDEX GOES BRRR: 116 X: 7.29395 -INDEX GOES BRRR: 997 X: -1.64258 -INDEX GOES BRRR: 351 X: 21.9912 -INDEX GOES BRRR: 70 X: 4.43359 -INDEX GOES BRRR: 252 X: 15.7725 -INDEX GOES BRRR: 68 X: 4.26758 -INDEX GOES BRRR: 356 X: 22.2637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.9248 -INDEX GOES BRRR: 992 X: -1.95996 -INDEX GOES BRRR: 896 X: -7.95996 -INDEX GOES BRRR: 295 X: 18.4375 -INDEX GOES BRRR: 180 X: 11.2939 -INDEX GOES BRRR: 39 X: 2.48828 -INDEX GOES BRRR: 235 X: 14.7363 -INDEX GOES BRRR: 307 X: 19.2158 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.8916 -INDEX GOES BRRR: 854 X: -10.6143 -INDEX GOES BRRR: 391 X: 24.4658 -INDEX GOES BRRR: 70 X: 4.42285 -INDEX GOES BRRR: 163 X: 10.2295 -INDEX GOES BRRR: 872 X: -9.45215 -INDEX GOES BRRR: 383 X: 23.9609 -INDEX GOES BRRR: 428 X: 26.8018 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 929 X: -5.89746 -INDEX GOES BRRR: 6 X: 0.400391 -INDEX GOES BRRR: 210 X: 13.1602 -INDEX GOES BRRR: 992 X: -1.96191 -INDEX GOES BRRR: 930 X: -5.83008 -INDEX GOES BRRR: 142 X: 8.91699 -INDEX GOES BRRR: 99 X: 6.20605 -INDEX GOES BRRR: 280 X: 17.5361 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.31348 -INDEX GOES BRRR: 121 X: 7.59668 -INDEX GOES BRRR: 205 X: 12.8691 -INDEX GOES BRRR: 55 X: 3.44824 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 946 X: -4.84668 -INDEX GOES BRRR: 211 X: 13.2197 -INDEX GOES BRRR: 277 X: 17.3262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.52051 -INDEX GOES BRRR: 270 X: 16.9326 -INDEX GOES BRRR: 265 X: 16.5654 -INDEX GOES BRRR: 832 X: -11.9512 -INDEX GOES BRRR: 1005 X: -1.18652 -INDEX GOES BRRR: 119 X: 7.48242 -INDEX GOES BRRR: 372 X: 23.3076 -INDEX GOES BRRR: 466 X: 29.1328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6777 -INDEX GOES BRRR: 34 X: 2.1748 -INDEX GOES BRRR: 109 X: 6.84668 -INDEX GOES BRRR: 889 X: -8.39746 -INDEX GOES BRRR: 176 X: 11.04 -INDEX GOES BRRR: 872 X: -9.47168 -INDEX GOES BRRR: 61 X: 3.82031 -INDEX GOES BRRR: 249 X: 15.6162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.251 -INDEX GOES BRRR: 371 X: 23.2119 -INDEX GOES BRRR: 800 X: -13.96 -INDEX GOES BRRR: 144 X: 9.0459 -INDEX GOES BRRR: 379 X: 23.7334 -INDEX GOES BRRR: 224 X: 14.042 -INDEX GOES BRRR: 90 X: 5.66016 -INDEX GOES BRRR: 54 X: 3.41797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 319 X: 19.9492 -INDEX GOES BRRR: 233 X: 14.5752 -INDEX GOES BRRR: 86 X: 5.40527 -INDEX GOES BRRR: 170 X: 10.6787 -INDEX GOES BRRR: 425 X: 26.5811 -INDEX GOES BRRR: 251 X: 15.6973 -INDEX GOES BRRR: 180 X: 11.2969 -INDEX GOES BRRR: 937 X: -5.42383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 319 X: 19.9834 -INDEX GOES BRRR: 1017 X: -0.419922 -INDEX GOES BRRR: 205 X: 12.8672 -INDEX GOES BRRR: 111 X: 6.95703 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 89 X: 5.58398 -INDEX GOES BRRR: 117 X: 7.33496 -INDEX GOES BRRR: 48 X: 3.0332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3438 -INDEX GOES BRRR: 28 X: 1.80469 -INDEX GOES BRRR: 191 X: 11.9404 -INDEX GOES BRRR: 219 X: 13.7412 -INDEX GOES BRRR: 215 X: 13.4502 -INDEX GOES BRRR: 162 X: 10.1738 -INDEX GOES BRRR: 222 X: 13.9111 -INDEX GOES BRRR: 136 X: 8.53516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0371 -INDEX GOES BRRR: 111 X: 6.97754 -INDEX GOES BRRR: 167 X: 10.4395 -INDEX GOES BRRR: 950 X: -4.56543 -INDEX GOES BRRR: 370 X: 23.1797 -INDEX GOES BRRR: 896 X: -7.98047 -INDEX GOES BRRR: 130 X: 8.17188 -INDEX GOES BRRR: 174 X: 10.9131 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 930 X: -5.82324 -INDEX GOES BRRR: 53 X: 3.36816 -INDEX GOES BRRR: 299 X: 18.7383 -INDEX GOES BRRR: 294 X: 18.3926 -INDEX GOES BRRR: 1 X: 0.109375 -INDEX GOES BRRR: 79 X: 4.94629 -INDEX GOES BRRR: 327 X: 20.4795 -INDEX GOES BRRR: 807 X: -13.5205 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.8428 -INDEX GOES BRRR: 883 X: -8.75098 -INDEX GOES BRRR: 933 X: -5.67383 -INDEX GOES BRRR: 237 X: 14.8408 -INDEX GOES BRRR: 91 X: 5.7168 -INDEX GOES BRRR: 289 X: 18.0947 -INDEX GOES BRRR: 844 X: -11.1904 -INDEX GOES BRRR: 217 X: 13.5889 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 197 X: 12.3516 -INDEX GOES BRRR: 75 X: 4.69434 -INDEX GOES BRRR: 158 X: 9.8916 -INDEX GOES BRRR: 366 X: 22.9033 -INDEX GOES BRRR: 141 X: 8.81836 -INDEX GOES BRRR: 236 X: 14.7803 -INDEX GOES BRRR: 100 X: 6.27148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 930 X: -5.86621 -INDEX GOES BRRR: 157 X: 9.84473 -INDEX GOES BRRR: 92 X: 5.79492 -INDEX GOES BRRR: 315 X: 19.749 -INDEX GOES BRRR: 253 X: 15.8418 -INDEX GOES BRRR: 196 X: 12.2852 -INDEX GOES BRRR: 356 X: 22.2891 -INDEX GOES BRRR: 169 X: 10.6074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.05273 -INDEX GOES BRRR: 197 X: 12.3691 -INDEX GOES BRRR: 67 X: 4.21289 -INDEX GOES BRRR: 164 X: 10.2744 -INDEX GOES BRRR: 211 X: 13.2012 -INDEX GOES BRRR: 397 X: 24.8174 -INDEX GOES BRRR: 980 X: -2.72266 -INDEX GOES BRRR: 165 X: 10.3564 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.8066 -INDEX GOES BRRR: 259 X: 16.1914 -INDEX GOES BRRR: 785 X: -14.8936 -INDEX GOES BRRR: 168 X: 10.543 -INDEX GOES BRRR: 390 X: 24.3916 -INDEX GOES BRRR: 318 X: 19.9102 -INDEX GOES BRRR: 0 X: 0.0556641 -INDEX GOES BRRR: 269 X: 16.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 925 X: -6.17676 -INDEX GOES BRRR: 150 X: 9.42578 -INDEX GOES BRRR: 994 X: -1.86719 -INDEX GOES BRRR: 136 X: 8.5166 -INDEX GOES BRRR: 56 X: 3.54199 -INDEX GOES BRRR: 131 X: 8.24805 -INDEX GOES BRRR: 880 X: -8.96094 -INDEX GOES BRRR: 98 X: 6.12988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 980 X: -2.73242 -INDEX GOES BRRR: 123 X: 7.69238 -INDEX GOES BRRR: 155 X: 9.72559 -INDEX GOES BRRR: 93 X: 5.8418 -INDEX GOES BRRR: 185 X: 11.5879 -INDEX GOES BRRR: 316 X: 19.7773 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 298 X: 18.6602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9893 -INDEX GOES BRRR: 247 X: 15.4443 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 285 X: 17.8359 -INDEX GOES BRRR: 512 X: -32 -INDEX GOES BRRR: 107 X: 6.69629 -INDEX GOES BRRR: 14 X: 0.911133 -INDEX GOES BRRR: 55 X: 3.49707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 917 X: -6.64844 -INDEX GOES BRRR: 32 X: 2.06152 -INDEX GOES BRRR: 331 X: 20.7324 -INDEX GOES BRRR: 57 X: 3.57812 -INDEX GOES BRRR: 246 X: 15.4199 -INDEX GOES BRRR: 144 X: 9.00781 -INDEX GOES BRRR: 121 X: 7.5752 -INDEX GOES BRRR: 850 X: -10.8594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2568 -INDEX GOES BRRR: 186 X: 11.6748 -INDEX GOES BRRR: 323 X: 20.207 -INDEX GOES BRRR: 157 X: 9.85352 -INDEX GOES BRRR: 119 X: 7.49902 -INDEX GOES BRRR: 975 X: -3.05078 -INDEX GOES BRRR: 27 X: 1.69336 -INDEX GOES BRRR: 11 X: 0.745117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.36426 -INDEX GOES BRRR: 47 X: 2.97559 -INDEX GOES BRRR: 305 X: 19.0869 -INDEX GOES BRRR: 299 X: 18.7178 -INDEX GOES BRRR: 225 X: 14.1035 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 254 X: 15.9141 -INDEX GOES BRRR: 32 X: 2.00977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7139 -INDEX GOES BRRR: 5 X: 0.319336 -INDEX GOES BRRR: 1013 X: -0.663086 -INDEX GOES BRRR: 992 X: -1.97461 -INDEX GOES BRRR: 863 X: -10.0156 -INDEX GOES BRRR: 230 X: 14.4092 -INDEX GOES BRRR: 124 X: 7.78027 -INDEX GOES BRRR: 204 X: 12.7822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.53906 -INDEX GOES BRRR: 204 X: 12.8037 -INDEX GOES BRRR: 69 X: 4.35449 -INDEX GOES BRRR: 177 X: 11.085 -INDEX GOES BRRR: 432 X: 27.042 -INDEX GOES BRRR: 377 X: 23.5742 -INDEX GOES BRRR: 144 X: 9.02637 -INDEX GOES BRRR: 374 X: 23.4033 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.31738 -INDEX GOES BRRR: 969 X: -3.38477 -INDEX GOES BRRR: 316 X: 19.7793 -INDEX GOES BRRR: 416 X: 26.0508 -INDEX GOES BRRR: 960 X: -3.96094 -INDEX GOES BRRR: 381 X: 23.8613 -INDEX GOES BRRR: 137 X: 8.6084 -INDEX GOES BRRR: 307 X: 19.2236 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.68262 -INDEX GOES BRRR: 27 X: 1.70605 -INDEX GOES BRRR: 199 X: 12.4824 -INDEX GOES BRRR: 182 X: 11.3936 -INDEX GOES BRRR: 434 X: 27.127 -INDEX GOES BRRR: 223 X: 13.9727 -INDEX GOES BRRR: 103 X: 6.45117 -INDEX GOES BRRR: 299 X: 18.7314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.7734 -INDEX GOES BRRR: 77 X: 4.82031 -INDEX GOES BRRR: 12 X: 0.786133 -INDEX GOES BRRR: 328 X: 20.5029 -INDEX GOES BRRR: 199 X: 12.4746 -INDEX GOES BRRR: 384 X: 24.0537 -INDEX GOES BRRR: 2 X: 0.160156 -INDEX GOES BRRR: 82 X: 5.13672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.51367 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 72 X: 4.52832 -INDEX GOES BRRR: 156 X: 9.77637 -INDEX GOES BRRR: 377 X: 23.5732 -INDEX GOES BRRR: 228 X: 14.2705 -INDEX GOES BRRR: 229 X: 14.3545 -INDEX GOES BRRR: 11 X: 0.713867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 396 X: 24.752 -INDEX GOES BRRR: 88 X: 5.52539 -INDEX GOES BRRR: 230 X: 14.4131 -INDEX GOES BRRR: 207 X: 12.9814 -INDEX GOES BRRR: 92 X: 5.75684 -INDEX GOES BRRR: 415 X: 25.9707 -INDEX GOES BRRR: 212 X: 13.2734 -INDEX GOES BRRR: 122 X: 7.62695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.13867 -INDEX GOES BRRR: 137 X: 8.59668 -INDEX GOES BRRR: 868 X: -9.70996 -INDEX GOES BRRR: 167 X: 10.457 -INDEX GOES BRRR: 402 X: 25.1338 -INDEX GOES BRRR: 150 X: 9.42676 -INDEX GOES BRRR: 169 X: 10.5879 -INDEX GOES BRRR: 252 X: 15.7881 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0518 -INDEX GOES BRRR: 304 X: 19.0508 -INDEX GOES BRRR: 139 X: 8.69043 -INDEX GOES BRRR: 954 X: -4.34961 -INDEX GOES BRRR: 1021 X: -0.154297 -INDEX GOES BRRR: 269 X: 16.8535 -INDEX GOES BRRR: 142 X: 8.92773 -INDEX GOES BRRR: 109 X: 6.81934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 880 X: -8.98535 -INDEX GOES BRRR: 847 X: -11.0469 -INDEX GOES BRRR: 184 X: 11.5078 -INDEX GOES BRRR: 29 X: 1.83984 -INDEX GOES BRRR: 210 X: 13.1738 -INDEX GOES BRRR: 361 X: 22.6182 -INDEX GOES BRRR: 216 X: 13.5342 -INDEX GOES BRRR: 333 X: 20.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 335 X: 20.9551 -INDEX GOES BRRR: 231 X: 14.4883 -INDEX GOES BRRR: 182 X: 11.3984 -INDEX GOES BRRR: 77 X: 4.8418 -INDEX GOES BRRR: 168 X: 10.5459 -INDEX GOES BRRR: 324 X: 20.2559 -INDEX GOES BRRR: 170 X: 10.6699 -INDEX GOES BRRR: 179 X: 11.1885 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 13 X: 0.842773 -INDEX GOES BRRR: 345 X: 21.6113 -INDEX GOES BRRR: 806 X: -13.6182 -INDEX GOES BRRR: 255 X: 15.9609 -INDEX GOES BRRR: 120 X: 7.53613 -INDEX GOES BRRR: 1021 X: -0.144531 -INDEX GOES BRRR: 467 X: 29.2334 -INDEX GOES BRRR: 232 X: 14.5488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.54492 -INDEX GOES BRRR: 52 X: 3.28809 -INDEX GOES BRRR: 280 X: 17.54 -INDEX GOES BRRR: 666 X: -22.3389 -INDEX GOES BRRR: 275 X: 17.2422 -INDEX GOES BRRR: 349 X: 21.8203 -INDEX GOES BRRR: 217 X: 13.5879 -INDEX GOES BRRR: 53 X: 3.35547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 994 X: -1.86621 -INDEX GOES BRRR: 183 X: 11.4385 -INDEX GOES BRRR: 916 X: -6.74023 -INDEX GOES BRRR: 62 X: 3.88477 -INDEX GOES BRRR: 473 X: 29.583 -INDEX GOES BRRR: 378 X: 23.626 -INDEX GOES BRRR: 208 X: 13.0176 -INDEX GOES BRRR: 268 X: 16.7588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.5918 -INDEX GOES BRRR: 329 X: 20.6162 -INDEX GOES BRRR: 125 X: 7.86523 -INDEX GOES BRRR: 8 X: 0.549805 -INDEX GOES BRRR: 192 X: 12.0029 -INDEX GOES BRRR: 416 X: 26.0537 -INDEX GOES BRRR: 249 X: 15.5947 -INDEX GOES BRRR: 201 X: 12.5938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 434 X: 27.167 -INDEX GOES BRRR: 208 X: 13.0391 -INDEX GOES BRRR: 280 X: 17.5254 -INDEX GOES BRRR: 37 X: 2.36914 -INDEX GOES BRRR: 882 X: -8.81738 -INDEX GOES BRRR: 177 X: 11.0674 -INDEX GOES BRRR: 304 X: 19.0254 -INDEX GOES BRRR: 334 X: 20.9072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.168 -INDEX GOES BRRR: 329 X: 20.6162 -INDEX GOES BRRR: 325 X: 20.374 -INDEX GOES BRRR: 230 X: 14.4199 -INDEX GOES BRRR: 377 X: 23.6201 -INDEX GOES BRRR: 123 X: 7.74512 -INDEX GOES BRRR: 339 X: 21.2148 -INDEX GOES BRRR: 848 X: -10.9561 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.6543 -INDEX GOES BRRR: 252 X: 15.8105 -INDEX GOES BRRR: 323 X: 20.1875 -INDEX GOES BRRR: 160 X: 10.0205 -INDEX GOES BRRR: 102 X: 6.37988 -INDEX GOES BRRR: 253 X: 15.8408 -INDEX GOES BRRR: 198 X: 12.3975 -INDEX GOES BRRR: 1023 X: -0.0175781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.94727 -INDEX GOES BRRR: 107 X: 6.71484 -INDEX GOES BRRR: 189 X: 11.8467 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1 X: 0.107422 -INDEX GOES BRRR: 81 X: 5.10059 -INDEX GOES BRRR: 61 X: 3.82422 -INDEX GOES BRRR: 898 X: -7.87109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.568359 -INDEX GOES BRRR: 994 X: -1.83105 -INDEX GOES BRRR: 882 X: -8.83984 -INDEX GOES BRRR: 310 X: 19.3799 -INDEX GOES BRRR: 206 X: 12.9336 -INDEX GOES BRRR: 867 X: -9.7998 -INDEX GOES BRRR: 322 X: 20.1709 -INDEX GOES BRRR: 124 X: 7.80371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.24316 -INDEX GOES BRRR: 200 X: 12.5186 -INDEX GOES BRRR: 21 X: 1.33203 -INDEX GOES BRRR: 216 X: 13.5098 -INDEX GOES BRRR: 194 X: 12.1768 -INDEX GOES BRRR: 95 X: 5.98438 -INDEX GOES BRRR: 232 X: 14.5586 -INDEX GOES BRRR: 769 X: -15.9297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 990 X: -2.07812 -INDEX GOES BRRR: 103 X: 6.49902 -INDEX GOES BRRR: 129 X: 8.08887 -INDEX GOES BRRR: 982 X: -2.60449 -INDEX GOES BRRR: 28 X: 1.80176 -INDEX GOES BRRR: 170 X: 10.6348 -INDEX GOES BRRR: 93 X: 5.87012 -INDEX GOES BRRR: 212 X: 13.251 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 919 X: -6.50391 -INDEX GOES BRRR: 492 X: 30.7607 -INDEX GOES BRRR: 765 X: -16.1396 -INDEX GOES BRRR: 82 X: 5.15918 -INDEX GOES BRRR: 149 X: 9.33398 -INDEX GOES BRRR: 72 X: 4.52734 -INDEX GOES BRRR: 123 X: 7.72363 -INDEX GOES BRRR: 877 X: -9.17578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 310 X: 19.4043 -INDEX GOES BRRR: 66 X: 4.12598 -INDEX GOES BRRR: 376 X: 23.5488 -INDEX GOES BRRR: 123 X: 7.70215 -INDEX GOES BRRR: 899 X: -7.78223 -INDEX GOES BRRR: 251 X: 15.6982 -INDEX GOES BRRR: 19 X: 1.24707 -INDEX GOES BRRR: 467 X: 29.1914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.8584 -INDEX GOES BRRR: 997 X: -1.67871 -INDEX GOES BRRR: 173 X: 10.8701 -INDEX GOES BRRR: 97 X: 6.08301 -INDEX GOES BRRR: 87 X: 5.4502 -INDEX GOES BRRR: 277 X: 17.3506 -INDEX GOES BRRR: 1014 X: -0.567383 -INDEX GOES BRRR: 7 X: 0.446289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.2441 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 248 X: 15.5557 -INDEX GOES BRRR: 253 X: 15.8682 -INDEX GOES BRRR: 191 X: 11.9531 -INDEX GOES BRRR: 196 X: 12.291 -INDEX GOES BRRR: 386 X: 24.1836 -INDEX GOES BRRR: 63 X: 3.96289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.6924 -INDEX GOES BRRR: 160 X: 10.0605 -INDEX GOES BRRR: 373 X: 23.3457 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 157 X: 9.87207 -INDEX GOES BRRR: 971 X: -3.30664 -INDEX GOES BRRR: 199 X: 12.4668 -INDEX GOES BRRR: 362 X: 22.6709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 342 X: 21.4141 -INDEX GOES BRRR: 990 X: -2.1084 -INDEX GOES BRRR: 53 X: 3.37207 -INDEX GOES BRRR: 246 X: 15.3877 -INDEX GOES BRRR: 352 X: 22.0332 -INDEX GOES BRRR: 227 X: 14.2422 -INDEX GOES BRRR: 340 X: 21.2881 -INDEX GOES BRRR: 947 X: -4.7998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.52441 -INDEX GOES BRRR: 372 X: 23.291 -INDEX GOES BRRR: 88 X: 5.50684 -INDEX GOES BRRR: 286 X: 17.9365 -INDEX GOES BRRR: 156 X: 9.76074 -INDEX GOES BRRR: 92 X: 5.76367 -INDEX GOES BRRR: 179 X: 11.2236 -INDEX GOES BRRR: 151 X: 9.49512 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 31 X: 1.97852 -INDEX GOES BRRR: 324 X: 20.2881 -INDEX GOES BRRR: 322 X: 20.1533 -INDEX GOES BRRR: 221 X: 13.8672 -INDEX GOES BRRR: 82 X: 5.17188 -INDEX GOES BRRR: 242 X: 15.1514 -INDEX GOES BRRR: 138 X: 8.66992 -INDEX GOES BRRR: 16 X: 1.04004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 417 X: 26.0869 -INDEX GOES BRRR: 121 X: 7.61621 -INDEX GOES BRRR: 231 X: 14.4492 -INDEX GOES BRRR: 305 X: 19.1162 -INDEX GOES BRRR: 86 X: 5.40332 -INDEX GOES BRRR: 983 X: -2.50391 -INDEX GOES BRRR: 47 X: 2.97852 -INDEX GOES BRRR: 109 X: 6.82812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.16992 -INDEX GOES BRRR: 246 X: 15.4238 -INDEX GOES BRRR: 104 X: 6.55371 -INDEX GOES BRRR: 241 X: 15.1016 -INDEX GOES BRRR: 110 X: 6.88867 -INDEX GOES BRRR: 126 X: 7.92871 -INDEX GOES BRRR: 9 X: 0.592773 -INDEX GOES BRRR: 373 X: 23.3672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.52051 -INDEX GOES BRRR: 1016 X: -0.455078 -INDEX GOES BRRR: 367 X: 22.9805 -INDEX GOES BRRR: 198 X: 12.3896 -INDEX GOES BRRR: 172 X: 10.7578 -INDEX GOES BRRR: 253 X: 15.8174 -INDEX GOES BRRR: 281 X: 17.6045 -INDEX GOES BRRR: 490 X: 30.668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.30957 -INDEX GOES BRRR: 98 X: 6.1709 -INDEX GOES BRRR: 431 X: 26.9648 -INDEX GOES BRRR: 907 X: -7.29883 -INDEX GOES BRRR: 246 X: 15.4004 -INDEX GOES BRRR: 167 X: 10.4961 -INDEX GOES BRRR: 233 X: 14.5967 -INDEX GOES BRRR: 415 X: 25.9414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.99902 -INDEX GOES BRRR: 18 X: 1.17676 -INDEX GOES BRRR: 178 X: 11.1309 -INDEX GOES BRRR: 191 X: 11.958 -INDEX GOES BRRR: 3 X: 0.189453 -INDEX GOES BRRR: 22 X: 1.4248 -INDEX GOES BRRR: 239 X: 14.9912 -INDEX GOES BRRR: 170 X: 10.6289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.86914 -INDEX GOES BRRR: 145 X: 9.11621 -INDEX GOES BRRR: 207 X: 12.9629 -INDEX GOES BRRR: 445 X: 27.8125 -INDEX GOES BRRR: 82 X: 5.13965 -INDEX GOES BRRR: 79 X: 4.97559 -INDEX GOES BRRR: 336 X: 21.0068 -INDEX GOES BRRR: 179 X: 11.1875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.89746 -INDEX GOES BRRR: 106 X: 6.6582 -INDEX GOES BRRR: 235 X: 14.7002 -INDEX GOES BRRR: 341 X: 21.3301 -INDEX GOES BRRR: 1001 X: -1.40918 -INDEX GOES BRRR: 300 X: 18.7969 -INDEX GOES BRRR: 187 X: 11.7393 -INDEX GOES BRRR: 1017 X: -0.422852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8125 -INDEX GOES BRRR: 343 X: 21.4834 -INDEX GOES BRRR: 197 X: 12.3516 -INDEX GOES BRRR: 269 X: 16.833 -INDEX GOES BRRR: 303 X: 18.9482 -INDEX GOES BRRR: 726 X: -18.6123 -INDEX GOES BRRR: 998 X: -1.60156 -INDEX GOES BRRR: 81 X: 5.10059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 411 X: 25.7012 -INDEX GOES BRRR: 435 X: 27.2158 -INDEX GOES BRRR: 112 X: 7.00684 -INDEX GOES BRRR: 179 X: 11.2139 -INDEX GOES BRRR: 30 X: 1.92676 -INDEX GOES BRRR: 277 X: 17.3213 -INDEX GOES BRRR: 192 X: 12.0303 -INDEX GOES BRRR: 142 X: 8.91797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.46484 -INDEX GOES BRRR: 162 X: 10.1455 -INDEX GOES BRRR: 41 X: 2.58594 -INDEX GOES BRRR: 71 X: 4.49707 -INDEX GOES BRRR: 14 X: 0.895508 -INDEX GOES BRRR: 175 X: 10.9658 -INDEX GOES BRRR: 356 X: 22.3027 -INDEX GOES BRRR: 182 X: 11.415 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 974 X: -3.11133 -INDEX GOES BRRR: 344 X: 21.5078 -INDEX GOES BRRR: 891 X: -8.28223 -INDEX GOES BRRR: 280 X: 17.5283 -INDEX GOES BRRR: 823 X: -12.541 -INDEX GOES BRRR: 284 X: 17.793 -INDEX GOES BRRR: 64 X: 4.05469 -INDEX GOES BRRR: 390 X: 24.4072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 998 X: -1.60156 -INDEX GOES BRRR: 978 X: -2.85645 -INDEX GOES BRRR: 815 X: -13.0137 -INDEX GOES BRRR: 356 X: 22.2715 -INDEX GOES BRRR: 163 X: 10.2139 -INDEX GOES BRRR: 72 X: 4.53711 -INDEX GOES BRRR: 347 X: 21.7393 -INDEX GOES BRRR: 91 X: 5.74023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 385 X: 24.0635 -INDEX GOES BRRR: 337 X: 21.0938 -INDEX GOES BRRR: 182 X: 11.4209 -INDEX GOES BRRR: 942 X: -5.08398 -INDEX GOES BRRR: 823 X: -12.5459 -INDEX GOES BRRR: 23 X: 1.49609 -INDEX GOES BRRR: 1 X: 0.078125 -INDEX GOES BRRR: 165 X: 10.3711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.29395 -INDEX GOES BRRR: 382 X: 23.9277 -INDEX GOES BRRR: 208 X: 13.0352 -INDEX GOES BRRR: 206 X: 12.8916 -INDEX GOES BRRR: 74 X: 4.68652 -INDEX GOES BRRR: 990 X: -2.10645 -INDEX GOES BRRR: 267 X: 16.7324 -INDEX GOES BRRR: 201 X: 12.5938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.65723 -INDEX GOES BRRR: 212 X: 13.2939 -INDEX GOES BRRR: 226 X: 14.1426 -INDEX GOES BRRR: 353 X: 22.1162 -INDEX GOES BRRR: 917 X: -6.68262 -INDEX GOES BRRR: 64 X: 4.05273 -INDEX GOES BRRR: 153 X: 9.59277 -INDEX GOES BRRR: 186 X: 11.625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7051 -INDEX GOES BRRR: 325 X: 20.3438 -INDEX GOES BRRR: 325 X: 20.373 -INDEX GOES BRRR: 948 X: -4.73438 -INDEX GOES BRRR: 68 X: 4.25195 -INDEX GOES BRRR: 285 X: 17.8125 -INDEX GOES BRRR: 46 X: 2.89941 -INDEX GOES BRRR: 276 X: 17.2627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.11328 -INDEX GOES BRRR: 157 X: 9.81836 -INDEX GOES BRRR: 195 X: 12.1982 -INDEX GOES BRRR: 272 X: 17.001 -INDEX GOES BRRR: 138 X: 8.65625 -INDEX GOES BRRR: 159 X: 9.95117 -INDEX GOES BRRR: 48 X: 3.05762 -INDEX GOES BRRR: 247 X: 15.4609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 495 X: 30.9629 -INDEX GOES BRRR: 341 X: 21.373 -INDEX GOES BRRR: 15 X: 0.951172 -INDEX GOES BRRR: 126 X: 7.87891 -INDEX GOES BRRR: 883 X: -8.78125 -INDEX GOES BRRR: 260 X: 16.25 -INDEX GOES BRRR: 58 X: 3.67188 -INDEX GOES BRRR: 942 X: -5.11816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.765625 -INDEX GOES BRRR: 158 X: 9.90625 -INDEX GOES BRRR: 127 X: 7.96875 -INDEX GOES BRRR: 355 X: 22.207 -INDEX GOES BRRR: 184 X: 11.5225 -INDEX GOES BRRR: 305 X: 19.0742 -INDEX GOES BRRR: 130 X: 8.14551 -INDEX GOES BRRR: 205 X: 12.8398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.12109 -INDEX GOES BRRR: 51 X: 3.24512 -INDEX GOES BRRR: 54 X: 3.40625 -INDEX GOES BRRR: 190 X: 11.9336 -INDEX GOES BRRR: 246 X: 15.4023 -INDEX GOES BRRR: 149 X: 9.34961 -INDEX GOES BRRR: 307 X: 19.2275 -INDEX GOES BRRR: 236 X: 14.7754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.16309 -INDEX GOES BRRR: 13 X: 0.850586 -INDEX GOES BRRR: 252 X: 15.7871 -INDEX GOES BRRR: 379 X: 23.7373 -INDEX GOES BRRR: 123 X: 7.69434 -INDEX GOES BRRR: 359 X: 22.4453 -INDEX GOES BRRR: 199 X: 12.4648 -INDEX GOES BRRR: 167 X: 10.4434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9658 -INDEX GOES BRRR: 189 X: 11.8545 -INDEX GOES BRRR: 947 X: -4.78809 -INDEX GOES BRRR: 380 X: 23.8115 -INDEX GOES BRRR: 37 X: 2.31836 -INDEX GOES BRRR: 261 X: 16.3311 -INDEX GOES BRRR: 0 X: 0.0400391 -INDEX GOES BRRR: 1000 X: -1.48926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 922 X: -6.33594 -INDEX GOES BRRR: 1002 X: -1.36914 -INDEX GOES BRRR: 33 X: 2.09277 -INDEX GOES BRRR: 232 X: 14.5049 -INDEX GOES BRRR: 348 X: 21.8076 -INDEX GOES BRRR: 993 X: -1.87598 -INDEX GOES BRRR: 438 X: 27.3906 -INDEX GOES BRRR: 147 X: 9.2373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.875 -INDEX GOES BRRR: 973 X: -3.16309 -INDEX GOES BRRR: 109 X: 6.85352 -INDEX GOES BRRR: 320 X: 20.0137 -INDEX GOES BRRR: 65 X: 4.07422 -INDEX GOES BRRR: 204 X: 12.7812 -INDEX GOES BRRR: 310 X: 19.3838 -INDEX GOES BRRR: 981 X: -2.64355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.929688 -INDEX GOES BRRR: 101 X: 6.35645 -INDEX GOES BRRR: 179 X: 11.2334 -INDEX GOES BRRR: 182 X: 11.3848 -INDEX GOES BRRR: 142 X: 8.92285 -INDEX GOES BRRR: 109 X: 6.8252 -INDEX GOES BRRR: 941 X: -5.18457 -INDEX GOES BRRR: 218 X: 13.6289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.5654 -INDEX GOES BRRR: 238 X: 14.8789 -INDEX GOES BRRR: 259 X: 16.2178 -INDEX GOES BRRR: 288 X: 18.0029 -INDEX GOES BRRR: 137 X: 8.59961 -INDEX GOES BRRR: 107 X: 6.71875 -INDEX GOES BRRR: 25 X: 1.56348 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 958 X: -4.07422 -INDEX GOES BRRR: 77 X: 4.84668 -INDEX GOES BRRR: 286 X: 17.9121 -INDEX GOES BRRR: 139 X: 8.69629 -INDEX GOES BRRR: 932 X: -5.68945 -INDEX GOES BRRR: 265 X: 16.6182 -INDEX GOES BRRR: 370 X: 23.1689 -INDEX GOES BRRR: 988 X: -2.20117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.84668 -INDEX GOES BRRR: 272 X: 17.0088 -INDEX GOES BRRR: 293 X: 18.3125 -INDEX GOES BRRR: 203 X: 12.7441 -INDEX GOES BRRR: 440 X: 27.5303 -INDEX GOES BRRR: 958 X: -4.10352 -INDEX GOES BRRR: 138 X: 8.63086 -INDEX GOES BRRR: 232 X: 14.5576 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.1475 -INDEX GOES BRRR: 256 X: 16.0332 -INDEX GOES BRRR: 182 X: 11.3848 -INDEX GOES BRRR: 174 X: 10.9092 -INDEX GOES BRRR: 73 X: 4.58691 -INDEX GOES BRRR: 43 X: 2.70215 -INDEX GOES BRRR: 41 X: 2.57715 -INDEX GOES BRRR: 94 X: 5.8877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.0625 -INDEX GOES BRRR: 332 X: 20.7988 -INDEX GOES BRRR: 205 X: 12.8262 -INDEX GOES BRRR: 212 X: 13.2725 -INDEX GOES BRRR: 269 X: 16.8467 -INDEX GOES BRRR: 133 X: 8.33887 -INDEX GOES BRRR: 267 X: 16.7461 -INDEX GOES BRRR: 64 X: 4.01758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.6133 -INDEX GOES BRRR: 56 X: 3.50781 -INDEX GOES BRRR: 978 X: -2.875 -INDEX GOES BRRR: 99 X: 6.20801 -INDEX GOES BRRR: 74 X: 4.6377 -INDEX GOES BRRR: 240 X: 15.0303 -INDEX GOES BRRR: 340 X: 21.2559 -INDEX GOES BRRR: 144 X: 9.0332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 334 X: 20.8877 -INDEX GOES BRRR: 15 X: 0.967773 -INDEX GOES BRRR: 3 X: 0.212891 -INDEX GOES BRRR: 979 X: -2.79785 -INDEX GOES BRRR: 108 X: 6.79102 -INDEX GOES BRRR: 382 X: 23.9248 -INDEX GOES BRRR: 251 X: 15.6953 -INDEX GOES BRRR: 280 X: 17.5254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.78125 -INDEX GOES BRRR: 106 X: 6.64062 -INDEX GOES BRRR: 115 X: 7.22852 -INDEX GOES BRRR: 7 X: 0.499023 -INDEX GOES BRRR: 37 X: 2.31641 -INDEX GOES BRRR: 827 X: -12.2949 -INDEX GOES BRRR: 943 X: -5.03027 -INDEX GOES BRRR: 324 X: 20.2881 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1012 X: -0.724609 -INDEX GOES BRRR: 351 X: 21.9697 -INDEX GOES BRRR: 461 X: 28.8252 -INDEX GOES BRRR: 180 X: 11.2773 -INDEX GOES BRRR: 191 X: 11.9561 -INDEX GOES BRRR: 958 X: -4.06738 -INDEX GOES BRRR: 164 X: 10.3086 -INDEX GOES BRRR: 933 X: -5.63379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.72852 -INDEX GOES BRRR: 36 X: 2.28027 -INDEX GOES BRRR: 142 X: 8.9082 -INDEX GOES BRRR: 310 X: 19.3799 -INDEX GOES BRRR: 172 X: 10.7607 -INDEX GOES BRRR: 963 X: -3.78223 -INDEX GOES BRRR: 419 X: 26.2363 -INDEX GOES BRRR: 433 X: 27.0645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7988 -INDEX GOES BRRR: 293 X: 18.3203 -INDEX GOES BRRR: 247 X: 15.4668 -INDEX GOES BRRR: 223 X: 13.9785 -INDEX GOES BRRR: 265 X: 16.6016 -INDEX GOES BRRR: 399 X: 24.9766 -INDEX GOES BRRR: 1019 X: -0.254883 -INDEX GOES BRRR: 1002 X: -1.35449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.90625 -INDEX GOES BRRR: 70 X: 4.41113 -INDEX GOES BRRR: 170 X: 10.6768 -INDEX GOES BRRR: 444 X: 27.7832 -INDEX GOES BRRR: 464 X: 29.0479 -INDEX GOES BRRR: 796 X: -14.2383 -INDEX GOES BRRR: 192 X: 12.0234 -INDEX GOES BRRR: 34 X: 2.15039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6602 -INDEX GOES BRRR: 150 X: 9.375 -INDEX GOES BRRR: 97 X: 6.1084 -INDEX GOES BRRR: 11 X: 0.688477 -INDEX GOES BRRR: 149 X: 9.32715 -INDEX GOES BRRR: 150 X: 9.40234 -INDEX GOES BRRR: 487 X: 30.4492 -INDEX GOES BRRR: 101 X: 6.36816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.94434 -INDEX GOES BRRR: 127 X: 7.96875 -INDEX GOES BRRR: 186 X: 11.6562 -INDEX GOES BRRR: 160 X: 10.0391 -INDEX GOES BRRR: 344 X: 21.5039 -INDEX GOES BRRR: 929 X: -5.9209 -INDEX GOES BRRR: 302 X: 18.8965 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0088 -INDEX GOES BRRR: 204 X: 12.7793 -INDEX GOES BRRR: 138 X: 8.65723 -INDEX GOES BRRR: 1008 X: -0.967773 -INDEX GOES BRRR: 383 X: 23.9688 -INDEX GOES BRRR: 1 X: 0.0673828 -INDEX GOES BRRR: 128 X: 8.00977 -INDEX GOES BRRR: 124 X: 7.77441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1 X: 0.0634766 -INDEX GOES BRRR: 919 X: -6.52051 -INDEX GOES BRRR: 243 X: 15.2207 -INDEX GOES BRRR: 984 X: -2.45117 -INDEX GOES BRRR: 13 X: 0.870117 -INDEX GOES BRRR: 178 X: 11.1338 -INDEX GOES BRRR: 113 X: 7.08105 -INDEX GOES BRRR: 975 X: -3.00684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9736 -INDEX GOES BRRR: 293 X: 18.3682 -INDEX GOES BRRR: 125 X: 7.82227 -INDEX GOES BRRR: 91 X: 5.70508 -INDEX GOES BRRR: 129 X: 8.09863 -INDEX GOES BRRR: 422 X: 26.415 -INDEX GOES BRRR: 979 X: -2.78125 -INDEX GOES BRRR: 45 X: 2.84863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6523 -INDEX GOES BRRR: 381 X: 23.8242 -INDEX GOES BRRR: 47 X: 2.93848 -INDEX GOES BRRR: 222 X: 13.9043 -INDEX GOES BRRR: 81 X: 5.09863 -INDEX GOES BRRR: 264 X: 16.5244 -INDEX GOES BRRR: 354 X: 22.1709 -INDEX GOES BRRR: 730 X: -18.3584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4326 -INDEX GOES BRRR: 240 X: 15.0264 -INDEX GOES BRRR: 295 X: 18.4785 -INDEX GOES BRRR: 257 X: 16.0762 -INDEX GOES BRRR: 258 X: 16.1641 -INDEX GOES BRRR: 417 X: 26.0908 -INDEX GOES BRRR: 993 X: -1.89258 -INDEX GOES BRRR: 353 X: 22.1025 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.68555 -INDEX GOES BRRR: 395 X: 24.7227 -INDEX GOES BRRR: 23 X: 1.49023 -INDEX GOES BRRR: 30 X: 1.89941 -INDEX GOES BRRR: 117 X: 7.33594 -INDEX GOES BRRR: 922 X: -6.33594 -INDEX GOES BRRR: 29 X: 1.8623 -INDEX GOES BRRR: 43 X: 2.7168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 385 X: 24.0723 -INDEX GOES BRRR: 299 X: 18.7051 -INDEX GOES BRRR: 78 X: 4.88574 -INDEX GOES BRRR: 221 X: 13.8496 -INDEX GOES BRRR: 90 X: 5.65918 -INDEX GOES BRRR: 223 X: 13.9541 -INDEX GOES BRRR: 248 X: 15.5469 -INDEX GOES BRRR: 91 X: 5.73242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 914 X: -6.84766 -INDEX GOES BRRR: 226 X: 14.1729 -INDEX GOES BRRR: 9 X: 0.615234 -INDEX GOES BRRR: 973 X: -3.14551 -INDEX GOES BRRR: 15 X: 0.999023 -INDEX GOES BRRR: 217 X: 13.584 -INDEX GOES BRRR: 234 X: 14.6846 -INDEX GOES BRRR: 238 X: 14.8896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.1875 -INDEX GOES BRRR: 983 X: -2.55566 -INDEX GOES BRRR: 225 X: 14.0898 -INDEX GOES BRRR: 254 X: 15.9277 -INDEX GOES BRRR: 331 X: 20.6875 -INDEX GOES BRRR: 163 X: 10.2129 -INDEX GOES BRRR: 48 X: 3.02734 -INDEX GOES BRRR: 91 X: 5.74023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.54688 -INDEX GOES BRRR: 139 X: 8.70508 -INDEX GOES BRRR: 102 X: 6.41602 -INDEX GOES BRRR: 1016 X: -0.479492 -INDEX GOES BRRR: 80 X: 5.02148 -INDEX GOES BRRR: 262 X: 16.4336 -INDEX GOES BRRR: 407 X: 25.459 -INDEX GOES BRRR: 159 X: 9.96484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.30566 -INDEX GOES BRRR: 284 X: 17.7871 -INDEX GOES BRRR: 185 X: 11.5977 -INDEX GOES BRRR: 128 X: 8.05371 -INDEX GOES BRRR: 442 X: 27.6592 -INDEX GOES BRRR: 170 X: 10.6748 -INDEX GOES BRRR: 785 X: -14.9248 -INDEX GOES BRRR: 998 X: -1.62109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.2236 -INDEX GOES BRRR: 10 X: 0.644531 -INDEX GOES BRRR: 79 X: 4.9375 -INDEX GOES BRRR: 1009 X: -0.895508 -INDEX GOES BRRR: 399 X: 24.9619 -INDEX GOES BRRR: 203 X: 12.7412 -INDEX GOES BRRR: 961 X: -3.92383 -INDEX GOES BRRR: 93 X: 5.83984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.1084 -INDEX GOES BRRR: 194 X: 12.1553 -INDEX GOES BRRR: 154 X: 9.67285 -INDEX GOES BRRR: 145 X: 9.10938 -INDEX GOES BRRR: 328 X: 20.5078 -INDEX GOES BRRR: 68 X: 4.28223 -INDEX GOES BRRR: 0 X: 0.0517578 -INDEX GOES BRRR: 332 X: 20.8027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8555 -INDEX GOES BRRR: 194 X: 12.1562 -INDEX GOES BRRR: 254 X: 15.8984 -INDEX GOES BRRR: 85 X: 5.33594 -INDEX GOES BRRR: 156 X: 9.75879 -INDEX GOES BRRR: 186 X: 11.6387 -INDEX GOES BRRR: 181 X: 11.3301 -INDEX GOES BRRR: 268 X: 16.7773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.602539 -INDEX GOES BRRR: 304 X: 19.0225 -INDEX GOES BRRR: 45 X: 2.84668 -INDEX GOES BRRR: 148 X: 9.26758 -INDEX GOES BRRR: 152 X: 9.52734 -INDEX GOES BRRR: 803 X: -13.7881 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 217 X: 13.5918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.69727 -INDEX GOES BRRR: 206 X: 12.8789 -INDEX GOES BRRR: 171 X: 10.7139 -INDEX GOES BRRR: 924 X: -6.21973 -INDEX GOES BRRR: 188 X: 11.7861 -INDEX GOES BRRR: 996 X: -1.70312 -INDEX GOES BRRR: 79 X: 4.95703 -INDEX GOES BRRR: 49 X: 3.06348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5879 -INDEX GOES BRRR: 103 X: 6.49707 -INDEX GOES BRRR: 129 X: 8.11816 -INDEX GOES BRRR: 103 X: 6.43848 -INDEX GOES BRRR: 347 X: 21.7334 -INDEX GOES BRRR: 181 X: 11.3359 -INDEX GOES BRRR: 149 X: 9.37207 -INDEX GOES BRRR: 50 X: 3.15039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6387 -INDEX GOES BRRR: 83 X: 5.20215 -INDEX GOES BRRR: 981 X: -2.68359 -INDEX GOES BRRR: 296 X: 18.5254 -INDEX GOES BRRR: 29 X: 1.83887 -INDEX GOES BRRR: 1012 X: -0.711914 -INDEX GOES BRRR: 383 X: 23.9375 -INDEX GOES BRRR: 137 X: 8.56836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7344 -INDEX GOES BRRR: 168 X: 10.5049 -INDEX GOES BRRR: 61 X: 3.85059 -INDEX GOES BRRR: 274 X: 17.1338 -INDEX GOES BRRR: 118 X: 7.41309 -INDEX GOES BRRR: 147 X: 9.22852 -INDEX GOES BRRR: 194 X: 12.1523 -INDEX GOES BRRR: 236 X: 14.8066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2314 -INDEX GOES BRRR: 105 X: 6.62207 -INDEX GOES BRRR: 204 X: 12.8037 -INDEX GOES BRRR: 198 X: 12.4023 -INDEX GOES BRRR: 87 X: 5.45801 -INDEX GOES BRRR: 1004 X: -1.21094 -INDEX GOES BRRR: 1012 X: -0.704102 -INDEX GOES BRRR: 136 X: 8.50879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.3018 -INDEX GOES BRRR: 222 X: 13.9238 -INDEX GOES BRRR: 106 X: 6.6543 -INDEX GOES BRRR: 234 X: 14.6504 -INDEX GOES BRRR: 984 X: -2.44922 -INDEX GOES BRRR: 179 X: 11.2334 -INDEX GOES BRRR: 277 X: 17.3574 -INDEX GOES BRRR: 957 X: -4.13867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 877 X: -9.14746 -INDEX GOES BRRR: 166 X: 10.4004 -INDEX GOES BRRR: 96 X: 6.04297 -INDEX GOES BRRR: 340 X: 21.2754 -INDEX GOES BRRR: 70 X: 4.43555 -INDEX GOES BRRR: 970 X: -3.32227 -INDEX GOES BRRR: 377 X: 23.5742 -INDEX GOES BRRR: 140 X: 8.80957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0107 -INDEX GOES BRRR: 138 X: 8.65625 -INDEX GOES BRRR: 917 X: -6.65332 -INDEX GOES BRRR: 982 X: -2.56934 -INDEX GOES BRRR: 349 X: 21.8281 -INDEX GOES BRRR: 292 X: 18.2793 -INDEX GOES BRRR: 257 X: 16.1045 -INDEX GOES BRRR: 106 X: 6.65723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 824 X: -12.4961 -INDEX GOES BRRR: 401 X: 25.0645 -INDEX GOES BRRR: 326 X: 20.4014 -INDEX GOES BRRR: 218 X: 13.6641 -INDEX GOES BRRR: 167 X: 10.4453 -INDEX GOES BRRR: 170 X: 10.6699 -INDEX GOES BRRR: 63 X: 3.97266 -INDEX GOES BRRR: 77 X: 4.86914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.03711 -INDEX GOES BRRR: 905 X: -7.38477 -INDEX GOES BRRR: 39 X: 2.45898 -INDEX GOES BRRR: 887 X: -8.53223 -INDEX GOES BRRR: 34 X: 2.13574 -INDEX GOES BRRR: 184 X: 11.5068 -INDEX GOES BRRR: 371 X: 23.2207 -INDEX GOES BRRR: 365 X: 22.8555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.2598 -INDEX GOES BRRR: 257 X: 16.0928 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 114 X: 7.17285 -INDEX GOES BRRR: 287 X: 17.9785 -INDEX GOES BRRR: 407 X: 25.4395 -INDEX GOES BRRR: 53 X: 3.34277 -INDEX GOES BRRR: 98 X: 6.17285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 63 X: 3.94727 -INDEX GOES BRRR: 200 X: 12.541 -INDEX GOES BRRR: 421 X: 26.3271 -INDEX GOES BRRR: 103 X: 6.49707 -INDEX GOES BRRR: 290 X: 18.1689 -INDEX GOES BRRR: 227 X: 14.2031 -INDEX GOES BRRR: 296 X: 18.543 -INDEX GOES BRRR: 252 X: 15.8076 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 384 X: 24.0303 -INDEX GOES BRRR: 176 X: 11.041 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 150 X: 9.42969 -INDEX GOES BRRR: 143 X: 8.98145 -INDEX GOES BRRR: 295 X: 18.4922 -INDEX GOES BRRR: 968 X: -3.49316 -INDEX GOES BRRR: 945 X: -4.91504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.85352 -INDEX GOES BRRR: 229 X: 14.3398 -INDEX GOES BRRR: 319 X: 19.9844 -INDEX GOES BRRR: 329 X: 20.5918 -INDEX GOES BRRR: 188 X: 11.7803 -INDEX GOES BRRR: 303 X: 18.9814 -INDEX GOES BRRR: 18 X: 1.16211 -INDEX GOES BRRR: 943 X: -5.04785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.38086 -INDEX GOES BRRR: 394 X: 24.6299 -INDEX GOES BRRR: 960 X: -3.98535 -INDEX GOES BRRR: 236 X: 14.8018 -INDEX GOES BRRR: 925 X: -6.18457 -INDEX GOES BRRR: 288 X: 18.043 -INDEX GOES BRRR: 896 X: -7.99023 -INDEX GOES BRRR: 971 X: -3.28516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.998 -INDEX GOES BRRR: 760 X: -16.4629 -INDEX GOES BRRR: 233 X: 14.5928 -INDEX GOES BRRR: 29 X: 1.87012 -INDEX GOES BRRR: 314 X: 19.6562 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 382 X: 23.9277 -INDEX GOES BRRR: 106 X: 6.66699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.7197 -INDEX GOES BRRR: 106 X: 6.63281 -INDEX GOES BRRR: 166 X: 10.3955 -INDEX GOES BRRR: 185 X: 11.5762 -INDEX GOES BRRR: 426 X: 26.6338 -INDEX GOES BRRR: 5 X: 0.373047 -INDEX GOES BRRR: 993 X: -1.89355 -INDEX GOES BRRR: 946 X: -4.84668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.00977 -INDEX GOES BRRR: 237 X: 14.8555 -INDEX GOES BRRR: 4 X: 0.250977 -INDEX GOES BRRR: 33 X: 2.08008 -INDEX GOES BRRR: 124 X: 7.79004 -INDEX GOES BRRR: 443 X: 27.7334 -INDEX GOES BRRR: 248 X: 15.5117 -INDEX GOES BRRR: 23 X: 1.45703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8574 -INDEX GOES BRRR: 307 X: 19.1914 -INDEX GOES BRRR: 164 X: 10.2871 -INDEX GOES BRRR: 350 X: 21.8887 -INDEX GOES BRRR: 89 X: 5.61719 -INDEX GOES BRRR: 992 X: -1.97949 -INDEX GOES BRRR: 171 X: 10.7217 -INDEX GOES BRRR: 63 X: 3.97949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 931 X: -5.76855 -INDEX GOES BRRR: 309 X: 19.3359 -INDEX GOES BRRR: 150 X: 9.41113 -INDEX GOES BRRR: 69 X: 4.31934 -INDEX GOES BRRR: 22 X: 1.39355 -INDEX GOES BRRR: 5 X: 0.363281 -INDEX GOES BRRR: 166 X: 10.4277 -INDEX GOES BRRR: 264 X: 16.5469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0029 -INDEX GOES BRRR: 304 X: 19 -INDEX GOES BRRR: 438 X: 27.4004 -INDEX GOES BRRR: 133 X: 8.35449 -INDEX GOES BRRR: 64 X: 4.05762 -INDEX GOES BRRR: 899 X: -7.80957 -INDEX GOES BRRR: 290 X: 18.1836 -INDEX GOES BRRR: 189 X: 11.833 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.61621 -INDEX GOES BRRR: 259 X: 16.2197 -INDEX GOES BRRR: 916 X: -6.71191 -INDEX GOES BRRR: 58 X: 3.68262 -INDEX GOES BRRR: 144 X: 9.03027 -INDEX GOES BRRR: 33 X: 2.09668 -INDEX GOES BRRR: 117 X: 7.37305 -INDEX GOES BRRR: 831 X: -12.0146 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.77734 -INDEX GOES BRRR: 131 X: 8.21582 -INDEX GOES BRRR: 125 X: 7.82324 -INDEX GOES BRRR: 323 X: 20.207 -INDEX GOES BRRR: 376 X: 23.5156 -INDEX GOES BRRR: 325 X: 20.3135 -INDEX GOES BRRR: 327 X: 20.4961 -INDEX GOES BRRR: 116 X: 7.26172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.93848 -INDEX GOES BRRR: 57 X: 3.5791 -INDEX GOES BRRR: 156 X: 9.75781 -INDEX GOES BRRR: 124 X: 7.79199 -INDEX GOES BRRR: 304 X: 19.0479 -INDEX GOES BRRR: 34 X: 2.12891 -INDEX GOES BRRR: 238 X: 14.876 -INDEX GOES BRRR: 429 X: 26.8418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 279 X: 17.4648 -INDEX GOES BRRR: 31 X: 1.95898 -INDEX GOES BRRR: 206 X: 12.8984 -INDEX GOES BRRR: 112 X: 7.04297 -INDEX GOES BRRR: 121 X: 7.6123 -INDEX GOES BRRR: 355 X: 22.2256 -INDEX GOES BRRR: 406 X: 25.3906 -INDEX GOES BRRR: 269 X: 16.833 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.48047 -INDEX GOES BRRR: 333 X: 20.8359 -INDEX GOES BRRR: 55 X: 3.4834 -INDEX GOES BRRR: 127 X: 7.98145 -INDEX GOES BRRR: 84 X: 5.26953 -INDEX GOES BRRR: 240 X: 15.0283 -INDEX GOES BRRR: 226 X: 14.1406 -INDEX GOES BRRR: 953 X: -4.37598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.2344 -INDEX GOES BRRR: 408 X: 25.5605 -INDEX GOES BRRR: 102 X: 6.40918 -INDEX GOES BRRR: 173 X: 10.832 -INDEX GOES BRRR: 292 X: 18.2646 -INDEX GOES BRRR: 70 X: 4.4209 -INDEX GOES BRRR: 162 X: 10.1514 -INDEX GOES BRRR: 971 X: -3.27734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 292 X: 18.3037 -INDEX GOES BRRR: 97 X: 6.06445 -INDEX GOES BRRR: 357 X: 22.3398 -INDEX GOES BRRR: 190 X: 11.8789 -INDEX GOES BRRR: 917 X: -6.67676 -INDEX GOES BRRR: 300 X: 18.7734 -INDEX GOES BRRR: 489 X: 30.6025 -INDEX GOES BRRR: 254 X: 15.9199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.19727 -INDEX GOES BRRR: 95 X: 5.95215 -INDEX GOES BRRR: 444 X: 27.7783 -INDEX GOES BRRR: 916 X: -6.72852 -INDEX GOES BRRR: 421 X: 26.3584 -INDEX GOES BRRR: 934 X: -5.59668 -INDEX GOES BRRR: 187 X: 11.7197 -INDEX GOES BRRR: 469 X: 29.3652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1002 X: -1.36035 -INDEX GOES BRRR: 130 X: 8.17578 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 347 X: 21.6914 -INDEX GOES BRRR: 361 X: 22.623 -INDEX GOES BRRR: 213 X: 13.3564 -INDEX GOES BRRR: 235 X: 14.707 -INDEX GOES BRRR: 284 X: 17.7744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.75293 -INDEX GOES BRRR: 26 X: 1.63086 -INDEX GOES BRRR: 382 X: 23.9189 -INDEX GOES BRRR: 309 X: 19.3389 -INDEX GOES BRRR: 107 X: 6.73926 -INDEX GOES BRRR: 42 X: 2.6748 -INDEX GOES BRRR: 263 X: 16.4736 -INDEX GOES BRRR: 265 X: 16.6035 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.5957 -INDEX GOES BRRR: 57 X: 3.57031 -INDEX GOES BRRR: 340 X: 21.2686 -INDEX GOES BRRR: 242 X: 15.1377 -INDEX GOES BRRR: 295 X: 18.459 -INDEX GOES BRRR: 831 X: -12.0293 -INDEX GOES BRRR: 1000 X: -1.47852 -INDEX GOES BRRR: 31 X: 1.9541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 46 X: 2.875 -INDEX GOES BRRR: 370 X: 23.1504 -INDEX GOES BRRR: 173 X: 10.8633 -INDEX GOES BRRR: 42 X: 2.67188 -INDEX GOES BRRR: 926 X: -6.11328 -INDEX GOES BRRR: 89 X: 5.60352 -INDEX GOES BRRR: 343 X: 21.4463 -INDEX GOES BRRR: 991 X: -2.00098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 963 X: -3.79004 -INDEX GOES BRRR: 145 X: 9.11621 -INDEX GOES BRRR: 177 X: 11.083 -INDEX GOES BRRR: 36 X: 2.25684 -INDEX GOES BRRR: 334 X: 20.8789 -INDEX GOES BRRR: 148 X: 9.30566 -INDEX GOES BRRR: 1013 X: -0.677734 -INDEX GOES BRRR: 349 X: 21.8379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 412 X: 25.7842 -INDEX GOES BRRR: 343 X: 21.4453 -INDEX GOES BRRR: 1002 X: -1.3623 -INDEX GOES BRRR: 147 X: 9.20215 -INDEX GOES BRRR: 24 X: 1.54785 -INDEX GOES BRRR: 129 X: 8.07617 -INDEX GOES BRRR: 239 X: 14.9541 -INDEX GOES BRRR: 207 X: 12.9814 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 338 X: 21.1582 -INDEX GOES BRRR: 284 X: 17.7617 -INDEX GOES BRRR: 313 X: 19.5908 -INDEX GOES BRRR: 151 X: 9.4834 -INDEX GOES BRRR: 110 X: 6.89258 -INDEX GOES BRRR: 195 X: 12.2314 -INDEX GOES BRRR: 161 X: 10.0801 -INDEX GOES BRRR: 1005 X: -1.16992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.522461 -INDEX GOES BRRR: 111 X: 6.99316 -INDEX GOES BRRR: 277 X: 17.373 -INDEX GOES BRRR: 31 X: 1.97266 -INDEX GOES BRRR: 375 X: 23.4727 -INDEX GOES BRRR: 344 X: 21.5254 -INDEX GOES BRRR: 83 X: 5.2207 -INDEX GOES BRRR: 112 X: 7.01855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.84961 -INDEX GOES BRRR: 15 X: 0.944336 -INDEX GOES BRRR: 20 X: 1.25781 -INDEX GOES BRRR: 101 X: 6.31836 -INDEX GOES BRRR: 438 X: 27.3984 -INDEX GOES BRRR: 71 X: 4.46387 -INDEX GOES BRRR: 239 X: 14.9951 -INDEX GOES BRRR: 921 X: -6.38086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.86523 -INDEX GOES BRRR: 245 X: 15.3447 -INDEX GOES BRRR: 982 X: -2.60352 -INDEX GOES BRRR: 164 X: 10.3076 -INDEX GOES BRRR: 416 X: 26.0049 -INDEX GOES BRRR: 68 X: 4.30469 -INDEX GOES BRRR: 146 X: 9.17969 -INDEX GOES BRRR: 282 X: 17.6377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5439 -INDEX GOES BRRR: 25 X: 1.6123 -INDEX GOES BRRR: 385 X: 24.0859 -INDEX GOES BRRR: 168 X: 10.5283 -INDEX GOES BRRR: 341 X: 21.3125 -INDEX GOES BRRR: 160 X: 10.0547 -INDEX GOES BRRR: 180 X: 11.2891 -INDEX GOES BRRR: 119 X: 7.49316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.19043 -INDEX GOES BRRR: 252 X: 15.7598 -INDEX GOES BRRR: 355 X: 22.1963 -INDEX GOES BRRR: 147 X: 9.22266 -INDEX GOES BRRR: 116 X: 7.2959 -INDEX GOES BRRR: 113 X: 7.10352 -INDEX GOES BRRR: 122 X: 7.6582 -INDEX GOES BRRR: 827 X: -12.2578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.30469 -INDEX GOES BRRR: 34 X: 2.13184 -INDEX GOES BRRR: 285 X: 17.8408 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 178 X: 11.1465 -INDEX GOES BRRR: 101 X: 6.34863 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 14 X: 0.887695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.91016 -INDEX GOES BRRR: 53 X: 3.33594 -INDEX GOES BRRR: 971 X: -3.29004 -INDEX GOES BRRR: 144 X: 9.02832 -INDEX GOES BRRR: 909 X: -7.18066 -INDEX GOES BRRR: 68 X: 4.2666 -INDEX GOES BRRR: 994 X: -1.81641 -INDEX GOES BRRR: 393 X: 24.5684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2656 -INDEX GOES BRRR: 996 X: -1.70996 -INDEX GOES BRRR: 97 X: 6.10547 -INDEX GOES BRRR: 18 X: 1.16406 -INDEX GOES BRRR: 294 X: 18.4297 -INDEX GOES BRRR: 116 X: 7.29492 -INDEX GOES BRRR: 153 X: 9.59961 -INDEX GOES BRRR: 135 X: 8.46777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 871 X: -9.53711 -INDEX GOES BRRR: 1013 X: -0.636719 -INDEX GOES BRRR: 412 X: 25.7979 -INDEX GOES BRRR: 17 X: 1.07422 -INDEX GOES BRRR: 7 X: 0.439453 -INDEX GOES BRRR: 827 X: -12.3037 -INDEX GOES BRRR: 156 X: 9.7627 -INDEX GOES BRRR: 214 X: 13.3916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6543 -INDEX GOES BRRR: 71 X: 4.47949 -INDEX GOES BRRR: 272 X: 17.0127 -INDEX GOES BRRR: 996 X: -1.71582 -INDEX GOES BRRR: 451 X: 28.209 -INDEX GOES BRRR: 853 X: -10.6328 -INDEX GOES BRRR: 979 X: -2.76172 -INDEX GOES BRRR: 972 X: -3.24805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 434 X: 27.1309 -INDEX GOES BRRR: 204 X: 12.8037 -INDEX GOES BRRR: 333 X: 20.8232 -INDEX GOES BRRR: 84 X: 5.25488 -INDEX GOES BRRR: 252 X: 15.75 -INDEX GOES BRRR: 38 X: 2.41211 -INDEX GOES BRRR: 989 X: -2.16406 -INDEX GOES BRRR: 66 X: 4.12793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.32324 -INDEX GOES BRRR: 986 X: -2.31445 -INDEX GOES BRRR: 379 X: 23.7168 -INDEX GOES BRRR: 34 X: 2.14062 -INDEX GOES BRRR: 1006 X: -1.11621 -INDEX GOES BRRR: 405 X: 25.3672 -INDEX GOES BRRR: 298 X: 18.6572 -INDEX GOES BRRR: 347 X: 21.6973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 64 X: 4.01172 -INDEX GOES BRRR: 114 X: 7.15625 -INDEX GOES BRRR: 189 X: 11.8535 -INDEX GOES BRRR: 17 X: 1.11133 -INDEX GOES BRRR: 232 X: 14.5488 -INDEX GOES BRRR: 0 X: 0.0351562 -INDEX GOES BRRR: 193 X: 12.0801 -INDEX GOES BRRR: 101 X: 6.3252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.3887 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 411 X: 25.7324 -INDEX GOES BRRR: 138 X: 8.65723 -INDEX GOES BRRR: 955 X: -4.30469 -INDEX GOES BRRR: 894 X: -8.09473 -INDEX GOES BRRR: 8 X: 0.548828 -INDEX GOES BRRR: 43 X: 2.71777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 378 X: 23.6748 -INDEX GOES BRRR: 107 X: 6.7207 -INDEX GOES BRRR: 1020 X: -0.245117 -INDEX GOES BRRR: 51 X: 3.24512 -INDEX GOES BRRR: 359 X: 22.499 -INDEX GOES BRRR: 949 X: -4.64258 -INDEX GOES BRRR: 910 X: -7.09863 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.20996 -INDEX GOES BRRR: 73 X: 4.61719 -INDEX GOES BRRR: 933 X: -5.63672 -INDEX GOES BRRR: 89 X: 5.5791 -INDEX GOES BRRR: 320 X: 20 -INDEX GOES BRRR: 312 X: 19.5322 -INDEX GOES BRRR: 761 X: -16.4014 -INDEX GOES BRRR: 417 X: 26.0947 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.48535 -INDEX GOES BRRR: 213 X: 13.373 -INDEX GOES BRRR: 347 X: 21.7051 -INDEX GOES BRRR: 160 X: 10.0039 -INDEX GOES BRRR: 990 X: -2.06641 -INDEX GOES BRRR: 254 X: 15.9297 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 199 X: 12.4766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3516 -INDEX GOES BRRR: 85 X: 5.31641 -INDEX GOES BRRR: 139 X: 8.7168 -INDEX GOES BRRR: 987 X: -2.29688 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 135 X: 8.45117 -INDEX GOES BRRR: 205 X: 12.8691 -INDEX GOES BRRR: 66 X: 4.18262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 890 X: -8.36914 -INDEX GOES BRRR: 213 X: 13.3545 -INDEX GOES BRRR: 119 X: 7.45605 -INDEX GOES BRRR: 275 X: 17.1895 -INDEX GOES BRRR: 459 X: 28.7051 -INDEX GOES BRRR: 93 X: 5.81738 -INDEX GOES BRRR: 295 X: 18.4521 -INDEX GOES BRRR: 427 X: 26.7236 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.00684 -INDEX GOES BRRR: 75 X: 4.73828 -INDEX GOES BRRR: 321 X: 20.1094 -INDEX GOES BRRR: 76 X: 4.77734 -INDEX GOES BRRR: 477 X: 29.835 -INDEX GOES BRRR: 14 X: 0.894531 -INDEX GOES BRRR: 34 X: 2.14062 -INDEX GOES BRRR: 16 X: 1.02832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 7 X: 0.473633 -INDEX GOES BRRR: 28 X: 1.77637 -INDEX GOES BRRR: 1015 X: -0.521484 -INDEX GOES BRRR: 999 X: -1.55957 -INDEX GOES BRRR: 1 X: 0.121094 -INDEX GOES BRRR: 57 X: 3.58887 -INDEX GOES BRRR: 7 X: 0.466797 -INDEX GOES BRRR: 297 X: 18.5713 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.6025 -INDEX GOES BRRR: 320 X: 20.0615 -INDEX GOES BRRR: 163 X: 10.2471 -INDEX GOES BRRR: 35 X: 2.21191 -INDEX GOES BRRR: 916 X: -6.69531 -INDEX GOES BRRR: 224 X: 14.0605 -INDEX GOES BRRR: 85 X: 5.36816 -INDEX GOES BRRR: 984 X: -2.46387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1533 -INDEX GOES BRRR: 1016 X: -0.456055 -INDEX GOES BRRR: 190 X: 11.9072 -INDEX GOES BRRR: 30 X: 1.92383 -INDEX GOES BRRR: 226 X: 14.1299 -INDEX GOES BRRR: 103 X: 6.47754 -INDEX GOES BRRR: 79 X: 4.97266 -INDEX GOES BRRR: 448 X: 28.0078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.10254 -INDEX GOES BRRR: 837 X: -11.6777 -INDEX GOES BRRR: 144 X: 9.00488 -INDEX GOES BRRR: 875 X: -9.26758 -INDEX GOES BRRR: 15 X: 0.952148 -INDEX GOES BRRR: 185 X: 11.5684 -INDEX GOES BRRR: 194 X: 12.167 -INDEX GOES BRRR: 207 X: 12.9736 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.9688 -INDEX GOES BRRR: 398 X: 24.9277 -INDEX GOES BRRR: 289 X: 18.0898 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 415 X: 25.9756 -INDEX GOES BRRR: 37 X: 2.37109 -INDEX GOES BRRR: 176 X: 11.0098 -INDEX GOES BRRR: 307 X: 19.208 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.7344 -INDEX GOES BRRR: 131 X: 8.24609 -INDEX GOES BRRR: 492 X: 30.7969 -INDEX GOES BRRR: 35 X: 2.19824 -INDEX GOES BRRR: 904 X: -7.4668 -INDEX GOES BRRR: 868 X: -9.73145 -INDEX GOES BRRR: 356 X: 22.2979 -INDEX GOES BRRR: 48 X: 3.05469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.0625 -INDEX GOES BRRR: 950 X: -4.58789 -INDEX GOES BRRR: 181 X: 11.3203 -INDEX GOES BRRR: 326 X: 20.4014 -INDEX GOES BRRR: 141 X: 8.83203 -INDEX GOES BRRR: 53 X: 3.34766 -INDEX GOES BRRR: 151 X: 9.49707 -INDEX GOES BRRR: 226 X: 14.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.65039 -INDEX GOES BRRR: 773 X: -15.6699 -INDEX GOES BRRR: 53 X: 3.33594 -INDEX GOES BRRR: 253 X: 15.832 -INDEX GOES BRRR: 16 X: 1.04785 -INDEX GOES BRRR: 446 X: 27.8848 -INDEX GOES BRRR: 251 X: 15.708 -INDEX GOES BRRR: 816 X: -12.9551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.26172 -INDEX GOES BRRR: 3 X: 0.229492 -INDEX GOES BRRR: 408 X: 25.5479 -INDEX GOES BRRR: 175 X: 10.9395 -INDEX GOES BRRR: 90 X: 5.66992 -INDEX GOES BRRR: 206 X: 12.9355 -INDEX GOES BRRR: 99 X: 6.22949 -INDEX GOES BRRR: 38 X: 2.41211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.614258 -INDEX GOES BRRR: 1014 X: -0.563477 -INDEX GOES BRRR: 62 X: 3.9248 -INDEX GOES BRRR: 431 X: 26.9395 -INDEX GOES BRRR: 225 X: 14.123 -INDEX GOES BRRR: 327 X: 20.4746 -INDEX GOES BRRR: 451 X: 28.2148 -INDEX GOES BRRR: 133 X: 8.36328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 83 X: 5.22266 -INDEX GOES BRRR: 157 X: 9.81836 -INDEX GOES BRRR: 974 X: -3.09375 -INDEX GOES BRRR: 1017 X: -0.432617 -INDEX GOES BRRR: 312 X: 19.5283 -INDEX GOES BRRR: 102 X: 6.38281 -INDEX GOES BRRR: 1023 X: -0.0361328 -INDEX GOES BRRR: 116 X: 7.29199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9678 -INDEX GOES BRRR: 149 X: 9.31348 -INDEX GOES BRRR: 252 X: 15.7725 -INDEX GOES BRRR: 157 X: 9.87305 -INDEX GOES BRRR: 140 X: 8.7959 -INDEX GOES BRRR: 463 X: 28.9814 -INDEX GOES BRRR: 224 X: 14.0283 -INDEX GOES BRRR: 29 X: 1.8125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 729 X: -18.3945 -INDEX GOES BRRR: 719 X: -19.0146 -INDEX GOES BRRR: 36 X: 2.26367 -INDEX GOES BRRR: 243 X: 15.249 -INDEX GOES BRRR: 879 X: -9.0459 -INDEX GOES BRRR: 232 X: 14.5312 -INDEX GOES BRRR: 11 X: 0.708984 -INDEX GOES BRRR: 387 X: 24.2295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 361 X: 22.5918 -INDEX GOES BRRR: 115 X: 7.2373 -INDEX GOES BRRR: 349 X: 21.8301 -INDEX GOES BRRR: 213 X: 13.3213 -INDEX GOES BRRR: 56 X: 3.54785 -INDEX GOES BRRR: 98 X: 6.18652 -INDEX GOES BRRR: 27 X: 1.70117 -INDEX GOES BRRR: 15 X: 0.978516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.999 -INDEX GOES BRRR: 257 X: 16.085 -INDEX GOES BRRR: 724 X: -18.7051 -INDEX GOES BRRR: 193 X: 12.085 -INDEX GOES BRRR: 56 X: 3.51465 -INDEX GOES BRRR: 167 X: 10.4707 -INDEX GOES BRRR: 115 X: 7.21191 -INDEX GOES BRRR: 243 X: 15.2129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 863 X: -10.0342 -INDEX GOES BRRR: 158 X: 9.91602 -INDEX GOES BRRR: 80 X: 5.00684 -INDEX GOES BRRR: 173 X: 10.8604 -INDEX GOES BRRR: 263 X: 16.4404 -INDEX GOES BRRR: 323 X: 20.1953 -INDEX GOES BRRR: 220 X: 13.7803 -INDEX GOES BRRR: 208 X: 13.0352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5244 -INDEX GOES BRRR: 972 X: -3.24707 -INDEX GOES BRRR: 307 X: 19.2305 -INDEX GOES BRRR: 960 X: -3.9668 -INDEX GOES BRRR: 493 X: 30.8125 -INDEX GOES BRRR: 186 X: 11.6338 -INDEX GOES BRRR: 30 X: 1.90527 -INDEX GOES BRRR: 32 X: 2.0166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8535 -INDEX GOES BRRR: 259 X: 16.2109 -INDEX GOES BRRR: 232 X: 14.5508 -INDEX GOES BRRR: 98 X: 6.13281 -INDEX GOES BRRR: 43 X: 2.71094 -INDEX GOES BRRR: 138 X: 8.68359 -INDEX GOES BRRR: 146 X: 9.18359 -INDEX GOES BRRR: 163 X: 10.2002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.18262 -INDEX GOES BRRR: 57 X: 3.58789 -INDEX GOES BRRR: 941 X: -5.15332 -INDEX GOES BRRR: 451 X: 28.2285 -INDEX GOES BRRR: 966 X: -3.57812 -INDEX GOES BRRR: 289 X: 18.083 -INDEX GOES BRRR: 211 X: 13.2246 -INDEX GOES BRRR: 64 X: 4.00977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.25781 -INDEX GOES BRRR: 390 X: 24.4199 -INDEX GOES BRRR: 261 X: 16.3174 -INDEX GOES BRRR: 182 X: 11.416 -INDEX GOES BRRR: 147 X: 9.22656 -INDEX GOES BRRR: 453 X: 28.3438 -INDEX GOES BRRR: 204 X: 12.7695 -INDEX GOES BRRR: 396 X: 24.7754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1016 X: -0.489258 -INDEX GOES BRRR: 72 X: 4.5332 -INDEX GOES BRRR: 975 X: -3.00391 -INDEX GOES BRRR: 221 X: 13.8506 -INDEX GOES BRRR: 206 X: 12.8945 -INDEX GOES BRRR: 311 X: 19.4814 -INDEX GOES BRRR: 409 X: 25.5762 -INDEX GOES BRRR: 223 X: 13.9629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5283 -INDEX GOES BRRR: 0 X: 0.0605469 -INDEX GOES BRRR: 938 X: -5.33105 -INDEX GOES BRRR: 58 X: 3.67188 -INDEX GOES BRRR: 83 X: 5.24609 -INDEX GOES BRRR: 946 X: -4.8418 -INDEX GOES BRRR: 77 X: 4.87305 -INDEX GOES BRRR: 79 X: 4.9541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1533 -INDEX GOES BRRR: 287 X: 17.9756 -INDEX GOES BRRR: 1012 X: -0.728516 -INDEX GOES BRRR: 248 X: 15.501 -INDEX GOES BRRR: 177 X: 11.0898 -INDEX GOES BRRR: 264 X: 16.5576 -INDEX GOES BRRR: 946 X: -4.8623 -INDEX GOES BRRR: 346 X: 21.6816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8682 -INDEX GOES BRRR: 215 X: 13.4717 -INDEX GOES BRRR: 296 X: 18.5293 -INDEX GOES BRRR: 103 X: 6.46875 -INDEX GOES BRRR: 121 X: 7.57227 -INDEX GOES BRRR: 405 X: 25.3438 -INDEX GOES BRRR: 122 X: 7.66602 -INDEX GOES BRRR: 333 X: 20.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.3672 -INDEX GOES BRRR: 296 X: 18.5205 -INDEX GOES BRRR: 283 X: 17.7314 -INDEX GOES BRRR: 1 X: 0.105469 -INDEX GOES BRRR: 44 X: 2.79492 -INDEX GOES BRRR: 1 X: 0.0771484 -INDEX GOES BRRR: 276 X: 17.291 -INDEX GOES BRRR: 183 X: 11.4502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 775 X: -15.543 -INDEX GOES BRRR: 48 X: 3.00977 -INDEX GOES BRRR: 124 X: 7.81152 -INDEX GOES BRRR: 164 X: 10.2646 -INDEX GOES BRRR: 70 X: 4.37891 -INDEX GOES BRRR: 200 X: 12.5518 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 52 X: 3.31152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.48145 -INDEX GOES BRRR: 125 X: 7.86133 -INDEX GOES BRRR: 278 X: 17.3965 -INDEX GOES BRRR: 147 X: 9.24219 -INDEX GOES BRRR: 48 X: 3.05664 -INDEX GOES BRRR: 110 X: 6.89648 -INDEX GOES BRRR: 933 X: -5.64062 -INDEX GOES BRRR: 439 X: 27.4482 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.2188 -INDEX GOES BRRR: 149 X: 9.32129 -INDEX GOES BRRR: 1019 X: -0.306641 -INDEX GOES BRRR: 434 X: 27.1494 -INDEX GOES BRRR: 899 X: -7.78711 -INDEX GOES BRRR: 174 X: 10.8828 -INDEX GOES BRRR: 173 X: 10.8672 -INDEX GOES BRRR: 274 X: 17.1357 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 378 X: 23.6348 -INDEX GOES BRRR: 349 X: 21.8457 -INDEX GOES BRRR: 115 X: 7.19824 -INDEX GOES BRRR: 55 X: 3.4707 -INDEX GOES BRRR: 1010 X: -0.865234 -INDEX GOES BRRR: 131 X: 8.2334 -INDEX GOES BRRR: 326 X: 20.4326 -INDEX GOES BRRR: 362 X: 22.6475 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5723 -INDEX GOES BRRR: 314 X: 19.6367 -INDEX GOES BRRR: 3 X: 0.211914 -INDEX GOES BRRR: 246 X: 15.4131 -INDEX GOES BRRR: 117 X: 7.32031 -INDEX GOES BRRR: 194 X: 12.1777 -INDEX GOES BRRR: 79 X: 4.98145 -INDEX GOES BRRR: 131 X: 8.23145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.23242 -INDEX GOES BRRR: 280 X: 17.5176 -INDEX GOES BRRR: 18 X: 1.16016 -INDEX GOES BRRR: 95 X: 5.95801 -INDEX GOES BRRR: 48 X: 3.02344 -INDEX GOES BRRR: 989 X: -2.14258 -INDEX GOES BRRR: 404 X: 25.2803 -INDEX GOES BRRR: 267 X: 16.71 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.5166 -INDEX GOES BRRR: 107 X: 6.7041 -INDEX GOES BRRR: 389 X: 24.3584 -INDEX GOES BRRR: 184 X: 11.541 -INDEX GOES BRRR: 819 X: -12.7949 -INDEX GOES BRRR: 95 X: 5.99609 -INDEX GOES BRRR: 134 X: 8.38184 -INDEX GOES BRRR: 66 X: 4.13281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 339 X: 21.2295 -INDEX GOES BRRR: 206 X: 12.9131 -INDEX GOES BRRR: 212 X: 13.3105 -INDEX GOES BRRR: 290 X: 18.1709 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 408 X: 25.5225 -INDEX GOES BRRR: 907 X: -7.27832 -INDEX GOES BRRR: 245 X: 15.3438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 320 X: 20.0098 -INDEX GOES BRRR: 283 X: 17.7051 -INDEX GOES BRRR: 455 X: 28.4814 -INDEX GOES BRRR: 119 X: 7.4541 -INDEX GOES BRRR: 165 X: 10.3604 -INDEX GOES BRRR: 91 X: 5.72266 -INDEX GOES BRRR: 209 X: 13.1143 -INDEX GOES BRRR: 203 X: 12.7344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.56738 -INDEX GOES BRRR: 156 X: 9.7627 -INDEX GOES BRRR: 57 X: 3.56836 -INDEX GOES BRRR: 113 X: 7.11719 -INDEX GOES BRRR: 219 X: 13.7393 -INDEX GOES BRRR: 192 X: 12.0381 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 217 X: 13.5684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 415 X: 25.9727 -INDEX GOES BRRR: 340 X: 21.2705 -INDEX GOES BRRR: 363 X: 22.7432 -INDEX GOES BRRR: 162 X: 10.1426 -INDEX GOES BRRR: 118 X: 7.40234 -INDEX GOES BRRR: 225 X: 14.1094 -INDEX GOES BRRR: 236 X: 14.8008 -INDEX GOES BRRR: 120 X: 7.55469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.7637 -INDEX GOES BRRR: 140 X: 8.78516 -INDEX GOES BRRR: 144 X: 9.00098 -INDEX GOES BRRR: 199 X: 12.4375 -INDEX GOES BRRR: 236 X: 14.7959 -INDEX GOES BRRR: 68 X: 4.2793 -INDEX GOES BRRR: 127 X: 7.96777 -INDEX GOES BRRR: 50 X: 3.16797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.73242 -INDEX GOES BRRR: 111 X: 6.94824 -INDEX GOES BRRR: 194 X: 12.1396 -INDEX GOES BRRR: 198 X: 12.4346 -INDEX GOES BRRR: 242 X: 15.166 -INDEX GOES BRRR: 6 X: 0.375977 -INDEX GOES BRRR: 900 X: -7.73633 -INDEX GOES BRRR: 245 X: 15.3291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.703125 -INDEX GOES BRRR: 161 X: 10.0654 -INDEX GOES BRRR: 441 X: 27.5762 -INDEX GOES BRRR: 278 X: 17.4258 -INDEX GOES BRRR: 226 X: 14.1523 -INDEX GOES BRRR: 467 X: 29.1963 -INDEX GOES BRRR: 244 X: 15.2881 -INDEX GOES BRRR: 249 X: 15.582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.03027 -INDEX GOES BRRR: 153 X: 9.61914 -INDEX GOES BRRR: 1007 X: -1.05078 -INDEX GOES BRRR: 812 X: -13.1943 -INDEX GOES BRRR: 203 X: 12.71 -INDEX GOES BRRR: 80 X: 5.05469 -INDEX GOES BRRR: 80 X: 5.06055 -INDEX GOES BRRR: 89 X: 5.5752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1013 X: -0.678711 -INDEX GOES BRRR: 1022 X: -0.0761719 -INDEX GOES BRRR: 156 X: 9.81152 -INDEX GOES BRRR: 85 X: 5.36914 -INDEX GOES BRRR: 294 X: 18.4111 -INDEX GOES BRRR: 107 X: 6.71387 -INDEX GOES BRRR: 1023 X: -0.0537109 -INDEX GOES BRRR: 985 X: -2.38281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.5332 -INDEX GOES BRRR: 131 X: 8.23438 -INDEX GOES BRRR: 256 X: 16.002 -INDEX GOES BRRR: 67 X: 4.19531 -INDEX GOES BRRR: 230 X: 14.415 -INDEX GOES BRRR: 1019 X: -0.307617 -INDEX GOES BRRR: 184 X: 11.5557 -INDEX GOES BRRR: 220 X: 13.7871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 881 X: -8.88086 -INDEX GOES BRRR: 23 X: 1.43848 -INDEX GOES BRRR: 970 X: -3.34375 -INDEX GOES BRRR: 409 X: 25.6143 -INDEX GOES BRRR: 932 X: -5.7168 -INDEX GOES BRRR: 155 X: 9.71582 -INDEX GOES BRRR: 147 X: 9.2041 -INDEX GOES BRRR: 116 X: 7.26172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.64258 -INDEX GOES BRRR: 148 X: 9.2998 -INDEX GOES BRRR: 258 X: 16.1768 -INDEX GOES BRRR: 86 X: 5.40234 -INDEX GOES BRRR: 400 X: 25.0332 -INDEX GOES BRRR: 293 X: 18.3691 -INDEX GOES BRRR: 208 X: 13.0547 -INDEX GOES BRRR: 459 X: 28.6875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 128 X: 8.05371 -INDEX GOES BRRR: 128 X: 8.05566 -INDEX GOES BRRR: 10 X: 0.626953 -INDEX GOES BRRR: 135 X: 8.47949 -INDEX GOES BRRR: 217 X: 13.6016 -INDEX GOES BRRR: 464 X: 29.0488 -INDEX GOES BRRR: 193 X: 12.1123 -INDEX GOES BRRR: 145 X: 9.1123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.7451 -INDEX GOES BRRR: 43 X: 2.74512 -INDEX GOES BRRR: 97 X: 6.06348 -INDEX GOES BRRR: 265 X: 16.5811 -INDEX GOES BRRR: 1011 X: -0.789062 -INDEX GOES BRRR: 162 X: 10.166 -INDEX GOES BRRR: 938 X: -5.33105 -INDEX GOES BRRR: 202 X: 12.627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 287 X: 17.9707 -INDEX GOES BRRR: 192 X: 12.0312 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 937 X: -5.42285 -INDEX GOES BRRR: 188 X: 11.8018 -INDEX GOES BRRR: 55 X: 3.43848 -INDEX GOES BRRR: 944 X: -4.99023 -INDEX GOES BRRR: 145 X: 9.09668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 291 X: 18.1943 -INDEX GOES BRRR: 195 X: 12.209 -INDEX GOES BRRR: 94 X: 5.88281 -INDEX GOES BRRR: 144 X: 9.03516 -INDEX GOES BRRR: 279 X: 17.4551 -INDEX GOES BRRR: 210 X: 13.1572 -INDEX GOES BRRR: 222 X: 13.8818 -INDEX GOES BRRR: 838 X: -11.6191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.87598 -INDEX GOES BRRR: 195 X: 12.2393 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1020 X: -0.235352 -INDEX GOES BRRR: 3 X: 0.216797 -INDEX GOES BRRR: 158 X: 9.9043 -INDEX GOES BRRR: 323 X: 20.2061 -INDEX GOES BRRR: 274 X: 17.1396 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.543 -INDEX GOES BRRR: 179 X: 11.2158 -INDEX GOES BRRR: 260 X: 16.2852 -INDEX GOES BRRR: 1007 X: -1.01074 -INDEX GOES BRRR: 469 X: 29.3682 -INDEX GOES BRRR: 73 X: 4.58301 -INDEX GOES BRRR: 63 X: 3.9375 -INDEX GOES BRRR: 964 X: -3.68945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.631836 -INDEX GOES BRRR: 1019 X: -0.294922 -INDEX GOES BRRR: 60 X: 3.81055 -INDEX GOES BRRR: 116 X: 7.30859 -INDEX GOES BRRR: 205 X: 12.8379 -INDEX GOES BRRR: 239 X: 14.9707 -INDEX GOES BRRR: 349 X: 21.8633 -INDEX GOES BRRR: 200 X: 12.5479 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.79492 -INDEX GOES BRRR: 192 X: 12.0527 -INDEX GOES BRRR: 418 X: 26.1621 -INDEX GOES BRRR: 228 X: 14.2686 -INDEX GOES BRRR: 983 X: -2.52832 -INDEX GOES BRRR: 308 X: 19.2725 -INDEX GOES BRRR: 153 X: 9.58887 -INDEX GOES BRRR: 151 X: 9.46777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.79395 -INDEX GOES BRRR: 317 X: 19.8594 -INDEX GOES BRRR: 923 X: -6.28027 -INDEX GOES BRRR: 384 X: 24.002 -INDEX GOES BRRR: 243 X: 15.208 -INDEX GOES BRRR: 164 X: 10.2627 -INDEX GOES BRRR: 281 X: 17.5742 -INDEX GOES BRRR: 185 X: 11.5908 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2656 -INDEX GOES BRRR: 111 X: 6.98145 -INDEX GOES BRRR: 998 X: -1.625 -INDEX GOES BRRR: 206 X: 12.9023 -INDEX GOES BRRR: 443 X: 27.7373 -INDEX GOES BRRR: 186 X: 11.6846 -INDEX GOES BRRR: 17 X: 1.1123 -INDEX GOES BRRR: 392 X: 24.5508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 182 X: 11.3896 -INDEX GOES BRRR: 1006 X: -1.11719 -INDEX GOES BRRR: 16 X: 1.04297 -INDEX GOES BRRR: 51 X: 3.19727 -INDEX GOES BRRR: 239 X: 14.9824 -INDEX GOES BRRR: 303 X: 18.9512 -INDEX GOES BRRR: 127 X: 7.93945 -INDEX GOES BRRR: 153 X: 9.59375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.34668 -INDEX GOES BRRR: 297 X: 18.6172 -INDEX GOES BRRR: 190 X: 11.9229 -INDEX GOES BRRR: 130 X: 8.18457 -INDEX GOES BRRR: 1006 X: -1.11035 -INDEX GOES BRRR: 16 X: 1.0332 -INDEX GOES BRRR: 334 X: 20.9297 -INDEX GOES BRRR: 243 X: 15.2402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.9277 -INDEX GOES BRRR: 277 X: 17.3643 -INDEX GOES BRRR: 269 X: 16.8164 -INDEX GOES BRRR: 409 X: 25.5859 -INDEX GOES BRRR: 168 X: 10.5449 -INDEX GOES BRRR: 39 X: 2.44434 -INDEX GOES BRRR: 278 X: 17.4033 -INDEX GOES BRRR: 337 X: 21.1094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 944 X: -4.94824 -INDEX GOES BRRR: 133 X: 8.32617 -INDEX GOES BRRR: 134 X: 8.37695 -INDEX GOES BRRR: 137 X: 8.60156 -INDEX GOES BRRR: 179 X: 11.2256 -INDEX GOES BRRR: 310 X: 19.415 -INDEX GOES BRRR: 315 X: 19.6904 -INDEX GOES BRRR: 122 X: 7.66602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.89648 -INDEX GOES BRRR: 237 X: 14.8242 -INDEX GOES BRRR: 90 X: 5.66309 -INDEX GOES BRRR: 200 X: 12.5449 -INDEX GOES BRRR: 146 X: 9.16309 -INDEX GOES BRRR: 988 X: -2.20898 -INDEX GOES BRRR: 146 X: 9.15332 -INDEX GOES BRRR: 292 X: 18.2627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 432 X: 27.0312 -INDEX GOES BRRR: 159 X: 9.98047 -INDEX GOES BRRR: 110 X: 6.91211 -INDEX GOES BRRR: 122 X: 7.66309 -INDEX GOES BRRR: 94 X: 5.90039 -INDEX GOES BRRR: 111 X: 6.94824 -INDEX GOES BRRR: 172 X: 10.7764 -INDEX GOES BRRR: 799 X: -14.0332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.83398 -INDEX GOES BRRR: 222 X: 13.8984 -INDEX GOES BRRR: 100 X: 6.2793 -INDEX GOES BRRR: 224 X: 14.0566 -INDEX GOES BRRR: 169 X: 10.5859 -INDEX GOES BRRR: 54 X: 3.38965 -INDEX GOES BRRR: 431 X: 26.9932 -INDEX GOES BRRR: 259 X: 16.209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 463 X: 28.9463 -INDEX GOES BRRR: 347 X: 21.6934 -INDEX GOES BRRR: 365 X: 22.8164 -INDEX GOES BRRR: 171 X: 10.7256 -INDEX GOES BRRR: 136 X: 8.52051 -INDEX GOES BRRR: 305 X: 19.0908 -INDEX GOES BRRR: 77 X: 4.86621 -INDEX GOES BRRR: 478 X: 29.9248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.31738 -INDEX GOES BRRR: 179 X: 11.2031 -INDEX GOES BRRR: 51 X: 3.19922 -INDEX GOES BRRR: 162 X: 10.1465 -INDEX GOES BRRR: 245 X: 15.332 -INDEX GOES BRRR: 216 X: 13.5332 -INDEX GOES BRRR: 43 X: 2.69531 -INDEX GOES BRRR: 929 X: -5.91797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 854 X: -10.5811 -INDEX GOES BRRR: 152 X: 9.55664 -INDEX GOES BRRR: 214 X: 13.4219 -INDEX GOES BRRR: 92 X: 5.75781 -INDEX GOES BRRR: 248 X: 15.5049 -INDEX GOES BRRR: 147 X: 9.20215 -INDEX GOES BRRR: 424 X: 26.5586 -INDEX GOES BRRR: 280 X: 17.5176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.42969 -INDEX GOES BRRR: 215 X: 13.4688 -INDEX GOES BRRR: 97 X: 6.11133 -INDEX GOES BRRR: 50 X: 3.17773 -INDEX GOES BRRR: 1007 X: -1.04785 -INDEX GOES BRRR: 48 X: 3.00781 -INDEX GOES BRRR: 155 X: 9.74023 -INDEX GOES BRRR: 55 X: 3.44434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.7168 -INDEX GOES BRRR: 951 X: -4.54688 -INDEX GOES BRRR: 780 X: -15.1924 -INDEX GOES BRRR: 200 X: 12.5312 -INDEX GOES BRRR: 175 X: 10.9629 -INDEX GOES BRRR: 336 X: 21.0234 -INDEX GOES BRRR: 995 X: -1.7832 -INDEX GOES BRRR: 1008 X: -0.950195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8447 -INDEX GOES BRRR: 74 X: 4.68164 -INDEX GOES BRRR: 136 X: 8.55469 -INDEX GOES BRRR: 1006 X: -1.06445 -INDEX GOES BRRR: 120 X: 7.56055 -INDEX GOES BRRR: 195 X: 12.2217 -INDEX GOES BRRR: 874 X: -9.33691 -INDEX GOES BRRR: 161 X: 10.1201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 81 X: 5.10059 -INDEX GOES BRRR: 972 X: -3.20605 -INDEX GOES BRRR: 159 X: 9.99609 -INDEX GOES BRRR: 875 X: -9.25586 -INDEX GOES BRRR: 347 X: 21.6953 -INDEX GOES BRRR: 111 X: 6.9541 -INDEX GOES BRRR: 195 X: 12.21 -INDEX GOES BRRR: 327 X: 20.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.5625 -INDEX GOES BRRR: 185 X: 11.5967 -INDEX GOES BRRR: 281 X: 17.6123 -INDEX GOES BRRR: 74 X: 4.65625 -INDEX GOES BRRR: 1022 X: -0.0673828 -INDEX GOES BRRR: 351 X: 21.9854 -INDEX GOES BRRR: 17 X: 1.1084 -INDEX GOES BRRR: 161 X: 10.0986 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.275391 -INDEX GOES BRRR: 249 X: 15.6152 -INDEX GOES BRRR: 261 X: 16.3398 -INDEX GOES BRRR: 448 X: 28.0186 -INDEX GOES BRRR: 260 X: 16.2715 -INDEX GOES BRRR: 125 X: 7.83594 -INDEX GOES BRRR: 953 X: -4.37988 -INDEX GOES BRRR: 201 X: 12.5693 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 941 X: -5.18359 -INDEX GOES BRRR: 54 X: 3.41113 -INDEX GOES BRRR: 940 X: -5.2168 -INDEX GOES BRRR: 83 X: 5.23828 -INDEX GOES BRRR: 159 X: 9.97461 -INDEX GOES BRRR: 182 X: 11.418 -INDEX GOES BRRR: 210 X: 13.168 -INDEX GOES BRRR: 175 X: 10.998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.74414 -INDEX GOES BRRR: 31 X: 1.96387 -INDEX GOES BRRR: 103 X: 6.44043 -INDEX GOES BRRR: 56 X: 3.50098 -INDEX GOES BRRR: 7 X: 0.485352 -INDEX GOES BRRR: 915 X: -6.76172 -INDEX GOES BRRR: 390 X: 24.4238 -INDEX GOES BRRR: 367 X: 22.9629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 248 X: 15.5166 -INDEX GOES BRRR: 319 X: 19.9629 -INDEX GOES BRRR: 351 X: 21.9551 -INDEX GOES BRRR: 75 X: 4.6875 -INDEX GOES BRRR: 204 X: 12.8037 -INDEX GOES BRRR: 34 X: 2.14551 -INDEX GOES BRRR: 910 X: -7.09766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.68555 -INDEX GOES BRRR: 23 X: 1.45801 -INDEX GOES BRRR: 64 X: 4.03418 -INDEX GOES BRRR: 192 X: 12.0312 -INDEX GOES BRRR: 321 X: 20.084 -INDEX GOES BRRR: 183 X: 11.4834 -INDEX GOES BRRR: 375 X: 23.4863 -INDEX GOES BRRR: 263 X: 16.4951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.410156 -INDEX GOES BRRR: 981 X: -2.62891 -INDEX GOES BRRR: 385 X: 24.124 -INDEX GOES BRRR: 1022 X: -0.107422 -INDEX GOES BRRR: 981 X: -2.65039 -INDEX GOES BRRR: 1000 X: -1.46777 -INDEX GOES BRRR: 241 X: 15.0908 -INDEX GOES BRRR: 21 X: 1.36133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.1221 -INDEX GOES BRRR: 22 X: 1.37891 -INDEX GOES BRRR: 232 X: 14.5498 -INDEX GOES BRRR: 72 X: 4.50195 -INDEX GOES BRRR: 806 X: -13.625 -INDEX GOES BRRR: 110 X: 6.90332 -INDEX GOES BRRR: 276 X: 17.293 -INDEX GOES BRRR: 30 X: 1.91309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.42871 -INDEX GOES BRRR: 0 X: 0.0361328 -INDEX GOES BRRR: 989 X: -2.16699 -INDEX GOES BRRR: 495 X: 30.958 -INDEX GOES BRRR: 422 X: 26.417 -INDEX GOES BRRR: 111 X: 6.99707 -INDEX GOES BRRR: 370 X: 23.167 -INDEX GOES BRRR: 51 X: 3.24414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.0889 -INDEX GOES BRRR: 118 X: 7.42383 -INDEX GOES BRRR: 944 X: -4.98926 -INDEX GOES BRRR: 221 X: 13.8604 -INDEX GOES BRRR: 876 X: -9.22852 -INDEX GOES BRRR: 1014 X: -0.619141 -INDEX GOES BRRR: 45 X: 2.87402 -INDEX GOES BRRR: 359 X: 22.4531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0488 -INDEX GOES BRRR: 205 X: 12.8359 -INDEX GOES BRRR: 295 X: 18.4912 -INDEX GOES BRRR: 310 X: 19.415 -INDEX GOES BRRR: 94 X: 5.91309 -INDEX GOES BRRR: 287 X: 17.9912 -INDEX GOES BRRR: 283 X: 17.7305 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 31 X: 1.99805 -INDEX GOES BRRR: 240 X: 15.0039 -INDEX GOES BRRR: 195 X: 12.2305 -INDEX GOES BRRR: 89 X: 5.56934 -INDEX GOES BRRR: 252 X: 15.7871 -INDEX GOES BRRR: 324 X: 20.3115 -INDEX GOES BRRR: 169 X: 10.6084 -INDEX GOES BRRR: 165 X: 10.333 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3389 -INDEX GOES BRRR: 1003 X: -1.27441 -INDEX GOES BRRR: 221 X: 13.8467 -INDEX GOES BRRR: 80 X: 5.01074 -INDEX GOES BRRR: 484 X: 30.2881 -INDEX GOES BRRR: 128 X: 8.00293 -INDEX GOES BRRR: 277 X: 17.3262 -INDEX GOES BRRR: 299 X: 18.7363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 419 X: 26.1934 -INDEX GOES BRRR: 355 X: 22.2383 -INDEX GOES BRRR: 142 X: 8.92578 -INDEX GOES BRRR: 216 X: 13.5068 -INDEX GOES BRRR: 200 X: 12.5469 -INDEX GOES BRRR: 39 X: 2.48828 -INDEX GOES BRRR: 24 X: 1.51172 -INDEX GOES BRRR: 94 X: 5.88184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.71289 -INDEX GOES BRRR: 214 X: 13.4346 -INDEX GOES BRRR: 414 X: 25.9209 -INDEX GOES BRRR: 244 X: 15.2676 -INDEX GOES BRRR: 128 X: 8.0459 -INDEX GOES BRRR: 906 X: -7.35352 -INDEX GOES BRRR: 107 X: 6.74219 -INDEX GOES BRRR: 232 X: 14.5068 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.69629 -INDEX GOES BRRR: 905 X: -7.39062 -INDEX GOES BRRR: 65 X: 4.10352 -INDEX GOES BRRR: 957 X: -4.12793 -INDEX GOES BRRR: 291 X: 18.1934 -INDEX GOES BRRR: 260 X: 16.3027 -INDEX GOES BRRR: 995 X: -1.77246 -INDEX GOES BRRR: 183 X: 11.4697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 862 X: -10.0889 -INDEX GOES BRRR: 922 X: -6.36523 -INDEX GOES BRRR: 64 X: 4.0127 -INDEX GOES BRRR: 118 X: 7.39648 -INDEX GOES BRRR: 141 X: 8.84863 -INDEX GOES BRRR: 87 X: 5.47266 -INDEX GOES BRRR: 386 X: 24.1289 -INDEX GOES BRRR: 371 X: 23.209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.22461 -INDEX GOES BRRR: 87 X: 5.45703 -INDEX GOES BRRR: 109 X: 6.87402 -INDEX GOES BRRR: 98 X: 6.1543 -INDEX GOES BRRR: 168 X: 10.5049 -INDEX GOES BRRR: 175 X: 10.9727 -INDEX GOES BRRR: 985 X: -2.39648 -INDEX GOES BRRR: 301 X: 18.835 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9277 -INDEX GOES BRRR: 196 X: 12.2969 -INDEX GOES BRRR: 979 X: -2.7627 -INDEX GOES BRRR: 73 X: 4.56934 -INDEX GOES BRRR: 223 X: 13.999 -INDEX GOES BRRR: 122 X: 7.64258 -INDEX GOES BRRR: 165 X: 10.3232 -INDEX GOES BRRR: 156 X: 9.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.9248 -INDEX GOES BRRR: 915 X: -6.75098 -INDEX GOES BRRR: 216 X: 13.541 -INDEX GOES BRRR: 188 X: 11.7725 -INDEX GOES BRRR: 118 X: 7.42773 -INDEX GOES BRRR: 210 X: 13.165 -INDEX GOES BRRR: 199 X: 12.4707 -INDEX GOES BRRR: 179 X: 11.1885 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.168945 -INDEX GOES BRRR: 129 X: 8.08789 -INDEX GOES BRRR: 196 X: 12.2607 -INDEX GOES BRRR: 916 X: -6.69922 -INDEX GOES BRRR: 268 X: 16.793 -INDEX GOES BRRR: 332 X: 20.793 -INDEX GOES BRRR: 467 X: 29.2227 -INDEX GOES BRRR: 156 X: 9.75488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 270 X: 16.9199 -INDEX GOES BRRR: 218 X: 13.6494 -INDEX GOES BRRR: 88 X: 5.50293 -INDEX GOES BRRR: 219 X: 13.7021 -INDEX GOES BRRR: 217 X: 13.5713 -INDEX GOES BRRR: 281 X: 17.5996 -INDEX GOES BRRR: 268 X: 16.7744 -INDEX GOES BRRR: 252 X: 15.7598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.2363 -INDEX GOES BRRR: 969 X: -3.41797 -INDEX GOES BRRR: 408 X: 25.5166 -INDEX GOES BRRR: 318 X: 19.9248 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 355 X: 22.1973 -INDEX GOES BRRR: 222 X: 13.9004 -INDEX GOES BRRR: 1017 X: -0.380859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.27441 -INDEX GOES BRRR: 239 X: 14.9717 -INDEX GOES BRRR: 111 X: 6.97559 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 566 X: -28.6201 -INDEX GOES BRRR: 19 X: 1.19531 -INDEX GOES BRRR: 258 X: 16.1582 -INDEX GOES BRRR: 50 X: 3.17969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 892 X: -8.24414 -INDEX GOES BRRR: 331 X: 20.707 -INDEX GOES BRRR: 947 X: -4.75879 -INDEX GOES BRRR: 170 X: 10.666 -INDEX GOES BRRR: 221 X: 13.8662 -INDEX GOES BRRR: 1015 X: -0.538086 -INDEX GOES BRRR: 181 X: 11.3213 -INDEX GOES BRRR: 918 X: -6.59766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 887 X: -8.56055 -INDEX GOES BRRR: 225 X: 14.0928 -INDEX GOES BRRR: 328 X: 20.502 -INDEX GOES BRRR: 818 X: -12.8203 -INDEX GOES BRRR: 168 X: 10.5098 -INDEX GOES BRRR: 125 X: 7.86621 -INDEX GOES BRRR: 286 X: 17.9141 -INDEX GOES BRRR: 182 X: 11.3809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3145 -INDEX GOES BRRR: 56 X: 3.52734 -INDEX GOES BRRR: 290 X: 18.1484 -INDEX GOES BRRR: 211 X: 13.21 -INDEX GOES BRRR: 117 X: 7.35449 -INDEX GOES BRRR: 45 X: 2.86719 -INDEX GOES BRRR: 44 X: 2.80273 -INDEX GOES BRRR: 111 X: 6.97168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1005 X: -1.1377 -INDEX GOES BRRR: 184 X: 11.5312 -INDEX GOES BRRR: 314 X: 19.625 -INDEX GOES BRRR: 141 X: 8.84961 -INDEX GOES BRRR: 336 X: 21.0596 -INDEX GOES BRRR: 411 X: 25.7285 -INDEX GOES BRRR: 115 X: 7.22949 -INDEX GOES BRRR: 342 X: 21.4209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.6885 -INDEX GOES BRRR: 908 X: -7.18848 -INDEX GOES BRRR: 2 X: 0.168945 -INDEX GOES BRRR: 355 X: 22.1885 -INDEX GOES BRRR: 1019 X: -0.292969 -INDEX GOES BRRR: 254 X: 15.9316 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 741 X: -17.6699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.60547 -INDEX GOES BRRR: 117 X: 7.36914 -INDEX GOES BRRR: 357 X: 22.335 -INDEX GOES BRRR: 74 X: 4.66016 -INDEX GOES BRRR: 406 X: 25.4082 -INDEX GOES BRRR: 177 X: 11.0908 -INDEX GOES BRRR: 76 X: 4.76562 -INDEX GOES BRRR: 140 X: 8.79102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4277 -INDEX GOES BRRR: 202 X: 12.6455 -INDEX GOES BRRR: 53 X: 3.32129 -INDEX GOES BRRR: 6 X: 0.408203 -INDEX GOES BRRR: 429 X: 26.8252 -INDEX GOES BRRR: 200 X: 12.5127 -INDEX GOES BRRR: 378 X: 23.6387 -INDEX GOES BRRR: 315 X: 19.7451 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0371 -INDEX GOES BRRR: 339 X: 21.1992 -INDEX GOES BRRR: 158 X: 9.89941 -INDEX GOES BRRR: 102 X: 6.39062 -INDEX GOES BRRR: 127 X: 7.94922 -INDEX GOES BRRR: 216 X: 13.5 -INDEX GOES BRRR: 173 X: 10.8701 -INDEX GOES BRRR: 401 X: 25.0801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.333984 -INDEX GOES BRRR: 65 X: 4.08789 -INDEX GOES BRRR: 996 X: -1.69141 -INDEX GOES BRRR: 185 X: 11.5986 -INDEX GOES BRRR: 29 X: 1.82617 -INDEX GOES BRRR: 350 X: 21.8965 -INDEX GOES BRRR: 369 X: 23.1133 -INDEX GOES BRRR: 331 X: 20.7129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9609 -INDEX GOES BRRR: 305 X: 19.1006 -INDEX GOES BRRR: 127 X: 7.97461 -INDEX GOES BRRR: 37 X: 2.31445 -INDEX GOES BRRR: 81 X: 5.11816 -INDEX GOES BRRR: 221 X: 13.8184 -INDEX GOES BRRR: 18 X: 1.18262 -INDEX GOES BRRR: 185 X: 11.5762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 300 X: 18.7803 -INDEX GOES BRRR: 351 X: 21.9971 -INDEX GOES BRRR: 229 X: 14.3662 -INDEX GOES BRRR: 56 X: 3.50586 -INDEX GOES BRRR: 22 X: 1.41797 -INDEX GOES BRRR: 87 X: 5.47852 -INDEX GOES BRRR: 81 X: 5.09375 -INDEX GOES BRRR: 88 X: 5.51465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 906 X: -7.3291 -INDEX GOES BRRR: 402 X: 25.1719 -INDEX GOES BRRR: 64 X: 4.03125 -INDEX GOES BRRR: 134 X: 8.37598 -INDEX GOES BRRR: 32 X: 2.02344 -INDEX GOES BRRR: 330 X: 20.6572 -INDEX GOES BRRR: 207 X: 12.9668 -INDEX GOES BRRR: 974 X: -3.10156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 286 X: 17.9287 -INDEX GOES BRRR: 150 X: 9.39746 -INDEX GOES BRRR: 305 X: 19.1182 -INDEX GOES BRRR: 130 X: 8.1748 -INDEX GOES BRRR: 115 X: 7.2334 -INDEX GOES BRRR: 256 X: 16.0449 -INDEX GOES BRRR: 220 X: 13.7549 -INDEX GOES BRRR: 108 X: 6.77148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1357 -INDEX GOES BRRR: 163 X: 10.2354 -INDEX GOES BRRR: 204 X: 12.7979 -INDEX GOES BRRR: 135 X: 8.46484 -INDEX GOES BRRR: 241 X: 15.0664 -INDEX GOES BRRR: 884 X: -8.69336 -INDEX GOES BRRR: 309 X: 19.374 -INDEX GOES BRRR: 216 X: 13.5117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 288 X: 18.0566 -INDEX GOES BRRR: 199 X: 12.4561 -INDEX GOES BRRR: 360 X: 22.5391 -INDEX GOES BRRR: 981 X: -2.62891 -INDEX GOES BRRR: 70 X: 4.38086 -INDEX GOES BRRR: 49 X: 3.10938 -INDEX GOES BRRR: 355 X: 22.1992 -INDEX GOES BRRR: 32 X: 2.05762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1008 X: -0.973633 -INDEX GOES BRRR: 175 X: 10.9463 -INDEX GOES BRRR: 192 X: 12.0117 -INDEX GOES BRRR: 51 X: 3.18945 -INDEX GOES BRRR: 127 X: 7.96777 -INDEX GOES BRRR: 197 X: 12.334 -INDEX GOES BRRR: 204 X: 12.7988 -INDEX GOES BRRR: 142 X: 8.92676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.56934 -INDEX GOES BRRR: 757 X: -16.6514 -INDEX GOES BRRR: 161 X: 10.1084 -INDEX GOES BRRR: 903 X: -7.53027 -INDEX GOES BRRR: 254 X: 15.875 -INDEX GOES BRRR: 297 X: 18.5791 -INDEX GOES BRRR: 236 X: 14.7695 -INDEX GOES BRRR: 88 X: 5.5 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.21484 -INDEX GOES BRRR: 73 X: 4.57129 -INDEX GOES BRRR: 277 X: 17.3555 -INDEX GOES BRRR: 156 X: 9.76172 -INDEX GOES BRRR: 154 X: 9.67871 -INDEX GOES BRRR: 966 X: -3.59375 -INDEX GOES BRRR: 212 X: 13.2686 -INDEX GOES BRRR: 968 X: -3.45605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 454 X: 28.4189 -INDEX GOES BRRR: 973 X: -3.13574 -INDEX GOES BRRR: 354 X: 22.1797 -INDEX GOES BRRR: 58 X: 3.66016 -INDEX GOES BRRR: 87 X: 5.44238 -INDEX GOES BRRR: 55 X: 3.44043 -INDEX GOES BRRR: 7 X: 0.44043 -INDEX GOES BRRR: 981 X: -2.68652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.2285 -INDEX GOES BRRR: 270 X: 16.8896 -INDEX GOES BRRR: 98 X: 6.18555 -INDEX GOES BRRR: 91 X: 5.73242 -INDEX GOES BRRR: 364 X: 22.7842 -INDEX GOES BRRR: 938 X: -5.33691 -INDEX GOES BRRR: 103 X: 6.49805 -INDEX GOES BRRR: 55 X: 3.49316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 128 X: 8.0166 -INDEX GOES BRRR: 952 X: -4.44434 -INDEX GOES BRRR: 215 X: 13.4912 -INDEX GOES BRRR: 90 X: 5.67773 -INDEX GOES BRRR: 349 X: 21.8418 -INDEX GOES BRRR: 93 X: 5.81543 -INDEX GOES BRRR: 252 X: 15.8076 -INDEX GOES BRRR: 5 X: 0.322266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 372 X: 23.3105 -INDEX GOES BRRR: 12 X: 0.774414 -INDEX GOES BRRR: 177 X: 11.0947 -INDEX GOES BRRR: 149 X: 9.31836 -INDEX GOES BRRR: 165 X: 10.3721 -INDEX GOES BRRR: 256 X: 16.0215 -INDEX GOES BRRR: 217 X: 13.5771 -INDEX GOES BRRR: 305 X: 19.0674 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.9248 -INDEX GOES BRRR: 4 X: 0.280273 -INDEX GOES BRRR: 1017 X: -0.421875 -INDEX GOES BRRR: 995 X: -1.79102 -INDEX GOES BRRR: 100 X: 6.25879 -INDEX GOES BRRR: 237 X: 14.8389 -INDEX GOES BRRR: 484 X: 30.2588 -INDEX GOES BRRR: 76 X: 4.79395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0469 -INDEX GOES BRRR: 83 X: 5.20898 -INDEX GOES BRRR: 12 X: 0.765625 -INDEX GOES BRRR: 260 X: 16.2686 -INDEX GOES BRRR: 130 X: 8.15234 -INDEX GOES BRRR: 313 X: 19.5781 -INDEX GOES BRRR: 81 X: 5.10547 -INDEX GOES BRRR: 227 X: 14.209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 790 X: -14.6074 -INDEX GOES BRRR: 342 X: 21.4287 -INDEX GOES BRRR: 167 X: 10.4746 -INDEX GOES BRRR: 948 X: -4.73926 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 990 X: -2.12109 -INDEX GOES BRRR: 188 X: 11.8018 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5693 -INDEX GOES BRRR: 350 X: 21.8975 -INDEX GOES BRRR: 1009 X: -0.907227 -INDEX GOES BRRR: 232 X: 14.5137 -INDEX GOES BRRR: 95 X: 5.96582 -INDEX GOES BRRR: 129 X: 8.12402 -INDEX GOES BRRR: 1010 X: -0.845703 -INDEX GOES BRRR: 7 X: 0.483398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5195 -INDEX GOES BRRR: 68 X: 4.29395 -INDEX GOES BRRR: 967 X: -3.51074 -INDEX GOES BRRR: 323 X: 20.2422 -INDEX GOES BRRR: 285 X: 17.8125 -INDEX GOES BRRR: 323 X: 20.1973 -INDEX GOES BRRR: 343 X: 21.4707 -INDEX GOES BRRR: 79 X: 4.9707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.17773 -INDEX GOES BRRR: 54 X: 3.39453 -INDEX GOES BRRR: 87 X: 5.44727 -INDEX GOES BRRR: 146 X: 9.12598 -INDEX GOES BRRR: 399 X: 24.9746 -INDEX GOES BRRR: 331 X: 20.7412 -INDEX GOES BRRR: 119 X: 7.46387 -INDEX GOES BRRR: 862 X: -10.0645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 954 X: -4.36426 -INDEX GOES BRRR: 174 X: 10.8887 -INDEX GOES BRRR: 990 X: -2.125 -INDEX GOES BRRR: 199 X: 12.4736 -INDEX GOES BRRR: 142 X: 8.93359 -INDEX GOES BRRR: 411 X: 25.749 -INDEX GOES BRRR: 179 X: 11.2373 -INDEX GOES BRRR: 77 X: 4.83984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 992 X: -1.97949 -INDEX GOES BRRR: 1007 X: -1.06055 -INDEX GOES BRRR: 39 X: 2.48438 -INDEX GOES BRRR: 225 X: 14.082 -INDEX GOES BRRR: 197 X: 12.3623 -INDEX GOES BRRR: 1 X: 0.09375 -INDEX GOES BRRR: 116 X: 7.29785 -INDEX GOES BRRR: 12 X: 0.779297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.7236 -INDEX GOES BRRR: 83 X: 5.24805 -INDEX GOES BRRR: 311 X: 19.4453 -INDEX GOES BRRR: 326 X: 20.4092 -INDEX GOES BRRR: 161 X: 10.0996 -INDEX GOES BRRR: 148 X: 9.30664 -INDEX GOES BRRR: 947 X: -4.80762 -INDEX GOES BRRR: 160 X: 10.0195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9219 -INDEX GOES BRRR: 889 X: -8.42285 -INDEX GOES BRRR: 170 X: 10.6328 -INDEX GOES BRRR: 122 X: 7.66602 -INDEX GOES BRRR: 92 X: 5.79297 -INDEX GOES BRRR: 9 X: 0.583008 -INDEX GOES BRRR: 188 X: 11.7891 -INDEX GOES BRRR: 343 X: 21.4893 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7393 -INDEX GOES BRRR: 130 X: 8.16309 -INDEX GOES BRRR: 63 X: 3.99121 -INDEX GOES BRRR: 254 X: 15.877 -INDEX GOES BRRR: 107 X: 6.72266 -INDEX GOES BRRR: 94 X: 5.87793 -INDEX GOES BRRR: 247 X: 15.4883 -INDEX GOES BRRR: 299 X: 18.7236 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.8486 -INDEX GOES BRRR: 49 X: 3.0752 -INDEX GOES BRRR: 286 X: 17.9219 -INDEX GOES BRRR: 314 X: 19.6475 -INDEX GOES BRRR: 179 X: 11.2012 -INDEX GOES BRRR: 293 X: 18.3477 -INDEX GOES BRRR: 995 X: -1.78711 -INDEX GOES BRRR: 303 X: 18.9824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 984 X: -2.45117 -INDEX GOES BRRR: 84 X: 5.29492 -INDEX GOES BRRR: 273 X: 17.1191 -INDEX GOES BRRR: 111 X: 6.96289 -INDEX GOES BRRR: 833 X: -11.9268 -INDEX GOES BRRR: 117 X: 7.37402 -INDEX GOES BRRR: 20 X: 1.26367 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.667 -INDEX GOES BRRR: 261 X: 16.3672 -INDEX GOES BRRR: 185 X: 11.585 -INDEX GOES BRRR: 225 X: 14.0801 -INDEX GOES BRRR: 313 X: 19.6143 -INDEX GOES BRRR: 202 X: 12.6562 -INDEX GOES BRRR: 946 X: -4.81738 -INDEX GOES BRRR: 382 X: 23.8965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 128 X: 8.04785 -INDEX GOES BRRR: 86 X: 5.38281 -INDEX GOES BRRR: 177 X: 11.1084 -INDEX GOES BRRR: 222 X: 13.9229 -INDEX GOES BRRR: 468 X: 29.3076 -INDEX GOES BRRR: 925 X: -6.12891 -INDEX GOES BRRR: 225 X: 14.1084 -INDEX GOES BRRR: 86 X: 5.39453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.79 -INDEX GOES BRRR: 215 X: 13.4658 -INDEX GOES BRRR: 363 X: 22.7412 -INDEX GOES BRRR: 992 X: -1.96191 -INDEX GOES BRRR: 341 X: 21.3262 -INDEX GOES BRRR: 254 X: 15.9258 -INDEX GOES BRRR: 160 X: 10.0146 -INDEX GOES BRRR: 907 X: -7.27051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 64 X: 4.01562 -INDEX GOES BRRR: 77 X: 4.8252 -INDEX GOES BRRR: 214 X: 13.4014 -INDEX GOES BRRR: 156 X: 9.76953 -INDEX GOES BRRR: 950 X: -4.58691 -INDEX GOES BRRR: 911 X: -7.06055 -INDEX GOES BRRR: 989 X: -2.15137 -INDEX GOES BRRR: 60 X: 3.80664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2422 -INDEX GOES BRRR: 90 X: 5.6582 -INDEX GOES BRRR: 252 X: 15.7803 -INDEX GOES BRRR: 184 X: 11.5234 -INDEX GOES BRRR: 152 X: 9.53223 -INDEX GOES BRRR: 62 X: 3.91895 -INDEX GOES BRRR: 171 X: 10.7471 -INDEX GOES BRRR: 962 X: -3.86719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.61133 -INDEX GOES BRRR: 18 X: 1.16797 -INDEX GOES BRRR: 964 X: -3.71582 -INDEX GOES BRRR: 204 X: 12.7588 -INDEX GOES BRRR: 324 X: 20.2578 -INDEX GOES BRRR: 93 X: 5.81934 -INDEX GOES BRRR: 160 X: 10.0234 -INDEX GOES BRRR: 466 X: 29.1865 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.70312 -INDEX GOES BRRR: 126 X: 7.91309 -INDEX GOES BRRR: 145 X: 9.07812 -INDEX GOES BRRR: 204 X: 12.8105 -INDEX GOES BRRR: 41 X: 2.60547 -INDEX GOES BRRR: 305 X: 19.0996 -INDEX GOES BRRR: 329 X: 20.5703 -INDEX GOES BRRR: 68 X: 4.25293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9922 -INDEX GOES BRRR: 320 X: 20.0195 -INDEX GOES BRRR: 399 X: 24.9736 -INDEX GOES BRRR: 281 X: 17.5742 -INDEX GOES BRRR: 258 X: 16.1426 -INDEX GOES BRRR: 933 X: -5.66406 -INDEX GOES BRRR: 994 X: -1.86621 -INDEX GOES BRRR: 187 X: 11.7031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3682 -INDEX GOES BRRR: 70 X: 4.40332 -INDEX GOES BRRR: 41 X: 2.62207 -INDEX GOES BRRR: 409 X: 25.6152 -INDEX GOES BRRR: 884 X: -8.72168 -INDEX GOES BRRR: 145 X: 9.1084 -INDEX GOES BRRR: 235 X: 14.7197 -INDEX GOES BRRR: 207 X: 12.9502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 940 X: -5.2207 -INDEX GOES BRRR: 298 X: 18.666 -INDEX GOES BRRR: 395 X: 24.7432 -INDEX GOES BRRR: 227 X: 14.1943 -INDEX GOES BRRR: 211 X: 13.2119 -INDEX GOES BRRR: 214 X: 13.3984 -INDEX GOES BRRR: 100 X: 6.30176 -INDEX GOES BRRR: 245 X: 15.3232 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0088 -INDEX GOES BRRR: 924 X: -6.2002 -INDEX GOES BRRR: 290 X: 18.1865 -INDEX GOES BRRR: 178 X: 11.1533 -INDEX GOES BRRR: 389 X: 24.3477 -INDEX GOES BRRR: 288 X: 18.0566 -INDEX GOES BRRR: 101 X: 6.31738 -INDEX GOES BRRR: 204 X: 12.8086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.78809 -INDEX GOES BRRR: 272 X: 17.0449 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 755 X: -16.7598 -INDEX GOES BRRR: 212 X: 13.2891 -INDEX GOES BRRR: 286 X: 17.9277 -INDEX GOES BRRR: 274 X: 17.1592 -INDEX GOES BRRR: 479 X: 29.9902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.77051 -INDEX GOES BRRR: 102 X: 6.42285 -INDEX GOES BRRR: 166 X: 10.4102 -INDEX GOES BRRR: 366 X: 22.9258 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 400 X: 25.0166 -INDEX GOES BRRR: 29 X: 1.81738 -INDEX GOES BRRR: 904 X: -7.47656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.11328 -INDEX GOES BRRR: 222 X: 13.9082 -INDEX GOES BRRR: 434 X: 27.1377 -INDEX GOES BRRR: 78 X: 4.93066 -INDEX GOES BRRR: 212 X: 13.3027 -INDEX GOES BRRR: 161 X: 10.1074 -INDEX GOES BRRR: 99 X: 6.19043 -INDEX GOES BRRR: 997 X: -1.6582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5391 -INDEX GOES BRRR: 982 X: -2.56836 -INDEX GOES BRRR: 481 X: 30.0762 -INDEX GOES BRRR: 107 X: 6.72363 -INDEX GOES BRRR: 202 X: 12.6553 -INDEX GOES BRRR: 140 X: 8.76855 -INDEX GOES BRRR: 194 X: 12.1475 -INDEX GOES BRRR: 268 X: 16.8066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.6875 -INDEX GOES BRRR: 75 X: 4.71289 -INDEX GOES BRRR: 999 X: -1.53809 -INDEX GOES BRRR: 249 X: 15.6152 -INDEX GOES BRRR: 42 X: 2.63086 -INDEX GOES BRRR: 795 X: -14.3057 -INDEX GOES BRRR: 290 X: 18.1826 -INDEX GOES BRRR: 43 X: 2.71582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4688 -INDEX GOES BRRR: 294 X: 18.4258 -INDEX GOES BRRR: 981 X: -2.68262 -INDEX GOES BRRR: 934 X: -5.57422 -INDEX GOES BRRR: 302 X: 18.9199 -INDEX GOES BRRR: 151 X: 9.48242 -INDEX GOES BRRR: 910 X: -7.07812 -INDEX GOES BRRR: 433 X: 27.0645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 977 X: -2.90039 -INDEX GOES BRRR: 59 X: 3.69434 -INDEX GOES BRRR: 84 X: 5.30176 -INDEX GOES BRRR: 71 X: 4.46484 -INDEX GOES BRRR: 58 X: 3.67871 -INDEX GOES BRRR: 228 X: 14.2988 -INDEX GOES BRRR: 68 X: 4.2832 -INDEX GOES BRRR: 7 X: 0.475586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.2012 -INDEX GOES BRRR: 75 X: 4.7041 -INDEX GOES BRRR: 325 X: 20.3467 -INDEX GOES BRRR: 67 X: 4.19727 -INDEX GOES BRRR: 133 X: 8.33496 -INDEX GOES BRRR: 989 X: -2.14941 -INDEX GOES BRRR: 778 X: -15.3457 -INDEX GOES BRRR: 868 X: -9.68848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.68848 -INDEX GOES BRRR: 289 X: 18.0664 -INDEX GOES BRRR: 242 X: 15.1797 -INDEX GOES BRRR: 134 X: 8.42188 -INDEX GOES BRRR: 115 X: 7.22363 -INDEX GOES BRRR: 19 X: 1.24902 -INDEX GOES BRRR: 295 X: 18.4883 -INDEX GOES BRRR: 122 X: 7.63281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.7939 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 80 X: 5.06152 -INDEX GOES BRRR: 358 X: 22.4053 -INDEX GOES BRRR: 952 X: -4.48633 -INDEX GOES BRRR: 378 X: 23.6318 -INDEX GOES BRRR: 262 X: 16.4229 -INDEX GOES BRRR: 130 X: 8.18066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.01562 -INDEX GOES BRRR: 409 X: 25.6211 -INDEX GOES BRRR: 142 X: 8.91504 -INDEX GOES BRRR: 389 X: 24.3662 -INDEX GOES BRRR: 964 X: -3.7168 -INDEX GOES BRRR: 75 X: 4.7334 -INDEX GOES BRRR: 196 X: 12.3076 -INDEX GOES BRRR: 255 X: 15.999 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.05859 -INDEX GOES BRRR: 1004 X: -1.25 -INDEX GOES BRRR: 200 X: 12.5469 -INDEX GOES BRRR: 25 X: 1.56836 -INDEX GOES BRRR: 990 X: -2.10449 -INDEX GOES BRRR: 980 X: -2.72461 -INDEX GOES BRRR: 36 X: 2.25684 -INDEX GOES BRRR: 133 X: 8.35156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3154 -INDEX GOES BRRR: 988 X: -2.21777 -INDEX GOES BRRR: 239 X: 14.9932 -INDEX GOES BRRR: 306 X: 19.1562 -INDEX GOES BRRR: 956 X: -4.19531 -INDEX GOES BRRR: 297 X: 18.585 -INDEX GOES BRRR: 256 X: 16.0615 -INDEX GOES BRRR: 1 X: 0.112305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 275 X: 17.2148 -INDEX GOES BRRR: 993 X: -1.88965 -INDEX GOES BRRR: 149 X: 9.35742 -INDEX GOES BRRR: 121 X: 7.57031 -INDEX GOES BRRR: 202 X: 12.6602 -INDEX GOES BRRR: 971 X: -3.27539 -INDEX GOES BRRR: 1003 X: -1.31152 -INDEX GOES BRRR: 75 X: 4.71191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.7832 -INDEX GOES BRRR: 44 X: 2.75098 -INDEX GOES BRRR: 928 X: -5.96094 -INDEX GOES BRRR: 65 X: 4.10742 -INDEX GOES BRRR: 30 X: 1.89062 -INDEX GOES BRRR: 219 X: 13.7148 -INDEX GOES BRRR: 862 X: -10.0684 -INDEX GOES BRRR: 92 X: 5.76074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.2061 -INDEX GOES BRRR: 913 X: -6.93555 -INDEX GOES BRRR: 301 X: 18.8447 -INDEX GOES BRRR: 1010 X: -0.839844 -INDEX GOES BRRR: 1019 X: -0.282227 -INDEX GOES BRRR: 138 X: 8.6416 -INDEX GOES BRRR: 233 X: 14.5703 -INDEX GOES BRRR: 79 X: 4.99023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.71777 -INDEX GOES BRRR: 243 X: 15.2139 -INDEX GOES BRRR: 93 X: 5.85352 -INDEX GOES BRRR: 265 X: 16.5801 -INDEX GOES BRRR: 288 X: 18.043 -INDEX GOES BRRR: 868 X: -9.72852 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 191 X: 11.9443 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 424 X: 26.541 -INDEX GOES BRRR: 358 X: 22.4053 -INDEX GOES BRRR: 470 X: 29.4336 -INDEX GOES BRRR: 297 X: 18.623 -INDEX GOES BRRR: 189 X: 11.8174 -INDEX GOES BRRR: 226 X: 14.1484 -INDEX GOES BRRR: 102 X: 6.38379 -INDEX GOES BRRR: 353 X: 22.0771 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.12207 -INDEX GOES BRRR: 342 X: 21.4189 -INDEX GOES BRRR: 352 X: 22.0459 -INDEX GOES BRRR: 197 X: 12.3467 -INDEX GOES BRRR: 1005 X: -1.15137 -INDEX GOES BRRR: 34 X: 2.1582 -INDEX GOES BRRR: 233 X: 14.5625 -INDEX GOES BRRR: 7 X: 0.438477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0049 -INDEX GOES BRRR: 110 X: 6.92578 -INDEX GOES BRRR: 141 X: 8.85547 -INDEX GOES BRRR: 901 X: -7.68457 -INDEX GOES BRRR: 151 X: 9.46875 -INDEX GOES BRRR: 196 X: 12.291 -INDEX GOES BRRR: 969 X: -3.41113 -INDEX GOES BRRR: 348 X: 21.7705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 968 X: -3.49609 -INDEX GOES BRRR: 310 X: 19.4121 -INDEX GOES BRRR: 156 X: 9.77148 -INDEX GOES BRRR: 359 X: 22.4951 -INDEX GOES BRRR: 154 X: 9.66895 -INDEX GOES BRRR: 381 X: 23.8682 -INDEX GOES BRRR: 68 X: 4.2627 -INDEX GOES BRRR: 894 X: -8.08496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 922 X: -6.34375 -INDEX GOES BRRR: 217 X: 13.5752 -INDEX GOES BRRR: 14 X: 0.920898 -INDEX GOES BRRR: 65 X: 4.12109 -INDEX GOES BRRR: 129 X: 8.07715 -INDEX GOES BRRR: 934 X: -5.57031 -INDEX GOES BRRR: 1023 X: -0.0136719 -INDEX GOES BRRR: 211 X: 13.2324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 985 X: -2.43262 -INDEX GOES BRRR: 159 X: 9.94434 -INDEX GOES BRRR: 341 X: 21.3506 -INDEX GOES BRRR: 205 X: 12.8574 -INDEX GOES BRRR: 122 X: 7.64551 -INDEX GOES BRRR: 233 X: 14.5977 -INDEX GOES BRRR: 995 X: -1.78906 -INDEX GOES BRRR: 998 X: -1.59375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 343 X: 21.46 -INDEX GOES BRRR: 170 X: 10.6357 -INDEX GOES BRRR: 349 X: 21.8633 -INDEX GOES BRRR: 992 X: -2 -INDEX GOES BRRR: 193 X: 12.0693 -INDEX GOES BRRR: 236 X: 14.7607 -INDEX GOES BRRR: 183 X: 11.4854 -INDEX GOES BRRR: 120 X: 7.5459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.9111 -INDEX GOES BRRR: 402 X: 25.1689 -INDEX GOES BRRR: 135 X: 8.45117 -INDEX GOES BRRR: 153 X: 9.58105 -INDEX GOES BRRR: 384 X: 24 -INDEX GOES BRRR: 353 X: 22.0781 -INDEX GOES BRRR: 205 X: 12.8389 -INDEX GOES BRRR: 151 X: 9.45605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.88184 -INDEX GOES BRRR: 114 X: 7.18359 -INDEX GOES BRRR: 978 X: -2.8623 -INDEX GOES BRRR: 398 X: 24.8789 -INDEX GOES BRRR: 162 X: 10.1709 -INDEX GOES BRRR: 417 X: 26.1201 -INDEX GOES BRRR: 336 X: 21.0127 -INDEX GOES BRRR: 189 X: 11.8125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.81445 -INDEX GOES BRRR: 6 X: 0.382812 -INDEX GOES BRRR: 75 X: 4.69238 -INDEX GOES BRRR: 183 X: 11.4863 -INDEX GOES BRRR: 286 X: 17.9238 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 14 X: 0.90625 -INDEX GOES BRRR: 292 X: 18.2734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.70312 -INDEX GOES BRRR: 158 X: 9.90039 -INDEX GOES BRRR: 451 X: 28.2314 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 213 X: 13.3125 -INDEX GOES BRRR: 79 X: 4.94531 -INDEX GOES BRRR: 43 X: 2.70703 -INDEX GOES BRRR: 206 X: 12.8945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.1045 -INDEX GOES BRRR: 159 X: 9.95117 -INDEX GOES BRRR: 174 X: 10.8984 -INDEX GOES BRRR: 268 X: 16.79 -INDEX GOES BRRR: 225 X: 14.0684 -INDEX GOES BRRR: 132 X: 8.25098 -INDEX GOES BRRR: 385 X: 24.0986 -INDEX GOES BRRR: 127 X: 7.99805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 423 X: 26.4658 -INDEX GOES BRRR: 97 X: 6.09863 -INDEX GOES BRRR: 22 X: 1.37598 -INDEX GOES BRRR: 114 X: 7.16602 -INDEX GOES BRRR: 24 X: 1.50781 -INDEX GOES BRRR: 119 X: 7.45215 -INDEX GOES BRRR: 208 X: 13.0273 -INDEX GOES BRRR: 926 X: -6.1084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.76074 -INDEX GOES BRRR: 200 X: 12.5518 -INDEX GOES BRRR: 229 X: 14.3545 -INDEX GOES BRRR: 953 X: -4.43652 -INDEX GOES BRRR: 150 X: 9.37891 -INDEX GOES BRRR: 315 X: 19.748 -INDEX GOES BRRR: 285 X: 17.8145 -INDEX GOES BRRR: 106 X: 6.65918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.43555 -INDEX GOES BRRR: 482 X: 30.1523 -INDEX GOES BRRR: 442 X: 27.667 -INDEX GOES BRRR: 157 X: 9.83105 -INDEX GOES BRRR: 272 X: 17.0371 -INDEX GOES BRRR: 25 X: 1.57422 -INDEX GOES BRRR: 841 X: -11.4014 -INDEX GOES BRRR: 125 X: 7.86523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.08789 -INDEX GOES BRRR: 363 X: 22.6885 -INDEX GOES BRRR: 942 X: -5.08887 -INDEX GOES BRRR: 90 X: 5.63965 -INDEX GOES BRRR: 399 X: 24.9502 -INDEX GOES BRRR: 289 X: 18.0938 -INDEX GOES BRRR: 444 X: 27.7754 -INDEX GOES BRRR: 160 X: 10.0127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 903 X: -7.54297 -INDEX GOES BRRR: 389 X: 24.3301 -INDEX GOES BRRR: 195 X: 12.2441 -INDEX GOES BRRR: 1002 X: -1.37109 -INDEX GOES BRRR: 151 X: 9.43945 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 943 X: -5.05371 -INDEX GOES BRRR: 284 X: 17.8086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 0 X: 0.0429688 -INDEX GOES BRRR: 228 X: 14.2676 -INDEX GOES BRRR: 974 X: -3.09961 -INDEX GOES BRRR: 214 X: 13.4199 -INDEX GOES BRRR: 118 X: 7.4082 -INDEX GOES BRRR: 210 X: 13.1572 -INDEX GOES BRRR: 365 X: 22.834 -INDEX GOES BRRR: 151 X: 9.44727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.80273 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 467 X: 29.2256 -INDEX GOES BRRR: 183 X: 11.4541 -INDEX GOES BRRR: 288 X: 18.0361 -INDEX GOES BRRR: 294 X: 18.4004 -INDEX GOES BRRR: 201 X: 12.5791 -INDEX GOES BRRR: 153 X: 9.59961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 992 X: -1.94727 -INDEX GOES BRRR: 216 X: 13.5166 -INDEX GOES BRRR: 173 X: 10.834 -INDEX GOES BRRR: 250 X: 15.626 -INDEX GOES BRRR: 235 X: 14.7285 -INDEX GOES BRRR: 255 X: 15.9639 -INDEX GOES BRRR: 77 X: 4.84375 -INDEX GOES BRRR: 315 X: 19.7041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.31445 -INDEX GOES BRRR: 120 X: 7.55078 -INDEX GOES BRRR: 245 X: 15.3311 -INDEX GOES BRRR: 1019 X: -0.280273 -INDEX GOES BRRR: 1021 X: -0.186523 -INDEX GOES BRRR: 76 X: 4.75391 -INDEX GOES BRRR: 218 X: 13.6357 -INDEX GOES BRRR: 84 X: 5.28711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 960 X: -3.99414 -INDEX GOES BRRR: 19 X: 1.24609 -INDEX GOES BRRR: 879 X: -9.00977 -INDEX GOES BRRR: 75 X: 4.71582 -INDEX GOES BRRR: 175 X: 10.9814 -INDEX GOES BRRR: 343 X: 21.4688 -INDEX GOES BRRR: 98 X: 6.14453 -INDEX GOES BRRR: 46 X: 2.8877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1017 X: -0.410156 -INDEX GOES BRRR: 41 X: 2.5918 -INDEX GOES BRRR: 130 X: 8.13574 -INDEX GOES BRRR: 207 X: 12.9883 -INDEX GOES BRRR: 279 X: 17.459 -INDEX GOES BRRR: 102 X: 6.37695 -INDEX GOES BRRR: 193 X: 12.0859 -INDEX GOES BRRR: 273 X: 17.085 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.3457 -INDEX GOES BRRR: 220 X: 13.7764 -INDEX GOES BRRR: 969 X: -3.4209 -INDEX GOES BRRR: 146 X: 9.16406 -INDEX GOES BRRR: 155 X: 9.70117 -INDEX GOES BRRR: 491 X: 30.7461 -INDEX GOES BRRR: 837 X: -11.6631 -INDEX GOES BRRR: 138 X: 8.65039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9043 -INDEX GOES BRRR: 8 X: 0.529297 -INDEX GOES BRRR: 1 X: 0.0751953 -INDEX GOES BRRR: 30 X: 1.91406 -INDEX GOES BRRR: 165 X: 10.3193 -INDEX GOES BRRR: 71 X: 4.49414 -INDEX GOES BRRR: 261 X: 16.3682 -INDEX GOES BRRR: 112 X: 7.05859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.15039 -INDEX GOES BRRR: 177 X: 11.0986 -INDEX GOES BRRR: 302 X: 18.9307 -INDEX GOES BRRR: 64 X: 4.02539 -INDEX GOES BRRR: 933 X: -5.64062 -INDEX GOES BRRR: 81 X: 5.0918 -INDEX GOES BRRR: 198 X: 12.4307 -INDEX GOES BRRR: 306 X: 19.1328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 236 X: 14.7773 -INDEX GOES BRRR: 1015 X: -0.55957 -INDEX GOES BRRR: 6 X: 0.410156 -INDEX GOES BRRR: 240 X: 15.0127 -INDEX GOES BRRR: 1008 X: -0.953125 -INDEX GOES BRRR: 442 X: 27.6309 -INDEX GOES BRRR: 199 X: 12.4512 -INDEX GOES BRRR: 88 X: 5.52832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 952 X: -4.44824 -INDEX GOES BRRR: 94 X: 5.93652 -INDEX GOES BRRR: 172 X: 10.7607 -INDEX GOES BRRR: 129 X: 8.08496 -INDEX GOES BRRR: 66 X: 4.1543 -INDEX GOES BRRR: 236 X: 14.7822 -INDEX GOES BRRR: 245 X: 15.3145 -INDEX GOES BRRR: 964 X: -3.69727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 37 X: 2.3291 -INDEX GOES BRRR: 66 X: 4.16602 -INDEX GOES BRRR: 1017 X: -0.387695 -INDEX GOES BRRR: 224 X: 14.043 -INDEX GOES BRRR: 129 X: 8.08887 -INDEX GOES BRRR: 179 X: 11.207 -INDEX GOES BRRR: 298 X: 18.6318 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8594 -INDEX GOES BRRR: 249 X: 15.5693 -INDEX GOES BRRR: 784 X: -14.9717 -INDEX GOES BRRR: 67 X: 4.19043 -INDEX GOES BRRR: 236 X: 14.7949 -INDEX GOES BRRR: 231 X: 14.4473 -INDEX GOES BRRR: 100 X: 6.2959 -INDEX GOES BRRR: 753 X: -16.8906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 824 X: -12.4531 -INDEX GOES BRRR: 285 X: 17.8174 -INDEX GOES BRRR: 932 X: -5.73047 -INDEX GOES BRRR: 290 X: 18.1357 -INDEX GOES BRRR: 55 X: 3.44531 -INDEX GOES BRRR: 290 X: 18.1836 -INDEX GOES BRRR: 403 X: 25.2314 -INDEX GOES BRRR: 54 X: 3.39746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1445 -INDEX GOES BRRR: 9 X: 0.569336 -INDEX GOES BRRR: 176 X: 11.0342 -INDEX GOES BRRR: 22 X: 1.40039 -INDEX GOES BRRR: 169 X: 10.6025 -INDEX GOES BRRR: 93 X: 5.83008 -INDEX GOES BRRR: 447 X: 27.9453 -INDEX GOES BRRR: 334 X: 20.8818 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.67383 -INDEX GOES BRRR: 875 X: -9.27441 -INDEX GOES BRRR: 959 X: -4.00195 -INDEX GOES BRRR: 100 X: 6.25098 -INDEX GOES BRRR: 226 X: 14.1318 -INDEX GOES BRRR: 93 X: 5.81348 -INDEX GOES BRRR: 122 X: 7.67969 -INDEX GOES BRRR: 832 X: -11.9551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 870 X: -9.57617 -INDEX GOES BRRR: 999 X: -1.53125 -INDEX GOES BRRR: 116 X: 7.28711 -INDEX GOES BRRR: 40 X: 2.50781 -INDEX GOES BRRR: 29 X: 1.82617 -INDEX GOES BRRR: 56 X: 3.53711 -INDEX GOES BRRR: 93 X: 5.86133 -INDEX GOES BRRR: 404 X: 25.2744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.2471 -INDEX GOES BRRR: 281 X: 17.5723 -INDEX GOES BRRR: 251 X: 15.7393 -INDEX GOES BRRR: 85 X: 5.31641 -INDEX GOES BRRR: 241 X: 15.0732 -INDEX GOES BRRR: 279 X: 17.4395 -INDEX GOES BRRR: 324 X: 20.3115 -INDEX GOES BRRR: 905 X: -7.3877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6699 -INDEX GOES BRRR: 242 X: 15.1572 -INDEX GOES BRRR: 937 X: -5.38965 -INDEX GOES BRRR: 873 X: -9.43359 -INDEX GOES BRRR: 231 X: 14.4375 -INDEX GOES BRRR: 387 X: 24.2373 -INDEX GOES BRRR: 3 X: 0.199219 -INDEX GOES BRRR: 44 X: 2.79102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.65723 -INDEX GOES BRRR: 245 X: 15.3438 -INDEX GOES BRRR: 821 X: -12.6709 -INDEX GOES BRRR: 974 X: -3.08984 -INDEX GOES BRRR: 149 X: 9.35254 -INDEX GOES BRRR: 270 X: 16.9258 -INDEX GOES BRRR: 230 X: 14.3955 -INDEX GOES BRRR: 152 X: 9.51367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 982 X: -2.56348 -INDEX GOES BRRR: 931 X: -5.78125 -INDEX GOES BRRR: 279 X: 17.4619 -INDEX GOES BRRR: 805 X: -13.6328 -INDEX GOES BRRR: 96 X: 6.03516 -INDEX GOES BRRR: 105 X: 6.60645 -INDEX GOES BRRR: 997 X: -1.62988 -INDEX GOES BRRR: 76 X: 4.75879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.25 -INDEX GOES BRRR: 37 X: 2.33887 -INDEX GOES BRRR: 232 X: 14.5166 -INDEX GOES BRRR: 324 X: 20.252 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 943 X: -5.04102 -INDEX GOES BRRR: 1019 X: -0.307617 -INDEX GOES BRRR: 1011 X: -0.787109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 415 X: 25.9463 -INDEX GOES BRRR: 461 X: 28.8721 -INDEX GOES BRRR: 1019 X: -0.270508 -INDEX GOES BRRR: 239 X: 14.9551 -INDEX GOES BRRR: 870 X: -9.5918 -INDEX GOES BRRR: 293 X: 18.3574 -INDEX GOES BRRR: 41 X: 2.56836 -INDEX GOES BRRR: 290 X: 18.1602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.91602 -INDEX GOES BRRR: 61 X: 3.82227 -INDEX GOES BRRR: 32 X: 2.00488 -INDEX GOES BRRR: 194 X: 12.1396 -INDEX GOES BRRR: 126 X: 7.89746 -INDEX GOES BRRR: 148 X: 9.26855 -INDEX GOES BRRR: 33 X: 2.06836 -INDEX GOES BRRR: 302 X: 18.916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3691 -INDEX GOES BRRR: 160 X: 10.0166 -INDEX GOES BRRR: 249 X: 15.585 -INDEX GOES BRRR: 155 X: 9.74414 -INDEX GOES BRRR: 8 X: 0.541992 -INDEX GOES BRRR: 82 X: 5.1748 -INDEX GOES BRRR: 134 X: 8.43164 -INDEX GOES BRRR: 98 X: 6.17578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.22852 -INDEX GOES BRRR: 66 X: 4.17383 -INDEX GOES BRRR: 338 X: 21.1416 -INDEX GOES BRRR: 87 X: 5.45605 -INDEX GOES BRRR: 124 X: 7.76855 -INDEX GOES BRRR: 240 X: 15.042 -INDEX GOES BRRR: 131 X: 8.20508 -INDEX GOES BRRR: 1014 X: -0.585938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.625 -INDEX GOES BRRR: 60 X: 3.76758 -INDEX GOES BRRR: 244 X: 15.252 -INDEX GOES BRRR: 928 X: -5.98242 -INDEX GOES BRRR: 408 X: 25.5586 -INDEX GOES BRRR: 426 X: 26.6797 -INDEX GOES BRRR: 934 X: -5.57031 -INDEX GOES BRRR: 233 X: 14.624 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 268 X: 16.7715 -INDEX GOES BRRR: 337 X: 21.0957 -INDEX GOES BRRR: 123 X: 7.70898 -INDEX GOES BRRR: 312 X: 19.5322 -INDEX GOES BRRR: 219 X: 13.7168 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1005 X: -1.18359 -INDEX GOES BRRR: 962 X: -3.86621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 802 X: -13.8203 -INDEX GOES BRRR: 226 X: 14.1826 -INDEX GOES BRRR: 253 X: 15.835 -INDEX GOES BRRR: 330 X: 20.6748 -INDEX GOES BRRR: 121 X: 7.59277 -INDEX GOES BRRR: 14 X: 0.918945 -INDEX GOES BRRR: 976 X: -2.93945 -INDEX GOES BRRR: 128 X: 8.03516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.9248 -INDEX GOES BRRR: 1001 X: -1.40918 -INDEX GOES BRRR: 892 X: -8.23047 -INDEX GOES BRRR: 3 X: 0.192383 -INDEX GOES BRRR: 319 X: 19.9492 -INDEX GOES BRRR: 775 X: -15.5205 -INDEX GOES BRRR: 243 X: 15.2393 -INDEX GOES BRRR: 798 X: -14.085 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3203 -INDEX GOES BRRR: 406 X: 25.4307 -INDEX GOES BRRR: 455 X: 28.4805 -INDEX GOES BRRR: 76 X: 4.79688 -INDEX GOES BRRR: 297 X: 18.5986 -INDEX GOES BRRR: 39 X: 2.47266 -INDEX GOES BRRR: 407 X: 25.4404 -INDEX GOES BRRR: 94 X: 5.90234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 913 X: -6.8916 -INDEX GOES BRRR: 205 X: 12.835 -INDEX GOES BRRR: 340 X: 21.2705 -INDEX GOES BRRR: 117 X: 7.31836 -INDEX GOES BRRR: 1013 X: -0.655273 -INDEX GOES BRRR: 158 X: 9.92773 -INDEX GOES BRRR: 186 X: 11.6807 -INDEX GOES BRRR: 918 X: -6.62207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0175781 -INDEX GOES BRRR: 387 X: 24.207 -INDEX GOES BRRR: 170 X: 10.6836 -INDEX GOES BRRR: 159 X: 9.94043 -INDEX GOES BRRR: 349 X: 21.8672 -INDEX GOES BRRR: 41 X: 2.61328 -INDEX GOES BRRR: 428 X: 26.7832 -INDEX GOES BRRR: 196 X: 12.2881 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.2529 -INDEX GOES BRRR: 915 X: -6.78027 -INDEX GOES BRRR: 894 X: -8.10254 -INDEX GOES BRRR: 171 X: 10.7236 -INDEX GOES BRRR: 52 X: 3.27637 -INDEX GOES BRRR: 369 X: 23.0693 -INDEX GOES BRRR: 202 X: 12.6748 -INDEX GOES BRRR: 73 X: 4.62402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.746094 -INDEX GOES BRRR: 386 X: 24.1865 -INDEX GOES BRRR: 49 X: 3.11914 -INDEX GOES BRRR: 439 X: 27.4941 -INDEX GOES BRRR: 233 X: 14.5908 -INDEX GOES BRRR: 469 X: 29.3389 -INDEX GOES BRRR: 254 X: 15.8984 -INDEX GOES BRRR: 65 X: 4.12402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.69531 -INDEX GOES BRRR: 236 X: 14.7754 -INDEX GOES BRRR: 208 X: 13.0498 -INDEX GOES BRRR: 978 X: -2.85352 -INDEX GOES BRRR: 48 X: 3.00098 -INDEX GOES BRRR: 18 X: 1.13672 -INDEX GOES BRRR: 93 X: 5.86816 -INDEX GOES BRRR: 308 X: 19.293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.8027 -INDEX GOES BRRR: 439 X: 27.4902 -INDEX GOES BRRR: 1008 X: -0.939453 -INDEX GOES BRRR: 225 X: 14.0801 -INDEX GOES BRRR: 303 X: 18.9805 -INDEX GOES BRRR: 995 X: -1.76855 -INDEX GOES BRRR: 104 X: 6.55273 -INDEX GOES BRRR: 76 X: 4.76465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.8955 -INDEX GOES BRRR: 81 X: 5.09277 -INDEX GOES BRRR: 274 X: 17.125 -INDEX GOES BRRR: 75 X: 4.69043 -INDEX GOES BRRR: 237 X: 14.8613 -INDEX GOES BRRR: 216 X: 13.5381 -INDEX GOES BRRR: 340 X: 21.2979 -INDEX GOES BRRR: 136 X: 8.54004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6318 -INDEX GOES BRRR: 126 X: 7.92969 -INDEX GOES BRRR: 196 X: 12.293 -INDEX GOES BRRR: 320 X: 20.0576 -INDEX GOES BRRR: 877 X: -9.13184 -INDEX GOES BRRR: 277 X: 17.3574 -INDEX GOES BRRR: 197 X: 12.3594 -INDEX GOES BRRR: 934 X: -5.6084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.26367 -INDEX GOES BRRR: 366 X: 22.8867 -INDEX GOES BRRR: 215 X: 13.4834 -INDEX GOES BRRR: 246 X: 15.4238 -INDEX GOES BRRR: 28 X: 1.7793 -INDEX GOES BRRR: 148 X: 9.25488 -INDEX GOES BRRR: 186 X: 11.6699 -INDEX GOES BRRR: 113 X: 7.06348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.03711 -INDEX GOES BRRR: 139 X: 8.70801 -INDEX GOES BRRR: 281 X: 17.583 -INDEX GOES BRRR: 242 X: 15.1826 -INDEX GOES BRRR: 223 X: 13.9492 -INDEX GOES BRRR: 472 X: 29.5234 -INDEX GOES BRRR: 50 X: 3.17383 -INDEX GOES BRRR: 311 X: 19.4844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 48 X: 3.04199 -INDEX GOES BRRR: 368 X: 23.041 -INDEX GOES BRRR: 365 X: 22.8145 -INDEX GOES BRRR: 27 X: 1.72559 -INDEX GOES BRRR: 410 X: 25.6387 -INDEX GOES BRRR: 280 X: 17.5615 -INDEX GOES BRRR: 989 X: -2.13477 -INDEX GOES BRRR: 211 X: 13.2314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.67383 -INDEX GOES BRRR: 189 X: 11.8223 -INDEX GOES BRRR: 166 X: 10.3975 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 828 X: -12.1943 -INDEX GOES BRRR: 33 X: 2.12012 -INDEX GOES BRRR: 236 X: 14.7852 -INDEX GOES BRRR: 344 X: 21.5439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.74121 -INDEX GOES BRRR: 240 X: 15.0234 -INDEX GOES BRRR: 143 X: 8.94727 -INDEX GOES BRRR: 196 X: 12.2783 -INDEX GOES BRRR: 321 X: 20.1113 -INDEX GOES BRRR: 877 X: -9.16406 -INDEX GOES BRRR: 15 X: 0.97168 -INDEX GOES BRRR: 233 X: 14.5996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.34375 -INDEX GOES BRRR: 141 X: 8.82324 -INDEX GOES BRRR: 12 X: 0.790039 -INDEX GOES BRRR: 325 X: 20.3721 -INDEX GOES BRRR: 990 X: -2.07031 -INDEX GOES BRRR: 969 X: -3.41895 -INDEX GOES BRRR: 255 X: 15.9551 -INDEX GOES BRRR: 972 X: -3.20703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 950 X: -4.6123 -INDEX GOES BRRR: 147 X: 9.24902 -INDEX GOES BRRR: 115 X: 7.20605 -INDEX GOES BRRR: 111 X: 6.98633 -INDEX GOES BRRR: 15 X: 0.974609 -INDEX GOES BRRR: 842 X: -11.3545 -INDEX GOES BRRR: 180 X: 11.2783 -INDEX GOES BRRR: 336 X: 21.0439 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9502 -INDEX GOES BRRR: 89 X: 5.57227 -INDEX GOES BRRR: 151 X: 9.46191 -INDEX GOES BRRR: 338 X: 21.1738 -INDEX GOES BRRR: 65 X: 4.06641 -INDEX GOES BRRR: 390 X: 24.4043 -INDEX GOES BRRR: 229 X: 14.335 -INDEX GOES BRRR: 93 X: 5.83984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.18652 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 173 X: 10.8691 -INDEX GOES BRRR: 132 X: 8.30176 -INDEX GOES BRRR: 233 X: 14.6221 -INDEX GOES BRRR: 78 X: 4.8877 -INDEX GOES BRRR: 165 X: 10.3379 -INDEX GOES BRRR: 43 X: 2.69336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.2373 -INDEX GOES BRRR: 839 X: -11.5234 -INDEX GOES BRRR: 276 X: 17.3096 -INDEX GOES BRRR: 200 X: 12.5166 -INDEX GOES BRRR: 16 X: 1 -INDEX GOES BRRR: 183 X: 11.4834 -INDEX GOES BRRR: 54 X: 3.39746 -INDEX GOES BRRR: 962 X: -3.82422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 175 X: 10.9404 -INDEX GOES BRRR: 191 X: 11.9531 -INDEX GOES BRRR: 154 X: 9.64941 -INDEX GOES BRRR: 223 X: 13.957 -INDEX GOES BRRR: 251 X: 15.7188 -INDEX GOES BRRR: 131 X: 8.21094 -INDEX GOES BRRR: 1 X: 0.0693359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 350 X: 21.9346 -INDEX GOES BRRR: 228 X: 14.2793 -INDEX GOES BRRR: 321 X: 20.0693 -INDEX GOES BRRR: 328 X: 20.5547 -INDEX GOES BRRR: 328 X: 20.5283 -INDEX GOES BRRR: 959 X: -4.04297 -INDEX GOES BRRR: 1015 X: -0.554688 -INDEX GOES BRRR: 194 X: 12.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.01758 -INDEX GOES BRRR: 64 X: 4.03711 -INDEX GOES BRRR: 1013 X: -0.666992 -INDEX GOES BRRR: 37 X: 2.3584 -INDEX GOES BRRR: 980 X: -2.72461 -INDEX GOES BRRR: 154 X: 9.68164 -INDEX GOES BRRR: 100 X: 6.30664 -INDEX GOES BRRR: 62 X: 3.92969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 265 X: 16.6123 -INDEX GOES BRRR: 966 X: -3.59277 -INDEX GOES BRRR: 151 X: 9.45508 -INDEX GOES BRRR: 69 X: 4.33496 -INDEX GOES BRRR: 230 X: 14.3984 -INDEX GOES BRRR: 359 X: 22.4717 -INDEX GOES BRRR: 109 X: 6.84961 -INDEX GOES BRRR: 194 X: 12.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 977 X: -2.91211 -INDEX GOES BRRR: 477 X: 29.8516 -INDEX GOES BRRR: 1010 X: -0.834961 -INDEX GOES BRRR: 261 X: 16.3271 -INDEX GOES BRRR: 233 X: 14.6162 -INDEX GOES BRRR: 903 X: -7.51855 -INDEX GOES BRRR: 187 X: 11.707 -INDEX GOES BRRR: 253 X: 15.8389 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.9766 -INDEX GOES BRRR: 148 X: 9.30176 -INDEX GOES BRRR: 460 X: 28.7764 -INDEX GOES BRRR: 371 X: 23.1924 -INDEX GOES BRRR: 16 X: 1.05273 -INDEX GOES BRRR: 132 X: 8.27148 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 391 X: 24.4639 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 999 X: -1.54102 -INDEX GOES BRRR: 295 X: 18.459 -INDEX GOES BRRR: 328 X: 20.5605 -INDEX GOES BRRR: 816 X: -12.9834 -INDEX GOES BRRR: 338 X: 21.1836 -INDEX GOES BRRR: 477 X: 29.8203 -INDEX GOES BRRR: 1008 X: -0.957031 -INDEX GOES BRRR: 266 X: 16.6602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 975 X: -3.05859 -INDEX GOES BRRR: 119 X: 7.4375 -INDEX GOES BRRR: 166 X: 10.4004 -INDEX GOES BRRR: 140 X: 8.78516 -INDEX GOES BRRR: 360 X: 22.5195 -INDEX GOES BRRR: 114 X: 7.1582 -INDEX GOES BRRR: 225 X: 14.0801 -INDEX GOES BRRR: 183 X: 11.4453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2021 -INDEX GOES BRRR: 102 X: 6.3877 -INDEX GOES BRRR: 301 X: 18.8301 -INDEX GOES BRRR: 43 X: 2.70996 -INDEX GOES BRRR: 132 X: 8.27734 -INDEX GOES BRRR: 205 X: 12.8262 -INDEX GOES BRRR: 79 X: 4.96387 -INDEX GOES BRRR: 832 X: -11.9395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 335 X: 20.9443 -INDEX GOES BRRR: 125 X: 7.83008 -INDEX GOES BRRR: 382 X: 23.8789 -INDEX GOES BRRR: 161 X: 10.0723 -INDEX GOES BRRR: 986 X: -2.37305 -INDEX GOES BRRR: 258 X: 16.168 -INDEX GOES BRRR: 440 X: 27.5596 -INDEX GOES BRRR: 228 X: 14.2822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 399 X: 24.959 -INDEX GOES BRRR: 912 X: -6.96875 -INDEX GOES BRRR: 63 X: 3.94043 -INDEX GOES BRRR: 269 X: 16.8203 -INDEX GOES BRRR: 7 X: 0.495117 -INDEX GOES BRRR: 158 X: 9.92773 -INDEX GOES BRRR: 277 X: 17.3545 -INDEX GOES BRRR: 341 X: 21.374 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.1074 -INDEX GOES BRRR: 325 X: 20.3223 -INDEX GOES BRRR: 948 X: -4.74316 -INDEX GOES BRRR: 234 X: 14.6377 -INDEX GOES BRRR: 92 X: 5.80859 -INDEX GOES BRRR: 165 X: 10.3232 -INDEX GOES BRRR: 356 X: 22.3008 -INDEX GOES BRRR: 191 X: 11.999 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 345 X: 21.6084 -INDEX GOES BRRR: 217 X: 13.5879 -INDEX GOES BRRR: 296 X: 18.5449 -INDEX GOES BRRR: 206 X: 12.9258 -INDEX GOES BRRR: 264 X: 16.5381 -INDEX GOES BRRR: 1020 X: -0.225586 -INDEX GOES BRRR: 11 X: 0.738281 -INDEX GOES BRRR: 251 X: 15.7354 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6553 -INDEX GOES BRRR: 342 X: 21.416 -INDEX GOES BRRR: 207 X: 12.9932 -INDEX GOES BRRR: 924 X: -6.25 -INDEX GOES BRRR: 955 X: -4.27148 -INDEX GOES BRRR: 69 X: 4.32227 -INDEX GOES BRRR: 121 X: 7.58789 -INDEX GOES BRRR: 924 X: -6.24414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0098 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 212 X: 13.292 -INDEX GOES BRRR: 342 X: 21.4043 -INDEX GOES BRRR: 64 X: 4.06152 -INDEX GOES BRRR: 125 X: 7.82422 -INDEX GOES BRRR: 206 X: 12.9316 -INDEX GOES BRRR: 979 X: -2.78027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.2451 -INDEX GOES BRRR: 853 X: -10.6533 -INDEX GOES BRRR: 186 X: 11.6748 -INDEX GOES BRRR: 173 X: 10.8252 -INDEX GOES BRRR: 67 X: 4.24414 -INDEX GOES BRRR: 101 X: 6.36719 -INDEX GOES BRRR: 879 X: -9.05371 -INDEX GOES BRRR: 325 X: 20.3223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.1221 -INDEX GOES BRRR: 113 X: 7.11035 -INDEX GOES BRRR: 68 X: 4.30469 -INDEX GOES BRRR: 820 X: -12.7471 -INDEX GOES BRRR: 951 X: -4.54102 -INDEX GOES BRRR: 38 X: 2.42969 -INDEX GOES BRRR: 960 X: -3.9668 -INDEX GOES BRRR: 296 X: 18.5488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3193 -INDEX GOES BRRR: 160 X: 10.0234 -INDEX GOES BRRR: 986 X: -2.31348 -INDEX GOES BRRR: 119 X: 7.45898 -INDEX GOES BRRR: 283 X: 17.707 -INDEX GOES BRRR: 255 X: 15.9961 -INDEX GOES BRRR: 276 X: 17.2539 -INDEX GOES BRRR: 67 X: 4.24316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.7178 -INDEX GOES BRRR: 936 X: -5.4834 -INDEX GOES BRRR: 128 X: 8.04492 -INDEX GOES BRRR: 286 X: 17.8984 -INDEX GOES BRRR: 35 X: 2.21094 -INDEX GOES BRRR: 311 X: 19.4668 -INDEX GOES BRRR: 351 X: 21.9814 -INDEX GOES BRRR: 495 X: 30.9658 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 984 X: -2.48633 -INDEX GOES BRRR: 1020 X: -0.204102 -INDEX GOES BRRR: 126 X: 7.88672 -INDEX GOES BRRR: 209 X: 13.1221 -INDEX GOES BRRR: 19 X: 1.23535 -INDEX GOES BRRR: 9 X: 0.607422 -INDEX GOES BRRR: 134 X: 8.39551 -INDEX GOES BRRR: 269 X: 16.8662 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9346 -INDEX GOES BRRR: 7 X: 0.452148 -INDEX GOES BRRR: 230 X: 14.4004 -INDEX GOES BRRR: 226 X: 14.1592 -INDEX GOES BRRR: 9 X: 0.588867 -INDEX GOES BRRR: 227 X: 14.21 -INDEX GOES BRRR: 113 X: 7.08594 -INDEX GOES BRRR: 258 X: 16.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 452 X: 28.251 -INDEX GOES BRRR: 34 X: 2.13379 -INDEX GOES BRRR: 349 X: 21.8516 -INDEX GOES BRRR: 983 X: -2.55371 -INDEX GOES BRRR: 190 X: 11.8838 -INDEX GOES BRRR: 1021 X: -0.15332 -INDEX GOES BRRR: 209 X: 13.082 -INDEX GOES BRRR: 991 X: -2.00586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 341 X: 21.3291 -INDEX GOES BRRR: 963 X: -3.7959 -INDEX GOES BRRR: 279 X: 17.457 -INDEX GOES BRRR: 169 X: 10.5947 -INDEX GOES BRRR: 343 X: 21.4619 -INDEX GOES BRRR: 142 X: 8.90234 -INDEX GOES BRRR: 76 X: 4.76562 -INDEX GOES BRRR: 933 X: -5.68555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6445 -INDEX GOES BRRR: 78 X: 4.90137 -INDEX GOES BRRR: 169 X: 10.5713 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 905 X: -7.43652 -INDEX GOES BRRR: 72 X: 4.52051 -INDEX GOES BRRR: 57 X: 3.60254 -INDEX GOES BRRR: 253 X: 15.8525 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 898 X: -7.85742 -INDEX GOES BRRR: 27 X: 1.69531 -INDEX GOES BRRR: 231 X: 14.459 -INDEX GOES BRRR: 283 X: 17.7168 -INDEX GOES BRRR: 400 X: 25.0342 -INDEX GOES BRRR: 30 X: 1.9209 -INDEX GOES BRRR: 950 X: -4.57617 -INDEX GOES BRRR: 37 X: 2.33984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.98242 -INDEX GOES BRRR: 178 X: 11.1592 -INDEX GOES BRRR: 242 X: 15.1289 -INDEX GOES BRRR: 981 X: -2.64355 -INDEX GOES BRRR: 390 X: 24.4014 -INDEX GOES BRRR: 104 X: 6.51562 -INDEX GOES BRRR: 296 X: 18.5576 -INDEX GOES BRRR: 271 X: 16.9775 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 853 X: -10.6865 -INDEX GOES BRRR: 73 X: 4.58496 -INDEX GOES BRRR: 89 X: 5.60059 -INDEX GOES BRRR: 1 X: 0.109375 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 59 X: 3.72461 -INDEX GOES BRRR: 85 X: 5.32715 -INDEX GOES BRRR: 134 X: 8.40918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 982 X: -2.58203 -INDEX GOES BRRR: 314 X: 19.6855 -INDEX GOES BRRR: 179 X: 11.2432 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 227 X: 14.2061 -INDEX GOES BRRR: 251 X: 15.7314 -INDEX GOES BRRR: 49 X: 3.11133 -INDEX GOES BRRR: 206 X: 12.8867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.1055 -INDEX GOES BRRR: 323 X: 20.2422 -INDEX GOES BRRR: 93 X: 5.8125 -INDEX GOES BRRR: 227 X: 14.1904 -INDEX GOES BRRR: 174 X: 10.9131 -INDEX GOES BRRR: 261 X: 16.335 -INDEX GOES BRRR: 379 X: 23.7363 -INDEX GOES BRRR: 121 X: 7.62109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 51 X: 3.21094 -INDEX GOES BRRR: 106 X: 6.64648 -INDEX GOES BRRR: 17 X: 1.06934 -INDEX GOES BRRR: 29 X: 1.85352 -INDEX GOES BRRR: 266 X: 16.6631 -INDEX GOES BRRR: 97 X: 6.10742 -INDEX GOES BRRR: 244 X: 15.3086 -INDEX GOES BRRR: 110 X: 6.90625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.75586 -INDEX GOES BRRR: 219 X: 13.7471 -INDEX GOES BRRR: 1004 X: -1.24219 -INDEX GOES BRRR: 181 X: 11.3438 -INDEX GOES BRRR: 952 X: -4.4707 -INDEX GOES BRRR: 82 X: 5.16992 -INDEX GOES BRRR: 897 X: -7.90723 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.98145 -INDEX GOES BRRR: 274 X: 17.1719 -INDEX GOES BRRR: 237 X: 14.8242 -INDEX GOES BRRR: 179 X: 11.2227 -INDEX GOES BRRR: 247 X: 15.4824 -INDEX GOES BRRR: 117 X: 7.31934 -INDEX GOES BRRR: 111 X: 6.97168 -INDEX GOES BRRR: 184 X: 11.5469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1826 -INDEX GOES BRRR: 1000 X: -1.44141 -INDEX GOES BRRR: 173 X: 10.8398 -INDEX GOES BRRR: 339 X: 21.209 -INDEX GOES BRRR: 439 X: 27.4541 -INDEX GOES BRRR: 186 X: 11.6689 -INDEX GOES BRRR: 819 X: -12.7607 -INDEX GOES BRRR: 984 X: -2.44043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 365 X: 22.8486 -INDEX GOES BRRR: 8 X: 0.516602 -INDEX GOES BRRR: 72 X: 4.54785 -INDEX GOES BRRR: 169 X: 10.582 -INDEX GOES BRRR: 1008 X: -0.938477 -INDEX GOES BRRR: 429 X: 26.874 -INDEX GOES BRRR: 97 X: 6.10156 -INDEX GOES BRRR: 175 X: 10.9414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.2217 -INDEX GOES BRRR: 11 X: 0.71875 -INDEX GOES BRRR: 117 X: 7.36914 -INDEX GOES BRRR: 1009 X: -0.886719 -INDEX GOES BRRR: 986 X: -2.32715 -INDEX GOES BRRR: 180 X: 11.292 -INDEX GOES BRRR: 187 X: 11.6973 -INDEX GOES BRRR: 158 X: 9.90137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 615 X: -25.5205 -INDEX GOES BRRR: 307 X: 19.2285 -INDEX GOES BRRR: 391 X: 24.4834 -INDEX GOES BRRR: 409 X: 25.5713 -INDEX GOES BRRR: 998 X: -1.59082 -INDEX GOES BRRR: 813 X: -13.1562 -INDEX GOES BRRR: 1000 X: -1.45703 -INDEX GOES BRRR: 277 X: 17.3193 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 285 X: 17.8369 -INDEX GOES BRRR: 34 X: 2.13477 -INDEX GOES BRRR: 102 X: 6.4082 -INDEX GOES BRRR: 121 X: 7.56543 -INDEX GOES BRRR: 1012 X: -0.700195 -INDEX GOES BRRR: 138 X: 8.63086 -INDEX GOES BRRR: 170 X: 10.6426 -INDEX GOES BRRR: 135 X: 8.47754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 953 X: -4.43066 -INDEX GOES BRRR: 354 X: 22.1445 -INDEX GOES BRRR: 1009 X: -0.897461 -INDEX GOES BRRR: 246 X: 15.4258 -INDEX GOES BRRR: 283 X: 17.7061 -INDEX GOES BRRR: 254 X: 15.9023 -INDEX GOES BRRR: 10 X: 0.654297 -INDEX GOES BRRR: 66 X: 4.14648 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.8945 -INDEX GOES BRRR: 365 X: 22.8691 -INDEX GOES BRRR: 20 X: 1.29199 -INDEX GOES BRRR: 147 X: 9.2002 -INDEX GOES BRRR: 87 X: 5.4873 -INDEX GOES BRRR: 1020 X: -0.219727 -INDEX GOES BRRR: 842 X: -11.332 -INDEX GOES BRRR: 124 X: 7.75195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.625 -INDEX GOES BRRR: 929 X: -5.87598 -INDEX GOES BRRR: 138 X: 8.64844 -INDEX GOES BRRR: 145 X: 9.06836 -INDEX GOES BRRR: 232 X: 14.5039 -INDEX GOES BRRR: 451 X: 28.1924 -INDEX GOES BRRR: 416 X: 26.0254 -INDEX GOES BRRR: 157 X: 9.83789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3135 -INDEX GOES BRRR: 151 X: 9.4668 -INDEX GOES BRRR: 270 X: 16.875 -INDEX GOES BRRR: 225 X: 14.0713 -INDEX GOES BRRR: 427 X: 26.7197 -INDEX GOES BRRR: 43 X: 2.71289 -INDEX GOES BRRR: 60 X: 3.75195 -INDEX GOES BRRR: 43 X: 2.71875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.388672 -INDEX GOES BRRR: 1009 X: -0.924805 -INDEX GOES BRRR: 130 X: 8.13379 -INDEX GOES BRRR: 76 X: 4.79102 -INDEX GOES BRRR: 291 X: 18.2393 -INDEX GOES BRRR: 280 X: 17.5244 -INDEX GOES BRRR: 244 X: 15.2666 -INDEX GOES BRRR: 913 X: -6.89355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 358 X: 22.417 -INDEX GOES BRRR: 288 X: 18.0605 -INDEX GOES BRRR: 154 X: 9.66016 -INDEX GOES BRRR: 18 X: 1.13379 -INDEX GOES BRRR: 39 X: 2.46484 -INDEX GOES BRRR: 192 X: 12.04 -INDEX GOES BRRR: 106 X: 6.625 -INDEX GOES BRRR: 890 X: -8.31543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 46 X: 2.90723 -INDEX GOES BRRR: 993 X: -1.93262 -INDEX GOES BRRR: 301 X: 18.8262 -INDEX GOES BRRR: 237 X: 14.8721 -INDEX GOES BRRR: 390 X: 24.3799 -INDEX GOES BRRR: 24 X: 1.51367 -INDEX GOES BRRR: 987 X: -2.27246 -INDEX GOES BRRR: 56 X: 3.50586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3125 -INDEX GOES BRRR: 54 X: 3.41699 -INDEX GOES BRRR: 362 X: 22.6748 -INDEX GOES BRRR: 109 X: 6.83008 -INDEX GOES BRRR: 86 X: 5.39453 -INDEX GOES BRRR: 244 X: 15.2627 -INDEX GOES BRRR: 369 X: 23.0654 -INDEX GOES BRRR: 146 X: 9.16797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.043 -INDEX GOES BRRR: 222 X: 13.9307 -INDEX GOES BRRR: 181 X: 11.334 -INDEX GOES BRRR: 980 X: -2.70117 -INDEX GOES BRRR: 164 X: 10.293 -INDEX GOES BRRR: 434 X: 27.1699 -INDEX GOES BRRR: 178 X: 11.1504 -INDEX GOES BRRR: 869 X: -9.64062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.23633 -INDEX GOES BRRR: 976 X: -2.94141 -INDEX GOES BRRR: 273 X: 17.0713 -INDEX GOES BRRR: 91 X: 5.7207 -INDEX GOES BRRR: 181 X: 11.3496 -INDEX GOES BRRR: 107 X: 6.74414 -INDEX GOES BRRR: 161 X: 10.124 -INDEX GOES BRRR: 270 X: 16.8848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 356 X: 22.3047 -INDEX GOES BRRR: 937 X: -5.38379 -INDEX GOES BRRR: 926 X: -6.09961 -INDEX GOES BRRR: 145 X: 9.07324 -INDEX GOES BRRR: 397 X: 24.8193 -INDEX GOES BRRR: 31 X: 1.99609 -INDEX GOES BRRR: 403 X: 25.2412 -INDEX GOES BRRR: 958 X: -4.07422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0322 -INDEX GOES BRRR: 146 X: 9.17188 -INDEX GOES BRRR: 998 X: -1.56445 -INDEX GOES BRRR: 341 X: 21.3574 -INDEX GOES BRRR: 134 X: 8.41602 -INDEX GOES BRRR: 302 X: 18.8945 -INDEX GOES BRRR: 268 X: 16.7666 -INDEX GOES BRRR: 155 X: 9.71777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13 -INDEX GOES BRRR: 356 X: 22.2705 -INDEX GOES BRRR: 458 X: 28.6553 -INDEX GOES BRRR: 57 X: 3.60547 -INDEX GOES BRRR: 395 X: 24.7002 -INDEX GOES BRRR: 124 X: 7.79297 -INDEX GOES BRRR: 26 X: 1.63477 -INDEX GOES BRRR: 307 X: 19.2402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.48242 -INDEX GOES BRRR: 353 X: 22.1162 -INDEX GOES BRRR: 199 X: 12.4912 -INDEX GOES BRRR: 154 X: 9.64941 -INDEX GOES BRRR: 1017 X: -0.395508 -INDEX GOES BRRR: 2 X: 0.173828 -INDEX GOES BRRR: 175 X: 10.9443 -INDEX GOES BRRR: 292 X: 18.3086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.42383 -INDEX GOES BRRR: 83 X: 5.20508 -INDEX GOES BRRR: 127 X: 7.99316 -INDEX GOES BRRR: 298 X: 18.6289 -INDEX GOES BRRR: 209 X: 13.0693 -INDEX GOES BRRR: 58 X: 3.68262 -INDEX GOES BRRR: 910 X: -7.09766 -INDEX GOES BRRR: 57 X: 3.61426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.20996 -INDEX GOES BRRR: 1010 X: -0.834961 -INDEX GOES BRRR: 377 X: 23.5723 -INDEX GOES BRRR: 131 X: 8.19727 -INDEX GOES BRRR: 416 X: 26.0215 -INDEX GOES BRRR: 28 X: 1.76074 -INDEX GOES BRRR: 934 X: -5.59473 -INDEX GOES BRRR: 90 X: 5.6416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 953 X: -4.42383 -INDEX GOES BRRR: 262 X: 16.415 -INDEX GOES BRRR: 80 X: 5.0459 -INDEX GOES BRRR: 191 X: 11.9844 -INDEX GOES BRRR: 182 X: 11.4268 -INDEX GOES BRRR: 951 X: -4.54688 -INDEX GOES BRRR: 134 X: 8.39844 -INDEX GOES BRRR: 194 X: 12.1836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 355 X: 22.1885 -INDEX GOES BRRR: 416 X: 26.0244 -INDEX GOES BRRR: 984 X: -2.46191 -INDEX GOES BRRR: 149 X: 9.34863 -INDEX GOES BRRR: 307 X: 19.2041 -INDEX GOES BRRR: 351 X: 21.9531 -INDEX GOES BRRR: 48 X: 3.06055 -INDEX GOES BRRR: 5 X: 0.353516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.165 -INDEX GOES BRRR: 184 X: 11.5508 -INDEX GOES BRRR: 325 X: 20.3262 -INDEX GOES BRRR: 113 X: 7.06348 -INDEX GOES BRRR: 289 X: 18.0703 -INDEX GOES BRRR: 302 X: 18.9199 -INDEX GOES BRRR: 190 X: 11.8994 -INDEX GOES BRRR: 174 X: 10.9316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.55762 -INDEX GOES BRRR: 226 X: 14.1553 -INDEX GOES BRRR: 171 X: 10.7295 -INDEX GOES BRRR: 1021 X: -0.141602 -INDEX GOES BRRR: 282 X: 17.6709 -INDEX GOES BRRR: 294 X: 18.4346 -INDEX GOES BRRR: 318 X: 19.9307 -INDEX GOES BRRR: 146 X: 9.18652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1008 X: -0.981445 -INDEX GOES BRRR: 479 X: 29.9375 -INDEX GOES BRRR: 27 X: 1.74023 -INDEX GOES BRRR: 97 X: 6.07031 -INDEX GOES BRRR: 98 X: 6.12988 -INDEX GOES BRRR: 899 X: -7.80566 -INDEX GOES BRRR: 197 X: 12.3145 -INDEX GOES BRRR: 980 X: -2.70703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 975 X: -3.00684 -INDEX GOES BRRR: 990 X: -2.09961 -INDEX GOES BRRR: 382 X: 23.9326 -INDEX GOES BRRR: 203 X: 12.7432 -INDEX GOES BRRR: 167 X: 10.4922 -INDEX GOES BRRR: 250 X: 15.6504 -INDEX GOES BRRR: 5 X: 0.331055 -INDEX GOES BRRR: 1023 X: -0.0458984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.244141 -INDEX GOES BRRR: 56 X: 3.50879 -INDEX GOES BRRR: 230 X: 14.3877 -INDEX GOES BRRR: 184 X: 11.5371 -INDEX GOES BRRR: 195 X: 12.2129 -INDEX GOES BRRR: 303 X: 18.998 -INDEX GOES BRRR: 934 X: -5.58984 -INDEX GOES BRRR: 408 X: 25.5527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.3105 -INDEX GOES BRRR: 844 X: -11.2305 -INDEX GOES BRRR: 271 X: 16.9854 -INDEX GOES BRRR: 409 X: 25.5811 -INDEX GOES BRRR: 123 X: 7.74805 -INDEX GOES BRRR: 355 X: 22.1953 -INDEX GOES BRRR: 41 X: 2.60254 -INDEX GOES BRRR: 934 X: -5.61133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6426 -INDEX GOES BRRR: 361 X: 22.5977 -INDEX GOES BRRR: 230 X: 14.415 -INDEX GOES BRRR: 165 X: 10.3408 -INDEX GOES BRRR: 998 X: -1.56543 -INDEX GOES BRRR: 150 X: 9.41406 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 185 X: 11.6104 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0029 -INDEX GOES BRRR: 824 X: -12.4424 -INDEX GOES BRRR: 269 X: 16.8154 -INDEX GOES BRRR: 159 X: 9.96191 -INDEX GOES BRRR: 1004 X: -1.23633 -INDEX GOES BRRR: 1 X: 0.0693359 -INDEX GOES BRRR: 207 X: 12.9453 -INDEX GOES BRRR: 350 X: 21.9102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.66309 -INDEX GOES BRRR: 401 X: 25.1152 -INDEX GOES BRRR: 408 X: 25.5342 -INDEX GOES BRRR: 141 X: 8.84277 -INDEX GOES BRRR: 900 X: -7.73926 -INDEX GOES BRRR: 412 X: 25.7529 -INDEX GOES BRRR: 132 X: 8.29785 -INDEX GOES BRRR: 989 X: -2.14941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.92285 -INDEX GOES BRRR: 163 X: 10.2441 -INDEX GOES BRRR: 431 X: 26.9971 -INDEX GOES BRRR: 257 X: 16.1172 -INDEX GOES BRRR: 160 X: 10.0479 -INDEX GOES BRRR: 47 X: 2.95898 -INDEX GOES BRRR: 158 X: 9.875 -INDEX GOES BRRR: 153 X: 9.58691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.94824 -INDEX GOES BRRR: 204 X: 12.7725 -INDEX GOES BRRR: 31 X: 1.97949 -INDEX GOES BRRR: 433 X: 27.1064 -INDEX GOES BRRR: 205 X: 12.8447 -INDEX GOES BRRR: 285 X: 17.8359 -INDEX GOES BRRR: 270 X: 16.8809 -INDEX GOES BRRR: 937 X: -5.39453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 184 X: 11.5049 -INDEX GOES BRRR: 37 X: 2.32324 -INDEX GOES BRRR: 197 X: 12.332 -INDEX GOES BRRR: 13 X: 0.813477 -INDEX GOES BRRR: 457 X: 28.5996 -INDEX GOES BRRR: 180 X: 11.2861 -INDEX GOES BRRR: 1004 X: -1.19629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.3252 -INDEX GOES BRRR: 276 X: 17.291 -INDEX GOES BRRR: 81 X: 5.08301 -INDEX GOES BRRR: 181 X: 11.3164 -INDEX GOES BRRR: 960 X: -3.99902 -INDEX GOES BRRR: 225 X: 14.0635 -INDEX GOES BRRR: 209 X: 13.0781 -INDEX GOES BRRR: 151 X: 9.44238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.51367 -INDEX GOES BRRR: 19 X: 1.24512 -INDEX GOES BRRR: 210 X: 13.167 -INDEX GOES BRRR: 215 X: 13.4629 -INDEX GOES BRRR: 110 X: 6.92969 -INDEX GOES BRRR: 218 X: 13.6299 -INDEX GOES BRRR: 237 X: 14.8477 -INDEX GOES BRRR: 70 X: 4.37988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 953 X: -4.38574 -INDEX GOES BRRR: 950 X: -4.56836 -INDEX GOES BRRR: 19 X: 1.2373 -INDEX GOES BRRR: 31 X: 1.98535 -INDEX GOES BRRR: 197 X: 12.3682 -INDEX GOES BRRR: 292 X: 18.2988 -INDEX GOES BRRR: 206 X: 12.8838 -INDEX GOES BRRR: 166 X: 10.3828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9824 -INDEX GOES BRRR: 115 X: 7.18945 -INDEX GOES BRRR: 206 X: 12.8916 -INDEX GOES BRRR: 409 X: 25.6074 -INDEX GOES BRRR: 962 X: -3.84668 -INDEX GOES BRRR: 474 X: 29.6328 -INDEX GOES BRRR: 987 X: -2.28223 -INDEX GOES BRRR: 992 X: -1.95996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 945 X: -4.93262 -INDEX GOES BRRR: 960 X: -3.96191 -INDEX GOES BRRR: 136 X: 8.50586 -INDEX GOES BRRR: 151 X: 9.44727 -INDEX GOES BRRR: 153 X: 9.61035 -INDEX GOES BRRR: 210 X: 13.1289 -INDEX GOES BRRR: 987 X: -2.31152 -INDEX GOES BRRR: 251 X: 15.7119 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 365 X: 22.8555 -INDEX GOES BRRR: 101 X: 6.37109 -INDEX GOES BRRR: 64 X: 4.00098 -INDEX GOES BRRR: 27 X: 1.70117 -INDEX GOES BRRR: 145 X: 9.11426 -INDEX GOES BRRR: 6 X: 0.402344 -INDEX GOES BRRR: 148 X: 9.2959 -INDEX GOES BRRR: 234 X: 14.666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.30273 -INDEX GOES BRRR: 278 X: 17.376 -INDEX GOES BRRR: 125 X: 7.84082 -INDEX GOES BRRR: 315 X: 19.6982 -INDEX GOES BRRR: 192 X: 12.0527 -INDEX GOES BRRR: 903 X: -7.50879 -INDEX GOES BRRR: 27 X: 1.71875 -INDEX GOES BRRR: 1016 X: -0.477539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0342 -INDEX GOES BRRR: 127 X: 7.94727 -INDEX GOES BRRR: 354 X: 22.127 -INDEX GOES BRRR: 992 X: -1.94043 -INDEX GOES BRRR: 264 X: 16.5 -INDEX GOES BRRR: 362 X: 22.6289 -INDEX GOES BRRR: 44 X: 2.79688 -INDEX GOES BRRR: 97 X: 6.07812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 7 X: 0.457031 -INDEX GOES BRRR: 317 X: 19.832 -INDEX GOES BRRR: 68 X: 4.27832 -INDEX GOES BRRR: 147 X: 9.24023 -INDEX GOES BRRR: 252 X: 15.8057 -INDEX GOES BRRR: 145 X: 9.12109 -INDEX GOES BRRR: 905 X: -7.42676 -INDEX GOES BRRR: 45 X: 2.83789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2207 -INDEX GOES BRRR: 962 X: -3.84277 -INDEX GOES BRRR: 1014 X: -0.611328 -INDEX GOES BRRR: 235 X: 14.7158 -INDEX GOES BRRR: 964 X: -3.70117 -INDEX GOES BRRR: 257 X: 16.1201 -INDEX GOES BRRR: 363 X: 22.7363 -INDEX GOES BRRR: 77 X: 4.85156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.291 -INDEX GOES BRRR: 287 X: 17.9873 -INDEX GOES BRRR: 48 X: 3.03516 -INDEX GOES BRRR: 191 X: 11.9561 -INDEX GOES BRRR: 155 X: 9.69531 -INDEX GOES BRRR: 158 X: 9.89941 -INDEX GOES BRRR: 71 X: 4.44336 -INDEX GOES BRRR: 110 X: 6.88867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8613 -INDEX GOES BRRR: 219 X: 13.7402 -INDEX GOES BRRR: 43 X: 2.7334 -INDEX GOES BRRR: 218 X: 13.6846 -INDEX GOES BRRR: 944 X: -4.99609 -INDEX GOES BRRR: 316 X: 19.8096 -INDEX GOES BRRR: 738 X: -17.8223 -INDEX GOES BRRR: 217 X: 13.5908 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9844 -INDEX GOES BRRR: 206 X: 12.915 -INDEX GOES BRRR: 99 X: 6.20898 -INDEX GOES BRRR: 54 X: 3.39844 -INDEX GOES BRRR: 1023 X: -0.0566406 -INDEX GOES BRRR: 201 X: 12.5859 -INDEX GOES BRRR: 31 X: 1.97949 -INDEX GOES BRRR: 921 X: -6.42773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 31 X: 1.97363 -INDEX GOES BRRR: 310 X: 19.376 -INDEX GOES BRRR: 51 X: 3.19238 -INDEX GOES BRRR: 962 X: -3.86914 -INDEX GOES BRRR: 4 X: 0.305664 -INDEX GOES BRRR: 96 X: 6.03125 -INDEX GOES BRRR: 353 X: 22.123 -INDEX GOES BRRR: 124 X: 7.79004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2559 -INDEX GOES BRRR: 285 X: 17.8516 -INDEX GOES BRRR: 159 X: 9.98828 -INDEX GOES BRRR: 243 X: 15.1895 -INDEX GOES BRRR: 46 X: 2.87695 -INDEX GOES BRRR: 935 X: -5.56055 -INDEX GOES BRRR: 118 X: 7.38672 -INDEX GOES BRRR: 300 X: 18.7861 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.40625 -INDEX GOES BRRR: 175 X: 10.9805 -INDEX GOES BRRR: 380 X: 23.7871 -INDEX GOES BRRR: 31 X: 1.94336 -INDEX GOES BRRR: 94 X: 5.89648 -INDEX GOES BRRR: 195 X: 12.2402 -INDEX GOES BRRR: 427 X: 26.7441 -INDEX GOES BRRR: 342 X: 21.4355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3379 -INDEX GOES BRRR: 258 X: 16.168 -INDEX GOES BRRR: 102 X: 6.37793 -INDEX GOES BRRR: 135 X: 8.47559 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 33 X: 2.11328 -INDEX GOES BRRR: 197 X: 12.3174 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 910 X: -7.07715 -INDEX GOES BRRR: 228 X: 14.2715 -INDEX GOES BRRR: 147 X: 9.22168 -INDEX GOES BRRR: 109 X: 6.84668 -INDEX GOES BRRR: 12 X: 0.753906 -INDEX GOES BRRR: 983 X: -2.52246 -INDEX GOES BRRR: 15 X: 0.969727 -INDEX GOES BRRR: 4 X: 0.263672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.44727 -INDEX GOES BRRR: 984 X: -2.49805 -INDEX GOES BRRR: 160 X: 10.0615 -INDEX GOES BRRR: 205 X: 12.8555 -INDEX GOES BRRR: 68 X: 4.26074 -INDEX GOES BRRR: 173 X: 10.8525 -INDEX GOES BRRR: 990 X: -2.12109 -INDEX GOES BRRR: 1011 X: -0.807617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.12988 -INDEX GOES BRRR: 434 X: 27.1846 -INDEX GOES BRRR: 421 X: 26.3428 -INDEX GOES BRRR: 112 X: 7.05176 -INDEX GOES BRRR: 943 X: -5.01855 -INDEX GOES BRRR: 135 X: 8.49023 -INDEX GOES BRRR: 111 X: 6.99512 -INDEX GOES BRRR: 1008 X: -0.999023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 356 X: 22.2627 -INDEX GOES BRRR: 107 X: 6.74707 -INDEX GOES BRRR: 22 X: 1.40625 -INDEX GOES BRRR: 381 X: 23.8457 -INDEX GOES BRRR: 177 X: 11.1074 -INDEX GOES BRRR: 1002 X: -1.34766 -INDEX GOES BRRR: 898 X: -7.85449 -INDEX GOES BRRR: 89 X: 5.59961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 429 X: 26.8613 -INDEX GOES BRRR: 157 X: 9.83203 -INDEX GOES BRRR: 362 X: 22.6504 -INDEX GOES BRRR: 982 X: -2.56934 -INDEX GOES BRRR: 323 X: 20.2402 -INDEX GOES BRRR: 976 X: -2.98535 -INDEX GOES BRRR: 361 X: 22.5762 -INDEX GOES BRRR: 44 X: 2.7793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7656 -INDEX GOES BRRR: 301 X: 18.8174 -INDEX GOES BRRR: 133 X: 8.34473 -INDEX GOES BRRR: 106 X: 6.64844 -INDEX GOES BRRR: 86 X: 5.40234 -INDEX GOES BRRR: 171 X: 10.7461 -INDEX GOES BRRR: 37 X: 2.31738 -INDEX GOES BRRR: 16 X: 1.00195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 442 X: 27.6641 -INDEX GOES BRRR: 343 X: 21.4512 -INDEX GOES BRRR: 445 X: 27.8584 -INDEX GOES BRRR: 1014 X: -0.564453 -INDEX GOES BRRR: 199 X: 12.4648 -INDEX GOES BRRR: 400 X: 25.0361 -INDEX GOES BRRR: 18 X: 1.13379 -INDEX GOES BRRR: 57 X: 3.56836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9961 -INDEX GOES BRRR: 897 X: -7.90137 -INDEX GOES BRRR: 47 X: 2.95508 -INDEX GOES BRRR: 9 X: 0.570312 -INDEX GOES BRRR: 223 X: 13.9707 -INDEX GOES BRRR: 236 X: 14.8057 -INDEX GOES BRRR: 142 X: 8.93164 -INDEX GOES BRRR: 280 X: 17.5039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4492 -INDEX GOES BRRR: 213 X: 13.3486 -INDEX GOES BRRR: 251 X: 15.7158 -INDEX GOES BRRR: 289 X: 18.1162 -INDEX GOES BRRR: 253 X: 15.8613 -INDEX GOES BRRR: 258 X: 16.1387 -INDEX GOES BRRR: 355 X: 22.2471 -INDEX GOES BRRR: 90 X: 5.65918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1012 X: -0.704102 -INDEX GOES BRRR: 1022 X: -0.0664062 -INDEX GOES BRRR: 36 X: 2.2666 -INDEX GOES BRRR: 993 X: -1.92969 -INDEX GOES BRRR: 435 X: 27.1982 -INDEX GOES BRRR: 56 X: 3.53711 -INDEX GOES BRRR: 735 X: -18.043 -INDEX GOES BRRR: 412 X: 25.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 342 X: 21.4111 -INDEX GOES BRRR: 234 X: 14.6748 -INDEX GOES BRRR: 792 X: -14.459 -INDEX GOES BRRR: 70 X: 4.39844 -INDEX GOES BRRR: 358 X: 22.3779 -INDEX GOES BRRR: 208 X: 13.0322 -INDEX GOES BRRR: 78 X: 4.90234 -INDEX GOES BRRR: 97 X: 6.08496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.1963 -INDEX GOES BRRR: 953 X: -4.38965 -INDEX GOES BRRR: 8 X: 0.557617 -INDEX GOES BRRR: 294 X: 18.4229 -INDEX GOES BRRR: 41 X: 2.60254 -INDEX GOES BRRR: 1021 X: -0.157227 -INDEX GOES BRRR: 316 X: 19.7988 -INDEX GOES BRRR: 33 X: 2.07715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1005 X: -1.14258 -INDEX GOES BRRR: 256 X: 16.0078 -INDEX GOES BRRR: 109 X: 6.85645 -INDEX GOES BRRR: 4 X: 0.301758 -INDEX GOES BRRR: 959 X: -4.00391 -INDEX GOES BRRR: 47 X: 2.97559 -INDEX GOES BRRR: 99 X: 6.22754 -INDEX GOES BRRR: 182 X: 11.4287 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9326 -INDEX GOES BRRR: 81 X: 5.07031 -INDEX GOES BRRR: 849 X: -10.9287 -INDEX GOES BRRR: 349 X: 21.8262 -INDEX GOES BRRR: 9 X: 0.577148 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 201 X: 12.5703 -INDEX GOES BRRR: 129 X: 8.09863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3711 -INDEX GOES BRRR: 1018 X: -0.334961 -INDEX GOES BRRR: 214 X: 13.4277 -INDEX GOES BRRR: 245 X: 15.3389 -INDEX GOES BRRR: 89 X: 5.62207 -INDEX GOES BRRR: 85 X: 5.35742 -INDEX GOES BRRR: 224 X: 14.0039 -INDEX GOES BRRR: 256 X: 16.0361 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.15625 -INDEX GOES BRRR: 12 X: 0.764648 -INDEX GOES BRRR: 322 X: 20.1777 -INDEX GOES BRRR: 152 X: 9.55078 -INDEX GOES BRRR: 355 X: 22.2188 -INDEX GOES BRRR: 73 X: 4.62012 -INDEX GOES BRRR: 173 X: 10.8496 -INDEX GOES BRRR: 171 X: 10.6885 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.4834 -INDEX GOES BRRR: 344 X: 21.5059 -INDEX GOES BRRR: 367 X: 22.9424 -INDEX GOES BRRR: 437 X: 27.3535 -INDEX GOES BRRR: 1013 X: -0.661133 -INDEX GOES BRRR: 938 X: -5.36133 -INDEX GOES BRRR: 202 X: 12.6689 -INDEX GOES BRRR: 731 X: -18.2598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.65332 -INDEX GOES BRRR: 115 X: 7.22559 -INDEX GOES BRRR: 991 X: -2.00977 -INDEX GOES BRRR: 130 X: 8.15918 -INDEX GOES BRRR: 313 X: 19.5664 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 175 X: 10.9375 -INDEX GOES BRRR: 106 X: 6.64258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 360 X: 22.5547 -INDEX GOES BRRR: 223 X: 13.9941 -INDEX GOES BRRR: 197 X: 12.3271 -INDEX GOES BRRR: 358 X: 22.4268 -INDEX GOES BRRR: 1010 X: -0.870117 -INDEX GOES BRRR: 91 X: 5.7373 -INDEX GOES BRRR: 491 X: 30.709 -INDEX GOES BRRR: 1006 X: -1.10645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 837 X: -11.6729 -INDEX GOES BRRR: 58 X: 3.64453 -INDEX GOES BRRR: 231 X: 14.4912 -INDEX GOES BRRR: 417 X: 26.0684 -INDEX GOES BRRR: 162 X: 10.1787 -INDEX GOES BRRR: 104 X: 6.53613 -INDEX GOES BRRR: 995 X: -1.80664 -INDEX GOES BRRR: 71 X: 4.44922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3379 -INDEX GOES BRRR: 148 X: 9.27441 -INDEX GOES BRRR: 149 X: 9.3623 -INDEX GOES BRRR: 930 X: -5.83203 -INDEX GOES BRRR: 1012 X: -0.694336 -INDEX GOES BRRR: 267 X: 16.7041 -INDEX GOES BRRR: 66 X: 4.12598 -INDEX GOES BRRR: 146 X: 9.13477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.42383 -INDEX GOES BRRR: 129 X: 8.12207 -INDEX GOES BRRR: 332 X: 20.7939 -INDEX GOES BRRR: 932 X: -5.7373 -INDEX GOES BRRR: 376 X: 23.541 -INDEX GOES BRRR: 235 X: 14.707 -INDEX GOES BRRR: 156 X: 9.7793 -INDEX GOES BRRR: 337 X: 21.0918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6738 -INDEX GOES BRRR: 1 X: 0.0742188 -INDEX GOES BRRR: 212 X: 13.2979 -INDEX GOES BRRR: 421 X: 26.3359 -INDEX GOES BRRR: 385 X: 24.1074 -INDEX GOES BRRR: 148 X: 9.30859 -INDEX GOES BRRR: 7 X: 0.470703 -INDEX GOES BRRR: 127 X: 7.96387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.245117 -INDEX GOES BRRR: 210 X: 13.1543 -INDEX GOES BRRR: 32 X: 2.01172 -INDEX GOES BRRR: 81 X: 5.08203 -INDEX GOES BRRR: 172 X: 10.7959 -INDEX GOES BRRR: 330 X: 20.667 -INDEX GOES BRRR: 336 X: 21.0205 -INDEX GOES BRRR: 1021 X: -0.181641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.05078 -INDEX GOES BRRR: 281 X: 17.5908 -INDEX GOES BRRR: 238 X: 14.9209 -INDEX GOES BRRR: 94 X: 5.88281 -INDEX GOES BRRR: 308 X: 19.2812 -INDEX GOES BRRR: 379 X: 23.7178 -INDEX GOES BRRR: 64 X: 4.03613 -INDEX GOES BRRR: 223 X: 13.958 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.12988 -INDEX GOES BRRR: 158 X: 9.90527 -INDEX GOES BRRR: 799 X: -14.0059 -INDEX GOES BRRR: 138 X: 8.66602 -INDEX GOES BRRR: 90 X: 5.66602 -INDEX GOES BRRR: 131 X: 8.23926 -INDEX GOES BRRR: 255 X: 15.9395 -INDEX GOES BRRR: 156 X: 9.77246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 326 X: 20.3887 -INDEX GOES BRRR: 46 X: 2.89551 -INDEX GOES BRRR: 3 X: 0.214844 -INDEX GOES BRRR: 308 X: 19.2715 -INDEX GOES BRRR: 140 X: 8.79492 -INDEX GOES BRRR: 449 X: 28.0908 -INDEX GOES BRRR: 235 X: 14.7041 -INDEX GOES BRRR: 239 X: 14.9463 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3574 -INDEX GOES BRRR: 16 X: 1.01172 -INDEX GOES BRRR: 245 X: 15.3398 -INDEX GOES BRRR: 174 X: 10.9346 -INDEX GOES BRRR: 25 X: 1.57227 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 15 X: 0.963867 -INDEX GOES BRRR: 187 X: 11.6904 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 277 X: 17.3223 -INDEX GOES BRRR: 242 X: 15.1758 -INDEX GOES BRRR: 49 X: 3.12207 -INDEX GOES BRRR: 6 X: 0.401367 -INDEX GOES BRRR: 189 X: 11.8604 -INDEX GOES BRRR: 154 X: 9.68359 -INDEX GOES BRRR: 24 X: 1.55762 -INDEX GOES BRRR: 218 X: 13.6572 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 429 X: 26.8613 -INDEX GOES BRRR: 213 X: 13.3428 -INDEX GOES BRRR: 114 X: 7.17871 -INDEX GOES BRRR: 329 X: 20.6133 -INDEX GOES BRRR: 304 X: 19.0488 -INDEX GOES BRRR: 446 X: 27.9033 -INDEX GOES BRRR: 277 X: 17.3633 -INDEX GOES BRRR: 993 X: -1.91602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.24414 -INDEX GOES BRRR: 206 X: 12.9365 -INDEX GOES BRRR: 214 X: 13.3906 -INDEX GOES BRRR: 212 X: 13.2588 -INDEX GOES BRRR: 72 X: 4.5166 -INDEX GOES BRRR: 333 X: 20.8633 -INDEX GOES BRRR: 185 X: 11.5723 -INDEX GOES BRRR: 207 X: 12.9492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9395 -INDEX GOES BRRR: 160 X: 10.0225 -INDEX GOES BRRR: 235 X: 14.7188 -INDEX GOES BRRR: 268 X: 16.7822 -INDEX GOES BRRR: 268 X: 16.7549 -INDEX GOES BRRR: 23 X: 1.49512 -INDEX GOES BRRR: 5 X: 0.358398 -INDEX GOES BRRR: 68 X: 4.27734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.48047 -INDEX GOES BRRR: 1007 X: -1.0625 -INDEX GOES BRRR: 906 X: -7.35547 -INDEX GOES BRRR: 37 X: 2.37207 -INDEX GOES BRRR: 153 X: 9.60938 -INDEX GOES BRRR: 1022 X: -0.124023 -INDEX GOES BRRR: 455 X: 28.4941 -INDEX GOES BRRR: 78 X: 4.90625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.22656 -INDEX GOES BRRR: 49 X: 3.0957 -INDEX GOES BRRR: 286 X: 17.8965 -INDEX GOES BRRR: 28 X: 1.79688 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 208 X: 13.043 -INDEX GOES BRRR: 203 X: 12.7285 -INDEX GOES BRRR: 267 X: 16.707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2666 -INDEX GOES BRRR: 127 X: 7.97363 -INDEX GOES BRRR: 46 X: 2.91602 -INDEX GOES BRRR: 180 X: 11.2676 -INDEX GOES BRRR: 110 X: 6.87891 -INDEX GOES BRRR: 116 X: 7.30664 -INDEX GOES BRRR: 153 X: 9.62012 -INDEX GOES BRRR: 177 X: 11.0625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9463 -INDEX GOES BRRR: 406 X: 25.3926 -INDEX GOES BRRR: 1004 X: -1.24902 -INDEX GOES BRRR: 143 X: 8.96484 -INDEX GOES BRRR: 49 X: 3.07617 -INDEX GOES BRRR: 21 X: 1.3623 -INDEX GOES BRRR: 116 X: 7.27344 -INDEX GOES BRRR: 22 X: 1.37695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.71387 -INDEX GOES BRRR: 119 X: 7.48047 -INDEX GOES BRRR: 193 X: 12.0723 -INDEX GOES BRRR: 83 X: 5.2373 -INDEX GOES BRRR: 162 X: 10.1621 -INDEX GOES BRRR: 150 X: 9.41504 -INDEX GOES BRRR: 876 X: -9.22656 -INDEX GOES BRRR: 40 X: 2.55176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1787 -INDEX GOES BRRR: 8 X: 0.560547 -INDEX GOES BRRR: 915 X: -6.75879 -INDEX GOES BRRR: 950 X: -4.57715 -INDEX GOES BRRR: 85 X: 5.35156 -INDEX GOES BRRR: 979 X: -2.80859 -INDEX GOES BRRR: 201 X: 12.5771 -INDEX GOES BRRR: 940 X: -5.20508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 440 X: 27.5488 -INDEX GOES BRRR: 217 X: 13.5732 -INDEX GOES BRRR: 74 X: 4.64746 -INDEX GOES BRRR: 33 X: 2.10742 -INDEX GOES BRRR: 172 X: 10.7539 -INDEX GOES BRRR: 969 X: -3.42188 -INDEX GOES BRRR: 665 X: -22.3799 -INDEX GOES BRRR: 122 X: 7.66309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 975 X: -3.03027 -INDEX GOES BRRR: 183 X: 11.4824 -INDEX GOES BRRR: 199 X: 12.4541 -INDEX GOES BRRR: 45 X: 2.86328 -INDEX GOES BRRR: 300 X: 18.7607 -INDEX GOES BRRR: 333 X: 20.8369 -INDEX GOES BRRR: 963 X: -3.8125 -INDEX GOES BRRR: 27 X: 1.6875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9932 -INDEX GOES BRRR: 145 X: 9.12109 -INDEX GOES BRRR: 302 X: 18.9102 -INDEX GOES BRRR: 208 X: 13.001 -INDEX GOES BRRR: 147 X: 9.22754 -INDEX GOES BRRR: 167 X: 10.4932 -INDEX GOES BRRR: 176 X: 11.0547 -INDEX GOES BRRR: 91 X: 5.73242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1572 -INDEX GOES BRRR: 157 X: 9.86426 -INDEX GOES BRRR: 102 X: 6.37598 -INDEX GOES BRRR: 166 X: 10.3848 -INDEX GOES BRRR: 339 X: 21.2295 -INDEX GOES BRRR: 110 X: 6.87891 -INDEX GOES BRRR: 99 X: 6.21973 -INDEX GOES BRRR: 162 X: 10.1787 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3643 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 357 X: 22.3545 -INDEX GOES BRRR: 168 X: 10.5137 -INDEX GOES BRRR: 215 X: 13.4482 -INDEX GOES BRRR: 164 X: 10.2588 -INDEX GOES BRRR: 93 X: 5.8457 -INDEX GOES BRRR: 269 X: 16.8408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.74512 -INDEX GOES BRRR: 275 X: 17.2305 -INDEX GOES BRRR: 139 X: 8.72363 -INDEX GOES BRRR: 349 X: 21.834 -INDEX GOES BRRR: 12 X: 0.805664 -INDEX GOES BRRR: 239 X: 14.9414 -INDEX GOES BRRR: 95 X: 5.95215 -INDEX GOES BRRR: 31 X: 1.97266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 913 X: -6.9082 -INDEX GOES BRRR: 85 X: 5.36816 -INDEX GOES BRRR: 314 X: 19.665 -INDEX GOES BRRR: 165 X: 10.3623 -INDEX GOES BRRR: 866 X: -9.86133 -INDEX GOES BRRR: 316 X: 19.7617 -INDEX GOES BRRR: 275 X: 17.2119 -INDEX GOES BRRR: 254 X: 15.9062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.3896 -INDEX GOES BRRR: 136 X: 8.50293 -INDEX GOES BRRR: 492 X: 30.7715 -INDEX GOES BRRR: 366 X: 22.8896 -INDEX GOES BRRR: 163 X: 10.2129 -INDEX GOES BRRR: 181 X: 11.3477 -INDEX GOES BRRR: 148 X: 9.26562 -INDEX GOES BRRR: 46 X: 2.89844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 58 X: 3.66211 -INDEX GOES BRRR: 338 X: 21.1729 -INDEX GOES BRRR: 73 X: 4.56445 -INDEX GOES BRRR: 469 X: 29.3145 -INDEX GOES BRRR: 888 X: -8.44727 -INDEX GOES BRRR: 91 X: 5.7041 -INDEX GOES BRRR: 286 X: 17.8828 -INDEX GOES BRRR: 107 X: 6.70117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 50 X: 3.13379 -INDEX GOES BRRR: 248 X: 15.5361 -INDEX GOES BRRR: 114 X: 7.12793 -INDEX GOES BRRR: 491 X: 30.71 -INDEX GOES BRRR: 296 X: 18.5449 -INDEX GOES BRRR: 163 X: 10.2012 -INDEX GOES BRRR: 268 X: 16.793 -INDEX GOES BRRR: 363 X: 22.749 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 405 X: 25.3232 -INDEX GOES BRRR: 97 X: 6.09766 -INDEX GOES BRRR: 153 X: 9.60352 -INDEX GOES BRRR: 70 X: 4.42969 -INDEX GOES BRRR: 455 X: 28.4775 -INDEX GOES BRRR: 140 X: 8.76465 -INDEX GOES BRRR: 250 X: 15.6719 -INDEX GOES BRRR: 387 X: 24.1992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1777 -INDEX GOES BRRR: 230 X: 14.4053 -INDEX GOES BRRR: 28 X: 1.76758 -INDEX GOES BRRR: 146 X: 9.16602 -INDEX GOES BRRR: 76 X: 4.79785 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1011 X: -0.8125 -INDEX GOES BRRR: 22 X: 1.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.64258 -INDEX GOES BRRR: 167 X: 10.4434 -INDEX GOES BRRR: 366 X: 22.9307 -INDEX GOES BRRR: 368 X: 23.0518 -INDEX GOES BRRR: 471 X: 29.4502 -INDEX GOES BRRR: 1009 X: -0.896484 -INDEX GOES BRRR: 189 X: 11.8652 -INDEX GOES BRRR: 213 X: 13.3574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.1377 -INDEX GOES BRRR: 102 X: 6.4248 -INDEX GOES BRRR: 95 X: 5.95996 -INDEX GOES BRRR: 244 X: 15.3037 -INDEX GOES BRRR: 276 X: 17.2607 -INDEX GOES BRRR: 295 X: 18.4707 -INDEX GOES BRRR: 386 X: 24.1514 -INDEX GOES BRRR: 477 X: 29.8447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 913 X: -6.88379 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 117 X: 7.37109 -INDEX GOES BRRR: 857 X: -10.3984 -INDEX GOES BRRR: 121 X: 7.60254 -INDEX GOES BRRR: 115 X: 7.23535 -INDEX GOES BRRR: 370 X: 23.1826 -INDEX GOES BRRR: 876 X: -9.20605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.07031 -INDEX GOES BRRR: 115 X: 7.20312 -INDEX GOES BRRR: 759 X: -16.5029 -INDEX GOES BRRR: 971 X: -3.30859 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 155 X: 9.70215 -INDEX GOES BRRR: 109 X: 6.8252 -INDEX GOES BRRR: 247 X: 15.459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7461 -INDEX GOES BRRR: 40 X: 2.55078 -INDEX GOES BRRR: 252 X: 15.7715 -INDEX GOES BRRR: 141 X: 8.81445 -INDEX GOES BRRR: 167 X: 10.4688 -INDEX GOES BRRR: 975 X: -3.03125 -INDEX GOES BRRR: 189 X: 11.834 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1562 -INDEX GOES BRRR: 972 X: -3.21973 -INDEX GOES BRRR: 352 X: 22.0215 -INDEX GOES BRRR: 321 X: 20.1143 -INDEX GOES BRRR: 296 X: 18.501 -INDEX GOES BRRR: 240 X: 15.0098 -INDEX GOES BRRR: 23 X: 1.46973 -INDEX GOES BRRR: 339 X: 21.2188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 253 X: 15.8145 -INDEX GOES BRRR: 932 X: -5.72949 -INDEX GOES BRRR: 791 X: -14.5527 -INDEX GOES BRRR: 475 X: 29.7305 -INDEX GOES BRRR: 76 X: 4.76855 -INDEX GOES BRRR: 228 X: 14.251 -INDEX GOES BRRR: 924 X: -6.21289 -INDEX GOES BRRR: 267 X: 16.709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.83008 -INDEX GOES BRRR: 202 X: 12.6602 -INDEX GOES BRRR: 117 X: 7.3291 -INDEX GOES BRRR: 61 X: 3.84668 -INDEX GOES BRRR: 419 X: 26.2197 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 72 X: 4.53125 -INDEX GOES BRRR: 211 X: 13.2061 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 980 X: -2.74316 -INDEX GOES BRRR: 60 X: 3.80273 -INDEX GOES BRRR: 220 X: 13.7568 -INDEX GOES BRRR: 5 X: 0.358398 -INDEX GOES BRRR: 15 X: 0.94043 -INDEX GOES BRRR: 180 X: 11.2832 -INDEX GOES BRRR: 252 X: 15.7734 -INDEX GOES BRRR: 144 X: 9.00488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 288 X: 18.0322 -INDEX GOES BRRR: 453 X: 28.3379 -INDEX GOES BRRR: 201 X: 12.5879 -INDEX GOES BRRR: 201 X: 12.6162 -INDEX GOES BRRR: 115 X: 7.20703 -INDEX GOES BRRR: 274 X: 17.166 -INDEX GOES BRRR: 198 X: 12.3867 -INDEX GOES BRRR: 722 X: -18.874 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.02734 -INDEX GOES BRRR: 288 X: 18.0039 -INDEX GOES BRRR: 401 X: 25.1035 -INDEX GOES BRRR: 403 X: 25.2197 -INDEX GOES BRRR: 5 X: 0.344727 -INDEX GOES BRRR: 179 X: 11.2061 -INDEX GOES BRRR: 1016 X: -0.445312 -INDEX GOES BRRR: 473 X: 29.5713 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 920 X: -6.48926 -INDEX GOES BRRR: 292 X: 18.2949 -INDEX GOES BRRR: 377 X: 23.5664 -INDEX GOES BRRR: 964 X: -3.72754 -INDEX GOES BRRR: 53 X: 3.3252 -INDEX GOES BRRR: 19 X: 1.22656 -INDEX GOES BRRR: 908 X: -7.20801 -INDEX GOES BRRR: 302 X: 18.8896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.29199 -INDEX GOES BRRR: 4 X: 0.291992 -INDEX GOES BRRR: 91 X: 5.70898 -INDEX GOES BRRR: 127 X: 7.94043 -INDEX GOES BRRR: 317 X: 19.8584 -INDEX GOES BRRR: 410 X: 25.6387 -INDEX GOES BRRR: 352 X: 22.0078 -INDEX GOES BRRR: 981 X: -2.65918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.49512 -INDEX GOES BRRR: 252 X: 15.8066 -INDEX GOES BRRR: 91 X: 5.70312 -INDEX GOES BRRR: 83 X: 5.23047 -INDEX GOES BRRR: 296 X: 18.541 -INDEX GOES BRRR: 187 X: 11.7031 -INDEX GOES BRRR: 188 X: 11.7646 -INDEX GOES BRRR: 964 X: -3.74707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 279 X: 17.4883 -INDEX GOES BRRR: 66 X: 4.15723 -INDEX GOES BRRR: 218 X: 13.6484 -INDEX GOES BRRR: 264 X: 16.5518 -INDEX GOES BRRR: 173 X: 10.8486 -INDEX GOES BRRR: 993 X: -1.89258 -INDEX GOES BRRR: 66 X: 4.1748 -INDEX GOES BRRR: 97 X: 6.08105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6709 -INDEX GOES BRRR: 19 X: 1.22363 -INDEX GOES BRRR: 974 X: -3.09766 -INDEX GOES BRRR: 70 X: 4.42773 -INDEX GOES BRRR: 248 X: 15.5498 -INDEX GOES BRRR: 47 X: 2.99414 -INDEX GOES BRRR: 1010 X: -0.821289 -INDEX GOES BRRR: 289 X: 18.0664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.3945 -INDEX GOES BRRR: 31 X: 1.97559 -INDEX GOES BRRR: 139 X: 8.71094 -INDEX GOES BRRR: 911 X: -7.02051 -INDEX GOES BRRR: 248 X: 15.5596 -INDEX GOES BRRR: 31 X: 1.95996 -INDEX GOES BRRR: 214 X: 13.3848 -INDEX GOES BRRR: 150 X: 9.41699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1406 -INDEX GOES BRRR: 52 X: 3.28125 -INDEX GOES BRRR: 471 X: 29.4512 -INDEX GOES BRRR: 35 X: 2.21582 -INDEX GOES BRRR: 250 X: 15.6807 -INDEX GOES BRRR: 296 X: 18.5029 -INDEX GOES BRRR: 79 X: 4.98145 -INDEX GOES BRRR: 337 X: 21.1084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.3066 -INDEX GOES BRRR: 275 X: 17.1992 -INDEX GOES BRRR: 331 X: 20.7188 -INDEX GOES BRRR: 162 X: 10.1309 -INDEX GOES BRRR: 453 X: 28.3125 -INDEX GOES BRRR: 209 X: 13.124 -INDEX GOES BRRR: 1020 X: -0.223633 -INDEX GOES BRRR: 205 X: 12.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.73438 -INDEX GOES BRRR: 390 X: 24.3838 -INDEX GOES BRRR: 32 X: 2.03418 -INDEX GOES BRRR: 291 X: 18.2256 -INDEX GOES BRRR: 203 X: 12.7188 -INDEX GOES BRRR: 460 X: 28.7998 -INDEX GOES BRRR: 314 X: 19.6406 -INDEX GOES BRRR: 290 X: 18.127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4795 -INDEX GOES BRRR: 187 X: 11.7041 -INDEX GOES BRRR: 54 X: 3.43262 -INDEX GOES BRRR: 102 X: 6.42188 -INDEX GOES BRRR: 212 X: 13.2598 -INDEX GOES BRRR: 274 X: 17.1748 -INDEX GOES BRRR: 142 X: 8.93066 -INDEX GOES BRRR: 126 X: 7.90039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 297 X: 18.5938 -INDEX GOES BRRR: 87 X: 5.47754 -INDEX GOES BRRR: 59 X: 3.7207 -INDEX GOES BRRR: 319 X: 19.9521 -INDEX GOES BRRR: 294 X: 18.3896 -INDEX GOES BRRR: 144 X: 9.06055 -INDEX GOES BRRR: 73 X: 4.61035 -INDEX GOES BRRR: 232 X: 14.5547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.83203 -INDEX GOES BRRR: 739 X: -17.752 -INDEX GOES BRRR: 112 X: 7.0498 -INDEX GOES BRRR: 423 X: 26.4482 -INDEX GOES BRRR: 267 X: 16.7246 -INDEX GOES BRRR: 128 X: 8.00879 -INDEX GOES BRRR: 49 X: 3.07617 -INDEX GOES BRRR: 290 X: 18.1396 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.29199 -INDEX GOES BRRR: 140 X: 8.7793 -INDEX GOES BRRR: 184 X: 11.5391 -INDEX GOES BRRR: 184 X: 11.5312 -INDEX GOES BRRR: 230 X: 14.4062 -INDEX GOES BRRR: 135 X: 8.45215 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 279 X: 17.4619 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 915 X: -6.78516 -INDEX GOES BRRR: 20 X: 1.25195 -INDEX GOES BRRR: 781 X: -15.1436 -INDEX GOES BRRR: 293 X: 18.3262 -INDEX GOES BRRR: 121 X: 7.59766 -INDEX GOES BRRR: 269 X: 16.8418 -INDEX GOES BRRR: 250 X: 15.6777 -INDEX GOES BRRR: 248 X: 15.501 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3545 -INDEX GOES BRRR: 125 X: 7.81348 -INDEX GOES BRRR: 120 X: 7.55469 -INDEX GOES BRRR: 172 X: 10.8047 -INDEX GOES BRRR: 302 X: 18.9277 -INDEX GOES BRRR: 193 X: 12.083 -INDEX GOES BRRR: 80 X: 5.02246 -INDEX GOES BRRR: 218 X: 13.6309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7227 -INDEX GOES BRRR: 282 X: 17.6855 -INDEX GOES BRRR: 285 X: 17.8682 -INDEX GOES BRRR: 416 X: 26.0361 -INDEX GOES BRRR: 91 X: 5.71387 -INDEX GOES BRRR: 250 X: 15.627 -INDEX GOES BRRR: 920 X: -6.4502 -INDEX GOES BRRR: 106 X: 6.64941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1436 -INDEX GOES BRRR: 600 X: -26.4727 -INDEX GOES BRRR: 9 X: 0.608398 -INDEX GOES BRRR: 234 X: 14.626 -INDEX GOES BRRR: 70 X: 4.3877 -INDEX GOES BRRR: 278 X: 17.3779 -INDEX GOES BRRR: 187 X: 11.6963 -INDEX GOES BRRR: 13 X: 0.827148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.61816 -INDEX GOES BRRR: 205 X: 12.8203 -INDEX GOES BRRR: 231 X: 14.4434 -INDEX GOES BRRR: 219 X: 13.7168 -INDEX GOES BRRR: 176 X: 11.0195 -INDEX GOES BRRR: 208 X: 13.0283 -INDEX GOES BRRR: 200 X: 12.5234 -INDEX GOES BRRR: 317 X: 19.8525 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 919 X: -6.52832 -INDEX GOES BRRR: 287 X: 17.9443 -INDEX GOES BRRR: 118 X: 7.38867 -INDEX GOES BRRR: 187 X: 11.7266 -INDEX GOES BRRR: 231 X: 14.4561 -INDEX GOES BRRR: 463 X: 28.9609 -INDEX GOES BRRR: 88 X: 5.50195 -INDEX GOES BRRR: 80 X: 5.02246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.22852 -INDEX GOES BRRR: 402 X: 25.1543 -INDEX GOES BRRR: 975 X: -3.01367 -INDEX GOES BRRR: 217 X: 13.624 -INDEX GOES BRRR: 283 X: 17.7217 -INDEX GOES BRRR: 107 X: 6.70605 -INDEX GOES BRRR: 929 X: -5.93262 -INDEX GOES BRRR: 953 X: -4.42578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.15918 -INDEX GOES BRRR: 1021 X: -0.162109 -INDEX GOES BRRR: 148 X: 9.29102 -INDEX GOES BRRR: 88 X: 5.55762 -INDEX GOES BRRR: 137 X: 8.58008 -INDEX GOES BRRR: 77 X: 4.86426 -INDEX GOES BRRR: 890 X: -8.36328 -INDEX GOES BRRR: 188 X: 11.7949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5156 -INDEX GOES BRRR: 191 X: 11.9893 -INDEX GOES BRRR: 458 X: 28.6436 -INDEX GOES BRRR: 174 X: 10.9004 -INDEX GOES BRRR: 217 X: 13.6016 -INDEX GOES BRRR: 46 X: 2.92676 -INDEX GOES BRRR: 72 X: 4.50098 -INDEX GOES BRRR: 147 X: 9.23047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8301 -INDEX GOES BRRR: 310 X: 19.4102 -INDEX GOES BRRR: 293 X: 18.3359 -INDEX GOES BRRR: 214 X: 13.4131 -INDEX GOES BRRR: 244 X: 15.2656 -INDEX GOES BRRR: 987 X: -2.29492 -INDEX GOES BRRR: 136 X: 8.5127 -INDEX GOES BRRR: 98 X: 6.17871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6816 -INDEX GOES BRRR: 400 X: 25.04 -INDEX GOES BRRR: 404 X: 25.2871 -INDEX GOES BRRR: 66 X: 4.16211 -INDEX GOES BRRR: 10 X: 0.665039 -INDEX GOES BRRR: 28 X: 1.78809 -INDEX GOES BRRR: 79 X: 4.99805 -INDEX GOES BRRR: 997 X: -1.66211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 263 X: 16.4971 -INDEX GOES BRRR: 139 X: 8.69238 -INDEX GOES BRRR: 141 X: 8.84473 -INDEX GOES BRRR: 91 X: 5.71094 -INDEX GOES BRRR: 30 X: 1.93164 -INDEX GOES BRRR: 1021 X: -0.176758 -INDEX GOES BRRR: 23 X: 1.46777 -INDEX GOES BRRR: 123 X: 7.7002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2324 -INDEX GOES BRRR: 78 X: 4.91602 -INDEX GOES BRRR: 138 X: 8.65234 -INDEX GOES BRRR: 246 X: 15.4033 -INDEX GOES BRRR: 137 X: 8.58789 -INDEX GOES BRRR: 122 X: 7.66992 -INDEX GOES BRRR: 245 X: 15.3506 -INDEX GOES BRRR: 18 X: 1.15332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 908 X: -7.21191 -INDEX GOES BRRR: 139 X: 8.7334 -INDEX GOES BRRR: 491 X: 30.7412 -INDEX GOES BRRR: 266 X: 16.6689 -INDEX GOES BRRR: 20 X: 1.28418 -INDEX GOES BRRR: 70 X: 4.38965 -INDEX GOES BRRR: 234 X: 14.6455 -INDEX GOES BRRR: 164 X: 10.2549 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7422 -INDEX GOES BRRR: 83 X: 5.19727 -INDEX GOES BRRR: 94 X: 5.90625 -INDEX GOES BRRR: 296 X: 18.501 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 9 X: 0.572266 -INDEX GOES BRRR: 91 X: 5.68848 -INDEX GOES BRRR: 353 X: 22.0713 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 408 X: 25.5146 -INDEX GOES BRRR: 908 X: -7.19629 -INDEX GOES BRRR: 244 X: 15.2822 -INDEX GOES BRRR: 472 X: 29.5137 -INDEX GOES BRRR: 98 X: 6.17578 -INDEX GOES BRRR: 985 X: -2.42676 -INDEX GOES BRRR: 30 X: 1.88574 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 282 X: 17.6621 -INDEX GOES BRRR: 126 X: 7.9082 -INDEX GOES BRRR: 110 X: 6.93652 -INDEX GOES BRRR: 992 X: -1.99609 -INDEX GOES BRRR: 56 X: 3.52148 -INDEX GOES BRRR: 22 X: 1.42383 -INDEX GOES BRRR: 158 X: 9.91992 -INDEX GOES BRRR: 77 X: 4.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 985 X: -2.42188 -INDEX GOES BRRR: 379 X: 23.7344 -INDEX GOES BRRR: 134 X: 8.38965 -INDEX GOES BRRR: 653 X: -23.1523 -INDEX GOES BRRR: 426 X: 26.6289 -INDEX GOES BRRR: 262 X: 16.4336 -INDEX GOES BRRR: 170 X: 10.6572 -INDEX GOES BRRR: 244 X: 15.2578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.61035 -INDEX GOES BRRR: 210 X: 13.1562 -INDEX GOES BRRR: 307 X: 19.1992 -INDEX GOES BRRR: 976 X: -2.95215 -INDEX GOES BRRR: 138 X: 8.65625 -INDEX GOES BRRR: 299 X: 18.749 -INDEX GOES BRRR: 242 X: 15.1553 -INDEX GOES BRRR: 872 X: -9.44727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.8408 -INDEX GOES BRRR: 182 X: 11.3896 -INDEX GOES BRRR: 329 X: 20.584 -INDEX GOES BRRR: 399 X: 24.9531 -INDEX GOES BRRR: 158 X: 9.91602 -INDEX GOES BRRR: 36 X: 2.30469 -INDEX GOES BRRR: 336 X: 21.0293 -INDEX GOES BRRR: 76 X: 4.7793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.16895 -INDEX GOES BRRR: 422 X: 26.4072 -INDEX GOES BRRR: 137 X: 8.6084 -INDEX GOES BRRR: 325 X: 20.3682 -INDEX GOES BRRR: 255 X: 15.9971 -INDEX GOES BRRR: 908 X: -7.24023 -INDEX GOES BRRR: 87 X: 5.44922 -INDEX GOES BRRR: 103 X: 6.44727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 697 X: -20.3926 -INDEX GOES BRRR: 416 X: 26.0361 -INDEX GOES BRRR: 749 X: -17.1611 -INDEX GOES BRRR: 29 X: 1.81445 -INDEX GOES BRRR: 254 X: 15.8896 -INDEX GOES BRRR: 29 X: 1.86523 -INDEX GOES BRRR: 909 X: -7.15234 -INDEX GOES BRRR: 98 X: 6.13965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.78418 -INDEX GOES BRRR: 319 X: 19.9414 -INDEX GOES BRRR: 131 X: 8.21484 -INDEX GOES BRRR: 70 X: 4.41406 -INDEX GOES BRRR: 340 X: 21.2891 -INDEX GOES BRRR: 982 X: -2.58594 -INDEX GOES BRRR: 239 X: 14.9727 -INDEX GOES BRRR: 954 X: -4.37012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.185547 -INDEX GOES BRRR: 75 X: 4.69043 -INDEX GOES BRRR: 455 X: 28.498 -INDEX GOES BRRR: 416 X: 26.0439 -INDEX GOES BRRR: 884 X: -8.75 -INDEX GOES BRRR: 284 X: 17.7744 -INDEX GOES BRRR: 140 X: 8.79102 -INDEX GOES BRRR: 249 X: 15.624 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1289 -INDEX GOES BRRR: 27 X: 1.69141 -INDEX GOES BRRR: 20 X: 1.28418 -INDEX GOES BRRR: 1022 X: -0.121094 -INDEX GOES BRRR: 914 X: -6.875 -INDEX GOES BRRR: 879 X: -9.05176 -INDEX GOES BRRR: 147 X: 9.21875 -INDEX GOES BRRR: 462 X: 28.8828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.8428 -INDEX GOES BRRR: 78 X: 4.91895 -INDEX GOES BRRR: 169 X: 10.6211 -INDEX GOES BRRR: 12 X: 0.75 -INDEX GOES BRRR: 192 X: 12.0225 -INDEX GOES BRRR: 194 X: 12.1445 -INDEX GOES BRRR: 54 X: 3.40918 -INDEX GOES BRRR: 7 X: 0.457031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.3252 -INDEX GOES BRRR: 168 X: 10.5283 -INDEX GOES BRRR: 205 X: 12.8516 -INDEX GOES BRRR: 172 X: 10.7656 -INDEX GOES BRRR: 991 X: -2.00586 -INDEX GOES BRRR: 246 X: 15.4365 -INDEX GOES BRRR: 132 X: 8.29102 -INDEX GOES BRRR: 998 X: -1.58496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0518 -INDEX GOES BRRR: 379 X: 23.6943 -INDEX GOES BRRR: 83 X: 5.22266 -INDEX GOES BRRR: 206 X: 12.9092 -INDEX GOES BRRR: 876 X: -9.19141 -INDEX GOES BRRR: 83 X: 5.22559 -INDEX GOES BRRR: 329 X: 20.5635 -INDEX GOES BRRR: 16 X: 1.03027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0801 -INDEX GOES BRRR: 836 X: -11.6973 -INDEX GOES BRRR: 369 X: 23.1191 -INDEX GOES BRRR: 275 X: 17.2051 -INDEX GOES BRRR: 19 X: 1.2041 -INDEX GOES BRRR: 86 X: 5.39941 -INDEX GOES BRRR: 124 X: 7.75684 -INDEX GOES BRRR: 43 X: 2.72559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6455 -INDEX GOES BRRR: 60 X: 3.76953 -INDEX GOES BRRR: 240 X: 15.0361 -INDEX GOES BRRR: 137 X: 8.58496 -INDEX GOES BRRR: 101 X: 6.35742 -INDEX GOES BRRR: 171 X: 10.7158 -INDEX GOES BRRR: 135 X: 8.48633 -INDEX GOES BRRR: 971 X: -3.25977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.44531 -INDEX GOES BRRR: 258 X: 16.1309 -INDEX GOES BRRR: 151 X: 9.47559 -INDEX GOES BRRR: 142 X: 8.92578 -INDEX GOES BRRR: 216 X: 13.5586 -INDEX GOES BRRR: 43 X: 2.74805 -INDEX GOES BRRR: 341 X: 21.3184 -INDEX GOES BRRR: 305 X: 19.0859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.9209 -INDEX GOES BRRR: 81 X: 5.10352 -INDEX GOES BRRR: 185 X: 11.585 -INDEX GOES BRRR: 137 X: 8.58691 -INDEX GOES BRRR: 25 X: 1.61621 -INDEX GOES BRRR: 149 X: 9.34668 -INDEX GOES BRRR: 274 X: 17.1279 -INDEX GOES BRRR: 406 X: 25.3955 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 265 X: 16.5713 -INDEX GOES BRRR: 135 X: 8.48438 -INDEX GOES BRRR: 109 X: 6.81445 -INDEX GOES BRRR: 196 X: 12.2988 -INDEX GOES BRRR: 203 X: 12.7012 -INDEX GOES BRRR: 953 X: -4.43164 -INDEX GOES BRRR: 187 X: 11.6914 -INDEX GOES BRRR: 451 X: 28.1953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.49707 -INDEX GOES BRRR: 255 X: 15.9521 -INDEX GOES BRRR: 119 X: 7.48145 -INDEX GOES BRRR: 1011 X: -0.768555 -INDEX GOES BRRR: 280 X: 17.5107 -INDEX GOES BRRR: 49 X: 3.10352 -INDEX GOES BRRR: 251 X: 15.7041 -INDEX GOES BRRR: 227 X: 14.2217 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 995 X: -1.80762 -INDEX GOES BRRR: 10 X: 0.634766 -INDEX GOES BRRR: 263 X: 16.4834 -INDEX GOES BRRR: 420 X: 26.2734 -INDEX GOES BRRR: 490 X: 30.6338 -INDEX GOES BRRR: 227 X: 14.1992 -INDEX GOES BRRR: 131 X: 8.22168 -INDEX GOES BRRR: 248 X: 15.5146 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 398 X: 24.918 -INDEX GOES BRRR: 947 X: -4.77441 -INDEX GOES BRRR: 130 X: 8.12695 -INDEX GOES BRRR: 355 X: 22.2041 -INDEX GOES BRRR: 255 X: 15.9512 -INDEX GOES BRRR: 150 X: 9.43359 -INDEX GOES BRRR: 1011 X: -0.811523 -INDEX GOES BRRR: 983 X: -2.53027 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 925 X: -6.16895 -INDEX GOES BRRR: 201 X: 12.5732 -INDEX GOES BRRR: 897 X: -7.92383 -INDEX GOES BRRR: 166 X: 10.4268 -INDEX GOES BRRR: 914 X: -6.84082 -INDEX GOES BRRR: 131 X: 8.18848 -INDEX GOES BRRR: 486 X: 30.417 -INDEX GOES BRRR: 148 X: 9.30664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.5381 -INDEX GOES BRRR: 180 X: 11.2891 -INDEX GOES BRRR: 212 X: 13.3115 -INDEX GOES BRRR: 195 X: 12.208 -INDEX GOES BRRR: 195 X: 12.2207 -INDEX GOES BRRR: 37 X: 2.35254 -INDEX GOES BRRR: 219 X: 13.7119 -INDEX GOES BRRR: 144 X: 9.04004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 786 X: -14.8662 -INDEX GOES BRRR: 433 X: 27.0752 -INDEX GOES BRRR: 830 X: -12.0811 -INDEX GOES BRRR: 1010 X: -0.836914 -INDEX GOES BRRR: 223 X: 13.9375 -INDEX GOES BRRR: 972 X: -3.19043 -INDEX GOES BRRR: 229 X: 14.3691 -INDEX GOES BRRR: 82 X: 5.1709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.194336 -INDEX GOES BRRR: 97 X: 6.07422 -INDEX GOES BRRR: 18 X: 1.1377 -INDEX GOES BRRR: 135 X: 8.47949 -INDEX GOES BRRR: 225 X: 14.123 -INDEX GOES BRRR: 194 X: 12.1592 -INDEX GOES BRRR: 378 X: 23.668 -INDEX GOES BRRR: 1011 X: -0.787109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 342 X: 21.4258 -INDEX GOES BRRR: 747 X: -17.2803 -INDEX GOES BRRR: 122 X: 7.67285 -INDEX GOES BRRR: 881 X: -8.92969 -INDEX GOES BRRR: 929 X: -5.9082 -INDEX GOES BRRR: 400 X: 25 -INDEX GOES BRRR: 252 X: 15.79 -INDEX GOES BRRR: 933 X: -5.68066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.2441 -INDEX GOES BRRR: 316 X: 19.7686 -INDEX GOES BRRR: 379 X: 23.7451 -INDEX GOES BRRR: 998 X: -1.57031 -INDEX GOES BRRR: 195 X: 12.2217 -INDEX GOES BRRR: 1001 X: -1.41406 -INDEX GOES BRRR: 415 X: 25.999 -INDEX GOES BRRR: 101 X: 6.35156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.39746 -INDEX GOES BRRR: 238 X: 14.9131 -INDEX GOES BRRR: 959 X: -4.00879 -INDEX GOES BRRR: 68 X: 4.26367 -INDEX GOES BRRR: 252 X: 15.792 -INDEX GOES BRRR: 20 X: 1.30078 -INDEX GOES BRRR: 282 X: 17.6357 -INDEX GOES BRRR: 315 X: 19.7324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 466 X: 29.1572 -INDEX GOES BRRR: 18 X: 1.13477 -INDEX GOES BRRR: 60 X: 3.77148 -INDEX GOES BRRR: 222 X: 13.9297 -INDEX GOES BRRR: 371 X: 23.2324 -INDEX GOES BRRR: 8 X: 0.537109 -INDEX GOES BRRR: 141 X: 8.83691 -INDEX GOES BRRR: 887 X: -8.53711 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0098 -INDEX GOES BRRR: 222 X: 13.8828 -INDEX GOES BRRR: 368 X: 23.0039 -INDEX GOES BRRR: 66 X: 4.15234 -INDEX GOES BRRR: 237 X: 14.8682 -INDEX GOES BRRR: 1007 X: -1.01758 -INDEX GOES BRRR: 285 X: 17.8291 -INDEX GOES BRRR: 6 X: 0.408203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.6396 -INDEX GOES BRRR: 133 X: 8.32422 -INDEX GOES BRRR: 122 X: 7.66113 -INDEX GOES BRRR: 361 X: 22.5811 -INDEX GOES BRRR: 106 X: 6.66309 -INDEX GOES BRRR: 11 X: 0.732422 -INDEX GOES BRRR: 855 X: -10.5039 -INDEX GOES BRRR: 144 X: 9.00098 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 970 X: -3.3457 -INDEX GOES BRRR: 938 X: -5.3457 -INDEX GOES BRRR: 443 X: 27.7422 -INDEX GOES BRRR: 16 X: 1.05176 -INDEX GOES BRRR: 211 X: 13.1973 -INDEX GOES BRRR: 22 X: 1.41406 -INDEX GOES BRRR: 381 X: 23.8496 -INDEX GOES BRRR: 203 X: 12.7471 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 330 X: 20.6514 -INDEX GOES BRRR: 808 X: -13.4678 -INDEX GOES BRRR: 266 X: 16.6338 -INDEX GOES BRRR: 118 X: 7.41895 -INDEX GOES BRRR: 184 X: 11.5557 -INDEX GOES BRRR: 307 X: 19.2012 -INDEX GOES BRRR: 124 X: 7.75 -INDEX GOES BRRR: 327 X: 20.4912 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 970 X: -3.33789 -INDEX GOES BRRR: 40 X: 2.53125 -INDEX GOES BRRR: 876 X: -9.24121 -INDEX GOES BRRR: 331 X: 20.6953 -INDEX GOES BRRR: 21 X: 1.35059 -INDEX GOES BRRR: 953 X: -4.42676 -INDEX GOES BRRR: 191 X: 11.9951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.2832 -INDEX GOES BRRR: 18 X: 1.18359 -INDEX GOES BRRR: 924 X: -6.22168 -INDEX GOES BRRR: 903 X: -7.55176 -INDEX GOES BRRR: 223 X: 13.9951 -INDEX GOES BRRR: 163 X: 10.2344 -INDEX GOES BRRR: 253 X: 15.8672 -INDEX GOES BRRR: 229 X: 14.3623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 403 X: 25.1973 -INDEX GOES BRRR: 192 X: 12.0146 -INDEX GOES BRRR: 911 X: -7.05371 -INDEX GOES BRRR: 192 X: 12.0293 -INDEX GOES BRRR: 46 X: 2.93164 -INDEX GOES BRRR: 73 X: 4.60547 -INDEX GOES BRRR: 285 X: 17.8164 -INDEX GOES BRRR: 272 X: 17.0244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 992 X: -1.97949 -INDEX GOES BRRR: 29 X: 1.86133 -INDEX GOES BRRR: 165 X: 10.335 -INDEX GOES BRRR: 292 X: 18.2764 -INDEX GOES BRRR: 110 X: 6.8916 -INDEX GOES BRRR: 141 X: 8.85254 -INDEX GOES BRRR: 197 X: 12.3486 -INDEX GOES BRRR: 1015 X: -0.500977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.85352 -INDEX GOES BRRR: 312 X: 19.5449 -INDEX GOES BRRR: 109 X: 6.81934 -INDEX GOES BRRR: 226 X: 14.1426 -INDEX GOES BRRR: 165 X: 10.3672 -INDEX GOES BRRR: 244 X: 15.2559 -INDEX GOES BRRR: 73 X: 4.59766 -INDEX GOES BRRR: 81 X: 5.0752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.26465 -INDEX GOES BRRR: 292 X: 18.2578 -INDEX GOES BRRR: 460 X: 28.8027 -INDEX GOES BRRR: 100 X: 6.25195 -INDEX GOES BRRR: 32 X: 2.01855 -INDEX GOES BRRR: 475 X: 29.7295 -INDEX GOES BRRR: 331 X: 20.7061 -INDEX GOES BRRR: 176 X: 11.0234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6738 -INDEX GOES BRRR: 167 X: 10.4404 -INDEX GOES BRRR: 133 X: 8.36523 -INDEX GOES BRRR: 367 X: 22.9961 -INDEX GOES BRRR: 46 X: 2.90527 -INDEX GOES BRRR: 217 X: 13.5684 -INDEX GOES BRRR: 224 X: 14.0098 -INDEX GOES BRRR: 1 X: 0.0878906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8135 -INDEX GOES BRRR: 148 X: 9.29492 -INDEX GOES BRRR: 325 X: 20.3223 -INDEX GOES BRRR: 259 X: 16.2227 -INDEX GOES BRRR: 374 X: 23.3789 -INDEX GOES BRRR: 187 X: 11.6875 -INDEX GOES BRRR: 251 X: 15.7422 -INDEX GOES BRRR: 391 X: 24.4492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 954 X: -4.3623 -INDEX GOES BRRR: 175 X: 10.9531 -INDEX GOES BRRR: 228 X: 14.2656 -INDEX GOES BRRR: 23 X: 1.47559 -INDEX GOES BRRR: 211 X: 13.2363 -INDEX GOES BRRR: 322 X: 20.1699 -INDEX GOES BRRR: 849 X: -10.9199 -INDEX GOES BRRR: 7 X: 0.454102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0342 -INDEX GOES BRRR: 138 X: 8.64551 -INDEX GOES BRRR: 91 X: 5.74121 -INDEX GOES BRRR: 1007 X: -1.05176 -INDEX GOES BRRR: 108 X: 6.78906 -INDEX GOES BRRR: 113 X: 7.1084 -INDEX GOES BRRR: 438 X: 27.4326 -INDEX GOES BRRR: 113 X: 7.08691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7451 -INDEX GOES BRRR: 411 X: 25.7324 -INDEX GOES BRRR: 94 X: 5.89648 -INDEX GOES BRRR: 271 X: 16.9375 -INDEX GOES BRRR: 196 X: 12.2842 -INDEX GOES BRRR: 908 X: -7.22363 -INDEX GOES BRRR: 170 X: 10.6504 -INDEX GOES BRRR: 350 X: 21.8867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.96094 -INDEX GOES BRRR: 61 X: 3.86133 -INDEX GOES BRRR: 16 X: 1.03418 -INDEX GOES BRRR: 55 X: 3.48633 -INDEX GOES BRRR: 270 X: 16.8975 -INDEX GOES BRRR: 72 X: 4.53418 -INDEX GOES BRRR: 103 X: 6.45605 -INDEX GOES BRRR: 344 X: 21.5586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 411 X: 25.7236 -INDEX GOES BRRR: 243 X: 15.1953 -INDEX GOES BRRR: 122 X: 7.6377 -INDEX GOES BRRR: 247 X: 15.4727 -INDEX GOES BRRR: 490 X: 30.6855 -INDEX GOES BRRR: 276 X: 17.2783 -INDEX GOES BRRR: 243 X: 15.2129 -INDEX GOES BRRR: 266 X: 16.6455 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.1484 -INDEX GOES BRRR: 33 X: 2.0957 -INDEX GOES BRRR: 981 X: -2.63184 -INDEX GOES BRRR: 439 X: 27.4453 -INDEX GOES BRRR: 207 X: 12.9395 -INDEX GOES BRRR: 171 X: 10.7031 -INDEX GOES BRRR: 340 X: 21.2676 -INDEX GOES BRRR: 438 X: 27.3975 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 438 X: 27.415 -INDEX GOES BRRR: 180 X: 11.2627 -INDEX GOES BRRR: 271 X: 16.9395 -INDEX GOES BRRR: 195 X: 12.1943 -INDEX GOES BRRR: 265 X: 16.5811 -INDEX GOES BRRR: 28 X: 1.80469 -INDEX GOES BRRR: 316 X: 19.8037 -INDEX GOES BRRR: 288 X: 18.0566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1357 -INDEX GOES BRRR: 413 X: 25.8604 -INDEX GOES BRRR: 164 X: 10.2803 -INDEX GOES BRRR: 323 X: 20.2422 -INDEX GOES BRRR: 338 X: 21.1367 -INDEX GOES BRRR: 62 X: 3.88672 -INDEX GOES BRRR: 296 X: 18.542 -INDEX GOES BRRR: 108 X: 6.78906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9521 -INDEX GOES BRRR: 134 X: 8.38184 -INDEX GOES BRRR: 320 X: 20.042 -INDEX GOES BRRR: 48 X: 3.05273 -INDEX GOES BRRR: 195 X: 12.1943 -INDEX GOES BRRR: 293 X: 18.3262 -INDEX GOES BRRR: 54 X: 3.43555 -INDEX GOES BRRR: 961 X: -3.89746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.18652 -INDEX GOES BRRR: 874 X: -9.37207 -INDEX GOES BRRR: 67 X: 4.20605 -INDEX GOES BRRR: 477 X: 29.8506 -INDEX GOES BRRR: 73 X: 4.57715 -INDEX GOES BRRR: 351 X: 21.9922 -INDEX GOES BRRR: 41 X: 2.57227 -INDEX GOES BRRR: 469 X: 29.3291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.71582 -INDEX GOES BRRR: 50 X: 3.15918 -INDEX GOES BRRR: 7 X: 0.466797 -INDEX GOES BRRR: 180 X: 11.2686 -INDEX GOES BRRR: 166 X: 10.3877 -INDEX GOES BRRR: 306 X: 19.1367 -INDEX GOES BRRR: 157 X: 9.82324 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.59863 -INDEX GOES BRRR: 209 X: 13.084 -INDEX GOES BRRR: 157 X: 9.86523 -INDEX GOES BRRR: 111 X: 6.98926 -INDEX GOES BRRR: 337 X: 21.1123 -INDEX GOES BRRR: 21 X: 1.35547 -INDEX GOES BRRR: 214 X: 13.4248 -INDEX GOES BRRR: 10 X: 0.68457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.36133 -INDEX GOES BRRR: 945 X: -4.91797 -INDEX GOES BRRR: 164 X: 10.2822 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 2 X: 0.149414 -INDEX GOES BRRR: 43 X: 2.69727 -INDEX GOES BRRR: 935 X: -5.55371 -INDEX GOES BRRR: 124 X: 7.76367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1 X: 0.0771484 -INDEX GOES BRRR: 259 X: 16.248 -INDEX GOES BRRR: 64 X: 4.04004 -INDEX GOES BRRR: 65 X: 4.07227 -INDEX GOES BRRR: 21 X: 1.36621 -INDEX GOES BRRR: 246 X: 15.4043 -INDEX GOES BRRR: 194 X: 12.1807 -INDEX GOES BRRR: 151 X: 9.46289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.11719 -INDEX GOES BRRR: 1022 X: -0.109375 -INDEX GOES BRRR: 268 X: 16.8096 -INDEX GOES BRRR: 294 X: 18.4199 -INDEX GOES BRRR: 176 X: 11.0518 -INDEX GOES BRRR: 337 X: 21.0791 -INDEX GOES BRRR: 311 X: 19.4863 -INDEX GOES BRRR: 176 X: 11.0137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 743 X: -17.5371 -INDEX GOES BRRR: 142 X: 8.89648 -INDEX GOES BRRR: 119 X: 7.48633 -INDEX GOES BRRR: 45 X: 2.87207 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 70 X: 4.43652 -INDEX GOES BRRR: 44 X: 2.76367 -INDEX GOES BRRR: 19 X: 1.20312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1816 -INDEX GOES BRRR: 156 X: 9.76367 -INDEX GOES BRRR: 186 X: 11.6562 -INDEX GOES BRRR: 77 X: 4.85156 -INDEX GOES BRRR: 141 X: 8.81738 -INDEX GOES BRRR: 980 X: -2.72461 -INDEX GOES BRRR: 963 X: -3.79492 -INDEX GOES BRRR: 195 X: 12.2256 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 0 X: 0.0507812 -INDEX GOES BRRR: 307 X: 19.2031 -INDEX GOES BRRR: 381 X: 23.8594 -INDEX GOES BRRR: 55 X: 3.48438 -INDEX GOES BRRR: 161 X: 10.0947 -INDEX GOES BRRR: 49 X: 3.08301 -INDEX GOES BRRR: 13 X: 0.855469 -INDEX GOES BRRR: 5 X: 0.31543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3711 -INDEX GOES BRRR: 160 X: 10.0146 -INDEX GOES BRRR: 58 X: 3.67188 -INDEX GOES BRRR: 242 X: 15.1748 -INDEX GOES BRRR: 442 X: 27.667 -INDEX GOES BRRR: 356 X: 22.251 -INDEX GOES BRRR: 40 X: 2.50293 -INDEX GOES BRRR: 351 X: 21.9834 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 14 X: 0.920898 -INDEX GOES BRRR: 892 X: -8.24316 -INDEX GOES BRRR: 152 X: 9.55664 -INDEX GOES BRRR: 243 X: 15.2012 -INDEX GOES BRRR: 99 X: 6.21191 -INDEX GOES BRRR: 424 X: 26.5439 -INDEX GOES BRRR: 429 X: 26.8711 -INDEX GOES BRRR: 76 X: 4.76855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2266 -INDEX GOES BRRR: 431 X: 26.9551 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 160 X: 10.0244 -INDEX GOES BRRR: 243 X: 15.2168 -INDEX GOES BRRR: 980 X: -2.71582 -INDEX GOES BRRR: 383 X: 23.9473 -INDEX GOES BRRR: 88 X: 5.55469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 295 X: 18.4502 -INDEX GOES BRRR: 235 X: 14.6914 -INDEX GOES BRRR: 817 X: -12.9043 -INDEX GOES BRRR: 968 X: -3.49512 -INDEX GOES BRRR: 77 X: 4.83887 -INDEX GOES BRRR: 84 X: 5.28125 -INDEX GOES BRRR: 435 X: 27.2324 -INDEX GOES BRRR: 335 X: 20.9688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2969 -INDEX GOES BRRR: 1019 X: -0.250977 -INDEX GOES BRRR: 233 X: 14.5947 -INDEX GOES BRRR: 184 X: 11.5146 -INDEX GOES BRRR: 189 X: 11.8564 -INDEX GOES BRRR: 42 X: 2.64551 -INDEX GOES BRRR: 165 X: 10.3203 -INDEX GOES BRRR: 359 X: 22.4395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.53906 -INDEX GOES BRRR: 175 X: 10.998 -INDEX GOES BRRR: 222 X: 13.9238 -INDEX GOES BRRR: 980 X: -2.73828 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 154 X: 9.62598 -INDEX GOES BRRR: 128 X: 8.00391 -INDEX GOES BRRR: 303 X: 18.9482 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.544922 -INDEX GOES BRRR: 47 X: 2.96289 -INDEX GOES BRRR: 166 X: 10.417 -INDEX GOES BRRR: 151 X: 9.45605 -INDEX GOES BRRR: 349 X: 21.8623 -INDEX GOES BRRR: 199 X: 12.4463 -INDEX GOES BRRR: 127 X: 7.99023 -INDEX GOES BRRR: 381 X: 23.8184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 308 X: 19.2998 -INDEX GOES BRRR: 153 X: 9.56641 -INDEX GOES BRRR: 131 X: 8.2334 -INDEX GOES BRRR: 901 X: -7.66211 -INDEX GOES BRRR: 52 X: 3.27344 -INDEX GOES BRRR: 14 X: 0.931641 -INDEX GOES BRRR: 35 X: 2.20508 -INDEX GOES BRRR: 349 X: 21.8301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.60645 -INDEX GOES BRRR: 1017 X: -0.408203 -INDEX GOES BRRR: 40 X: 2.53906 -INDEX GOES BRRR: 444 X: 27.7793 -INDEX GOES BRRR: 101 X: 6.33984 -INDEX GOES BRRR: 424 X: 26.502 -INDEX GOES BRRR: 377 X: 23.5811 -INDEX GOES BRRR: 106 X: 6.67285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.0928 -INDEX GOES BRRR: 167 X: 10.4824 -INDEX GOES BRRR: 335 X: 20.9512 -INDEX GOES BRRR: 47 X: 2.95898 -INDEX GOES BRRR: 173 X: 10.8594 -INDEX GOES BRRR: 455 X: 28.4668 -INDEX GOES BRRR: 304 X: 19.0039 -INDEX GOES BRRR: 204 X: 12.7686 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.4092 -INDEX GOES BRRR: 943 X: -5.01562 -INDEX GOES BRRR: 88 X: 5.53809 -INDEX GOES BRRR: 167 X: 10.4805 -INDEX GOES BRRR: 109 X: 6.86426 -INDEX GOES BRRR: 22 X: 1.39648 -INDEX GOES BRRR: 345 X: 21.5889 -INDEX GOES BRRR: 869 X: -9.63281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 233 X: 14.5693 -INDEX GOES BRRR: 156 X: 9.75293 -INDEX GOES BRRR: 233 X: 14.5762 -INDEX GOES BRRR: 245 X: 15.3379 -INDEX GOES BRRR: 16 X: 1.02832 -INDEX GOES BRRR: 18 X: 1.13672 -INDEX GOES BRRR: 948 X: -4.71387 -INDEX GOES BRRR: 404 X: 25.293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 330 X: 20.6641 -INDEX GOES BRRR: 14 X: 0.905273 -INDEX GOES BRRR: 389 X: 24.3682 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 193 X: 12.0908 -INDEX GOES BRRR: 34 X: 2.15234 -INDEX GOES BRRR: 223 X: 13.9814 -INDEX GOES BRRR: 190 X: 11.9072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 859 X: -10.2764 -INDEX GOES BRRR: 399 X: 24.9893 -INDEX GOES BRRR: 988 X: -2.22559 -INDEX GOES BRRR: 961 X: -3.93457 -INDEX GOES BRRR: 936 X: -5.47656 -INDEX GOES BRRR: 308 X: 19.2979 -INDEX GOES BRRR: 221 X: 13.8486 -INDEX GOES BRRR: 390 X: 24.416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.1582 -INDEX GOES BRRR: 955 X: -4.26758 -INDEX GOES BRRR: 44 X: 2.77637 -INDEX GOES BRRR: 235 X: 14.7471 -INDEX GOES BRRR: 473 X: 29.5723 -INDEX GOES BRRR: 113 X: 7.06934 -INDEX GOES BRRR: 325 X: 20.3252 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.415 -INDEX GOES BRRR: 181 X: 11.3682 -INDEX GOES BRRR: 90 X: 5.64453 -INDEX GOES BRRR: 143 X: 8.9375 -INDEX GOES BRRR: 129 X: 8.09082 -INDEX GOES BRRR: 315 X: 19.7119 -INDEX GOES BRRR: 234 X: 14.6436 -INDEX GOES BRRR: 207 X: 12.9824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1005 X: -1.16016 -INDEX GOES BRRR: 952 X: -4.46973 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 311 X: 19.4648 -INDEX GOES BRRR: 976 X: -2.96387 -INDEX GOES BRRR: 292 X: 18.2842 -INDEX GOES BRRR: 324 X: 20.2695 -INDEX GOES BRRR: 251 X: 15.7178 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 434 X: 27.1445 -INDEX GOES BRRR: 216 X: 13.5146 -INDEX GOES BRRR: 188 X: 11.7656 -INDEX GOES BRRR: 29 X: 1.81348 -INDEX GOES BRRR: 56 X: 3.55273 -INDEX GOES BRRR: 283 X: 17.7295 -INDEX GOES BRRR: 151 X: 9.48926 -INDEX GOES BRRR: 264 X: 16.5205 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 405 X: 25.3398 -INDEX GOES BRRR: 77 X: 4.85156 -INDEX GOES BRRR: 2 X: 0.170898 -INDEX GOES BRRR: 10 X: 0.662109 -INDEX GOES BRRR: 32 X: 2.02734 -INDEX GOES BRRR: 72 X: 4.5 -INDEX GOES BRRR: 206 X: 12.8906 -INDEX GOES BRRR: 165 X: 10.3438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 263 X: 16.4756 -INDEX GOES BRRR: 11 X: 0.730469 -INDEX GOES BRRR: 176 X: 11.0049 -INDEX GOES BRRR: 207 X: 12.9434 -INDEX GOES BRRR: 321 X: 20.0732 -INDEX GOES BRRR: 210 X: 13.1338 -INDEX GOES BRRR: 306 X: 19.1309 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 926 X: -6.07617 -INDEX GOES BRRR: 283 X: 17.7158 -INDEX GOES BRRR: 116 X: 7.25684 -INDEX GOES BRRR: 100 X: 6.25 -INDEX GOES BRRR: 97 X: 6.10059 -INDEX GOES BRRR: 1 X: 0.0849609 -INDEX GOES BRRR: 230 X: 14.3984 -INDEX GOES BRRR: 305 X: 19.0732 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.626 -INDEX GOES BRRR: 135 X: 8.47168 -INDEX GOES BRRR: 91 X: 5.74902 -INDEX GOES BRRR: 365 X: 22.8174 -INDEX GOES BRRR: 219 X: 13.6914 -INDEX GOES BRRR: 953 X: -4.42383 -INDEX GOES BRRR: 465 X: 29.084 -INDEX GOES BRRR: 308 X: 19.2959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 4 X: 0.268555 -INDEX GOES BRRR: 135 X: 8.46191 -INDEX GOES BRRR: 398 X: 24.9316 -INDEX GOES BRRR: 334 X: 20.8906 -INDEX GOES BRRR: 884 X: -8.68945 -INDEX GOES BRRR: 89 X: 5.59766 -INDEX GOES BRRR: 218 X: 13.6279 -INDEX GOES BRRR: 282 X: 17.6816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 60 X: 3.76465 -INDEX GOES BRRR: 153 X: 9.57324 -INDEX GOES BRRR: 305 X: 19.0645 -INDEX GOES BRRR: 206 X: 12.9355 -INDEX GOES BRRR: 175 X: 10.9961 -INDEX GOES BRRR: 15 X: 0.967773 -INDEX GOES BRRR: 348 X: 21.7822 -INDEX GOES BRRR: 166 X: 10.3857 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 408 X: 25.54 -INDEX GOES BRRR: 197 X: 12.3701 -INDEX GOES BRRR: 118 X: 7.42773 -INDEX GOES BRRR: 273 X: 17.083 -INDEX GOES BRRR: 843 X: -11.292 -INDEX GOES BRRR: 244 X: 15.29 -INDEX GOES BRRR: 247 X: 15.4688 -INDEX GOES BRRR: 802 X: -13.8408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 341 X: 21.3438 -INDEX GOES BRRR: 142 X: 8.90234 -INDEX GOES BRRR: 168 X: 10.5469 -INDEX GOES BRRR: 953 X: -4.40918 -INDEX GOES BRRR: 117 X: 7.31641 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 346 X: 21.6406 -INDEX GOES BRRR: 245 X: 15.3145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.3838 -INDEX GOES BRRR: 400 X: 25.0469 -INDEX GOES BRRR: 18 X: 1.17188 -INDEX GOES BRRR: 28 X: 1.75781 -INDEX GOES BRRR: 259 X: 16.248 -INDEX GOES BRRR: 271 X: 16.9717 -INDEX GOES BRRR: 1008 X: -0.953125 -INDEX GOES BRRR: 159 X: 9.94141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9395 -INDEX GOES BRRR: 269 X: 16.8623 -INDEX GOES BRRR: 151 X: 9.49512 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 115 X: 7.2207 -INDEX GOES BRRR: 970 X: -3.37109 -INDEX GOES BRRR: 152 X: 9.52246 -INDEX GOES BRRR: 183 X: 11.4424 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 985 X: -2.41504 -INDEX GOES BRRR: 198 X: 12.4072 -INDEX GOES BRRR: 215 X: 13.4805 -INDEX GOES BRRR: 180 X: 11.2764 -INDEX GOES BRRR: 987 X: -2.28418 -INDEX GOES BRRR: 181 X: 11.3584 -INDEX GOES BRRR: 291 X: 18.2129 -INDEX GOES BRRR: 329 X: 20.5752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3506 -INDEX GOES BRRR: 1021 X: -0.130859 -INDEX GOES BRRR: 128 X: 8.00293 -INDEX GOES BRRR: 141 X: 8.82422 -INDEX GOES BRRR: 981 X: -2.68262 -INDEX GOES BRRR: 297 X: 18.5957 -INDEX GOES BRRR: 44 X: 2.78809 -INDEX GOES BRRR: 77 X: 4.81934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3359 -INDEX GOES BRRR: 280 X: 17.5078 -INDEX GOES BRRR: 334 X: 20.8838 -INDEX GOES BRRR: 322 X: 20.1289 -INDEX GOES BRRR: 93 X: 5.84375 -INDEX GOES BRRR: 269 X: 16.8301 -INDEX GOES BRRR: 481 X: 30.1182 -INDEX GOES BRRR: 253 X: 15.8691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.25879 -INDEX GOES BRRR: 132 X: 8.28516 -INDEX GOES BRRR: 108 X: 6.79785 -INDEX GOES BRRR: 130 X: 8.18555 -INDEX GOES BRRR: 138 X: 8.67578 -INDEX GOES BRRR: 220 X: 13.7744 -INDEX GOES BRRR: 958 X: -4.0957 -INDEX GOES BRRR: 972 X: -3.21582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.37305 -INDEX GOES BRRR: 359 X: 22.4531 -INDEX GOES BRRR: 290 X: 18.125 -INDEX GOES BRRR: 91 X: 5.70508 -INDEX GOES BRRR: 171 X: 10.7109 -INDEX GOES BRRR: 850 X: -10.8359 -INDEX GOES BRRR: 234 X: 14.6465 -INDEX GOES BRRR: 271 X: 16.9951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8389 -INDEX GOES BRRR: 62 X: 3.91016 -INDEX GOES BRRR: 67 X: 4.23047 -INDEX GOES BRRR: 1005 X: -1.14844 -INDEX GOES BRRR: 55 X: 3.4707 -INDEX GOES BRRR: 114 X: 7.13184 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 345 X: 21.6113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.7812 -INDEX GOES BRRR: 105 X: 6.58594 -INDEX GOES BRRR: 88 X: 5.53027 -INDEX GOES BRRR: 198 X: 12.3975 -INDEX GOES BRRR: 20 X: 1.27051 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 237 X: 14.8652 -INDEX GOES BRRR: 60 X: 3.77734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 972 X: -3.2041 -INDEX GOES BRRR: 87 X: 5.47559 -INDEX GOES BRRR: 272 X: 17.0391 -INDEX GOES BRRR: 72 X: 4.52637 -INDEX GOES BRRR: 230 X: 14.3916 -INDEX GOES BRRR: 1 X: 0.0996094 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 188 X: 11.7939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.86523 -INDEX GOES BRRR: 365 X: 22.8291 -INDEX GOES BRRR: 128 X: 8.02246 -INDEX GOES BRRR: 311 X: 19.4805 -INDEX GOES BRRR: 130 X: 8.1543 -INDEX GOES BRRR: 93 X: 5.83887 -INDEX GOES BRRR: 211 X: 13.1924 -INDEX GOES BRRR: 273 X: 17.0859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.5732 -INDEX GOES BRRR: 302 X: 18.9062 -INDEX GOES BRRR: 388 X: 24.2871 -INDEX GOES BRRR: 70 X: 4.39062 -INDEX GOES BRRR: 327 X: 20.4932 -INDEX GOES BRRR: 125 X: 7.86719 -INDEX GOES BRRR: 314 X: 19.6611 -INDEX GOES BRRR: 796 X: -14.2461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.49414 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 293 X: 18.3643 -INDEX GOES BRRR: 132 X: 8.25781 -INDEX GOES BRRR: 154 X: 9.64355 -INDEX GOES BRRR: 101 X: 6.3584 -INDEX GOES BRRR: 425 X: 26.6074 -INDEX GOES BRRR: 324 X: 20.2803 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.79 -INDEX GOES BRRR: 262 X: 16.4287 -INDEX GOES BRRR: 359 X: 22.4482 -INDEX GOES BRRR: 85 X: 5.32031 -INDEX GOES BRRR: 194 X: 12.1484 -INDEX GOES BRRR: 96 X: 6.03809 -INDEX GOES BRRR: 942 X: -5.09961 -INDEX GOES BRRR: 198 X: 12.3926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8691 -INDEX GOES BRRR: 220 X: 13.7783 -INDEX GOES BRRR: 111 X: 6.98926 -INDEX GOES BRRR: 1008 X: -0.995117 -INDEX GOES BRRR: 930 X: -5.86914 -INDEX GOES BRRR: 160 X: 10.0068 -INDEX GOES BRRR: 206 X: 12.9004 -INDEX GOES BRRR: 96 X: 6.05664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 73 X: 4.58105 -INDEX GOES BRRR: 832 X: -11.9561 -INDEX GOES BRRR: 302 X: 18.8926 -INDEX GOES BRRR: 290 X: 18.1514 -INDEX GOES BRRR: 419 X: 26.1924 -INDEX GOES BRRR: 50 X: 3.17383 -INDEX GOES BRRR: 42 X: 2.62598 -INDEX GOES BRRR: 189 X: 11.8721 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.7373 -INDEX GOES BRRR: 118 X: 7.37598 -INDEX GOES BRRR: 312 X: 19.5371 -INDEX GOES BRRR: 28 X: 1.79883 -INDEX GOES BRRR: 314 X: 19.6592 -INDEX GOES BRRR: 327 X: 20.4951 -INDEX GOES BRRR: 903 X: -7.56055 -INDEX GOES BRRR: 287 X: 17.9941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 781 X: -15.1748 -INDEX GOES BRRR: 155 X: 9.74121 -INDEX GOES BRRR: 224 X: 14.0361 -INDEX GOES BRRR: 111 X: 6.98633 -INDEX GOES BRRR: 81 X: 5.07812 -INDEX GOES BRRR: 92 X: 5.75586 -INDEX GOES BRRR: 956 X: -4.23535 -INDEX GOES BRRR: 217 X: 13.5625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7305 -INDEX GOES BRRR: 264 X: 16.5508 -INDEX GOES BRRR: 61 X: 3.8291 -INDEX GOES BRRR: 300 X: 18.793 -INDEX GOES BRRR: 212 X: 13.291 -INDEX GOES BRRR: 377 X: 23.5908 -INDEX GOES BRRR: 149 X: 9.32812 -INDEX GOES BRRR: 113 X: 7.08984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.74023 -INDEX GOES BRRR: 92 X: 5.80176 -INDEX GOES BRRR: 279 X: 17.4482 -INDEX GOES BRRR: 163 X: 10.2275 -INDEX GOES BRRR: 903 X: -7.54004 -INDEX GOES BRRR: 30 X: 1.93262 -INDEX GOES BRRR: 206 X: 12.9023 -INDEX GOES BRRR: 933 X: -5.62891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.45996 -INDEX GOES BRRR: 935 X: -5.52539 -INDEX GOES BRRR: 980 X: -2.7207 -INDEX GOES BRRR: 6 X: 0.408203 -INDEX GOES BRRR: 124 X: 7.76367 -INDEX GOES BRRR: 118 X: 7.37598 -INDEX GOES BRRR: 314 X: 19.668 -INDEX GOES BRRR: 66 X: 4.13086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 164 X: 10.3037 -INDEX GOES BRRR: 992 X: -1.96094 -INDEX GOES BRRR: 31 X: 1.95117 -INDEX GOES BRRR: 16 X: 1.05664 -INDEX GOES BRRR: 203 X: 12.7412 -INDEX GOES BRRR: 280 X: 17.5557 -INDEX GOES BRRR: 486 X: 30.3984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6426 -INDEX GOES BRRR: 470 X: 29.3936 -INDEX GOES BRRR: 411 X: 25.7461 -INDEX GOES BRRR: 323 X: 20.2422 -INDEX GOES BRRR: 286 X: 17.9336 -INDEX GOES BRRR: 1000 X: -1.45215 -INDEX GOES BRRR: 1020 X: -0.196289 -INDEX GOES BRRR: 122 X: 7.68066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.0928 -INDEX GOES BRRR: 134 X: 8.40039 -INDEX GOES BRRR: 281 X: 17.5811 -INDEX GOES BRRR: 229 X: 14.3682 -INDEX GOES BRRR: 121 X: 7.60254 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 421 X: 26.3447 -INDEX GOES BRRR: 372 X: 23.3047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1709 -INDEX GOES BRRR: 155 X: 9.74219 -INDEX GOES BRRR: 77 X: 4.85645 -INDEX GOES BRRR: 24 X: 1.52148 -INDEX GOES BRRR: 1008 X: -0.994141 -INDEX GOES BRRR: 380 X: 23.7842 -INDEX GOES BRRR: 251 X: 15.7168 -INDEX GOES BRRR: 67 X: 4.21973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5098 -INDEX GOES BRRR: 331 X: 20.7373 -INDEX GOES BRRR: 77 X: 4.86621 -INDEX GOES BRRR: 72 X: 4.53809 -INDEX GOES BRRR: 55 X: 3.48145 -INDEX GOES BRRR: 953 X: -4.37598 -INDEX GOES BRRR: 834 X: -11.8232 -INDEX GOES BRRR: 462 X: 28.9014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 487 X: 30.4609 -INDEX GOES BRRR: 967 X: -3.53418 -INDEX GOES BRRR: 1004 X: -1.24023 -INDEX GOES BRRR: 138 X: 8.67188 -INDEX GOES BRRR: 275 X: 17.1943 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 268 X: 16.791 -INDEX GOES BRRR: 179 X: 11.2441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 364 X: 22.7803 -INDEX GOES BRRR: 166 X: 10.3916 -INDEX GOES BRRR: 203 X: 12.7334 -INDEX GOES BRRR: 1000 X: -1.44238 -INDEX GOES BRRR: 1002 X: -1.33594 -INDEX GOES BRRR: 109 X: 6.83301 -INDEX GOES BRRR: 66 X: 4.13965 -INDEX GOES BRRR: 474 X: 29.6689 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.69531 -INDEX GOES BRRR: 106 X: 6.6582 -INDEX GOES BRRR: 72 X: 4.53516 -INDEX GOES BRRR: 77 X: 4.82129 -INDEX GOES BRRR: 166 X: 10.4014 -INDEX GOES BRRR: 879 X: -9.01855 -INDEX GOES BRRR: 29 X: 1.86621 -INDEX GOES BRRR: 138 X: 8.64746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.582031 -INDEX GOES BRRR: 840 X: -11.4902 -INDEX GOES BRRR: 296 X: 18.5391 -INDEX GOES BRRR: 380 X: 23.7979 -INDEX GOES BRRR: 1017 X: -0.405273 -INDEX GOES BRRR: 953 X: -4.42383 -INDEX GOES BRRR: 318 X: 19.8799 -INDEX GOES BRRR: 40 X: 2.55078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3633 -INDEX GOES BRRR: 437 X: 27.3379 -INDEX GOES BRRR: 42 X: 2.68359 -INDEX GOES BRRR: 106 X: 6.67773 -INDEX GOES BRRR: 79 X: 4.94336 -INDEX GOES BRRR: 821 X: -12.6309 -INDEX GOES BRRR: 204 X: 12.7549 -INDEX GOES BRRR: 183 X: 11.4941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.6895 -INDEX GOES BRRR: 696 X: -20.4688 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 22 X: 1.42188 -INDEX GOES BRRR: 168 X: 10.5117 -INDEX GOES BRRR: 910 X: -7.10156 -INDEX GOES BRRR: 272 X: 17.0391 -INDEX GOES BRRR: 1007 X: -1.02637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6631 -INDEX GOES BRRR: 183 X: 11.4482 -INDEX GOES BRRR: 183 X: 11.4854 -INDEX GOES BRRR: 61 X: 3.87109 -INDEX GOES BRRR: 259 X: 16.1934 -INDEX GOES BRRR: 343 X: 21.4844 -INDEX GOES BRRR: 207 X: 12.9727 -INDEX GOES BRRR: 215 X: 13.459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.168 -INDEX GOES BRRR: 916 X: -6.71484 -INDEX GOES BRRR: 305 X: 19.0781 -INDEX GOES BRRR: 901 X: -7.62598 -INDEX GOES BRRR: 279 X: 17.4541 -INDEX GOES BRRR: 1003 X: -1.27051 -INDEX GOES BRRR: 870 X: -9.59277 -INDEX GOES BRRR: 241 X: 15.1016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1357 -INDEX GOES BRRR: 37 X: 2.33887 -INDEX GOES BRRR: 255 X: 15.96 -INDEX GOES BRRR: 112 X: 7.04004 -INDEX GOES BRRR: 377 X: 23.6152 -INDEX GOES BRRR: 156 X: 9.79785 -INDEX GOES BRRR: 17 X: 1.11035 -INDEX GOES BRRR: 237 X: 14.8359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.47266 -INDEX GOES BRRR: 362 X: 22.6406 -INDEX GOES BRRR: 93 X: 5.83301 -INDEX GOES BRRR: 358 X: 22.4121 -INDEX GOES BRRR: 356 X: 22.2578 -INDEX GOES BRRR: 262 X: 16.4336 -INDEX GOES BRRR: 353 X: 22.0693 -INDEX GOES BRRR: 998 X: -1.62207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 872 X: -9.4502 -INDEX GOES BRRR: 228 X: 14.2773 -INDEX GOES BRRR: 196 X: 12.2686 -INDEX GOES BRRR: 371 X: 23.2412 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 160 X: 10.0586 -INDEX GOES BRRR: 253 X: 15.8428 -INDEX GOES BRRR: 90 X: 5.65625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.793 -INDEX GOES BRRR: 112 X: 7.00781 -INDEX GOES BRRR: 363 X: 22.7471 -INDEX GOES BRRR: 17 X: 1.07227 -INDEX GOES BRRR: 245 X: 15.3379 -INDEX GOES BRRR: 893 X: -8.16016 -INDEX GOES BRRR: 71 X: 4.44238 -INDEX GOES BRRR: 255 X: 15.9658 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3213 -INDEX GOES BRRR: 1017 X: -0.388672 -INDEX GOES BRRR: 248 X: 15.543 -INDEX GOES BRRR: 85 X: 5.36035 -INDEX GOES BRRR: 993 X: -1.89258 -INDEX GOES BRRR: 166 X: 10.4033 -INDEX GOES BRRR: 383 X: 23.9502 -INDEX GOES BRRR: 210 X: 13.1289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.0791 -INDEX GOES BRRR: 131 X: 8.19727 -INDEX GOES BRRR: 157 X: 9.84668 -INDEX GOES BRRR: 113 X: 7.10645 -INDEX GOES BRRR: 299 X: 18.7422 -INDEX GOES BRRR: 295 X: 18.4434 -INDEX GOES BRRR: 161 X: 10.1182 -INDEX GOES BRRR: 119 X: 7.44141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3291 -INDEX GOES BRRR: 355 X: 22.1953 -INDEX GOES BRRR: 1013 X: -0.686523 -INDEX GOES BRRR: 139 X: 8.73438 -INDEX GOES BRRR: 117 X: 7.31836 -INDEX GOES BRRR: 93 X: 5.81934 -INDEX GOES BRRR: 9 X: 0.567383 -INDEX GOES BRRR: 251 X: 15.7275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2061 -INDEX GOES BRRR: 129 X: 8.0957 -INDEX GOES BRRR: 468 X: 29.3047 -INDEX GOES BRRR: 902 X: -7.59766 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 16 X: 1.04688 -INDEX GOES BRRR: 389 X: 24.332 -INDEX GOES BRRR: 841 X: -11.3926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 382 X: 23.9082 -INDEX GOES BRRR: 184 X: 11.5498 -INDEX GOES BRRR: 96 X: 6.04492 -INDEX GOES BRRR: 977 X: -2.9043 -INDEX GOES BRRR: 229 X: 14.3223 -INDEX GOES BRRR: 465 X: 29.0732 -INDEX GOES BRRR: 174 X: 10.8809 -INDEX GOES BRRR: 110 X: 6.91406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.16992 -INDEX GOES BRRR: 14 X: 0.880859 -INDEX GOES BRRR: 197 X: 12.3232 -INDEX GOES BRRR: 289 X: 18.0781 -INDEX GOES BRRR: 201 X: 12.5908 -INDEX GOES BRRR: 880 X: -8.96094 -INDEX GOES BRRR: 489 X: 30.5801 -INDEX GOES BRRR: 55 X: 3.45215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 279 X: 17.4736 -INDEX GOES BRRR: 102 X: 6.38477 -INDEX GOES BRRR: 306 X: 19.1709 -INDEX GOES BRRR: 987 X: -2.2627 -INDEX GOES BRRR: 180 X: 11.3105 -INDEX GOES BRRR: 384 X: 24.0459 -INDEX GOES BRRR: 120 X: 7.52344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.7666 -INDEX GOES BRRR: 346 X: 21.6865 -INDEX GOES BRRR: 31 X: 1.9873 -INDEX GOES BRRR: 60 X: 3.79492 -INDEX GOES BRRR: 53 X: 3.34277 -INDEX GOES BRRR: 347 X: 21.6934 -INDEX GOES BRRR: 19 X: 1.23926 -INDEX GOES BRRR: 1 X: 0.121094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.9834 -INDEX GOES BRRR: 77 X: 4.8623 -INDEX GOES BRRR: 222 X: 13.9053 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 966 X: -3.59863 -INDEX GOES BRRR: 361 X: 22.5859 -INDEX GOES BRRR: 867 X: -9.75293 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4648 -INDEX GOES BRRR: 2 X: 0.133789 -INDEX GOES BRRR: 950 X: -4.61621 -INDEX GOES BRRR: 330 X: 20.6514 -INDEX GOES BRRR: 315 X: 19.7383 -INDEX GOES BRRR: 944 X: -4.94727 -INDEX GOES BRRR: 970 X: -3.32227 -INDEX GOES BRRR: 294 X: 18.3857 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.002 -INDEX GOES BRRR: 355 X: 22.2148 -INDEX GOES BRRR: 246 X: 15.3896 -INDEX GOES BRRR: 17 X: 1.11426 -INDEX GOES BRRR: 355 X: 22.2246 -INDEX GOES BRRR: 369 X: 23.0947 -INDEX GOES BRRR: 107 X: 6.72461 -INDEX GOES BRRR: 231 X: 14.4395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 29 X: 1.86914 -INDEX GOES BRRR: 706 X: -19.8389 -INDEX GOES BRRR: 215 X: 13.4492 -INDEX GOES BRRR: 327 X: 20.4775 -INDEX GOES BRRR: 808 X: -13.457 -INDEX GOES BRRR: 41 X: 2.5752 -INDEX GOES BRRR: 351 X: 21.9707 -INDEX GOES BRRR: 12 X: 0.794922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 819 X: -12.8115 -INDEX GOES BRRR: 1020 X: -0.213867 -INDEX GOES BRRR: 83 X: 5.18945 -INDEX GOES BRRR: 199 X: 12.4902 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 261 X: 16.3545 -INDEX GOES BRRR: 885 X: -8.67188 -INDEX GOES BRRR: 909 X: -7.13574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 766 X: -16.0713 -INDEX GOES BRRR: 926 X: -6.09766 -INDEX GOES BRRR: 810 X: -13.3203 -INDEX GOES BRRR: 167 X: 10.4473 -INDEX GOES BRRR: 307 X: 19.2188 -INDEX GOES BRRR: 15 X: 0.982422 -INDEX GOES BRRR: 205 X: 12.8398 -INDEX GOES BRRR: 153 X: 9.59863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 872 X: -9.46582 -INDEX GOES BRRR: 385 X: 24.0703 -INDEX GOES BRRR: 313 X: 19.6172 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 956 X: -4.19824 -INDEX GOES BRRR: 252 X: 15.7676 -INDEX GOES BRRR: 167 X: 10.4424 -INDEX GOES BRRR: 239 X: 14.9941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.48047 -INDEX GOES BRRR: 380 X: 23.7676 -INDEX GOES BRRR: 220 X: 13.7705 -INDEX GOES BRRR: 109 X: 6.82227 -INDEX GOES BRRR: 372 X: 23.292 -INDEX GOES BRRR: 971 X: -3.30664 -INDEX GOES BRRR: 230 X: 14.4111 -INDEX GOES BRRR: 923 X: -6.29395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 831 X: -12.0527 -INDEX GOES BRRR: 293 X: 18.3652 -INDEX GOES BRRR: 44 X: 2.78027 -INDEX GOES BRRR: 971 X: -3.29199 -INDEX GOES BRRR: 66 X: 4.16992 -INDEX GOES BRRR: 665 X: -22.4062 -INDEX GOES BRRR: 344 X: 21.5273 -INDEX GOES BRRR: 285 X: 17.8203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8223 -INDEX GOES BRRR: 1010 X: -0.87207 -INDEX GOES BRRR: 198 X: 12.4141 -INDEX GOES BRRR: 311 X: 19.4541 -INDEX GOES BRRR: 906 X: -7.34277 -INDEX GOES BRRR: 76 X: 4.77832 -INDEX GOES BRRR: 153 X: 9.59863 -INDEX GOES BRRR: 306 X: 19.1836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.9834 -INDEX GOES BRRR: 314 X: 19.6709 -INDEX GOES BRRR: 277 X: 17.374 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 16 X: 1.00781 -INDEX GOES BRRR: 273 X: 17.0967 -INDEX GOES BRRR: 12 X: 0.791992 -INDEX GOES BRRR: 824 X: -12.459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7803 -INDEX GOES BRRR: 453 X: 28.3359 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 247 X: 15.46 -INDEX GOES BRRR: 303 X: 18.9531 -INDEX GOES BRRR: 109 X: 6.8291 -INDEX GOES BRRR: 370 X: 23.1807 -INDEX GOES BRRR: 22 X: 1.42676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.44238 -INDEX GOES BRRR: 925 X: -6.14453 -INDEX GOES BRRR: 158 X: 9.91895 -INDEX GOES BRRR: 418 X: 26.1475 -INDEX GOES BRRR: 48 X: 3.05371 -INDEX GOES BRRR: 910 X: -7.12207 -INDEX GOES BRRR: 15 X: 0.958008 -INDEX GOES BRRR: 826 X: -12.332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 359 X: 22.457 -INDEX GOES BRRR: 112 X: 7.00098 -INDEX GOES BRRR: 71 X: 4.46777 -INDEX GOES BRRR: 758 X: -16.5908 -INDEX GOES BRRR: 147 X: 9.22559 -INDEX GOES BRRR: 105 X: 6.56934 -INDEX GOES BRRR: 965 X: -3.6875 -INDEX GOES BRRR: 384 X: 24.0137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0645 -INDEX GOES BRRR: 992 X: -1.97461 -INDEX GOES BRRR: 45 X: 2.82812 -INDEX GOES BRRR: 203 X: 12.7383 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 251 X: 15.7256 -INDEX GOES BRRR: 1020 X: -0.238281 -INDEX GOES BRRR: 314 X: 19.6572 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2236 -INDEX GOES BRRR: 68 X: 4.25586 -INDEX GOES BRRR: 149 X: 9.32617 -INDEX GOES BRRR: 951 X: -4.54492 -INDEX GOES BRRR: 243 X: 15.2373 -INDEX GOES BRRR: 278 X: 17.4316 -INDEX GOES BRRR: 293 X: 18.3672 -INDEX GOES BRRR: 367 X: 22.9902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.6152 -INDEX GOES BRRR: 215 X: 13.4619 -INDEX GOES BRRR: 311 X: 19.4482 -INDEX GOES BRRR: 292 X: 18.2891 -INDEX GOES BRRR: 3 X: 0.205078 -INDEX GOES BRRR: 1008 X: -0.958008 -INDEX GOES BRRR: 391 X: 24.4619 -INDEX GOES BRRR: 435 X: 27.2256 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.50684 -INDEX GOES BRRR: 955 X: -4.31055 -INDEX GOES BRRR: 407 X: 25.4688 -INDEX GOES BRRR: 264 X: 16.541 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 862 X: -10.0732 -INDEX GOES BRRR: 156 X: 9.75195 -INDEX GOES BRRR: 78 X: 4.87891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.81445 -INDEX GOES BRRR: 131 X: 8.23828 -INDEX GOES BRRR: 218 X: 13.665 -INDEX GOES BRRR: 411 X: 25.7207 -INDEX GOES BRRR: 1001 X: -1.42969 -INDEX GOES BRRR: 199 X: 12.4639 -INDEX GOES BRRR: 223 X: 13.999 -INDEX GOES BRRR: 303 X: 18.9727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 955 X: -4.27246 -INDEX GOES BRRR: 170 X: 10.6357 -INDEX GOES BRRR: 253 X: 15.8613 -INDEX GOES BRRR: 417 X: 26.1162 -INDEX GOES BRRR: 1020 X: -0.220703 -INDEX GOES BRRR: 221 X: 13.8633 -INDEX GOES BRRR: 240 X: 15.042 -INDEX GOES BRRR: 393 X: 24.5693 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8721 -INDEX GOES BRRR: 1016 X: -0.485352 -INDEX GOES BRRR: 432 X: 27.0498 -INDEX GOES BRRR: 199 X: 12.4961 -INDEX GOES BRRR: 857 X: -10.4258 -INDEX GOES BRRR: 247 X: 15.4805 -INDEX GOES BRRR: 151 X: 9.45508 -INDEX GOES BRRR: 931 X: -5.78223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.07812 -INDEX GOES BRRR: 40 X: 2.54785 -INDEX GOES BRRR: 279 X: 17.4541 -INDEX GOES BRRR: 206 X: 12.8799 -INDEX GOES BRRR: 204 X: 12.7686 -INDEX GOES BRRR: 427 X: 26.6885 -INDEX GOES BRRR: 146 X: 9.12891 -INDEX GOES BRRR: 86 X: 5.41797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.49023 -INDEX GOES BRRR: 278 X: 17.3838 -INDEX GOES BRRR: 88 X: 5.50195 -INDEX GOES BRRR: 102 X: 6.43555 -INDEX GOES BRRR: 240 X: 15.0586 -INDEX GOES BRRR: 155 X: 9.70117 -INDEX GOES BRRR: 1000 X: -1.48926 -INDEX GOES BRRR: 214 X: 13.3789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 748 X: -17.2363 -INDEX GOES BRRR: 361 X: 22.5908 -INDEX GOES BRRR: 67 X: 4.24023 -INDEX GOES BRRR: 238 X: 14.8936 -INDEX GOES BRRR: 254 X: 15.8916 -INDEX GOES BRRR: 986 X: -2.33105 -INDEX GOES BRRR: 31 X: 1.9873 -INDEX GOES BRRR: 1002 X: -1.33301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 329 X: 20.5938 -INDEX GOES BRRR: 349 X: 21.8613 -INDEX GOES BRRR: 277 X: 17.3291 -INDEX GOES BRRR: 212 X: 13.2871 -INDEX GOES BRRR: 258 X: 16.1494 -INDEX GOES BRRR: 267 X: 16.7461 -INDEX GOES BRRR: 954 X: -4.35742 -INDEX GOES BRRR: 951 X: -4.50293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.584 -INDEX GOES BRRR: 118 X: 7.39648 -INDEX GOES BRRR: 310 X: 19.4053 -INDEX GOES BRRR: 434 X: 27.1572 -INDEX GOES BRRR: 1018 X: -0.354492 -INDEX GOES BRRR: 81 X: 5.08301 -INDEX GOES BRRR: 137 X: 8.58887 -INDEX GOES BRRR: 8 X: 0.552734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6523 -INDEX GOES BRRR: 1 X: 0.124023 -INDEX GOES BRRR: 238 X: 14.875 -INDEX GOES BRRR: 388 X: 24.2861 -INDEX GOES BRRR: 347 X: 21.6953 -INDEX GOES BRRR: 145 X: 9.10645 -INDEX GOES BRRR: 220 X: 13.7998 -INDEX GOES BRRR: 19 X: 1.20703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2129 -INDEX GOES BRRR: 54 X: 3.40723 -INDEX GOES BRRR: 928 X: -6 -INDEX GOES BRRR: 979 X: -2.76465 -INDEX GOES BRRR: 961 X: -3.90625 -INDEX GOES BRRR: 173 X: 10.8203 -INDEX GOES BRRR: 184 X: 11.5273 -INDEX GOES BRRR: 109 X: 6.84375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3262 -INDEX GOES BRRR: 335 X: 20.9941 -INDEX GOES BRRR: 54 X: 3.41211 -INDEX GOES BRRR: 879 X: -9.03125 -INDEX GOES BRRR: 247 X: 15.4639 -INDEX GOES BRRR: 318 X: 19.9189 -INDEX GOES BRRR: 179 X: 11.2002 -INDEX GOES BRRR: 24 X: 1.54004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.1201 -INDEX GOES BRRR: 245 X: 15.3457 -INDEX GOES BRRR: 28 X: 1.77539 -INDEX GOES BRRR: 415 X: 25.9961 -INDEX GOES BRRR: 22 X: 1.38477 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 868 X: -9.74023 -INDEX GOES BRRR: 211 X: 13.2109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 821 X: -12.6396 -INDEX GOES BRRR: 195 X: 12.2158 -INDEX GOES BRRR: 221 X: 13.8457 -INDEX GOES BRRR: 26 X: 1.62891 -INDEX GOES BRRR: 393 X: 24.624 -INDEX GOES BRRR: 1021 X: -0.180664 -INDEX GOES BRRR: 111 X: 6.99609 -INDEX GOES BRRR: 976 X: -2.99707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 379 X: 23.6904 -INDEX GOES BRRR: 130 X: 8.13574 -INDEX GOES BRRR: 305 X: 19.0645 -INDEX GOES BRRR: 945 X: -4.89551 -INDEX GOES BRRR: 419 X: 26.2021 -INDEX GOES BRRR: 92 X: 5.81055 -INDEX GOES BRRR: 330 X: 20.6445 -INDEX GOES BRRR: 738 X: -17.8408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.8584 -INDEX GOES BRRR: 145 X: 9.06445 -INDEX GOES BRRR: 203 X: 12.7207 -INDEX GOES BRRR: 282 X: 17.6719 -INDEX GOES BRRR: 10 X: 0.649414 -INDEX GOES BRRR: 47 X: 2.98145 -INDEX GOES BRRR: 151 X: 9.49023 -INDEX GOES BRRR: 960 X: -3.9502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.62598 -INDEX GOES BRRR: 2 X: 0.172852 -INDEX GOES BRRR: 974 X: -3.06543 -INDEX GOES BRRR: 140 X: 8.7627 -INDEX GOES BRRR: 284 X: 17.7783 -INDEX GOES BRRR: 183 X: 11.4619 -INDEX GOES BRRR: 266 X: 16.6729 -INDEX GOES BRRR: 93 X: 5.81543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.20996 -INDEX GOES BRRR: 203 X: 12.7314 -INDEX GOES BRRR: 278 X: 17.3867 -INDEX GOES BRRR: 37 X: 2.3418 -INDEX GOES BRRR: 21 X: 1.34277 -INDEX GOES BRRR: 317 X: 19.832 -INDEX GOES BRRR: 57 X: 3.59082 -INDEX GOES BRRR: 130 X: 8.12891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 956 X: -4.20215 -INDEX GOES BRRR: 181 X: 11.3291 -INDEX GOES BRRR: 66 X: 4.16211 -INDEX GOES BRRR: 26 X: 1.66016 -INDEX GOES BRRR: 47 X: 2.94727 -INDEX GOES BRRR: 1002 X: -1.32227 -INDEX GOES BRRR: 340 X: 21.2832 -INDEX GOES BRRR: 104 X: 6.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.66504 -INDEX GOES BRRR: 129 X: 8.07324 -INDEX GOES BRRR: 179 X: 11.2412 -INDEX GOES BRRR: 1011 X: -0.767578 -INDEX GOES BRRR: 115 X: 7.21582 -INDEX GOES BRRR: 927 X: -6.01562 -INDEX GOES BRRR: 162 X: 10.1387 -INDEX GOES BRRR: 125 X: 7.84082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8477 -INDEX GOES BRRR: 9 X: 0.584961 -INDEX GOES BRRR: 217 X: 13.5625 -INDEX GOES BRRR: 965 X: -3.68555 -INDEX GOES BRRR: 267 X: 16.7422 -INDEX GOES BRRR: 380 X: 23.8047 -INDEX GOES BRRR: 256 X: 16.0107 -INDEX GOES BRRR: 356 X: 22.2861 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.0752 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 910 X: -7.08691 -INDEX GOES BRRR: 910 X: -7.10352 -INDEX GOES BRRR: 231 X: 14.4395 -INDEX GOES BRRR: 969 X: -3.37891 -INDEX GOES BRRR: 83 X: 5.19434 -INDEX GOES BRRR: 195 X: 12.249 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.69727 -INDEX GOES BRRR: 417 X: 26.084 -INDEX GOES BRRR: 184 X: 11.5186 -INDEX GOES BRRR: 339 X: 21.2178 -INDEX GOES BRRR: 829 X: -12.1758 -INDEX GOES BRRR: 196 X: 12.3018 -INDEX GOES BRRR: 242 X: 15.1582 -INDEX GOES BRRR: 77 X: 4.8418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.24902 -INDEX GOES BRRR: 53 X: 3.3623 -INDEX GOES BRRR: 86 X: 5.38086 -INDEX GOES BRRR: 988 X: -2.22754 -INDEX GOES BRRR: 281 X: 17.5869 -INDEX GOES BRRR: 380 X: 23.793 -INDEX GOES BRRR: 256 X: 16.0117 -INDEX GOES BRRR: 81 X: 5.0918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.18457 -INDEX GOES BRRR: 252 X: 15.7637 -INDEX GOES BRRR: 157 X: 9.82129 -INDEX GOES BRRR: 260 X: 16.251 -INDEX GOES BRRR: 132 X: 8.27734 -INDEX GOES BRRR: 954 X: -4.36133 -INDEX GOES BRRR: 943 X: -5.05566 -INDEX GOES BRRR: 219 X: 13.6934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.354492 -INDEX GOES BRRR: 104 X: 6.51367 -INDEX GOES BRRR: 912 X: -6.96289 -INDEX GOES BRRR: 334 X: 20.8994 -INDEX GOES BRRR: 975 X: -3.00879 -INDEX GOES BRRR: 245 X: 15.332 -INDEX GOES BRRR: 246 X: 15.3867 -INDEX GOES BRRR: 129 X: 8.11719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 48 X: 3.03906 -INDEX GOES BRRR: 84 X: 5.2832 -INDEX GOES BRRR: 828 X: -12.21 -INDEX GOES BRRR: 232 X: 14.5322 -INDEX GOES BRRR: 983 X: -2.52344 -INDEX GOES BRRR: 164 X: 10.292 -INDEX GOES BRRR: 210 X: 13.1406 -INDEX GOES BRRR: 63 X: 3.95703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 952 X: -4.47852 -INDEX GOES BRRR: 1006 X: -1.10156 -INDEX GOES BRRR: 21 X: 1.3252 -INDEX GOES BRRR: 13 X: 0.84082 -INDEX GOES BRRR: 225 X: 14.0947 -INDEX GOES BRRR: 343 X: 21.4414 -INDEX GOES BRRR: 464 X: 29.042 -INDEX GOES BRRR: 326 X: 20.3887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 999 X: -1.56152 -INDEX GOES BRRR: 310 X: 19.4033 -INDEX GOES BRRR: 187 X: 11.6992 -INDEX GOES BRRR: 365 X: 22.832 -INDEX GOES BRRR: 40 X: 2.51172 -INDEX GOES BRRR: 251 X: 15.7148 -INDEX GOES BRRR: 268 X: 16.7881 -INDEX GOES BRRR: 20 X: 1.28613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 30 X: 1.89258 -INDEX GOES BRRR: 164 X: 10.2686 -INDEX GOES BRRR: 1016 X: -0.494141 -INDEX GOES BRRR: 250 X: 15.6846 -INDEX GOES BRRR: 156 X: 9.80859 -INDEX GOES BRRR: 130 X: 8.12891 -INDEX GOES BRRR: 154 X: 9.62598 -INDEX GOES BRRR: 270 X: 16.8994 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.0459 -INDEX GOES BRRR: 267 X: 16.707 -INDEX GOES BRRR: 195 X: 12.249 -INDEX GOES BRRR: 61 X: 3.84668 -INDEX GOES BRRR: 246 X: 15.3828 -INDEX GOES BRRR: 312 X: 19.5234 -INDEX GOES BRRR: 1 X: 0.0654297 -INDEX GOES BRRR: 321 X: 20.0791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.7207 -INDEX GOES BRRR: 409 X: 25.5889 -INDEX GOES BRRR: 296 X: 18.5137 -INDEX GOES BRRR: 478 X: 29.8877 -INDEX GOES BRRR: 973 X: -3.16504 -INDEX GOES BRRR: 228 X: 14.2754 -INDEX GOES BRRR: 142 X: 8.91602 -INDEX GOES BRRR: 226 X: 14.1836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.78906 -INDEX GOES BRRR: 851 X: -10.7822 -INDEX GOES BRRR: 226 X: 14.1377 -INDEX GOES BRRR: 216 X: 13.5566 -INDEX GOES BRRR: 936 X: -5.45996 -INDEX GOES BRRR: 859 X: -10.3057 -INDEX GOES BRRR: 166 X: 10.3916 -INDEX GOES BRRR: 239 X: 14.9854 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 974 X: -3.12305 -INDEX GOES BRRR: 328 X: 20.5332 -INDEX GOES BRRR: 158 X: 9.9043 -INDEX GOES BRRR: 904 X: -7.46973 -INDEX GOES BRRR: 249 X: 15.584 -INDEX GOES BRRR: 347 X: 21.7363 -INDEX GOES BRRR: 92 X: 5.77637 -INDEX GOES BRRR: 242 X: 15.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.130859 -INDEX GOES BRRR: 1014 X: -0.591797 -INDEX GOES BRRR: 202 X: 12.6748 -INDEX GOES BRRR: 389 X: 24.3311 -INDEX GOES BRRR: 231 X: 14.458 -INDEX GOES BRRR: 135 X: 8.47266 -INDEX GOES BRRR: 140 X: 8.76758 -INDEX GOES BRRR: 23 X: 1.45898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 932 X: -5.72559 -INDEX GOES BRRR: 1000 X: -1.4707 -INDEX GOES BRRR: 264 X: 16.5195 -INDEX GOES BRRR: 278 X: 17.4189 -INDEX GOES BRRR: 291 X: 18.1973 -INDEX GOES BRRR: 423 X: 26.458 -INDEX GOES BRRR: 1011 X: -0.805664 -INDEX GOES BRRR: 275 X: 17.2051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.81055 -INDEX GOES BRRR: 1013 X: -0.661133 -INDEX GOES BRRR: 950 X: -4.61328 -INDEX GOES BRRR: 166 X: 10.3789 -INDEX GOES BRRR: 76 X: 4.78809 -INDEX GOES BRRR: 381 X: 23.8564 -INDEX GOES BRRR: 976 X: -2.9873 -INDEX GOES BRRR: 255 X: 15.9482 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 763 X: -16.29 -INDEX GOES BRRR: 1014 X: -0.621094 -INDEX GOES BRRR: 96 X: 6.02539 -INDEX GOES BRRR: 1016 X: -0.478516 -INDEX GOES BRRR: 393 X: 24.5879 -INDEX GOES BRRR: 161 X: 10.1162 -INDEX GOES BRRR: 197 X: 12.3232 -INDEX GOES BRRR: 405 X: 25.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 69 X: 4.3623 -INDEX GOES BRRR: 33 X: 2.07617 -INDEX GOES BRRR: 237 X: 14.8398 -INDEX GOES BRRR: 924 X: -6.23438 -INDEX GOES BRRR: 306 X: 19.167 -INDEX GOES BRRR: 216 X: 13.54 -INDEX GOES BRRR: 495 X: 30.9854 -INDEX GOES BRRR: 334 X: 20.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 427 X: 26.7383 -INDEX GOES BRRR: 170 X: 10.6387 -INDEX GOES BRRR: 102 X: 6.38379 -INDEX GOES BRRR: 262 X: 16.4189 -INDEX GOES BRRR: 330 X: 20.6279 -INDEX GOES BRRR: 164 X: 10.2871 -INDEX GOES BRRR: 110 X: 6.88184 -INDEX GOES BRRR: 751 X: -17.0068 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 485 X: 30.3486 -INDEX GOES BRRR: 107 X: 6.69238 -INDEX GOES BRRR: 82 X: 5.18262 -INDEX GOES BRRR: 196 X: 12.2939 -INDEX GOES BRRR: 53 X: 3.34766 -INDEX GOES BRRR: 1006 X: -1.06836 -INDEX GOES BRRR: 41 X: 2.5918 -INDEX GOES BRRR: 939 X: -5.27637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 861 X: -10.1328 -INDEX GOES BRRR: 259 X: 16.2373 -INDEX GOES BRRR: 0 X: 0.0322266 -INDEX GOES BRRR: 141 X: 8.81641 -INDEX GOES BRRR: 201 X: 12.6064 -INDEX GOES BRRR: 232 X: 14.5137 -INDEX GOES BRRR: 31 X: 1.96387 -INDEX GOES BRRR: 90 X: 5.63281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.0762 -INDEX GOES BRRR: 239 X: 14.9805 -INDEX GOES BRRR: 927 X: -6.05469 -INDEX GOES BRRR: 236 X: 14.7666 -INDEX GOES BRRR: 267 X: 16.7451 -INDEX GOES BRRR: 8 X: 0.548828 -INDEX GOES BRRR: 874 X: -9.36328 -INDEX GOES BRRR: 1021 X: -0.152344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3154 -INDEX GOES BRRR: 955 X: -4.2959 -INDEX GOES BRRR: 376 X: 23.5381 -INDEX GOES BRRR: 21 X: 1.3623 -INDEX GOES BRRR: 922 X: -6.33398 -INDEX GOES BRRR: 154 X: 9.6377 -INDEX GOES BRRR: 972 X: -3.20703 -INDEX GOES BRRR: 171 X: 10.71 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 954 X: -4.33984 -INDEX GOES BRRR: 38 X: 2.40527 -INDEX GOES BRRR: 69 X: 4.35059 -INDEX GOES BRRR: 1009 X: -0.880859 -INDEX GOES BRRR: 103 X: 6.45215 -INDEX GOES BRRR: 971 X: -3.28809 -INDEX GOES BRRR: 184 X: 11.5117 -INDEX GOES BRRR: 127 X: 7.94824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 364 X: 22.8096 -INDEX GOES BRRR: 205 X: 12.8711 -INDEX GOES BRRR: 10 X: 0.636719 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 841 X: -11.4355 -INDEX GOES BRRR: 195 X: 12.2373 -INDEX GOES BRRR: 51 X: 3.23145 -INDEX GOES BRRR: 82 X: 5.15723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.50977 -INDEX GOES BRRR: 233 X: 14.5732 -INDEX GOES BRRR: 252 X: 15.79 -INDEX GOES BRRR: 140 X: 8.81152 -INDEX GOES BRRR: 260 X: 16.3008 -INDEX GOES BRRR: 389 X: 24.3711 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 994 X: -1.85254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 795 X: -14.3096 -INDEX GOES BRRR: 251 X: 15.7344 -INDEX GOES BRRR: 241 X: 15.0713 -INDEX GOES BRRR: 97 X: 6.11523 -INDEX GOES BRRR: 3 X: 0.242188 -INDEX GOES BRRR: 189 X: 11.8633 -INDEX GOES BRRR: 111 X: 6.94727 -INDEX GOES BRRR: 340 X: 21.3115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.32715 -INDEX GOES BRRR: 189 X: 11.8164 -INDEX GOES BRRR: 69 X: 4.36621 -INDEX GOES BRRR: 342 X: 21.3955 -INDEX GOES BRRR: 52 X: 3.28906 -INDEX GOES BRRR: 30 X: 1.88086 -INDEX GOES BRRR: 219 X: 13.7422 -INDEX GOES BRRR: 138 X: 8.66406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 881 X: -8.93555 -INDEX GOES BRRR: 333 X: 20.8721 -INDEX GOES BRRR: 184 X: 11.5225 -INDEX GOES BRRR: 491 X: 30.7207 -INDEX GOES BRRR: 977 X: -2.88672 -INDEX GOES BRRR: 987 X: -2.30664 -INDEX GOES BRRR: 447 X: 27.9443 -INDEX GOES BRRR: 137 X: 8.61719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.0625 -INDEX GOES BRRR: 137 X: 8.58789 -INDEX GOES BRRR: 211 X: 13.2324 -INDEX GOES BRRR: 978 X: -2.81738 -INDEX GOES BRRR: 469 X: 29.3203 -INDEX GOES BRRR: 326 X: 20.376 -INDEX GOES BRRR: 357 X: 22.3711 -INDEX GOES BRRR: 1019 X: -0.282227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.45898 -INDEX GOES BRRR: 232 X: 14.5039 -INDEX GOES BRRR: 1008 X: -0.954102 -INDEX GOES BRRR: 355 X: 22.2148 -INDEX GOES BRRR: 194 X: 12.1533 -INDEX GOES BRRR: 42 X: 2.62793 -INDEX GOES BRRR: 982 X: -2.5918 -INDEX GOES BRRR: 947 X: -4.77051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 940 X: -5.21875 -INDEX GOES BRRR: 166 X: 10.4121 -INDEX GOES BRRR: 266 X: 16.6846 -INDEX GOES BRRR: 406 X: 25.3809 -INDEX GOES BRRR: 207 X: 12.9941 -INDEX GOES BRRR: 318 X: 19.8965 -INDEX GOES BRRR: 244 X: 15.3066 -INDEX GOES BRRR: 305 X: 19.1143 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.792 -INDEX GOES BRRR: 3 X: 0.224609 -INDEX GOES BRRR: 212 X: 13.3027 -INDEX GOES BRRR: 100 X: 6.28613 -INDEX GOES BRRR: 359 X: 22.4824 -INDEX GOES BRRR: 209 X: 13.0928 -INDEX GOES BRRR: 178 X: 11.1406 -INDEX GOES BRRR: 19 X: 1.24023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.06934 -INDEX GOES BRRR: 198 X: 12.4287 -INDEX GOES BRRR: 258 X: 16.1807 -INDEX GOES BRRR: 175 X: 10.96 -INDEX GOES BRRR: 95 X: 5.94629 -INDEX GOES BRRR: 240 X: 15.0469 -INDEX GOES BRRR: 212 X: 13.3018 -INDEX GOES BRRR: 288 X: 18.0469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 441 X: 27.5811 -INDEX GOES BRRR: 280 X: 17.5605 -INDEX GOES BRRR: 298 X: 18.6436 -INDEX GOES BRRR: 103 X: 6.45703 -INDEX GOES BRRR: 188 X: 11.7617 -INDEX GOES BRRR: 932 X: -5.69336 -INDEX GOES BRRR: 313 X: 19.6191 -INDEX GOES BRRR: 175 X: 10.9414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0283 -INDEX GOES BRRR: 134 X: 8.38965 -INDEX GOES BRRR: 83 X: 5.22461 -INDEX GOES BRRR: 901 X: -7.63672 -INDEX GOES BRRR: 251 X: 15.7002 -INDEX GOES BRRR: 872 X: -9.46875 -INDEX GOES BRRR: 900 X: -7.70215 -INDEX GOES BRRR: 84 X: 5.25977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8535 -INDEX GOES BRRR: 926 X: -6.07812 -INDEX GOES BRRR: 912 X: -6.98242 -INDEX GOES BRRR: 224 X: 14.0596 -INDEX GOES BRRR: 147 X: 9.22754 -INDEX GOES BRRR: 152 X: 9.54785 -INDEX GOES BRRR: 148 X: 9.28027 -INDEX GOES BRRR: 207 X: 12.9668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 864 X: -9.94922 -INDEX GOES BRRR: 962 X: -3.83789 -INDEX GOES BRRR: 940 X: -5.2334 -INDEX GOES BRRR: 72 X: 4.52051 -INDEX GOES BRRR: 1016 X: -0.489258 -INDEX GOES BRRR: 305 X: 19.1191 -INDEX GOES BRRR: 101 X: 6.35059 -INDEX GOES BRRR: 79 X: 4.94727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.29102 -INDEX GOES BRRR: 167 X: 10.4922 -INDEX GOES BRRR: 870 X: -9.60645 -INDEX GOES BRRR: 69 X: 4.32617 -INDEX GOES BRRR: 104 X: 6.55078 -INDEX GOES BRRR: 221 X: 13.8506 -INDEX GOES BRRR: 317 X: 19.8369 -INDEX GOES BRRR: 69 X: 4.35254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3164 -INDEX GOES BRRR: 29 X: 1.81738 -INDEX GOES BRRR: 42 X: 2.64355 -INDEX GOES BRRR: 315 X: 19.748 -INDEX GOES BRRR: 281 X: 17.5674 -INDEX GOES BRRR: 193 X: 12.0635 -INDEX GOES BRRR: 319 X: 19.9609 -INDEX GOES BRRR: 92 X: 5.77539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 464 X: 29.0527 -INDEX GOES BRRR: 176 X: 11.0498 -INDEX GOES BRRR: 70 X: 4.42871 -INDEX GOES BRRR: 68 X: 4.2959 -INDEX GOES BRRR: 300 X: 18.7725 -INDEX GOES BRRR: 107 X: 6.72461 -INDEX GOES BRRR: 443 X: 27.7383 -INDEX GOES BRRR: 152 X: 9.51074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7988 -INDEX GOES BRRR: 280 X: 17.5137 -INDEX GOES BRRR: 167 X: 10.4727 -INDEX GOES BRRR: 363 X: 22.71 -INDEX GOES BRRR: 32 X: 2.04785 -INDEX GOES BRRR: 993 X: -1.8916 -INDEX GOES BRRR: 447 X: 27.9785 -INDEX GOES BRRR: 985 X: -2.38867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6729 -INDEX GOES BRRR: 1018 X: -0.333984 -INDEX GOES BRRR: 439 X: 27.459 -INDEX GOES BRRR: 185 X: 11.6191 -INDEX GOES BRRR: 4 X: 0.273438 -INDEX GOES BRRR: 180 X: 11.2705 -INDEX GOES BRRR: 144 X: 9.05762 -INDEX GOES BRRR: 350 X: 21.9209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.67676 -INDEX GOES BRRR: 178 X: 11.1309 -INDEX GOES BRRR: 271 X: 16.9775 -INDEX GOES BRRR: 189 X: 11.8486 -INDEX GOES BRRR: 428 X: 26.7881 -INDEX GOES BRRR: 325 X: 20.3301 -INDEX GOES BRRR: 48 X: 3.0166 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 438 X: 27.4043 -INDEX GOES BRRR: 167 X: 10.4766 -INDEX GOES BRRR: 160 X: 10.0186 -INDEX GOES BRRR: 420 X: 26.2734 -INDEX GOES BRRR: 199 X: 12.4502 -INDEX GOES BRRR: 339 X: 21.207 -INDEX GOES BRRR: 107 X: 6.73047 -INDEX GOES BRRR: 238 X: 14.8984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.3994 -INDEX GOES BRRR: 1009 X: -0.916016 -INDEX GOES BRRR: 216 X: 13.5439 -INDEX GOES BRRR: 1020 X: -0.21582 -INDEX GOES BRRR: 207 X: 12.9619 -INDEX GOES BRRR: 458 X: 28.6602 -INDEX GOES BRRR: 1019 X: -0.291016 -INDEX GOES BRRR: 69 X: 4.31934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 339 X: 21.2363 -INDEX GOES BRRR: 138 X: 8.66016 -INDEX GOES BRRR: 292 X: 18.2578 -INDEX GOES BRRR: 88 X: 5.51562 -INDEX GOES BRRR: 195 X: 12.1943 -INDEX GOES BRRR: 5 X: 0.366211 -INDEX GOES BRRR: 343 X: 21.4844 -INDEX GOES BRRR: 69 X: 4.34668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 465 X: 29.123 -INDEX GOES BRRR: 147 X: 9.20117 -INDEX GOES BRRR: 267 X: 16.7383 -INDEX GOES BRRR: 185 X: 11.6006 -INDEX GOES BRRR: 195 X: 12.2227 -INDEX GOES BRRR: 430 X: 26.9268 -INDEX GOES BRRR: 973 X: -3.13281 -INDEX GOES BRRR: 269 X: 16.8496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 437 X: 27.3496 -INDEX GOES BRRR: 268 X: 16.79 -INDEX GOES BRRR: 408 X: 25.5098 -INDEX GOES BRRR: 217 X: 13.5635 -INDEX GOES BRRR: 124 X: 7.80762 -INDEX GOES BRRR: 65 X: 4.07812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.30957 -INDEX GOES BRRR: 257 X: 16.0879 -INDEX GOES BRRR: 330 X: 20.625 -INDEX GOES BRRR: 167 X: 10.4902 -INDEX GOES BRRR: 189 X: 11.8398 -INDEX GOES BRRR: 71 X: 4.48242 -INDEX GOES BRRR: 344 X: 21.5596 -INDEX GOES BRRR: 294 X: 18.377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 453 X: 28.3555 -INDEX GOES BRRR: 261 X: 16.3438 -INDEX GOES BRRR: 55 X: 3.4668 -INDEX GOES BRRR: 126 X: 7.9248 -INDEX GOES BRRR: 90 X: 5.66309 -INDEX GOES BRRR: 25 X: 1.6123 -INDEX GOES BRRR: 227 X: 14.1875 -INDEX GOES BRRR: 277 X: 17.3164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.00488 -INDEX GOES BRRR: 215 X: 13.4854 -INDEX GOES BRRR: 342 X: 21.4297 -INDEX GOES BRRR: 4 X: 0.270508 -INDEX GOES BRRR: 165 X: 10.3555 -INDEX GOES BRRR: 156 X: 9.7793 -INDEX GOES BRRR: 251 X: 15.7344 -INDEX GOES BRRR: 89 X: 5.62207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 477 X: 29.8418 -INDEX GOES BRRR: 301 X: 18.8359 -INDEX GOES BRRR: 244 X: 15.2549 -INDEX GOES BRRR: 123 X: 7.68945 -INDEX GOES BRRR: 245 X: 15.3643 -INDEX GOES BRRR: 119 X: 7.49512 -INDEX GOES BRRR: 292 X: 18.2686 -INDEX GOES BRRR: 431 X: 26.9814 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 438 X: 27.4102 -INDEX GOES BRRR: 267 X: 16.7002 -INDEX GOES BRRR: 907 X: -7.2998 -INDEX GOES BRRR: 124 X: 7.80664 -INDEX GOES BRRR: 210 X: 13.1641 -INDEX GOES BRRR: 224 X: 14.042 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 229 X: 14.3193 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.63281 -INDEX GOES BRRR: 159 X: 9.94141 -INDEX GOES BRRR: 52 X: 3.26562 -INDEX GOES BRRR: 109 X: 6.85742 -INDEX GOES BRRR: 59 X: 3.71777 -INDEX GOES BRRR: 175 X: 10.9414 -INDEX GOES BRRR: 902 X: -7.60742 -INDEX GOES BRRR: 15 X: 0.97168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 356 X: 22.2793 -INDEX GOES BRRR: 889 X: -8.37598 -INDEX GOES BRRR: 64 X: 4.05371 -INDEX GOES BRRR: 320 X: 20.0312 -INDEX GOES BRRR: 334 X: 20.9121 -INDEX GOES BRRR: 232 X: 14.5127 -INDEX GOES BRRR: 218 X: 13.6328 -INDEX GOES BRRR: 388 X: 24.2686 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.7373 -INDEX GOES BRRR: 105 X: 6.56641 -INDEX GOES BRRR: 311 X: 19.46 -INDEX GOES BRRR: 145 X: 9.06348 -INDEX GOES BRRR: 353 X: 22.0654 -INDEX GOES BRRR: 844 X: -11.2246 -INDEX GOES BRRR: 1009 X: -0.931641 -INDEX GOES BRRR: 261 X: 16.3594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.66699 -INDEX GOES BRRR: 252 X: 15.7949 -INDEX GOES BRRR: 287 X: 17.9541 -INDEX GOES BRRR: 202 X: 12.666 -INDEX GOES BRRR: 315 X: 19.7158 -INDEX GOES BRRR: 24 X: 1.55664 -INDEX GOES BRRR: 162 X: 10.1543 -INDEX GOES BRRR: 470 X: 29.3965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.91992 -INDEX GOES BRRR: 279 X: 17.4775 -INDEX GOES BRRR: 249 X: 15.623 -INDEX GOES BRRR: 1012 X: -0.700195 -INDEX GOES BRRR: 397 X: 24.8193 -INDEX GOES BRRR: 54 X: 3.43164 -INDEX GOES BRRR: 77 X: 4.83398 -INDEX GOES BRRR: 66 X: 4.17578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 975 X: -3.02246 -INDEX GOES BRRR: 142 X: 8.92578 -INDEX GOES BRRR: 86 X: 5.39355 -INDEX GOES BRRR: 260 X: 16.2646 -INDEX GOES BRRR: 85 X: 5.32617 -INDEX GOES BRRR: 259 X: 16.2383 -INDEX GOES BRRR: 154 X: 9.66895 -INDEX GOES BRRR: 364 X: 22.7861 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.00391 -INDEX GOES BRRR: 33 X: 2.0957 -INDEX GOES BRRR: 337 X: 21.1152 -INDEX GOES BRRR: 197 X: 12.3125 -INDEX GOES BRRR: 85 X: 5.37109 -INDEX GOES BRRR: 86 X: 5.4248 -INDEX GOES BRRR: 207 X: 12.9736 -INDEX GOES BRRR: 249 X: 15.6045 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 150 X: 9.4043 -INDEX GOES BRRR: 82 X: 5.14941 -INDEX GOES BRRR: 400 X: 25.0312 -INDEX GOES BRRR: 141 X: 8.84961 -INDEX GOES BRRR: 371 X: 23.2471 -INDEX GOES BRRR: 247 X: 15.4834 -INDEX GOES BRRR: 122 X: 7.65137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.51953 -INDEX GOES BRRR: 70 X: 4.42285 -INDEX GOES BRRR: 274 X: 17.1738 -INDEX GOES BRRR: 300 X: 18.7676 -INDEX GOES BRRR: 330 X: 20.6611 -INDEX GOES BRRR: 873 X: -9.42578 -INDEX GOES BRRR: 283 X: 17.7041 -INDEX GOES BRRR: 143 X: 8.96582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.01562 -INDEX GOES BRRR: 55 X: 3.46191 -INDEX GOES BRRR: 123 X: 7.69531 -INDEX GOES BRRR: 64 X: 4.02832 -INDEX GOES BRRR: 43 X: 2.74316 -INDEX GOES BRRR: 181 X: 11.3154 -INDEX GOES BRRR: 988 X: -2.24219 -INDEX GOES BRRR: 430 X: 26.8828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 989 X: -2.17383 -INDEX GOES BRRR: 953 X: -4.41211 -INDEX GOES BRRR: 35 X: 2.21387 -INDEX GOES BRRR: 72 X: 4.5166 -INDEX GOES BRRR: 92 X: 5.79688 -INDEX GOES BRRR: 93 X: 5.82715 -INDEX GOES BRRR: 190 X: 11.9082 -INDEX GOES BRRR: 423 X: 26.4385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 838 X: -11.6191 -INDEX GOES BRRR: 43 X: 2.70215 -INDEX GOES BRRR: 78 X: 4.875 -INDEX GOES BRRR: 495 X: 30.9834 -INDEX GOES BRRR: 137 X: 8.62305 -INDEX GOES BRRR: 30 X: 1.91309 -INDEX GOES BRRR: 934 X: -5.58008 -INDEX GOES BRRR: 321 X: 20.0791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.83984 -INDEX GOES BRRR: 221 X: 13.8242 -INDEX GOES BRRR: 235 X: 14.7148 -INDEX GOES BRRR: 111 X: 6.96289 -INDEX GOES BRRR: 164 X: 10.2871 -INDEX GOES BRRR: 897 X: -7.9043 -INDEX GOES BRRR: 193 X: 12.1182 -INDEX GOES BRRR: 80 X: 5.0459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.32324 -INDEX GOES BRRR: 54 X: 3.43652 -INDEX GOES BRRR: 125 X: 7.84863 -INDEX GOES BRRR: 102 X: 6.41699 -INDEX GOES BRRR: 305 X: 19.1113 -INDEX GOES BRRR: 155 X: 9.71094 -INDEX GOES BRRR: 172 X: 10.793 -INDEX GOES BRRR: 364 X: 22.7998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 357 X: 22.3408 -INDEX GOES BRRR: 146 X: 9.13867 -INDEX GOES BRRR: 251 X: 15.707 -INDEX GOES BRRR: 401 X: 25.0977 -INDEX GOES BRRR: 233 X: 14.6133 -INDEX GOES BRRR: 78 X: 4.88184 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 857 X: -10.4248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4639 -INDEX GOES BRRR: 25 X: 1.61523 -INDEX GOES BRRR: 936 X: -5.48926 -INDEX GOES BRRR: 29 X: 1.8291 -INDEX GOES BRRR: 264 X: 16.5 -INDEX GOES BRRR: 311 X: 19.4639 -INDEX GOES BRRR: 238 X: 14.8936 -INDEX GOES BRRR: 979 X: -2.75684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 779 X: -15.29 -INDEX GOES BRRR: 153 X: 9.58203 -INDEX GOES BRRR: 798 X: -14.0859 -INDEX GOES BRRR: 434 X: 27.126 -INDEX GOES BRRR: 188 X: 11.7881 -INDEX GOES BRRR: 1014 X: -0.583008 -INDEX GOES BRRR: 911 X: -7.06152 -INDEX GOES BRRR: 151 X: 9.46191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 847 X: -11.0605 -INDEX GOES BRRR: 1015 X: -0.505859 -INDEX GOES BRRR: 30 X: 1.90918 -INDEX GOES BRRR: 298 X: 18.6846 -INDEX GOES BRRR: 921 X: -6.42285 -INDEX GOES BRRR: 208 X: 13.0518 -INDEX GOES BRRR: 4 X: 0.301758 -INDEX GOES BRRR: 44 X: 2.80176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 965 X: -3.68359 -INDEX GOES BRRR: 981 X: -2.67969 -INDEX GOES BRRR: 873 X: -9.41602 -INDEX GOES BRRR: 6 X: 0.426758 -INDEX GOES BRRR: 274 X: 17.1465 -INDEX GOES BRRR: 267 X: 16.7246 -INDEX GOES BRRR: 262 X: 16.3857 -INDEX GOES BRRR: 998 X: -1.59375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.38281 -INDEX GOES BRRR: 1 X: 0.0917969 -INDEX GOES BRRR: 168 X: 10.5518 -INDEX GOES BRRR: 243 X: 15.1924 -INDEX GOES BRRR: 108 X: 6.80957 -INDEX GOES BRRR: 310 X: 19.3877 -INDEX GOES BRRR: 45 X: 2.84375 -INDEX GOES BRRR: 109 X: 6.85254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0537 -INDEX GOES BRRR: 374 X: 23.4307 -INDEX GOES BRRR: 82 X: 5.12695 -INDEX GOES BRRR: 144 X: 9.04883 -INDEX GOES BRRR: 166 X: 10.3896 -INDEX GOES BRRR: 103 X: 6.47656 -INDEX GOES BRRR: 55 X: 3.48047 -INDEX GOES BRRR: 334 X: 20.9258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.86426 -INDEX GOES BRRR: 401 X: 25.0742 -INDEX GOES BRRR: 185 X: 11.5977 -INDEX GOES BRRR: 909 X: -7.1875 -INDEX GOES BRRR: 108 X: 6.80273 -INDEX GOES BRRR: 46 X: 2.92871 -INDEX GOES BRRR: 176 X: 11.0508 -INDEX GOES BRRR: 169 X: 10.6094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.52832 -INDEX GOES BRRR: 49 X: 3.07227 -INDEX GOES BRRR: 345 X: 21.5957 -INDEX GOES BRRR: 18 X: 1.12598 -INDEX GOES BRRR: 277 X: 17.3623 -INDEX GOES BRRR: 77 X: 4.8418 -INDEX GOES BRRR: 959 X: -4.01172 -INDEX GOES BRRR: 988 X: -2.2207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.84863 -INDEX GOES BRRR: 81 X: 5.08398 -INDEX GOES BRRR: 153 X: 9.61816 -INDEX GOES BRRR: 776 X: -15.4512 -INDEX GOES BRRR: 98 X: 6.15137 -INDEX GOES BRRR: 186 X: 11.6729 -INDEX GOES BRRR: 244 X: 15.2715 -INDEX GOES BRRR: 87 X: 5.48242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 830 X: -12.1104 -INDEX GOES BRRR: 109 X: 6.81543 -INDEX GOES BRRR: 71 X: 4.45508 -INDEX GOES BRRR: 393 X: 24.5732 -INDEX GOES BRRR: 235 X: 14.7422 -INDEX GOES BRRR: 121 X: 7.57129 -INDEX GOES BRRR: 56 X: 3.53418 -INDEX GOES BRRR: 127 X: 7.94922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.6709 -INDEX GOES BRRR: 35 X: 2.19824 -INDEX GOES BRRR: 825 X: -12.4287 -INDEX GOES BRRR: 231 X: 14.4609 -INDEX GOES BRRR: 115 X: 7.20703 -INDEX GOES BRRR: 186 X: 11.6504 -INDEX GOES BRRR: 281 X: 17.5674 -INDEX GOES BRRR: 240 X: 15.04 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.44629 -INDEX GOES BRRR: 56 X: 3.54883 -INDEX GOES BRRR: 957 X: -4.16406 -INDEX GOES BRRR: 145 X: 9.08398 -INDEX GOES BRRR: 251 X: 15.7354 -INDEX GOES BRRR: 998 X: -1.5957 -INDEX GOES BRRR: 206 X: 12.9307 -INDEX GOES BRRR: 281 X: 17.6123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 393 X: 24.624 -INDEX GOES BRRR: 114 X: 7.16504 -INDEX GOES BRRR: 382 X: 23.9111 -INDEX GOES BRRR: 171 X: 10.7012 -INDEX GOES BRRR: 100 X: 6.29004 -INDEX GOES BRRR: 98 X: 6.17188 -INDEX GOES BRRR: 494 X: 30.8779 -INDEX GOES BRRR: 136 X: 8.54102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.5957 -INDEX GOES BRRR: 285 X: 17.8643 -INDEX GOES BRRR: 70 X: 4.39844 -INDEX GOES BRRR: 301 X: 18.8486 -INDEX GOES BRRR: 216 X: 13.5439 -INDEX GOES BRRR: 240 X: 15.0137 -INDEX GOES BRRR: 68 X: 4.29883 -INDEX GOES BRRR: 222 X: 13.9014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.374 -INDEX GOES BRRR: 332 X: 20.8096 -INDEX GOES BRRR: 175 X: 10.9531 -INDEX GOES BRRR: 1023 X: -0.0498047 -INDEX GOES BRRR: 332 X: 20.751 -INDEX GOES BRRR: 27 X: 1.69629 -INDEX GOES BRRR: 380 X: 23.79 -INDEX GOES BRRR: 950 X: -4.61523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 980 X: -2.70215 -INDEX GOES BRRR: 209 X: 13.0908 -INDEX GOES BRRR: 331 X: 20.7422 -INDEX GOES BRRR: 798 X: -14.1025 -INDEX GOES BRRR: 124 X: 7.79395 -INDEX GOES BRRR: 282 X: 17.6768 -INDEX GOES BRRR: 232 X: 14.5117 -INDEX GOES BRRR: 392 X: 24.5371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 460 X: 28.8047 -INDEX GOES BRRR: 902 X: -7.61523 -INDEX GOES BRRR: 464 X: 29.0273 -INDEX GOES BRRR: 212 X: 13.251 -INDEX GOES BRRR: 432 X: 27.0029 -INDEX GOES BRRR: 153 X: 9.58594 -INDEX GOES BRRR: 280 X: 17.5381 -INDEX GOES BRRR: 395 X: 24.6953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 349 X: 21.8574 -INDEX GOES BRRR: 161 X: 10.0908 -INDEX GOES BRRR: 989 X: -2.17188 -INDEX GOES BRRR: 184 X: 11.5186 -INDEX GOES BRRR: 866 X: -9.8252 -INDEX GOES BRRR: 182 X: 11.3857 -INDEX GOES BRRR: 11 X: 0.741211 -INDEX GOES BRRR: 108 X: 6.77441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.20117 -INDEX GOES BRRR: 291 X: 18.21 -INDEX GOES BRRR: 928 X: -5.98242 -INDEX GOES BRRR: 131 X: 8.2207 -INDEX GOES BRRR: 915 X: -6.7627 -INDEX GOES BRRR: 330 X: 20.6826 -INDEX GOES BRRR: 208 X: 13.0488 -INDEX GOES BRRR: 213 X: 13.3496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 4 X: 0.307617 -INDEX GOES BRRR: 278 X: 17.376 -INDEX GOES BRRR: 265 X: 16.6143 -INDEX GOES BRRR: 943 X: -5.03711 -INDEX GOES BRRR: 134 X: 8.39062 -INDEX GOES BRRR: 8 X: 0.510742 -INDEX GOES BRRR: 188 X: 11.7559 -INDEX GOES BRRR: 950 X: -4.56738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 889 X: -8.41309 -INDEX GOES BRRR: 11 X: 0.712891 -INDEX GOES BRRR: 60 X: 3.7998 -INDEX GOES BRRR: 24 X: 1.53613 -INDEX GOES BRRR: 459 X: 28.7139 -INDEX GOES BRRR: 42 X: 2.64844 -INDEX GOES BRRR: 156 X: 9.7832 -INDEX GOES BRRR: 322 X: 20.1611 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 411 X: 25.6963 -INDEX GOES BRRR: 81 X: 5.10449 -INDEX GOES BRRR: 986 X: -2.32324 -INDEX GOES BRRR: 1000 X: -1.44922 -INDEX GOES BRRR: 942 X: -5.09277 -INDEX GOES BRRR: 254 X: 15.8799 -INDEX GOES BRRR: 239 X: 14.9404 -INDEX GOES BRRR: 178 X: 11.1719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 958 X: -4.12012 -INDEX GOES BRRR: 57 X: 3.56543 -INDEX GOES BRRR: 166 X: 10.3779 -INDEX GOES BRRR: 360 X: 22.5439 -INDEX GOES BRRR: 334 X: 20.8965 -INDEX GOES BRRR: 390 X: 24.417 -INDEX GOES BRRR: 35 X: 2.19922 -INDEX GOES BRRR: 127 X: 7.99805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.6895 -INDEX GOES BRRR: 127 X: 7.99707 -INDEX GOES BRRR: 854 X: -10.5938 -INDEX GOES BRRR: 489 X: 30.6133 -INDEX GOES BRRR: 273 X: 17.1201 -INDEX GOES BRRR: 170 X: 10.626 -INDEX GOES BRRR: 478 X: 29.8818 -INDEX GOES BRRR: 304 X: 19.002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1377 -INDEX GOES BRRR: 840 X: -11.4619 -INDEX GOES BRRR: 330 X: 20.6855 -INDEX GOES BRRR: 228 X: 14.2773 -INDEX GOES BRRR: 130 X: 8.12793 -INDEX GOES BRRR: 164 X: 10.2715 -INDEX GOES BRRR: 943 X: -5.05566 -INDEX GOES BRRR: 879 X: -9.0293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.577148 -INDEX GOES BRRR: 158 X: 9.9209 -INDEX GOES BRRR: 15 X: 0.976562 -INDEX GOES BRRR: 83 X: 5.20215 -INDEX GOES BRRR: 248 X: 15.5391 -INDEX GOES BRRR: 250 X: 15.6865 -INDEX GOES BRRR: 344 X: 21.5605 -INDEX GOES BRRR: 208 X: 13.0049 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.42188 -INDEX GOES BRRR: 99 X: 6.19336 -INDEX GOES BRRR: 202 X: 12.6572 -INDEX GOES BRRR: 255 X: 15.9736 -INDEX GOES BRRR: 1015 X: -0.549805 -INDEX GOES BRRR: 22 X: 1.43652 -INDEX GOES BRRR: 320 X: 20.0293 -INDEX GOES BRRR: 217 X: 13.6201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.8291 -INDEX GOES BRRR: 242 X: 15.1787 -INDEX GOES BRRR: 233 X: 14.5791 -INDEX GOES BRRR: 68 X: 4.30859 -INDEX GOES BRRR: 165 X: 10.3135 -INDEX GOES BRRR: 182 X: 11.4033 -INDEX GOES BRRR: 56 X: 3.5127 -INDEX GOES BRRR: 871 X: -9.51855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 956 X: -4.21973 -INDEX GOES BRRR: 341 X: 21.335 -INDEX GOES BRRR: 385 X: 24.0898 -INDEX GOES BRRR: 331 X: 20.7031 -INDEX GOES BRRR: 37 X: 2.33301 -INDEX GOES BRRR: 67 X: 4.19336 -INDEX GOES BRRR: 259 X: 16.1924 -INDEX GOES BRRR: 92 X: 5.80566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3418 -INDEX GOES BRRR: 45 X: 2.82812 -INDEX GOES BRRR: 248 X: 15.5137 -INDEX GOES BRRR: 148 X: 9.30273 -INDEX GOES BRRR: 954 X: -4.32324 -INDEX GOES BRRR: 107 X: 6.7002 -INDEX GOES BRRR: 294 X: 18.3896 -INDEX GOES BRRR: 295 X: 18.4932 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.00293 -INDEX GOES BRRR: 242 X: 15.1729 -INDEX GOES BRRR: 157 X: 9.82129 -INDEX GOES BRRR: 111 X: 6.97949 -INDEX GOES BRRR: 207 X: 12.9814 -INDEX GOES BRRR: 142 X: 8.92773 -INDEX GOES BRRR: 26 X: 1.625 -INDEX GOES BRRR: 153 X: 9.62207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.5166 -INDEX GOES BRRR: 65 X: 4.08691 -INDEX GOES BRRR: 311 X: 19.4971 -INDEX GOES BRRR: 955 X: -4.28516 -INDEX GOES BRRR: 266 X: 16.6719 -INDEX GOES BRRR: 189 X: 11.8535 -INDEX GOES BRRR: 224 X: 14.0557 -INDEX GOES BRRR: 116 X: 7.31152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3682 -INDEX GOES BRRR: 106 X: 6.66992 -INDEX GOES BRRR: 964 X: -3.71875 -INDEX GOES BRRR: 184 X: 11.5342 -INDEX GOES BRRR: 98 X: 6.14941 -INDEX GOES BRRR: 106 X: 6.66992 -INDEX GOES BRRR: 968 X: -3.49609 -INDEX GOES BRRR: 262 X: 16.4258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6523 -INDEX GOES BRRR: 312 X: 19.5508 -INDEX GOES BRRR: 147 X: 9.24512 -INDEX GOES BRRR: 256 X: 16.0449 -INDEX GOES BRRR: 883 X: -8.7959 -INDEX GOES BRRR: 820 X: -12.7412 -INDEX GOES BRRR: 119 X: 7.45898 -INDEX GOES BRRR: 380 X: 23.752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.7188 -INDEX GOES BRRR: 1006 X: -1.09961 -INDEX GOES BRRR: 88 X: 5.50684 -INDEX GOES BRRR: 222 X: 13.8818 -INDEX GOES BRRR: 73 X: 4.56348 -INDEX GOES BRRR: 192 X: 12.0273 -INDEX GOES BRRR: 44 X: 2.81055 -INDEX GOES BRRR: 47 X: 2.9873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7412 -INDEX GOES BRRR: 60 X: 3.79688 -INDEX GOES BRRR: 237 X: 14.8145 -INDEX GOES BRRR: 965 X: -3.66895 -INDEX GOES BRRR: 159 X: 9.94043 -INDEX GOES BRRR: 146 X: 9.16016 -INDEX GOES BRRR: 161 X: 10.1201 -INDEX GOES BRRR: 383 X: 23.9951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5566 -INDEX GOES BRRR: 354 X: 22.1768 -INDEX GOES BRRR: 149 X: 9.34961 -INDEX GOES BRRR: 32 X: 2.00879 -INDEX GOES BRRR: 268 X: 16.7881 -INDEX GOES BRRR: 106 X: 6.68359 -INDEX GOES BRRR: 417 X: 26.0703 -INDEX GOES BRRR: 16 X: 1.01953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 963 X: -3.79395 -INDEX GOES BRRR: 200 X: 12.5508 -INDEX GOES BRRR: 298 X: 18.6348 -INDEX GOES BRRR: 344 X: 21.5576 -INDEX GOES BRRR: 284 X: 17.7822 -INDEX GOES BRRR: 244 X: 15.2959 -INDEX GOES BRRR: 174 X: 10.9307 -INDEX GOES BRRR: 286 X: 17.9072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4355 -INDEX GOES BRRR: 282 X: 17.6777 -INDEX GOES BRRR: 266 X: 16.6465 -INDEX GOES BRRR: 159 X: 9.94531 -INDEX GOES BRRR: 226 X: 14.1289 -INDEX GOES BRRR: 86 X: 5.38965 -INDEX GOES BRRR: 114 X: 7.14258 -INDEX GOES BRRR: 192 X: 12.0225 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.71484 -INDEX GOES BRRR: 170 X: 10.6611 -INDEX GOES BRRR: 382 X: 23.9336 -INDEX GOES BRRR: 381 X: 23.8369 -INDEX GOES BRRR: 200 X: 12.5605 -INDEX GOES BRRR: 7 X: 0.482422 -INDEX GOES BRRR: 36 X: 2.26562 -INDEX GOES BRRR: 319 X: 19.9814 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 895 X: -8.01953 -INDEX GOES BRRR: 1016 X: -0.46582 -INDEX GOES BRRR: 42 X: 2.66211 -INDEX GOES BRRR: 86 X: 5.43359 -INDEX GOES BRRR: 266 X: 16.6797 -INDEX GOES BRRR: 2 X: 0.169922 -INDEX GOES BRRR: 24 X: 1.52734 -INDEX GOES BRRR: 308 X: 19.2959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.9307 -INDEX GOES BRRR: 394 X: 24.626 -INDEX GOES BRRR: 28 X: 1.77734 -INDEX GOES BRRR: 152 X: 9.50977 -INDEX GOES BRRR: 387 X: 24.208 -INDEX GOES BRRR: 60 X: 3.75586 -INDEX GOES BRRR: 342 X: 21.3896 -INDEX GOES BRRR: 96 X: 6.02441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4551 -INDEX GOES BRRR: 38 X: 2.41895 -INDEX GOES BRRR: 378 X: 23.6738 -INDEX GOES BRRR: 122 X: 7.6416 -INDEX GOES BRRR: 228 X: 14.3105 -INDEX GOES BRRR: 325 X: 20.3184 -INDEX GOES BRRR: 58 X: 3.68457 -INDEX GOES BRRR: 243 X: 15.1914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.50586 -INDEX GOES BRRR: 305 X: 19.1006 -INDEX GOES BRRR: 201 X: 12.5752 -INDEX GOES BRRR: 951 X: -4.5166 -INDEX GOES BRRR: 382 X: 23.9033 -INDEX GOES BRRR: 125 X: 7.85352 -INDEX GOES BRRR: 45 X: 2.86816 -INDEX GOES BRRR: 65 X: 4.07715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.66797 -INDEX GOES BRRR: 287 X: 17.9609 -INDEX GOES BRRR: 297 X: 18.6221 -INDEX GOES BRRR: 353 X: 22.1172 -INDEX GOES BRRR: 51 X: 3.24707 -INDEX GOES BRRR: 184 X: 11.5322 -INDEX GOES BRRR: 991 X: -2.01367 -INDEX GOES BRRR: 354 X: 22.1602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.45898 -INDEX GOES BRRR: 157 X: 9.85645 -INDEX GOES BRRR: 86 X: 5.41309 -INDEX GOES BRRR: 479 X: 29.9512 -INDEX GOES BRRR: 229 X: 14.3418 -INDEX GOES BRRR: 896 X: -7.99414 -INDEX GOES BRRR: 184 X: 11.5039 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 999 X: -1.52344 -INDEX GOES BRRR: 426 X: 26.6836 -INDEX GOES BRRR: 1023 X: -0.0478516 -INDEX GOES BRRR: 66 X: 4.13281 -INDEX GOES BRRR: 1008 X: -0.981445 -INDEX GOES BRRR: 358 X: 22.4131 -INDEX GOES BRRR: 118 X: 7.38672 -INDEX GOES BRRR: 303 X: 18.9443 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1523 -INDEX GOES BRRR: 164 X: 10.2939 -INDEX GOES BRRR: 946 X: -4.85938 -INDEX GOES BRRR: 99 X: 6.24512 -INDEX GOES BRRR: 197 X: 12.3145 -INDEX GOES BRRR: 292 X: 18.2686 -INDEX GOES BRRR: 108 X: 6.76465 -INDEX GOES BRRR: 276 X: 17.2588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.74414 -INDEX GOES BRRR: 299 X: 18.748 -INDEX GOES BRRR: 73 X: 4.62012 -INDEX GOES BRRR: 266 X: 16.6787 -INDEX GOES BRRR: 417 X: 26.082 -INDEX GOES BRRR: 69 X: 4.32617 -INDEX GOES BRRR: 53 X: 3.36621 -INDEX GOES BRRR: 179 X: 11.21 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 391 X: 24.4766 -INDEX GOES BRRR: 783 X: -15.0156 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 117 X: 7.33008 -INDEX GOES BRRR: 133 X: 8.37109 -INDEX GOES BRRR: 396 X: 24.8018 -INDEX GOES BRRR: 288 X: 18.0557 -INDEX GOES BRRR: 435 X: 27.2168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 978 X: -2.87012 -INDEX GOES BRRR: 123 X: 7.73633 -INDEX GOES BRRR: 33 X: 2.10352 -INDEX GOES BRRR: 11 X: 0.727539 -INDEX GOES BRRR: 453 X: 28.3711 -INDEX GOES BRRR: 111 X: 6.94043 -INDEX GOES BRRR: 86 X: 5.39258 -INDEX GOES BRRR: 320 X: 20.0312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 361 X: 22.5977 -INDEX GOES BRRR: 233 X: 14.6143 -INDEX GOES BRRR: 115 X: 7.18945 -INDEX GOES BRRR: 197 X: 12.373 -INDEX GOES BRRR: 340 X: 21.2832 -INDEX GOES BRRR: 200 X: 12.5127 -INDEX GOES BRRR: 432 X: 27.0586 -INDEX GOES BRRR: 830 X: -12.0693 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.82324 -INDEX GOES BRRR: 112 X: 7.00098 -INDEX GOES BRRR: 56 X: 3.54883 -INDEX GOES BRRR: 135 X: 8.47852 -INDEX GOES BRRR: 990 X: -2.12012 -INDEX GOES BRRR: 257 X: 16.0977 -INDEX GOES BRRR: 859 X: -10.2871 -INDEX GOES BRRR: 207 X: 12.9629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1768 -INDEX GOES BRRR: 897 X: -7.93066 -INDEX GOES BRRR: 284 X: 17.7979 -INDEX GOES BRRR: 214 X: 13.4209 -INDEX GOES BRRR: 53 X: 3.33496 -INDEX GOES BRRR: 202 X: 12.6338 -INDEX GOES BRRR: 382 X: 23.8887 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.20215 -INDEX GOES BRRR: 225 X: 14.124 -INDEX GOES BRRR: 153 X: 9.5918 -INDEX GOES BRRR: 281 X: 17.5664 -INDEX GOES BRRR: 230 X: 14.416 -INDEX GOES BRRR: 1008 X: -0.975586 -INDEX GOES BRRR: 59 X: 3.70703 -INDEX GOES BRRR: 218 X: 13.6348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.73145 -INDEX GOES BRRR: 226 X: 14.1494 -INDEX GOES BRRR: 403 X: 25.2363 -INDEX GOES BRRR: 205 X: 12.8643 -INDEX GOES BRRR: 348 X: 21.7881 -INDEX GOES BRRR: 6 X: 0.398438 -INDEX GOES BRRR: 324 X: 20.2578 -INDEX GOES BRRR: 980 X: -2.70215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.4873 -INDEX GOES BRRR: 200 X: 12.5146 -INDEX GOES BRRR: 112 X: 7.04883 -INDEX GOES BRRR: 131 X: 8.22559 -INDEX GOES BRRR: 866 X: -9.84961 -INDEX GOES BRRR: 153 X: 9.58789 -INDEX GOES BRRR: 75 X: 4.73633 -INDEX GOES BRRR: 1008 X: -0.947266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 897 X: -7.90723 -INDEX GOES BRRR: 1005 X: -1.13574 -INDEX GOES BRRR: 336 X: 21.0195 -INDEX GOES BRRR: 317 X: 19.8408 -INDEX GOES BRRR: 1008 X: -0.983398 -INDEX GOES BRRR: 321 X: 20.0752 -INDEX GOES BRRR: 417 X: 26.1025 -INDEX GOES BRRR: 274 X: 17.1689 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.200195 -INDEX GOES BRRR: 1022 X: -0.124023 -INDEX GOES BRRR: 141 X: 8.85352 -INDEX GOES BRRR: 1012 X: -0.735352 -INDEX GOES BRRR: 265 X: 16.5938 -INDEX GOES BRRR: 422 X: 26.3887 -INDEX GOES BRRR: 271 X: 16.9932 -INDEX GOES BRRR: 292 X: 18.252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 128 X: 8.04883 -INDEX GOES BRRR: 180 X: 11.3047 -INDEX GOES BRRR: 74 X: 4.65527 -INDEX GOES BRRR: 379 X: 23.7217 -INDEX GOES BRRR: 22 X: 1.39648 -INDEX GOES BRRR: 57 X: 3.59863 -INDEX GOES BRRR: 200 X: 12.5312 -INDEX GOES BRRR: 107 X: 6.72363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0479 -INDEX GOES BRRR: 284 X: 17.7529 -INDEX GOES BRRR: 905 X: -7.43359 -INDEX GOES BRRR: 278 X: 17.4043 -INDEX GOES BRRR: 255 X: 15.9854 -INDEX GOES BRRR: 1004 X: -1.2207 -INDEX GOES BRRR: 320 X: 20.0254 -INDEX GOES BRRR: 404 X: 25.2529 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.959 -INDEX GOES BRRR: 101 X: 6.31836 -INDEX GOES BRRR: 64 X: 4.00684 -INDEX GOES BRRR: 1011 X: -0.800781 -INDEX GOES BRRR: 72 X: 4.52832 -INDEX GOES BRRR: 887 X: -8.54199 -INDEX GOES BRRR: 883 X: -8.80078 -INDEX GOES BRRR: 184 X: 11.5137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0693 -INDEX GOES BRRR: 227 X: 14.2275 -INDEX GOES BRRR: 89 X: 5.59082 -INDEX GOES BRRR: 808 X: -13.457 -INDEX GOES BRRR: 117 X: 7.35352 -INDEX GOES BRRR: 255 X: 15.9629 -INDEX GOES BRRR: 176 X: 11.0479 -INDEX GOES BRRR: 113 X: 7.08008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.88184 -INDEX GOES BRRR: 158 X: 9.88867 -INDEX GOES BRRR: 237 X: 14.833 -INDEX GOES BRRR: 191 X: 11.9941 -INDEX GOES BRRR: 299 X: 18.7471 -INDEX GOES BRRR: 178 X: 11.1582 -INDEX GOES BRRR: 94 X: 5.92383 -INDEX GOES BRRR: 1020 X: -0.189453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9453 -INDEX GOES BRRR: 89 X: 5.57129 -INDEX GOES BRRR: 285 X: 17.8428 -INDEX GOES BRRR: 999 X: -1.51758 -INDEX GOES BRRR: 85 X: 5.3418 -INDEX GOES BRRR: 938 X: -5.32422 -INDEX GOES BRRR: 169 X: 10.5713 -INDEX GOES BRRR: 1005 X: -1.15234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.40625 -INDEX GOES BRRR: 164 X: 10.2822 -INDEX GOES BRRR: 428 X: 26.7656 -INDEX GOES BRRR: 991 X: -2.04199 -INDEX GOES BRRR: 176 X: 11.002 -INDEX GOES BRRR: 321 X: 20.0684 -INDEX GOES BRRR: 265 X: 16.582 -INDEX GOES BRRR: 829 X: -12.1465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 372 X: 23.2705 -INDEX GOES BRRR: 163 X: 10.2236 -INDEX GOES BRRR: 153 X: 9.59961 -INDEX GOES BRRR: 288 X: 18.001 -INDEX GOES BRRR: 381 X: 23.8574 -INDEX GOES BRRR: 150 X: 9.39355 -INDEX GOES BRRR: 209 X: 13.082 -INDEX GOES BRRR: 266 X: 16.6846 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.45801 -INDEX GOES BRRR: 978 X: -2.84082 -INDEX GOES BRRR: 337 X: 21.1006 -INDEX GOES BRRR: 210 X: 13.1396 -INDEX GOES BRRR: 200 X: 12.5293 -INDEX GOES BRRR: 157 X: 9.83203 -INDEX GOES BRRR: 140 X: 8.75098 -INDEX GOES BRRR: 311 X: 19.4688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2559 -INDEX GOES BRRR: 38 X: 2.38867 -INDEX GOES BRRR: 336 X: 21.0449 -INDEX GOES BRRR: 306 X: 19.167 -INDEX GOES BRRR: 82 X: 5.13672 -INDEX GOES BRRR: 146 X: 9.16211 -INDEX GOES BRRR: 283 X: 17.7422 -INDEX GOES BRRR: 1006 X: -1.07812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.3027 -INDEX GOES BRRR: 940 X: -5.24805 -INDEX GOES BRRR: 1020 X: -0.198242 -INDEX GOES BRRR: 251 X: 15.6934 -INDEX GOES BRRR: 965 X: -3.6875 -INDEX GOES BRRR: 225 X: 14.0957 -INDEX GOES BRRR: 356 X: 22.2549 -INDEX GOES BRRR: 46 X: 2.92773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.46387 -INDEX GOES BRRR: 180 X: 11.2637 -INDEX GOES BRRR: 272 X: 17.0107 -INDEX GOES BRRR: 150 X: 9.42285 -INDEX GOES BRRR: 139 X: 8.74121 -INDEX GOES BRRR: 212 X: 13.2568 -INDEX GOES BRRR: 75 X: 4.72266 -INDEX GOES BRRR: 70 X: 4.39453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 335 X: 20.9551 -INDEX GOES BRRR: 350 X: 21.9121 -INDEX GOES BRRR: 121 X: 7.58398 -INDEX GOES BRRR: 51 X: 3.2002 -INDEX GOES BRRR: 228 X: 14.2734 -INDEX GOES BRRR: 214 X: 13.4219 -INDEX GOES BRRR: 304 X: 19 -INDEX GOES BRRR: 303 X: 18.9668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.07715 -INDEX GOES BRRR: 73 X: 4.59375 -INDEX GOES BRRR: 117 X: 7.34277 -INDEX GOES BRRR: 231 X: 14.4844 -INDEX GOES BRRR: 95 X: 5.97168 -INDEX GOES BRRR: 154 X: 9.68164 -INDEX GOES BRRR: 58 X: 3.63379 -INDEX GOES BRRR: 214 X: 13.3779 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 4 X: 0.295898 -INDEX GOES BRRR: 214 X: 13.3818 -INDEX GOES BRRR: 120 X: 7.5293 -INDEX GOES BRRR: 3 X: 0.193359 -INDEX GOES BRRR: 172 X: 10.7803 -INDEX GOES BRRR: 101 X: 6.34473 -INDEX GOES BRRR: 238 X: 14.8984 -INDEX GOES BRRR: 811 X: -13.2666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 356 X: 22.2891 -INDEX GOES BRRR: 366 X: 22.8818 -INDEX GOES BRRR: 947 X: -4.79004 -INDEX GOES BRRR: 39 X: 2.45703 -INDEX GOES BRRR: 140 X: 8.77441 -INDEX GOES BRRR: 975 X: -3.03711 -INDEX GOES BRRR: 341 X: 21.3613 -INDEX GOES BRRR: 336 X: 21.0088 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.55273 -INDEX GOES BRRR: 152 X: 9.55176 -INDEX GOES BRRR: 431 X: 26.9629 -INDEX GOES BRRR: 77 X: 4.84863 -INDEX GOES BRRR: 270 X: 16.8994 -INDEX GOES BRRR: 273 X: 17.1055 -INDEX GOES BRRR: 1008 X: -0.961914 -INDEX GOES BRRR: 37 X: 2.37305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.7988 -INDEX GOES BRRR: 97 X: 6.08984 -INDEX GOES BRRR: 60 X: 3.75098 -INDEX GOES BRRR: 1014 X: -0.574219 -INDEX GOES BRRR: 947 X: -4.75586 -INDEX GOES BRRR: 116 X: 7.28711 -INDEX GOES BRRR: 1005 X: -1.16992 -INDEX GOES BRRR: 240 X: 15.0303 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.01172 -INDEX GOES BRRR: 161 X: 10.123 -INDEX GOES BRRR: 350 X: 21.8955 -INDEX GOES BRRR: 57 X: 3.58887 -INDEX GOES BRRR: 320 X: 20.0186 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 953 X: -4.38574 -INDEX GOES BRRR: 985 X: -2.38965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 50 X: 3.15039 -INDEX GOES BRRR: 128 X: 8.03906 -INDEX GOES BRRR: 59 X: 3.72852 -INDEX GOES BRRR: 928 X: -5.9707 -INDEX GOES BRRR: 371 X: 23.2324 -INDEX GOES BRRR: 184 X: 11.5586 -INDEX GOES BRRR: 46 X: 2.91699 -INDEX GOES BRRR: 218 X: 13.6426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.06055 -INDEX GOES BRRR: 940 X: -5.21582 -INDEX GOES BRRR: 290 X: 18.1475 -INDEX GOES BRRR: 79 X: 4.96484 -INDEX GOES BRRR: 350 X: 21.8984 -INDEX GOES BRRR: 324 X: 20.3066 -INDEX GOES BRRR: 390 X: 24.4219 -INDEX GOES BRRR: 81 X: 5.08301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 299 X: 18.7188 -INDEX GOES BRRR: 121 X: 7.59375 -INDEX GOES BRRR: 208 X: 13.0264 -INDEX GOES BRRR: 260 X: 16.2969 -INDEX GOES BRRR: 195 X: 12.2217 -INDEX GOES BRRR: 244 X: 15.2578 -INDEX GOES BRRR: 1013 X: -0.686523 -INDEX GOES BRRR: 140 X: 8.7832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 83 X: 5.19922 -INDEX GOES BRRR: 236 X: 14.7705 -INDEX GOES BRRR: 25 X: 1.59473 -INDEX GOES BRRR: 878 X: -9.10547 -INDEX GOES BRRR: 104 X: 6.5332 -INDEX GOES BRRR: 170 X: 10.6357 -INDEX GOES BRRR: 86 X: 5.37598 -INDEX GOES BRRR: 38 X: 2.4209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.0664 -INDEX GOES BRRR: 200 X: 12.5244 -INDEX GOES BRRR: 337 X: 21.1025 -INDEX GOES BRRR: 861 X: -10.1748 -INDEX GOES BRRR: 277 X: 17.3516 -INDEX GOES BRRR: 259 X: 16.2305 -INDEX GOES BRRR: 29 X: 1.81348 -INDEX GOES BRRR: 164 X: 10.2998 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.1016 -INDEX GOES BRRR: 109 X: 6.87305 -INDEX GOES BRRR: 1006 X: -1.08594 -INDEX GOES BRRR: 234 X: 14.6309 -INDEX GOES BRRR: 31 X: 1.97363 -INDEX GOES BRRR: 282 X: 17.625 -INDEX GOES BRRR: 96 X: 6.02344 -INDEX GOES BRRR: 10 X: 0.685547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 492 X: 30.7773 -INDEX GOES BRRR: 76 X: 4.76562 -INDEX GOES BRRR: 290 X: 18.1816 -INDEX GOES BRRR: 185 X: 11.5693 -INDEX GOES BRRR: 102 X: 6.41113 -INDEX GOES BRRR: 398 X: 24.9043 -INDEX GOES BRRR: 125 X: 7.86816 -INDEX GOES BRRR: 73 X: 4.61133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.54785 -INDEX GOES BRRR: 77 X: 4.84863 -INDEX GOES BRRR: 1007 X: -1.05078 -INDEX GOES BRRR: 144 X: 9.01562 -INDEX GOES BRRR: 1012 X: -0.731445 -INDEX GOES BRRR: 196 X: 12.3086 -INDEX GOES BRRR: 949 X: -4.63477 -INDEX GOES BRRR: 165 X: 10.3516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.1943 -INDEX GOES BRRR: 67 X: 4.2002 -INDEX GOES BRRR: 316 X: 19.7764 -INDEX GOES BRRR: 157 X: 9.85352 -INDEX GOES BRRR: 139 X: 8.68945 -INDEX GOES BRRR: 50 X: 3.16406 -INDEX GOES BRRR: 175 X: 10.9873 -INDEX GOES BRRR: 865 X: -9.92969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.68945 -INDEX GOES BRRR: 364 X: 22.7559 -INDEX GOES BRRR: 32 X: 2.00488 -INDEX GOES BRRR: 242 X: 15.1689 -INDEX GOES BRRR: 283 X: 17.7324 -INDEX GOES BRRR: 319 X: 19.957 -INDEX GOES BRRR: 193 X: 12.1045 -INDEX GOES BRRR: 125 X: 7.83691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 953 X: -4.39844 -INDEX GOES BRRR: 1020 X: -0.205078 -INDEX GOES BRRR: 100 X: 6.29785 -INDEX GOES BRRR: 974 X: -3.08594 -INDEX GOES BRRR: 196 X: 12.2832 -INDEX GOES BRRR: 130 X: 8.18262 -INDEX GOES BRRR: 49 X: 3.06543 -INDEX GOES BRRR: 177 X: 11.0889 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.4834 -INDEX GOES BRRR: 895 X: -8.03711 -INDEX GOES BRRR: 255 X: 15.9932 -INDEX GOES BRRR: 266 X: 16.6641 -INDEX GOES BRRR: 263 X: 16.4521 -INDEX GOES BRRR: 170 X: 10.6699 -INDEX GOES BRRR: 106 X: 6.68262 -INDEX GOES BRRR: 120 X: 7.55762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.57324 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 163 X: 10.2236 -INDEX GOES BRRR: 115 X: 7.22168 -INDEX GOES BRRR: 206 X: 12.9365 -INDEX GOES BRRR: 313 X: 19.5859 -INDEX GOES BRRR: 961 X: -3.89355 -INDEX GOES BRRR: 272 X: 17.0059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2627 -INDEX GOES BRRR: 920 X: -6.47461 -INDEX GOES BRRR: 995 X: -1.80664 -INDEX GOES BRRR: 998 X: -1.60254 -INDEX GOES BRRR: 164 X: 10.25 -INDEX GOES BRRR: 138 X: 8.63477 -INDEX GOES BRRR: 26 X: 1.66406 -INDEX GOES BRRR: 222 X: 13.9062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 990 X: -2.08105 -INDEX GOES BRRR: 164 X: 10.2959 -INDEX GOES BRRR: 193 X: 12.1113 -INDEX GOES BRRR: 3 X: 0.191406 -INDEX GOES BRRR: 899 X: -7.75879 -INDEX GOES BRRR: 273 X: 17.124 -INDEX GOES BRRR: 281 X: 17.5977 -INDEX GOES BRRR: 939 X: -5.26367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.21973 -INDEX GOES BRRR: 287 X: 17.9443 -INDEX GOES BRRR: 157 X: 9.83789 -INDEX GOES BRRR: 304 X: 19.0508 -INDEX GOES BRRR: 95 X: 5.9834 -INDEX GOES BRRR: 277 X: 17.3438 -INDEX GOES BRRR: 204 X: 12.7861 -INDEX GOES BRRR: 340 X: 21.2803 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1008 X: -0.990234 -INDEX GOES BRRR: 295 X: 18.4551 -INDEX GOES BRRR: 217 X: 13.6172 -INDEX GOES BRRR: 143 X: 8.9375 -INDEX GOES BRRR: 127 X: 7.94434 -INDEX GOES BRRR: 995 X: -1.78027 -INDEX GOES BRRR: 837 X: -11.6318 -INDEX GOES BRRR: 181 X: 11.3438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.54004 -INDEX GOES BRRR: 988 X: -2.21289 -INDEX GOES BRRR: 955 X: -4.2832 -INDEX GOES BRRR: 129 X: 8.08594 -INDEX GOES BRRR: 317 X: 19.8516 -INDEX GOES BRRR: 345 X: 21.5635 -INDEX GOES BRRR: 192 X: 12.0195 -INDEX GOES BRRR: 127 X: 7.93945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.48828 -INDEX GOES BRRR: 131 X: 8.20605 -INDEX GOES BRRR: 41 X: 2.56543 -INDEX GOES BRRR: 408 X: 25.5547 -INDEX GOES BRRR: 277 X: 17.3125 -INDEX GOES BRRR: 316 X: 19.7656 -INDEX GOES BRRR: 975 X: -3.04492 -INDEX GOES BRRR: 1015 X: -0.504883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 892 X: -8.22363 -INDEX GOES BRRR: 122 X: 7.6416 -INDEX GOES BRRR: 379 X: 23.7256 -INDEX GOES BRRR: 3 X: 0.227539 -INDEX GOES BRRR: 109 X: 6.81348 -INDEX GOES BRRR: 274 X: 17.1855 -INDEX GOES BRRR: 122 X: 7.65039 -INDEX GOES BRRR: 56 X: 3.53516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 159 X: 9.94922 -INDEX GOES BRRR: 186 X: 11.6318 -INDEX GOES BRRR: 171 X: 10.7451 -INDEX GOES BRRR: 975 X: -3.00391 -INDEX GOES BRRR: 138 X: 8.65625 -INDEX GOES BRRR: 157 X: 9.81348 -INDEX GOES BRRR: 347 X: 21.7441 -INDEX GOES BRRR: 304 X: 19.0283 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 238 X: 14.877 -INDEX GOES BRRR: 314 X: 19.6523 -INDEX GOES BRRR: 347 X: 21.6934 -INDEX GOES BRRR: 240 X: 15.0605 -INDEX GOES BRRR: 285 X: 17.8379 -INDEX GOES BRRR: 109 X: 6.83887 -INDEX GOES BRRR: 170 X: 10.6484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.873 -INDEX GOES BRRR: 59 X: 3.6875 -INDEX GOES BRRR: 206 X: 12.917 -INDEX GOES BRRR: 283 X: 17.6875 -INDEX GOES BRRR: 251 X: 15.707 -INDEX GOES BRRR: 133 X: 8.36426 -INDEX GOES BRRR: 176 X: 11.0107 -INDEX GOES BRRR: 200 X: 12.5332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 406 X: 25.4355 -INDEX GOES BRRR: 172 X: 10.7822 -INDEX GOES BRRR: 236 X: 14.7812 -INDEX GOES BRRR: 355 X: 22.2393 -INDEX GOES BRRR: 162 X: 10.166 -INDEX GOES BRRR: 120 X: 7.52051 -INDEX GOES BRRR: 83 X: 5.23926 -INDEX GOES BRRR: 94 X: 5.88379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.2061 -INDEX GOES BRRR: 948 X: -4.74609 -INDEX GOES BRRR: 272 X: 17.001 -INDEX GOES BRRR: 224 X: 14.0361 -INDEX GOES BRRR: 58 X: 3.67871 -INDEX GOES BRRR: 465 X: 29.1152 -INDEX GOES BRRR: 1017 X: -0.397461 -INDEX GOES BRRR: 274 X: 17.1562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2305 -INDEX GOES BRRR: 90 X: 5.65723 -INDEX GOES BRRR: 112 X: 7.0332 -INDEX GOES BRRR: 235 X: 14.6914 -INDEX GOES BRRR: 368 X: 23.0459 -INDEX GOES BRRR: 838 X: -11.582 -INDEX GOES BRRR: 986 X: -2.34766 -INDEX GOES BRRR: 912 X: -6.9707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 46 X: 2.8877 -INDEX GOES BRRR: 493 X: 30.8457 -INDEX GOES BRRR: 141 X: 8.86133 -INDEX GOES BRRR: 62 X: 3.88379 -INDEX GOES BRRR: 46 X: 2.93164 -INDEX GOES BRRR: 69 X: 4.37402 -INDEX GOES BRRR: 251 X: 15.7314 -INDEX GOES BRRR: 164 X: 10.3115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.8076 -INDEX GOES BRRR: 124 X: 7.75391 -INDEX GOES BRRR: 35 X: 2.24023 -INDEX GOES BRRR: 115 X: 7.2207 -INDEX GOES BRRR: 200 X: 12.5137 -INDEX GOES BRRR: 70 X: 4.43066 -INDEX GOES BRRR: 360 X: 22.5225 -INDEX GOES BRRR: 262 X: 16.4209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 385 X: 24.0967 -INDEX GOES BRRR: 987 X: -2.25391 -INDEX GOES BRRR: 896 X: -7.96777 -INDEX GOES BRRR: 135 X: 8.47559 -INDEX GOES BRRR: 197 X: 12.3281 -INDEX GOES BRRR: 279 X: 17.4775 -INDEX GOES BRRR: 320 X: 20.0322 -INDEX GOES BRRR: 223 X: 13.9785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 959 X: -4.04688 -INDEX GOES BRRR: 216 X: 13.5352 -INDEX GOES BRRR: 361 X: 22.6074 -INDEX GOES BRRR: 61 X: 3.82715 -INDEX GOES BRRR: 136 X: 8.51855 -INDEX GOES BRRR: 346 X: 21.6738 -INDEX GOES BRRR: 1010 X: -0.853516 -INDEX GOES BRRR: 24 X: 1.52051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9277 -INDEX GOES BRRR: 980 X: -2.70117 -INDEX GOES BRRR: 223 X: 13.9883 -INDEX GOES BRRR: 165 X: 10.3379 -INDEX GOES BRRR: 118 X: 7.375 -INDEX GOES BRRR: 69 X: 4.35742 -INDEX GOES BRRR: 7 X: 0.499023 -INDEX GOES BRRR: 340 X: 21.292 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.35156 -INDEX GOES BRRR: 172 X: 10.7578 -INDEX GOES BRRR: 1016 X: -0.485352 -INDEX GOES BRRR: 979 X: -2.75195 -INDEX GOES BRRR: 398 X: 24.9033 -INDEX GOES BRRR: 56 X: 3.52344 -INDEX GOES BRRR: 436 X: 27.3115 -INDEX GOES BRRR: 108 X: 6.76172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 977 X: -2.93359 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 360 X: 22.5586 -INDEX GOES BRRR: 1001 X: -1.40234 -INDEX GOES BRRR: 323 X: 20.2305 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 129 X: 8.0791 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1338 -INDEX GOES BRRR: 333 X: 20.833 -INDEX GOES BRRR: 408 X: 25.5137 -INDEX GOES BRRR: 120 X: 7.53223 -INDEX GOES BRRR: 81 X: 5.09766 -INDEX GOES BRRR: 258 X: 16.166 -INDEX GOES BRRR: 165 X: 10.3242 -INDEX GOES BRRR: 202 X: 12.6406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 215 X: 13.4424 -INDEX GOES BRRR: 149 X: 9.31738 -INDEX GOES BRRR: 983 X: -2.52051 -INDEX GOES BRRR: 361 X: 22.5918 -INDEX GOES BRRR: 768 X: -15.9863 -INDEX GOES BRRR: 298 X: 18.6699 -INDEX GOES BRRR: 144 X: 9.00684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.0908203 -INDEX GOES BRRR: 942 X: -5.08887 -INDEX GOES BRRR: 172 X: 10.7598 -INDEX GOES BRRR: 149 X: 9.37207 -INDEX GOES BRRR: 217 X: 13.5654 -INDEX GOES BRRR: 234 X: 14.6865 -INDEX GOES BRRR: 60 X: 3.78418 -INDEX GOES BRRR: 345 X: 21.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 113 X: 7.1084 -INDEX GOES BRRR: 385 X: 24.1123 -INDEX GOES BRRR: 213 X: 13.3682 -INDEX GOES BRRR: 199 X: 12.4668 -INDEX GOES BRRR: 40 X: 2.55371 -INDEX GOES BRRR: 111 X: 6.99316 -INDEX GOES BRRR: 40 X: 2.55176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.6377 -INDEX GOES BRRR: 173 X: 10.8145 -INDEX GOES BRRR: 98 X: 6.125 -INDEX GOES BRRR: 168 X: 10.5176 -INDEX GOES BRRR: 315 X: 19.7354 -INDEX GOES BRRR: 95 X: 5.94238 -INDEX GOES BRRR: 1017 X: -0.408203 -INDEX GOES BRRR: 262 X: 16.4111 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 253 X: 15.8555 -INDEX GOES BRRR: 245 X: 15.3242 -INDEX GOES BRRR: 158 X: 9.89355 -INDEX GOES BRRR: 92 X: 5.77441 -INDEX GOES BRRR: 259 X: 16.1934 -INDEX GOES BRRR: 55 X: 3.48535 -INDEX GOES BRRR: 181 X: 11.3516 -INDEX GOES BRRR: 1000 X: -1.49121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 988 X: -2.24316 -INDEX GOES BRRR: 174 X: 10.8926 -INDEX GOES BRRR: 314 X: 19.6855 -INDEX GOES BRRR: 58 X: 3.66211 -INDEX GOES BRRR: 130 X: 8.15039 -INDEX GOES BRRR: 216 X: 13.5439 -INDEX GOES BRRR: 187 X: 11.7012 -INDEX GOES BRRR: 198 X: 12.4307 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 449 X: 28.0791 -INDEX GOES BRRR: 156 X: 9.78809 -INDEX GOES BRRR: 247 X: 15.4697 -INDEX GOES BRRR: 173 X: 10.8203 -INDEX GOES BRRR: 242 X: 15.1357 -INDEX GOES BRRR: 1021 X: -0.131836 -INDEX GOES BRRR: 284 X: 17.7861 -INDEX GOES BRRR: 975 X: -3.02832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 61 X: 3.84375 -INDEX GOES BRRR: 229 X: 14.3438 -INDEX GOES BRRR: 120 X: 7.50586 -INDEX GOES BRRR: 366 X: 22.9082 -INDEX GOES BRRR: 818 X: -12.8691 -INDEX GOES BRRR: 1023 X: -0.0117188 -INDEX GOES BRRR: 1012 X: -0.696289 -INDEX GOES BRRR: 982 X: -2.5752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7168 -INDEX GOES BRRR: 90 X: 5.63574 -INDEX GOES BRRR: 129 X: 8.0752 -INDEX GOES BRRR: 142 X: 8.88867 -INDEX GOES BRRR: 352 X: 22.0088 -INDEX GOES BRRR: 296 X: 18.5205 -INDEX GOES BRRR: 109 X: 6.86035 -INDEX GOES BRRR: 247 X: 15.458 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 356 X: 22.2725 -INDEX GOES BRRR: 312 X: 19.5596 -INDEX GOES BRRR: 245 X: 15.3379 -INDEX GOES BRRR: 125 X: 7.86035 -INDEX GOES BRRR: 98 X: 6.1709 -INDEX GOES BRRR: 109 X: 6.8457 -INDEX GOES BRRR: 220 X: 13.7764 -INDEX GOES BRRR: 194 X: 12.1572 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1436 -INDEX GOES BRRR: 21 X: 1.3418 -INDEX GOES BRRR: 922 X: -6.36914 -INDEX GOES BRRR: 67 X: 4.19336 -INDEX GOES BRRR: 91 X: 5.71191 -INDEX GOES BRRR: 282 X: 17.6865 -INDEX GOES BRRR: 972 X: -3.23926 -INDEX GOES BRRR: 291 X: 18.1992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 318 X: 19.8877 -INDEX GOES BRRR: 92 X: 5.75488 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 120 X: 7.52246 -INDEX GOES BRRR: 32 X: 2.01465 -INDEX GOES BRRR: 121 X: 7.58594 -INDEX GOES BRRR: 171 X: 10.7373 -INDEX GOES BRRR: 1020 X: -0.232422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2695 -INDEX GOES BRRR: 961 X: -3.93164 -INDEX GOES BRRR: 59 X: 3.70703 -INDEX GOES BRRR: 990 X: -2.07715 -INDEX GOES BRRR: 177 X: 11.0664 -INDEX GOES BRRR: 1022 X: -0.0791016 -INDEX GOES BRRR: 240 X: 15.0254 -INDEX GOES BRRR: 283 X: 17.6963 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1846 -INDEX GOES BRRR: 57 X: 3.62109 -INDEX GOES BRRR: 146 X: 9.15527 -INDEX GOES BRRR: 476 X: 29.7764 -INDEX GOES BRRR: 135 X: 8.49512 -INDEX GOES BRRR: 371 X: 23.2217 -INDEX GOES BRRR: 126 X: 7.88184 -INDEX GOES BRRR: 899 X: -7.80176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.134766 -INDEX GOES BRRR: 94 X: 5.90332 -INDEX GOES BRRR: 245 X: 15.3125 -INDEX GOES BRRR: 258 X: 16.1426 -INDEX GOES BRRR: 850 X: -10.8633 -INDEX GOES BRRR: 1022 X: -0.110352 -INDEX GOES BRRR: 198 X: 12.4336 -INDEX GOES BRRR: 77 X: 4.83008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 915 X: -6.76172 -INDEX GOES BRRR: 852 X: -10.7158 -INDEX GOES BRRR: 232 X: 14.5527 -INDEX GOES BRRR: 215 X: 13.499 -INDEX GOES BRRR: 81 X: 5.08105 -INDEX GOES BRRR: 295 X: 18.4736 -INDEX GOES BRRR: 300 X: 18.751 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 39 X: 2.46191 -INDEX GOES BRRR: 323 X: 20.2461 -INDEX GOES BRRR: 38 X: 2.40039 -INDEX GOES BRRR: 283 X: 17.7354 -INDEX GOES BRRR: 812 X: -13.1943 -INDEX GOES BRRR: 378 X: 23.6592 -INDEX GOES BRRR: 253 X: 15.8564 -INDEX GOES BRRR: 64 X: 4.01367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.81641 -INDEX GOES BRRR: 270 X: 16.9258 -INDEX GOES BRRR: 248 X: 15.542 -INDEX GOES BRRR: 168 X: 10.5283 -INDEX GOES BRRR: 925 X: -6.1709 -INDEX GOES BRRR: 903 X: -7.5498 -INDEX GOES BRRR: 33 X: 2.10156 -INDEX GOES BRRR: 248 X: 15.5254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.79297 -INDEX GOES BRRR: 367 X: 22.9502 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 882 X: -8.81738 -INDEX GOES BRRR: 302 X: 18.9033 -INDEX GOES BRRR: 23 X: 1.44629 -INDEX GOES BRRR: 416 X: 26.0098 -INDEX GOES BRRR: 53 X: 3.32227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.3076 -INDEX GOES BRRR: 123 X: 7.7168 -INDEX GOES BRRR: 155 X: 9.73047 -INDEX GOES BRRR: 923 X: -6.30371 -INDEX GOES BRRR: 349 X: 21.8193 -INDEX GOES BRRR: 229 X: 14.3496 -INDEX GOES BRRR: 214 X: 13.4365 -INDEX GOES BRRR: 166 X: 10.4365 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 298 X: 18.6396 -INDEX GOES BRRR: 150 X: 9.4082 -INDEX GOES BRRR: 448 X: 28.002 -INDEX GOES BRRR: 128 X: 8.01855 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 423 X: 26.4492 -INDEX GOES BRRR: 250 X: 15.665 -INDEX GOES BRRR: 149 X: 9.36035 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.72852 -INDEX GOES BRRR: 133 X: 8.31348 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 51 X: 3.23145 -INDEX GOES BRRR: 149 X: 9.33789 -INDEX GOES BRRR: 924 X: -6.23242 -INDEX GOES BRRR: 14 X: 0.918945 -INDEX GOES BRRR: 195 X: 12.1973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.81934 -INDEX GOES BRRR: 1009 X: -0.884766 -INDEX GOES BRRR: 36 X: 2.31152 -INDEX GOES BRRR: 238 X: 14.8994 -INDEX GOES BRRR: 146 X: 9.125 -INDEX GOES BRRR: 920 X: -6.46094 -INDEX GOES BRRR: 374 X: 23.3906 -INDEX GOES BRRR: 997 X: -1.63965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.835 -INDEX GOES BRRR: 287 X: 17.9492 -INDEX GOES BRRR: 243 X: 15.2236 -INDEX GOES BRRR: 4 X: 0.267578 -INDEX GOES BRRR: 151 X: 9.48535 -INDEX GOES BRRR: 121 X: 7.56348 -INDEX GOES BRRR: 30 X: 1.91992 -INDEX GOES BRRR: 172 X: 10.7607 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 214 X: 13.4014 -INDEX GOES BRRR: 280 X: 17.54 -INDEX GOES BRRR: 212 X: 13.2695 -INDEX GOES BRRR: 4 X: 0.268555 -INDEX GOES BRRR: 76 X: 4.79395 -INDEX GOES BRRR: 185 X: 11.5635 -INDEX GOES BRRR: 281 X: 17.5859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.58789 -INDEX GOES BRRR: 813 X: -13.1816 -INDEX GOES BRRR: 429 X: 26.8447 -INDEX GOES BRRR: 178 X: 11.1338 -INDEX GOES BRRR: 304 X: 19.0146 -INDEX GOES BRRR: 134 X: 8.39355 -INDEX GOES BRRR: 394 X: 24.668 -INDEX GOES BRRR: 70 X: 4.43457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6846 -INDEX GOES BRRR: 85 X: 5.33398 -INDEX GOES BRRR: 52 X: 3.29297 -INDEX GOES BRRR: 382 X: 23.876 -INDEX GOES BRRR: 949 X: -4.65527 -INDEX GOES BRRR: 98 X: 6.1709 -INDEX GOES BRRR: 383 X: 23.9395 -INDEX GOES BRRR: 330 X: 20.6621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 359 X: 22.4502 -INDEX GOES BRRR: 316 X: 19.7637 -INDEX GOES BRRR: 422 X: 26.4121 -INDEX GOES BRRR: 872 X: -9.47949 -INDEX GOES BRRR: 971 X: -3.30664 -INDEX GOES BRRR: 251 X: 15.7422 -INDEX GOES BRRR: 219 X: 13.7148 -INDEX GOES BRRR: 109 X: 6.8252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.2773 -INDEX GOES BRRR: 178 X: 11.1416 -INDEX GOES BRRR: 236 X: 14.8057 -INDEX GOES BRRR: 343 X: 21.4805 -INDEX GOES BRRR: 214 X: 13.4229 -INDEX GOES BRRR: 113 X: 7.0957 -INDEX GOES BRRR: 283 X: 17.6904 -INDEX GOES BRRR: 304 X: 19.0264 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.78418 -INDEX GOES BRRR: 979 X: -2.75293 -INDEX GOES BRRR: 78 X: 4.88379 -INDEX GOES BRRR: 353 X: 22.1104 -INDEX GOES BRRR: 471 X: 29.4648 -INDEX GOES BRRR: 286 X: 17.9307 -INDEX GOES BRRR: 950 X: -4.56836 -INDEX GOES BRRR: 274 X: 17.1855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3154 -INDEX GOES BRRR: 256 X: 16.0459 -INDEX GOES BRRR: 195 X: 12.2383 -INDEX GOES BRRR: 121 X: 7.59668 -INDEX GOES BRRR: 79 X: 4.99805 -INDEX GOES BRRR: 345 X: 21.6143 -INDEX GOES BRRR: 161 X: 10.0898 -INDEX GOES BRRR: 95 X: 5.96191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.77344 -INDEX GOES BRRR: 233 X: 14.5752 -INDEX GOES BRRR: 37 X: 2.36719 -INDEX GOES BRRR: 286 X: 17.8789 -INDEX GOES BRRR: 366 X: 22.8955 -INDEX GOES BRRR: 728 X: -18.4639 -INDEX GOES BRRR: 59 X: 3.7168 -INDEX GOES BRRR: 384 X: 24.0498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7998 -INDEX GOES BRRR: 29 X: 1.83496 -INDEX GOES BRRR: 197 X: 12.3203 -INDEX GOES BRRR: 351 X: 21.9492 -INDEX GOES BRRR: 97 X: 6.08789 -INDEX GOES BRRR: 374 X: 23.3916 -INDEX GOES BRRR: 138 X: 8.66504 -INDEX GOES BRRR: 303 X: 18.9512 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.41895 -INDEX GOES BRRR: 85 X: 5.36035 -INDEX GOES BRRR: 158 X: 9.89746 -INDEX GOES BRRR: 238 X: 14.8936 -INDEX GOES BRRR: 169 X: 10.5654 -INDEX GOES BRRR: 30 X: 1.91504 -INDEX GOES BRRR: 975 X: -3.02441 -INDEX GOES BRRR: 224 X: 14.0322 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 213 X: 13.3145 -INDEX GOES BRRR: 101 X: 6.31641 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 183 X: 11.4951 -INDEX GOES BRRR: 378 X: 23.6758 -INDEX GOES BRRR: 975 X: -3.04102 -INDEX GOES BRRR: 273 X: 17.1084 -INDEX GOES BRRR: 56 X: 3.55762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.97168 -INDEX GOES BRRR: 416 X: 26.0293 -INDEX GOES BRRR: 246 X: 15.4141 -INDEX GOES BRRR: 359 X: 22.4922 -INDEX GOES BRRR: 15 X: 0.951172 -INDEX GOES BRRR: 151 X: 9.4834 -INDEX GOES BRRR: 188 X: 11.7715 -INDEX GOES BRRR: 317 X: 19.8555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3604 -INDEX GOES BRRR: 1015 X: -0.526367 -INDEX GOES BRRR: 68 X: 4.30762 -INDEX GOES BRRR: 380 X: 23.8115 -INDEX GOES BRRR: 101 X: 6.34375 -INDEX GOES BRRR: 274 X: 17.1357 -INDEX GOES BRRR: 428 X: 26.7891 -INDEX GOES BRRR: 326 X: 20.4092 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 70 X: 4.4082 -INDEX GOES BRRR: 130 X: 8.14844 -INDEX GOES BRRR: 877 X: -9.13379 -INDEX GOES BRRR: 126 X: 7.90332 -INDEX GOES BRRR: 84 X: 5.28809 -INDEX GOES BRRR: 351 X: 21.9424 -INDEX GOES BRRR: 838 X: -11.585 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 400 X: 25.001 -INDEX GOES BRRR: 190 X: 11.8877 -INDEX GOES BRRR: 68 X: 4.30762 -INDEX GOES BRRR: 161 X: 10.0732 -INDEX GOES BRRR: 170 X: 10.6865 -INDEX GOES BRRR: 105 X: 6.6123 -INDEX GOES BRRR: 848 X: -10.9453 -INDEX GOES BRRR: 41 X: 2.57617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 458 X: 28.6631 -INDEX GOES BRRR: 243 X: 15.2402 -INDEX GOES BRRR: 184 X: 11.5234 -INDEX GOES BRRR: 350 X: 21.8799 -INDEX GOES BRRR: 234 X: 14.6377 -INDEX GOES BRRR: 173 X: 10.8359 -INDEX GOES BRRR: 167 X: 10.4385 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2217 -INDEX GOES BRRR: 77 X: 4.84375 -INDEX GOES BRRR: 117 X: 7.31738 -INDEX GOES BRRR: 161 X: 10.0664 -INDEX GOES BRRR: 765 X: -16.1436 -INDEX GOES BRRR: 103 X: 6.47559 -INDEX GOES BRRR: 328 X: 20.5225 -INDEX GOES BRRR: 230 X: 14.4121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 967 X: -3.53223 -INDEX GOES BRRR: 797 X: -14.168 -INDEX GOES BRRR: 261 X: 16.3164 -INDEX GOES BRRR: 111 X: 6.94043 -INDEX GOES BRRR: 87 X: 5.43848 -INDEX GOES BRRR: 1017 X: -0.412109 -INDEX GOES BRRR: 413 X: 25.8701 -INDEX GOES BRRR: 256 X: 16.0078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 338 X: 21.1533 -INDEX GOES BRRR: 346 X: 21.6611 -INDEX GOES BRRR: 1004 X: -1.24609 -INDEX GOES BRRR: 24 X: 1.50879 -INDEX GOES BRRR: 887 X: -8.55859 -INDEX GOES BRRR: 359 X: 22.4951 -INDEX GOES BRRR: 899 X: -7.77246 -INDEX GOES BRRR: 230 X: 14.4033 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 878 X: -9.09277 -INDEX GOES BRRR: 325 X: 20.3691 -INDEX GOES BRRR: 226 X: 14.1689 -INDEX GOES BRRR: 62 X: 3.92285 -INDEX GOES BRRR: 133 X: 8.31934 -INDEX GOES BRRR: 218 X: 13.6357 -INDEX GOES BRRR: 25 X: 1.6084 -INDEX GOES BRRR: 34 X: 2.18457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2588 -INDEX GOES BRRR: 304 X: 19.0234 -INDEX GOES BRRR: 110 X: 6.91504 -INDEX GOES BRRR: 65 X: 4.07227 -INDEX GOES BRRR: 88 X: 5.55469 -INDEX GOES BRRR: 993 X: -1.88867 -INDEX GOES BRRR: 183 X: 11.4697 -INDEX GOES BRRR: 277 X: 17.3262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 358 X: 22.376 -INDEX GOES BRRR: 325 X: 20.3311 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 300 X: 18.7812 -INDEX GOES BRRR: 244 X: 15.2793 -INDEX GOES BRRR: 320 X: 20.0322 -INDEX GOES BRRR: 238 X: 14.8828 -INDEX GOES BRRR: 899 X: -7.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 927 X: -6.04297 -INDEX GOES BRRR: 36 X: 2.2793 -INDEX GOES BRRR: 919 X: -6.51855 -INDEX GOES BRRR: 1004 X: -1.24805 -INDEX GOES BRRR: 156 X: 9.76172 -INDEX GOES BRRR: 219 X: 13.709 -INDEX GOES BRRR: 896 X: -7.94141 -INDEX GOES BRRR: 894 X: -8.09082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4365 -INDEX GOES BRRR: 149 X: 9.34375 -INDEX GOES BRRR: 137 X: 8.56738 -INDEX GOES BRRR: 289 X: 18.1133 -INDEX GOES BRRR: 3 X: 0.213867 -INDEX GOES BRRR: 140 X: 8.75391 -INDEX GOES BRRR: 1019 X: -0.271484 -INDEX GOES BRRR: 47 X: 2.94336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.42676 -INDEX GOES BRRR: 194 X: 12.1611 -INDEX GOES BRRR: 1001 X: -1.37891 -INDEX GOES BRRR: 298 X: 18.6699 -INDEX GOES BRRR: 968 X: -3.44922 -INDEX GOES BRRR: 114 X: 7.18066 -INDEX GOES BRRR: 152 X: 9.52734 -INDEX GOES BRRR: 401 X: 25.1221 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.80762 -INDEX GOES BRRR: 324 X: 20.2607 -INDEX GOES BRRR: 326 X: 20.3779 -INDEX GOES BRRR: 70 X: 4.40625 -INDEX GOES BRRR: 212 X: 13.2695 -INDEX GOES BRRR: 213 X: 13.335 -INDEX GOES BRRR: 919 X: -6.52246 -INDEX GOES BRRR: 111 X: 6.98242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.6045 -INDEX GOES BRRR: 979 X: -2.80664 -INDEX GOES BRRR: 333 X: 20.8467 -INDEX GOES BRRR: 973 X: -3.12598 -INDEX GOES BRRR: 98 X: 6.18262 -INDEX GOES BRRR: 109 X: 6.83984 -INDEX GOES BRRR: 474 X: 29.6367 -INDEX GOES BRRR: 144 X: 9.02051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 48 X: 3.00293 -INDEX GOES BRRR: 10 X: 0.674805 -INDEX GOES BRRR: 1007 X: -1.00195 -INDEX GOES BRRR: 79 X: 4.96973 -INDEX GOES BRRR: 36 X: 2.28027 -INDEX GOES BRRR: 108 X: 6.78027 -INDEX GOES BRRR: 152 X: 9.55566 -INDEX GOES BRRR: 103 X: 6.44727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.8213 -INDEX GOES BRRR: 757 X: -16.6602 -INDEX GOES BRRR: 737 X: -17.9248 -INDEX GOES BRRR: 874 X: -9.35156 -INDEX GOES BRRR: 83 X: 5.21777 -INDEX GOES BRRR: 241 X: 15.0742 -INDEX GOES BRRR: 134 X: 8.38867 -INDEX GOES BRRR: 141 X: 8.81836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 0 X: 0.03125 -INDEX GOES BRRR: 13 X: 0.833008 -INDEX GOES BRRR: 4 X: 0.27832 -INDEX GOES BRRR: 972 X: -3.24023 -INDEX GOES BRRR: 106 X: 6.65234 -INDEX GOES BRRR: 153 X: 9.58105 -INDEX GOES BRRR: 275 X: 17.1982 -INDEX GOES BRRR: 159 X: 9.99121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 390 X: 24.3818 -INDEX GOES BRRR: 256 X: 16.0215 -INDEX GOES BRRR: 319 X: 19.9385 -INDEX GOES BRRR: 29 X: 1.84277 -INDEX GOES BRRR: 170 X: 10.6562 -INDEX GOES BRRR: 231 X: 14.4375 -INDEX GOES BRRR: 982 X: -2.59082 -INDEX GOES BRRR: 971 X: -3.26074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.8096 -INDEX GOES BRRR: 189 X: 11.8662 -INDEX GOES BRRR: 256 X: 16.002 -INDEX GOES BRRR: 208 X: 13.0283 -INDEX GOES BRRR: 386 X: 24.1846 -INDEX GOES BRRR: 219 X: 13.7207 -INDEX GOES BRRR: 253 X: 15.8691 -INDEX GOES BRRR: 210 X: 13.1777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.19434 -INDEX GOES BRRR: 286 X: 17.9209 -INDEX GOES BRRR: 297 X: 18.6094 -INDEX GOES BRRR: 300 X: 18.7695 -INDEX GOES BRRR: 17 X: 1.10938 -INDEX GOES BRRR: 304 X: 19.0381 -INDEX GOES BRRR: 920 X: -6.4668 -INDEX GOES BRRR: 286 X: 17.8779 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 427 X: 26.7266 -INDEX GOES BRRR: 265 X: 16.6201 -INDEX GOES BRRR: 257 X: 16.1035 -INDEX GOES BRRR: 951 X: -4.55762 -INDEX GOES BRRR: 94 X: 5.91992 -INDEX GOES BRRR: 74 X: 4.63184 -INDEX GOES BRRR: 923 X: -6.2998 -INDEX GOES BRRR: 116 X: 7.29395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3193 -INDEX GOES BRRR: 139 X: 8.73438 -INDEX GOES BRRR: 378 X: 23.6504 -INDEX GOES BRRR: 955 X: -4.27148 -INDEX GOES BRRR: 156 X: 9.77344 -INDEX GOES BRRR: 179 X: 11.2158 -INDEX GOES BRRR: 250 X: 15.6602 -INDEX GOES BRRR: 9 X: 0.606445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0537 -INDEX GOES BRRR: 97 X: 6.11523 -INDEX GOES BRRR: 52 X: 3.27734 -INDEX GOES BRRR: 413 X: 25.8701 -INDEX GOES BRRR: 60 X: 3.79785 -INDEX GOES BRRR: 1006 X: -1.10547 -INDEX GOES BRRR: 166 X: 10.415 -INDEX GOES BRRR: 111 X: 6.97559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 941 X: -5.13086 -INDEX GOES BRRR: 143 X: 8.94141 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 169 X: 10.5635 -INDEX GOES BRRR: 34 X: 2.1377 -INDEX GOES BRRR: 136 X: 8.53223 -INDEX GOES BRRR: 148 X: 9.29297 -INDEX GOES BRRR: 104 X: 6.50391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.6924 -INDEX GOES BRRR: 297 X: 18.5918 -INDEX GOES BRRR: 38 X: 2.43164 -INDEX GOES BRRR: 1011 X: -0.775391 -INDEX GOES BRRR: 205 X: 12.8555 -INDEX GOES BRRR: 157 X: 9.82129 -INDEX GOES BRRR: 975 X: -3.04297 -INDEX GOES BRRR: 32 X: 2.02734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1002 X: -1.33301 -INDEX GOES BRRR: 1019 X: -0.272461 -INDEX GOES BRRR: 126 X: 7.90234 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 223 X: 13.9795 -INDEX GOES BRRR: 376 X: 23.5186 -INDEX GOES BRRR: 102 X: 6.41113 -INDEX GOES BRRR: 275 X: 17.248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 344 X: 21.5566 -INDEX GOES BRRR: 40 X: 2.52148 -INDEX GOES BRRR: 22 X: 1.42871 -INDEX GOES BRRR: 298 X: 18.6807 -INDEX GOES BRRR: 60 X: 3.79883 -INDEX GOES BRRR: 156 X: 9.80762 -INDEX GOES BRRR: 423 X: 26.4717 -INDEX GOES BRRR: 242 X: 15.1484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 200 X: 12.5576 -INDEX GOES BRRR: 169 X: 10.5801 -INDEX GOES BRRR: 41 X: 2.62402 -INDEX GOES BRRR: 280 X: 17.5508 -INDEX GOES BRRR: 433 X: 27.1172 -INDEX GOES BRRR: 214 X: 13.3867 -INDEX GOES BRRR: 54 X: 3.43652 -INDEX GOES BRRR: 0 X: 0.0302734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.386719 -INDEX GOES BRRR: 113 X: 7.0625 -INDEX GOES BRRR: 425 X: 26.6123 -INDEX GOES BRRR: 155 X: 9.73828 -INDEX GOES BRRR: 260 X: 16.3096 -INDEX GOES BRRR: 362 X: 22.6533 -INDEX GOES BRRR: 157 X: 9.83301 -INDEX GOES BRRR: 196 X: 12.2988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.9053 -INDEX GOES BRRR: 209 X: 13.1084 -INDEX GOES BRRR: 37 X: 2.31348 -INDEX GOES BRRR: 351 X: 21.9854 -INDEX GOES BRRR: 979 X: -2.75977 -INDEX GOES BRRR: 222 X: 13.9092 -INDEX GOES BRRR: 437 X: 27.3359 -INDEX GOES BRRR: 332 X: 20.8115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 989 X: -2.13281 -INDEX GOES BRRR: 483 X: 30.1914 -INDEX GOES BRRR: 110 X: 6.93457 -INDEX GOES BRRR: 287 X: 17.9814 -INDEX GOES BRRR: 62 X: 3.93457 -INDEX GOES BRRR: 2 X: 0.145508 -INDEX GOES BRRR: 326 X: 20.3828 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.35156 -INDEX GOES BRRR: 378 X: 23.6406 -INDEX GOES BRRR: 827 X: -12.3047 -INDEX GOES BRRR: 941 X: -5.16309 -INDEX GOES BRRR: 164 X: 10.2881 -INDEX GOES BRRR: 458 X: 28.6504 -INDEX GOES BRRR: 293 X: 18.3613 -INDEX GOES BRRR: 73 X: 4.58105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2725 -INDEX GOES BRRR: 148 X: 9.30664 -INDEX GOES BRRR: 426 X: 26.6846 -INDEX GOES BRRR: 207 X: 12.958 -INDEX GOES BRRR: 30 X: 1.92285 -INDEX GOES BRRR: 337 X: 21.0713 -INDEX GOES BRRR: 861 X: -10.1807 -INDEX GOES BRRR: 202 X: 12.6699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.74023 -INDEX GOES BRRR: 47 X: 2.99902 -INDEX GOES BRRR: 27 X: 1.70117 -INDEX GOES BRRR: 960 X: -3.98535 -INDEX GOES BRRR: 221 X: 13.8447 -INDEX GOES BRRR: 169 X: 10.5781 -INDEX GOES BRRR: 33 X: 2.07031 -INDEX GOES BRRR: 1015 X: -0.518555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 664 X: -22.4961 -INDEX GOES BRRR: 126 X: 7.90527 -INDEX GOES BRRR: 946 X: -4.87402 -INDEX GOES BRRR: 888 X: -8.49805 -INDEX GOES BRRR: 994 X: -1.82324 -INDEX GOES BRRR: 179 X: 11.2383 -INDEX GOES BRRR: 154 X: 9.64258 -INDEX GOES BRRR: 110 X: 6.90039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 428 X: 26.7998 -INDEX GOES BRRR: 937 X: -5.37988 -INDEX GOES BRRR: 134 X: 8.37695 -INDEX GOES BRRR: 207 X: 12.9609 -INDEX GOES BRRR: 343 X: 21.499 -INDEX GOES BRRR: 304 X: 19.0195 -INDEX GOES BRRR: 252 X: 15.7598 -INDEX GOES BRRR: 87 X: 5.45898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.598633 -INDEX GOES BRRR: 932 X: -5.69434 -INDEX GOES BRRR: 28 X: 1.80273 -INDEX GOES BRRR: 170 X: 10.6416 -INDEX GOES BRRR: 262 X: 16.4131 -INDEX GOES BRRR: 144 X: 9.04492 -INDEX GOES BRRR: 1014 X: -0.574219 -INDEX GOES BRRR: 919 X: -6.51855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9844 -INDEX GOES BRRR: 252 X: 15.7842 -INDEX GOES BRRR: 392 X: 24.5322 -INDEX GOES BRRR: 78 X: 4.88965 -INDEX GOES BRRR: 474 X: 29.6807 -INDEX GOES BRRR: 284 X: 17.7568 -INDEX GOES BRRR: 340 X: 21.2686 -INDEX GOES BRRR: 179 X: 11.1904 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.1377 -INDEX GOES BRRR: 136 X: 8.5332 -INDEX GOES BRRR: 4 X: 0.256836 -INDEX GOES BRRR: 412 X: 25.7793 -INDEX GOES BRRR: 199 X: 12.4414 -INDEX GOES BRRR: 162 X: 10.1504 -INDEX GOES BRRR: 50 X: 3.13281 -INDEX GOES BRRR: 191 X: 11.9521 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 895 X: -8.03125 -INDEX GOES BRRR: 310 X: 19.4033 -INDEX GOES BRRR: 100 X: 6.25781 -INDEX GOES BRRR: 971 X: -3.29688 -INDEX GOES BRRR: 357 X: 22.3301 -INDEX GOES BRRR: 261 X: 16.3535 -INDEX GOES BRRR: 166 X: 10.3828 -INDEX GOES BRRR: 178 X: 11.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0615 -INDEX GOES BRRR: 152 X: 9.50488 -INDEX GOES BRRR: 199 X: 12.4863 -INDEX GOES BRRR: 180 X: 11.252 -INDEX GOES BRRR: 864 X: -9.99902 -INDEX GOES BRRR: 1004 X: -1.20703 -INDEX GOES BRRR: 996 X: -1.74023 -INDEX GOES BRRR: 1004 X: -1.24219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.31348 -INDEX GOES BRRR: 296 X: 18.5195 -INDEX GOES BRRR: 422 X: 26.4219 -INDEX GOES BRRR: 131 X: 8.19824 -INDEX GOES BRRR: 289 X: 18.0957 -INDEX GOES BRRR: 115 X: 7.20996 -INDEX GOES BRRR: 411 X: 25.7334 -INDEX GOES BRRR: 996 X: -1.70605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.50977 -INDEX GOES BRRR: 142 X: 8.87695 -INDEX GOES BRRR: 1000 X: -1.46484 -INDEX GOES BRRR: 1017 X: -0.43457 -INDEX GOES BRRR: 63 X: 3.94141 -INDEX GOES BRRR: 215 X: 13.4668 -INDEX GOES BRRR: 3 X: 0.1875 -INDEX GOES BRRR: 248 X: 15.5078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 392 X: 24.5576 -INDEX GOES BRRR: 967 X: -3.54199 -INDEX GOES BRRR: 201 X: 12.6006 -INDEX GOES BRRR: 0 X: 0.0410156 -INDEX GOES BRRR: 330 X: 20.6689 -INDEX GOES BRRR: 135 X: 8.46289 -INDEX GOES BRRR: 8 X: 0.548828 -INDEX GOES BRRR: 131 X: 8.24121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 914 X: -6.85352 -INDEX GOES BRRR: 163 X: 10.1934 -INDEX GOES BRRR: 279 X: 17.4492 -INDEX GOES BRRR: 17 X: 1.0918 -INDEX GOES BRRR: 211 X: 13.2334 -INDEX GOES BRRR: 406 X: 25.3945 -INDEX GOES BRRR: 911 X: -7.01953 -INDEX GOES BRRR: 79 X: 4.94238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 424 X: 26.5293 -INDEX GOES BRRR: 150 X: 9.3877 -INDEX GOES BRRR: 165 X: 10.3145 -INDEX GOES BRRR: 84 X: 5.29297 -INDEX GOES BRRR: 137 X: 8.58301 -INDEX GOES BRRR: 44 X: 2.75 -INDEX GOES BRRR: 96 X: 6.00293 -INDEX GOES BRRR: 205 X: 12.8447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 933 X: -5.66699 -INDEX GOES BRRR: 174 X: 10.8877 -INDEX GOES BRRR: 250 X: 15.626 -INDEX GOES BRRR: 258 X: 16.1318 -INDEX GOES BRRR: 1004 X: -1.18945 -INDEX GOES BRRR: 264 X: 16.5264 -INDEX GOES BRRR: 154 X: 9.64551 -INDEX GOES BRRR: 795 X: -14.2559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.5225 -INDEX GOES BRRR: 111 X: 6.9707 -INDEX GOES BRRR: 52 X: 3.27539 -INDEX GOES BRRR: 99 X: 6.23242 -INDEX GOES BRRR: 202 X: 12.6855 -INDEX GOES BRRR: 14 X: 0.916992 -INDEX GOES BRRR: 230 X: 14.417 -INDEX GOES BRRR: 117 X: 7.33887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5029 -INDEX GOES BRRR: 362 X: 22.6426 -INDEX GOES BRRR: 127 X: 7.96191 -INDEX GOES BRRR: 150 X: 9.41504 -INDEX GOES BRRR: 1020 X: -0.191406 -INDEX GOES BRRR: 832 X: -11.9453 -INDEX GOES BRRR: 181 X: 11.3584 -INDEX GOES BRRR: 143 X: 8.96973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.62305 -INDEX GOES BRRR: 165 X: 10.3242 -INDEX GOES BRRR: 9 X: 0.583008 -INDEX GOES BRRR: 966 X: -3.60059 -INDEX GOES BRRR: 15 X: 0.946289 -INDEX GOES BRRR: 125 X: 7.84375 -INDEX GOES BRRR: 988 X: -2.21289 -INDEX GOES BRRR: 379 X: 23.7295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9404 -INDEX GOES BRRR: 280 X: 17.5615 -INDEX GOES BRRR: 976 X: -2.96191 -INDEX GOES BRRR: 220 X: 13.7598 -INDEX GOES BRRR: 173 X: 10.8125 -INDEX GOES BRRR: 16 X: 1.00586 -INDEX GOES BRRR: 74 X: 4.64941 -INDEX GOES BRRR: 181 X: 11.3662 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7012 -INDEX GOES BRRR: 983 X: -2.52734 -INDEX GOES BRRR: 201 X: 12.5996 -INDEX GOES BRRR: 48 X: 3.01758 -INDEX GOES BRRR: 317 X: 19.8525 -INDEX GOES BRRR: 212 X: 13.2539 -INDEX GOES BRRR: 1000 X: -1.44043 -INDEX GOES BRRR: 422 X: 26.4297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.69727 -INDEX GOES BRRR: 841 X: -11.418 -INDEX GOES BRRR: 457 X: 28.624 -INDEX GOES BRRR: 117 X: 7.36426 -INDEX GOES BRRR: 142 X: 8.8916 -INDEX GOES BRRR: 911 X: -7.0459 -INDEX GOES BRRR: 163 X: 10.2305 -INDEX GOES BRRR: 115 X: 7.21582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5215 -INDEX GOES BRRR: 162 X: 10.1338 -INDEX GOES BRRR: 292 X: 18.2959 -INDEX GOES BRRR: 281 X: 17.5938 -INDEX GOES BRRR: 14 X: 0.899414 -INDEX GOES BRRR: 337 X: 21.1211 -INDEX GOES BRRR: 78 X: 4.9248 -INDEX GOES BRRR: 334 X: 20.8926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 886 X: -8.61426 -INDEX GOES BRRR: 69 X: 4.34277 -INDEX GOES BRRR: 272 X: 17.0205 -INDEX GOES BRRR: 120 X: 7.56152 -INDEX GOES BRRR: 340 X: 21.2764 -INDEX GOES BRRR: 167 X: 10.4688 -INDEX GOES BRRR: 311 X: 19.4404 -INDEX GOES BRRR: 161 X: 10.0928 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.7715 -INDEX GOES BRRR: 457 X: 28.6104 -INDEX GOES BRRR: 82 X: 5.15918 -INDEX GOES BRRR: 139 X: 8.71387 -INDEX GOES BRRR: 248 X: 15.5117 -INDEX GOES BRRR: 454 X: 28.3936 -INDEX GOES BRRR: 265 X: 16.6064 -INDEX GOES BRRR: 282 X: 17.6416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 263 X: 16.4961 -INDEX GOES BRRR: 219 X: 13.7002 -INDEX GOES BRRR: 209 X: 13.0752 -INDEX GOES BRRR: 371 X: 23.1914 -INDEX GOES BRRR: 164 X: 10.2871 -INDEX GOES BRRR: 216 X: 13.5127 -INDEX GOES BRRR: 848 X: -10.9531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 831 X: -12.0605 -INDEX GOES BRRR: 323 X: 20.1973 -INDEX GOES BRRR: 149 X: 9.35742 -INDEX GOES BRRR: 36 X: 2.30859 -INDEX GOES BRRR: 180 X: 11.2734 -INDEX GOES BRRR: 134 X: 8.38867 -INDEX GOES BRRR: 59 X: 3.71191 -INDEX GOES BRRR: 86 X: 5.37695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.9766 -INDEX GOES BRRR: 280 X: 17.5283 -INDEX GOES BRRR: 257 X: 16.1201 -INDEX GOES BRRR: 253 X: 15.833 -INDEX GOES BRRR: 416 X: 26.0117 -INDEX GOES BRRR: 679 X: -21.5127 -INDEX GOES BRRR: 118 X: 7.43359 -INDEX GOES BRRR: 205 X: 12.8301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.54199 -INDEX GOES BRRR: 310 X: 19.4365 -INDEX GOES BRRR: 198 X: 12.4033 -INDEX GOES BRRR: 336 X: 21.0332 -INDEX GOES BRRR: 248 X: 15.5537 -INDEX GOES BRRR: 313 X: 19.5674 -INDEX GOES BRRR: 134 X: 8.41406 -INDEX GOES BRRR: 176 X: 11.0371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4512 -INDEX GOES BRRR: 180 X: 11.2588 -INDEX GOES BRRR: 271 X: 16.9736 -INDEX GOES BRRR: 213 X: 13.373 -INDEX GOES BRRR: 82 X: 5.18652 -INDEX GOES BRRR: 205 X: 12.8154 -INDEX GOES BRRR: 221 X: 13.8525 -INDEX GOES BRRR: 29 X: 1.84863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 295 X: 18.4795 -INDEX GOES BRRR: 402 X: 25.1738 -INDEX GOES BRRR: 1021 X: -0.147461 -INDEX GOES BRRR: 399 X: 24.9785 -INDEX GOES BRRR: 141 X: 8.82422 -INDEX GOES BRRR: 412 X: 25.7979 -INDEX GOES BRRR: 59 X: 3.73926 -INDEX GOES BRRR: 171 X: 10.7051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 406 X: 25.3906 -INDEX GOES BRRR: 167 X: 10.4717 -INDEX GOES BRRR: 127 X: 7.99316 -INDEX GOES BRRR: 76 X: 4.75781 -INDEX GOES BRRR: 14 X: 0.899414 -INDEX GOES BRRR: 349 X: 21.8164 -INDEX GOES BRRR: 210 X: 13.1582 -INDEX GOES BRRR: 93 X: 5.83203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8369 -INDEX GOES BRRR: 133 X: 8.35547 -INDEX GOES BRRR: 37 X: 2.33301 -INDEX GOES BRRR: 213 X: 13.3447 -INDEX GOES BRRR: 169 X: 10.5918 -INDEX GOES BRRR: 58 X: 3.66016 -INDEX GOES BRRR: 59 X: 3.74707 -INDEX GOES BRRR: 197 X: 12.3447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.18066 -INDEX GOES BRRR: 277 X: 17.3672 -INDEX GOES BRRR: 200 X: 12.542 -INDEX GOES BRRR: 203 X: 12.7119 -INDEX GOES BRRR: 111 X: 6.99707 -INDEX GOES BRRR: 357 X: 22.3271 -INDEX GOES BRRR: 69 X: 4.34473 -INDEX GOES BRRR: 56 X: 3.51465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.96094 -INDEX GOES BRRR: 984 X: -2.43945 -INDEX GOES BRRR: 329 X: 20.5723 -INDEX GOES BRRR: 979 X: -2.7998 -INDEX GOES BRRR: 876 X: -9.20898 -INDEX GOES BRRR: 147 X: 9.19238 -INDEX GOES BRRR: 1009 X: -0.880859 -INDEX GOES BRRR: 843 X: -11.2988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.3076 -INDEX GOES BRRR: 384 X: 24.0107 -INDEX GOES BRRR: 153 X: 9.58887 -INDEX GOES BRRR: 423 X: 26.4756 -INDEX GOES BRRR: 294 X: 18.3887 -INDEX GOES BRRR: 791 X: -14.5078 -INDEX GOES BRRR: 156 X: 9.75293 -INDEX GOES BRRR: 106 X: 6.62988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.7607 -INDEX GOES BRRR: 916 X: -6.70312 -INDEX GOES BRRR: 430 X: 26.9316 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 932 X: -5.73047 -INDEX GOES BRRR: 170 X: 10.6504 -INDEX GOES BRRR: 10 X: 0.683594 -INDEX GOES BRRR: 130 X: 8.12891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 278 X: 17.3779 -INDEX GOES BRRR: 315 X: 19.7012 -INDEX GOES BRRR: 214 X: 13.4053 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 991 X: -2.05566 -INDEX GOES BRRR: 166 X: 10.4248 -INDEX GOES BRRR: 20 X: 1.27441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9541 -INDEX GOES BRRR: 269 X: 16.8486 -INDEX GOES BRRR: 146 X: 9.12891 -INDEX GOES BRRR: 353 X: 22.0762 -INDEX GOES BRRR: 186 X: 11.6357 -INDEX GOES BRRR: 353 X: 22.0732 -INDEX GOES BRRR: 38 X: 2.41992 -INDEX GOES BRRR: 946 X: -4.82812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.9873 -INDEX GOES BRRR: 382 X: 23.9326 -INDEX GOES BRRR: 155 X: 9.7207 -INDEX GOES BRRR: 417 X: 26.085 -INDEX GOES BRRR: 321 X: 20.0928 -INDEX GOES BRRR: 243 X: 15.2363 -INDEX GOES BRRR: 156 X: 9.80664 -INDEX GOES BRRR: 323 X: 20.2305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 398 X: 24.9092 -INDEX GOES BRRR: 992 X: -1.94043 -INDEX GOES BRRR: 83 X: 5.20508 -INDEX GOES BRRR: 189 X: 11.8125 -INDEX GOES BRRR: 231 X: 14.458 -INDEX GOES BRRR: 102 X: 6.43555 -INDEX GOES BRRR: 995 X: -1.7627 -INDEX GOES BRRR: 263 X: 16.4668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7822 -INDEX GOES BRRR: 81 X: 5.08398 -INDEX GOES BRRR: 192 X: 12.002 -INDEX GOES BRRR: 66 X: 4.18262 -INDEX GOES BRRR: 272 X: 17.0381 -INDEX GOES BRRR: 104 X: 6.54492 -INDEX GOES BRRR: 171 X: 10.7334 -INDEX GOES BRRR: 999 X: -1.5127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.74316 -INDEX GOES BRRR: 343 X: 21.4756 -INDEX GOES BRRR: 245 X: 15.333 -INDEX GOES BRRR: 246 X: 15.4209 -INDEX GOES BRRR: 111 X: 6.94922 -INDEX GOES BRRR: 218 X: 13.6846 -INDEX GOES BRRR: 114 X: 7.15332 -INDEX GOES BRRR: 118 X: 7.39941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 435 X: 27.2109 -INDEX GOES BRRR: 235 X: 14.7148 -INDEX GOES BRRR: 143 X: 8.95996 -INDEX GOES BRRR: 237 X: 14.8311 -INDEX GOES BRRR: 33 X: 2.10645 -INDEX GOES BRRR: 302 X: 18.8809 -INDEX GOES BRRR: 13 X: 0.830078 -INDEX GOES BRRR: 240 X: 15.0469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 993 X: -1.8877 -INDEX GOES BRRR: 96 X: 6.02832 -INDEX GOES BRRR: 263 X: 16.4629 -INDEX GOES BRRR: 977 X: -2.91699 -INDEX GOES BRRR: 306 X: 19.1289 -INDEX GOES BRRR: 482 X: 30.165 -INDEX GOES BRRR: 929 X: -5.92578 -INDEX GOES BRRR: 311 X: 19.4688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0537109 -INDEX GOES BRRR: 104 X: 6.52441 -INDEX GOES BRRR: 76 X: 4.77148 -INDEX GOES BRRR: 369 X: 23.0967 -INDEX GOES BRRR: 173 X: 10.8154 -INDEX GOES BRRR: 221 X: 13.8252 -INDEX GOES BRRR: 238 X: 14.8877 -INDEX GOES BRRR: 132 X: 8.2666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9668 -INDEX GOES BRRR: 268 X: 16.7988 -INDEX GOES BRRR: 1014 X: -0.581055 -INDEX GOES BRRR: 194 X: 12.1426 -INDEX GOES BRRR: 844 X: -11.2041 -INDEX GOES BRRR: 256 X: 16.0449 -INDEX GOES BRRR: 210 X: 13.1494 -INDEX GOES BRRR: 223 X: 13.9531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.6143 -INDEX GOES BRRR: 261 X: 16.3613 -INDEX GOES BRRR: 238 X: 14.9219 -INDEX GOES BRRR: 389 X: 24.3242 -INDEX GOES BRRR: 978 X: -2.8418 -INDEX GOES BRRR: 901 X: -7.6582 -INDEX GOES BRRR: 193 X: 12.0645 -INDEX GOES BRRR: 826 X: -12.3359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 994 X: -1.86426 -INDEX GOES BRRR: 298 X: 18.6465 -INDEX GOES BRRR: 451 X: 28.1934 -INDEX GOES BRRR: 191 X: 11.998 -INDEX GOES BRRR: 234 X: 14.6318 -INDEX GOES BRRR: 145 X: 9.11523 -INDEX GOES BRRR: 150 X: 9.38086 -INDEX GOES BRRR: 996 X: -1.72656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.89258 -INDEX GOES BRRR: 903 X: -7.50488 -INDEX GOES BRRR: 190 X: 11.916 -INDEX GOES BRRR: 38 X: 2.41797 -INDEX GOES BRRR: 880 X: -8.95508 -INDEX GOES BRRR: 152 X: 9.55078 -INDEX GOES BRRR: 113 X: 7.08984 -INDEX GOES BRRR: 738 X: -17.8359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5361 -INDEX GOES BRRR: 80 X: 5.04102 -INDEX GOES BRRR: 167 X: 10.4512 -INDEX GOES BRRR: 209 X: 13.0928 -INDEX GOES BRRR: 124 X: 7.7627 -INDEX GOES BRRR: 1020 X: -0.219727 -INDEX GOES BRRR: 234 X: 14.6816 -INDEX GOES BRRR: 70 X: 4.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1008 X: -0.96875 -INDEX GOES BRRR: 143 X: 8.94141 -INDEX GOES BRRR: 254 X: 15.8828 -INDEX GOES BRRR: 91 X: 5.71289 -INDEX GOES BRRR: 981 X: -2.64355 -INDEX GOES BRRR: 840 X: -11.4629 -INDEX GOES BRRR: 928 X: -5.98145 -INDEX GOES BRRR: 883 X: -8.75391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9844 -INDEX GOES BRRR: 985 X: -2.41211 -INDEX GOES BRRR: 268 X: 16.751 -INDEX GOES BRRR: 448 X: 28.0342 -INDEX GOES BRRR: 178 X: 11.1865 -INDEX GOES BRRR: 228 X: 14.2676 -INDEX GOES BRRR: 842 X: -11.374 -INDEX GOES BRRR: 123 X: 7.69434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 903 X: -7.52832 -INDEX GOES BRRR: 142 X: 8.87988 -INDEX GOES BRRR: 31 X: 1.94629 -INDEX GOES BRRR: 283 X: 17.7246 -INDEX GOES BRRR: 74 X: 4.6709 -INDEX GOES BRRR: 250 X: 15.6641 -INDEX GOES BRRR: 295 X: 18.4443 -INDEX GOES BRRR: 218 X: 13.6816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.1084 -INDEX GOES BRRR: 143 X: 8.97266 -INDEX GOES BRRR: 169 X: 10.6191 -INDEX GOES BRRR: 156 X: 9.75098 -INDEX GOES BRRR: 137 X: 8.62402 -INDEX GOES BRRR: 149 X: 9.32324 -INDEX GOES BRRR: 815 X: -13.0303 -INDEX GOES BRRR: 176 X: 11.0088 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.00098 -INDEX GOES BRRR: 200 X: 12.501 -INDEX GOES BRRR: 228 X: 14.2715 -INDEX GOES BRRR: 53 X: 3.31934 -INDEX GOES BRRR: 268 X: 16.7764 -INDEX GOES BRRR: 78 X: 4.88184 -INDEX GOES BRRR: 412 X: 25.792 -INDEX GOES BRRR: 446 X: 27.8916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.7705 -INDEX GOES BRRR: 754 X: -16.8145 -INDEX GOES BRRR: 76 X: 4.75293 -INDEX GOES BRRR: 80 X: 5.05273 -INDEX GOES BRRR: 275 X: 17.1914 -INDEX GOES BRRR: 987 X: -2.25098 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 19 X: 1.19141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9805 -INDEX GOES BRRR: 439 X: 27.4512 -INDEX GOES BRRR: 297 X: 18.5977 -INDEX GOES BRRR: 298 X: 18.6426 -INDEX GOES BRRR: 261 X: 16.3203 -INDEX GOES BRRR: 200 X: 12.5186 -INDEX GOES BRRR: 36 X: 2.26465 -INDEX GOES BRRR: 221 X: 13.8428 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.3027 -INDEX GOES BRRR: 313 X: 19.5732 -INDEX GOES BRRR: 326 X: 20.4004 -INDEX GOES BRRR: 940 X: -5.23633 -INDEX GOES BRRR: 88 X: 5.53125 -INDEX GOES BRRR: 938 X: -5.33203 -INDEX GOES BRRR: 208 X: 13.0215 -INDEX GOES BRRR: 312 X: 19.5117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.79883 -INDEX GOES BRRR: 86 X: 5.3916 -INDEX GOES BRRR: 335 X: 20.9551 -INDEX GOES BRRR: 113 X: 7.06445 -INDEX GOES BRRR: 248 X: 15.5254 -INDEX GOES BRRR: 201 X: 12.6104 -INDEX GOES BRRR: 979 X: -2.77344 -INDEX GOES BRRR: 3 X: 0.24707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 955 X: -4.25586 -INDEX GOES BRRR: 259 X: 16.1973 -INDEX GOES BRRR: 324 X: 20.2754 -INDEX GOES BRRR: 61 X: 3.86328 -INDEX GOES BRRR: 166 X: 10.3867 -INDEX GOES BRRR: 42 X: 2.68066 -INDEX GOES BRRR: 122 X: 7.63477 -INDEX GOES BRRR: 174 X: 10.9336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8291 -INDEX GOES BRRR: 270 X: 16.915 -INDEX GOES BRRR: 389 X: 24.3311 -INDEX GOES BRRR: 252 X: 15.7607 -INDEX GOES BRRR: 747 X: -17.2783 -INDEX GOES BRRR: 276 X: 17.252 -INDEX GOES BRRR: 299 X: 18.7041 -INDEX GOES BRRR: 337 X: 21.0625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4639 -INDEX GOES BRRR: 1002 X: -1.35254 -INDEX GOES BRRR: 126 X: 7.87598 -INDEX GOES BRRR: 159 X: 9.97754 -INDEX GOES BRRR: 874 X: -9.375 -INDEX GOES BRRR: 227 X: 14.2383 -INDEX GOES BRRR: 463 X: 28.9502 -INDEX GOES BRRR: 51 X: 3.22168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 446 X: 27.915 -INDEX GOES BRRR: 193 X: 12.1191 -INDEX GOES BRRR: 218 X: 13.6318 -INDEX GOES BRRR: 375 X: 23.4932 -INDEX GOES BRRR: 56 X: 3.51953 -INDEX GOES BRRR: 194 X: 12.1338 -INDEX GOES BRRR: 35 X: 2.24023 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.375 -INDEX GOES BRRR: 365 X: 22.8457 -INDEX GOES BRRR: 151 X: 9.4834 -INDEX GOES BRRR: 236 X: 14.7715 -INDEX GOES BRRR: 29 X: 1.84277 -INDEX GOES BRRR: 166 X: 10.3867 -INDEX GOES BRRR: 189 X: 11.8535 -INDEX GOES BRRR: 91 X: 5.73828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6631 -INDEX GOES BRRR: 92 X: 5.75 -INDEX GOES BRRR: 321 X: 20.0742 -INDEX GOES BRRR: 351 X: 21.9717 -INDEX GOES BRRR: 384 X: 24.0303 -INDEX GOES BRRR: 6 X: 0.43457 -INDEX GOES BRRR: 87 X: 5.49414 -INDEX GOES BRRR: 318 X: 19.877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.67285 -INDEX GOES BRRR: 27 X: 1.69043 -INDEX GOES BRRR: 30 X: 1.92871 -INDEX GOES BRRR: 360 X: 22.5264 -INDEX GOES BRRR: 90 X: 5.68359 -INDEX GOES BRRR: 322 X: 20.1377 -INDEX GOES BRRR: 150 X: 9.41895 -INDEX GOES BRRR: 333 X: 20.833 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 337 X: 21.1084 -INDEX GOES BRRR: 74 X: 4.64746 -INDEX GOES BRRR: 252 X: 15.8115 -INDEX GOES BRRR: 7 X: 0.47168 -INDEX GOES BRRR: 239 X: 14.9619 -INDEX GOES BRRR: 307 X: 19.1953 -INDEX GOES BRRR: 223 X: 13.9902 -INDEX GOES BRRR: 283 X: 17.7275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1002 X: -1.37402 -INDEX GOES BRRR: 167 X: 10.4521 -INDEX GOES BRRR: 1017 X: -0.388672 -INDEX GOES BRRR: 424 X: 26.5059 -INDEX GOES BRRR: 95 X: 5.9375 -INDEX GOES BRRR: 151 X: 9.48145 -INDEX GOES BRRR: 401 X: 25.084 -INDEX GOES BRRR: 209 X: 13.1221 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 983 X: -2.51562 -INDEX GOES BRRR: 171 X: 10.7305 -INDEX GOES BRRR: 127 X: 7.9668 -INDEX GOES BRRR: 385 X: 24.1143 -INDEX GOES BRRR: 181 X: 11.3447 -INDEX GOES BRRR: 1010 X: -0.838867 -INDEX GOES BRRR: 225 X: 14.0918 -INDEX GOES BRRR: 317 X: 19.832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 388 X: 24.29 -INDEX GOES BRRR: 962 X: -3.8623 -INDEX GOES BRRR: 39 X: 2.48828 -INDEX GOES BRRR: 31 X: 1.97168 -INDEX GOES BRRR: 339 X: 21.208 -INDEX GOES BRRR: 185 X: 11.5859 -INDEX GOES BRRR: 109 X: 6.82129 -INDEX GOES BRRR: 277 X: 17.334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 265 X: 16.6143 -INDEX GOES BRRR: 51 X: 3.21387 -INDEX GOES BRRR: 202 X: 12.6348 -INDEX GOES BRRR: 80 X: 5.01074 -INDEX GOES BRRR: 162 X: 10.1709 -INDEX GOES BRRR: 209 X: 13.1221 -INDEX GOES BRRR: 123 X: 7.69531 -INDEX GOES BRRR: 231 X: 14.4951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.6094 -INDEX GOES BRRR: 235 X: 14.7471 -INDEX GOES BRRR: 151 X: 9.48145 -INDEX GOES BRRR: 261 X: 16.3418 -INDEX GOES BRRR: 146 X: 9.16699 -INDEX GOES BRRR: 37 X: 2.3291 -INDEX GOES BRRR: 851 X: -10.8066 -INDEX GOES BRRR: 344 X: 21.5342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.787109 -INDEX GOES BRRR: 355 X: 22.1885 -INDEX GOES BRRR: 156 X: 9.76465 -INDEX GOES BRRR: 70 X: 4.38086 -INDEX GOES BRRR: 455 X: 28.4688 -INDEX GOES BRRR: 23 X: 1.44629 -INDEX GOES BRRR: 270 X: 16.877 -INDEX GOES BRRR: 472 X: 29.5156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8174 -INDEX GOES BRRR: 836 X: -11.71 -INDEX GOES BRRR: 80 X: 5.00586 -INDEX GOES BRRR: 1016 X: -0.441406 -INDEX GOES BRRR: 285 X: 17.8486 -INDEX GOES BRRR: 48 X: 3.03613 -INDEX GOES BRRR: 122 X: 7.66406 -INDEX GOES BRRR: 261 X: 16.3154 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.1094 -INDEX GOES BRRR: 189 X: 11.8232 -INDEX GOES BRRR: 214 X: 13.4102 -INDEX GOES BRRR: 186 X: 11.6738 -INDEX GOES BRRR: 26 X: 1.64355 -INDEX GOES BRRR: 174 X: 10.8975 -INDEX GOES BRRR: 110 X: 6.93066 -INDEX GOES BRRR: 54 X: 3.41602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0557 -INDEX GOES BRRR: 978 X: -2.83887 -INDEX GOES BRRR: 816 X: -12.9814 -INDEX GOES BRRR: 1011 X: -0.785156 -INDEX GOES BRRR: 349 X: 21.8438 -INDEX GOES BRRR: 131 X: 8.24316 -INDEX GOES BRRR: 106 X: 6.67871 -INDEX GOES BRRR: 1006 X: -1.11621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.8027 -INDEX GOES BRRR: 317 X: 19.8184 -INDEX GOES BRRR: 286 X: 17.8799 -INDEX GOES BRRR: 212 X: 13.2891 -INDEX GOES BRRR: 317 X: 19.8662 -INDEX GOES BRRR: 206 X: 12.8887 -INDEX GOES BRRR: 9 X: 0.564453 -INDEX GOES BRRR: 1008 X: -0.99707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 968 X: -3.4834 -INDEX GOES BRRR: 875 X: -9.29688 -INDEX GOES BRRR: 224 X: 14.0342 -INDEX GOES BRRR: 100 X: 6.27441 -INDEX GOES BRRR: 82 X: 5.17969 -INDEX GOES BRRR: 983 X: -2.51465 -INDEX GOES BRRR: 185 X: 11.5801 -INDEX GOES BRRR: 256 X: 16.0586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 736 X: -17.9561 -INDEX GOES BRRR: 198 X: 12.377 -INDEX GOES BRRR: 380 X: 23.7549 -INDEX GOES BRRR: 429 X: 26.834 -INDEX GOES BRRR: 148 X: 9.31055 -INDEX GOES BRRR: 872 X: -9.48145 -INDEX GOES BRRR: 104 X: 6.54883 -INDEX GOES BRRR: 842 X: -11.3242 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.8105 -INDEX GOES BRRR: 118 X: 7.40723 -INDEX GOES BRRR: 240 X: 15.0098 -INDEX GOES BRRR: 211 X: 13.2188 -INDEX GOES BRRR: 30 X: 1.92285 -INDEX GOES BRRR: 267 X: 16.7422 -INDEX GOES BRRR: 285 X: 17.834 -INDEX GOES BRRR: 39 X: 2.44727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2754 -INDEX GOES BRRR: 183 X: 11.4971 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 197 X: 12.3525 -INDEX GOES BRRR: 30 X: 1.90332 -INDEX GOES BRRR: 989 X: -2.16309 -INDEX GOES BRRR: 217 X: 13.6064 -INDEX GOES BRRR: 365 X: 22.8369 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 954 X: -4.32031 -INDEX GOES BRRR: 234 X: 14.626 -INDEX GOES BRRR: 24 X: 1.50098 -INDEX GOES BRRR: 232 X: 14.5137 -INDEX GOES BRRR: 43 X: 2.73828 -INDEX GOES BRRR: 164 X: 10.2812 -INDEX GOES BRRR: 896 X: -7.9668 -INDEX GOES BRRR: 1004 X: -1.24316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.7061 -INDEX GOES BRRR: 917 X: -6.68164 -INDEX GOES BRRR: 82 X: 5.1543 -INDEX GOES BRRR: 980 X: -2.73926 -INDEX GOES BRRR: 220 X: 13.7676 -INDEX GOES BRRR: 258 X: 16.1807 -INDEX GOES BRRR: 0 X: 0.0185547 -INDEX GOES BRRR: 886 X: -8.62305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 386 X: 24.165 -INDEX GOES BRRR: 281 X: 17.6074 -INDEX GOES BRRR: 366 X: 22.9307 -INDEX GOES BRRR: 1007 X: -1.01465 -INDEX GOES BRRR: 7 X: 0.442383 -INDEX GOES BRRR: 63 X: 3.98926 -INDEX GOES BRRR: 31 X: 1.96973 -INDEX GOES BRRR: 8 X: 0.536133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 889 X: -8.4248 -INDEX GOES BRRR: 373 X: 23.3438 -INDEX GOES BRRR: 39 X: 2.43848 -INDEX GOES BRRR: 450 X: 28.1543 -INDEX GOES BRRR: 258 X: 16.1816 -INDEX GOES BRRR: 248 X: 15.502 -INDEX GOES BRRR: 146 X: 9.17285 -INDEX GOES BRRR: 189 X: 11.8691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2109 -INDEX GOES BRRR: 59 X: 3.69336 -INDEX GOES BRRR: 181 X: 11.3457 -INDEX GOES BRRR: 376 X: 23.5449 -INDEX GOES BRRR: 33 X: 2.11035 -INDEX GOES BRRR: 205 X: 12.8262 -INDEX GOES BRRR: 455 X: 28.4834 -INDEX GOES BRRR: 127 X: 7.94336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1641 -INDEX GOES BRRR: 97 X: 6.11816 -INDEX GOES BRRR: 200 X: 12.5166 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 176 X: 11.0137 -INDEX GOES BRRR: 76 X: 4.76465 -INDEX GOES BRRR: 1000 X: -1.46973 -INDEX GOES BRRR: 993 X: -1.91992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.98438 -INDEX GOES BRRR: 347 X: 21.7129 -INDEX GOES BRRR: 0 X: 0.0263672 -INDEX GOES BRRR: 473 X: 29.6191 -INDEX GOES BRRR: 202 X: 12.6396 -INDEX GOES BRRR: 486 X: 30.3887 -INDEX GOES BRRR: 167 X: 10.457 -INDEX GOES BRRR: 862 X: -10.1182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.25977 -INDEX GOES BRRR: 68 X: 4.2793 -INDEX GOES BRRR: 382 X: 23.918 -INDEX GOES BRRR: 365 X: 22.833 -INDEX GOES BRRR: 467 X: 29.2041 -INDEX GOES BRRR: 237 X: 14.8242 -INDEX GOES BRRR: 186 X: 11.6582 -INDEX GOES BRRR: 1006 X: -1.06445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5439 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 187 X: 11.7471 -INDEX GOES BRRR: 80 X: 5.01465 -INDEX GOES BRRR: 980 X: -2.71094 -INDEX GOES BRRR: 306 X: 19.1729 -INDEX GOES BRRR: 227 X: 14.2227 -INDEX GOES BRRR: 402 X: 25.166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.4082 -INDEX GOES BRRR: 927 X: -6.01465 -INDEX GOES BRRR: 168 X: 10.5078 -INDEX GOES BRRR: 461 X: 28.8301 -INDEX GOES BRRR: 983 X: -2.55957 -INDEX GOES BRRR: 97 X: 6.11328 -INDEX GOES BRRR: 163 X: 10.2002 -INDEX GOES BRRR: 192 X: 12.0029 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.48242 -INDEX GOES BRRR: 252 X: 15.7764 -INDEX GOES BRRR: 123 X: 7.72363 -INDEX GOES BRRR: 125 X: 7.85547 -INDEX GOES BRRR: 971 X: -3.26758 -INDEX GOES BRRR: 386 X: 24.1572 -INDEX GOES BRRR: 276 X: 17.25 -INDEX GOES BRRR: 363 X: 22.7236 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8691 -INDEX GOES BRRR: 251 X: 15.6953 -INDEX GOES BRRR: 67 X: 4.22656 -INDEX GOES BRRR: 412 X: 25.7764 -INDEX GOES BRRR: 450 X: 28.1855 -INDEX GOES BRRR: 89 X: 5.57324 -INDEX GOES BRRR: 335 X: 20.9727 -INDEX GOES BRRR: 139 X: 8.73828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.39551 -INDEX GOES BRRR: 259 X: 16.1943 -INDEX GOES BRRR: 461 X: 28.8574 -INDEX GOES BRRR: 105 X: 6.58789 -INDEX GOES BRRR: 204 X: 12.751 -INDEX GOES BRRR: 416 X: 26.0176 -INDEX GOES BRRR: 47 X: 2.94238 -INDEX GOES BRRR: 349 X: 21.8701 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0918 -INDEX GOES BRRR: 288 X: 18.0293 -INDEX GOES BRRR: 280 X: 17.5254 -INDEX GOES BRRR: 276 X: 17.2656 -INDEX GOES BRRR: 187 X: 11.7314 -INDEX GOES BRRR: 197 X: 12.3496 -INDEX GOES BRRR: 148 X: 9.28613 -INDEX GOES BRRR: 233 X: 14.6143 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 31 X: 1.98633 -INDEX GOES BRRR: 199 X: 12.4814 -INDEX GOES BRRR: 971 X: -3.2959 -INDEX GOES BRRR: 108 X: 6.77832 -INDEX GOES BRRR: 371 X: 23.2012 -INDEX GOES BRRR: 295 X: 18.4619 -INDEX GOES BRRR: 995 X: -1.7666 -INDEX GOES BRRR: 743 X: -17.5449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 982 X: -2.58301 -INDEX GOES BRRR: 409 X: 25.6113 -INDEX GOES BRRR: 993 X: -1.87598 -INDEX GOES BRRR: 988 X: -2.20605 -INDEX GOES BRRR: 259 X: 16.2051 -INDEX GOES BRRR: 161 X: 10.0664 -INDEX GOES BRRR: 202 X: 12.6318 -INDEX GOES BRRR: 909 X: -7.17188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.03418 -INDEX GOES BRRR: 344 X: 21.5566 -INDEX GOES BRRR: 997 X: -1.63574 -INDEX GOES BRRR: 103 X: 6.44727 -INDEX GOES BRRR: 318 X: 19.8896 -INDEX GOES BRRR: 35 X: 2.22266 -INDEX GOES BRRR: 154 X: 9.68066 -INDEX GOES BRRR: 177 X: 11.0957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.898438 -INDEX GOES BRRR: 425 X: 26.5928 -INDEX GOES BRRR: 231 X: 14.4736 -INDEX GOES BRRR: 112 X: 7.06152 -INDEX GOES BRRR: 177 X: 11.0752 -INDEX GOES BRRR: 267 X: 16.707 -INDEX GOES BRRR: 128 X: 8.00586 -INDEX GOES BRRR: 133 X: 8.34766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 287 X: 17.9648 -INDEX GOES BRRR: 181 X: 11.3525 -INDEX GOES BRRR: 254 X: 15.9258 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 100 X: 6.25293 -INDEX GOES BRRR: 993 X: -1.93066 -INDEX GOES BRRR: 773 X: -15.6514 -INDEX GOES BRRR: 249 X: 15.6045 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.23535 -INDEX GOES BRRR: 73 X: 4.59277 -INDEX GOES BRRR: 154 X: 9.67773 -INDEX GOES BRRR: 1011 X: -0.786133 -INDEX GOES BRRR: 988 X: -2.24902 -INDEX GOES BRRR: 185 X: 11.5645 -INDEX GOES BRRR: 149 X: 9.34766 -INDEX GOES BRRR: 986 X: -2.375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.43066 -INDEX GOES BRRR: 262 X: 16.4131 -INDEX GOES BRRR: 237 X: 14.835 -INDEX GOES BRRR: 1019 X: -0.25293 -INDEX GOES BRRR: 62 X: 3.90039 -INDEX GOES BRRR: 138 X: 8.68652 -INDEX GOES BRRR: 174 X: 10.9102 -INDEX GOES BRRR: 299 X: 18.7178 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 172 X: 10.7549 -INDEX GOES BRRR: 986 X: -2.35547 -INDEX GOES BRRR: 165 X: 10.3447 -INDEX GOES BRRR: 170 X: 10.6553 -INDEX GOES BRRR: 195 X: 12.1992 -INDEX GOES BRRR: 350 X: 21.9326 -INDEX GOES BRRR: 118 X: 7.37695 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.02637 -INDEX GOES BRRR: 88 X: 5.53516 -INDEX GOES BRRR: 271 X: 16.9424 -INDEX GOES BRRR: 254 X: 15.8828 -INDEX GOES BRRR: 78 X: 4.89746 -INDEX GOES BRRR: 339 X: 21.249 -INDEX GOES BRRR: 325 X: 20.3281 -INDEX GOES BRRR: 182 X: 11.4033 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.6143 -INDEX GOES BRRR: 230 X: 14.4062 -INDEX GOES BRRR: 147 X: 9.19141 -INDEX GOES BRRR: 24 X: 1.5166 -INDEX GOES BRRR: 93 X: 5.82617 -INDEX GOES BRRR: 206 X: 12.9023 -INDEX GOES BRRR: 260 X: 16.2588 -INDEX GOES BRRR: 1011 X: -0.757812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2217 -INDEX GOES BRRR: 262 X: 16.3789 -INDEX GOES BRRR: 887 X: -8.51953 -INDEX GOES BRRR: 117 X: 7.33887 -INDEX GOES BRRR: 58 X: 3.65137 -INDEX GOES BRRR: 286 X: 17.9121 -INDEX GOES BRRR: 476 X: 29.8037 -INDEX GOES BRRR: 881 X: -8.93164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1005 X: -1.15527 -INDEX GOES BRRR: 298 X: 18.6377 -INDEX GOES BRRR: 160 X: 10.001 -INDEX GOES BRRR: 148 X: 9.29297 -INDEX GOES BRRR: 126 X: 7.89062 -INDEX GOES BRRR: 410 X: 25.6348 -INDEX GOES BRRR: 223 X: 13.9404 -INDEX GOES BRRR: 246 X: 15.376 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.39551 -INDEX GOES BRRR: 312 X: 19.5508 -INDEX GOES BRRR: 171 X: 10.7168 -INDEX GOES BRRR: 193 X: 12.1172 -INDEX GOES BRRR: 309 X: 19.3672 -INDEX GOES BRRR: 80 X: 5.0166 -INDEX GOES BRRR: 254 X: 15.9258 -INDEX GOES BRRR: 24 X: 1.54395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.2207 -INDEX GOES BRRR: 54 X: 3.41504 -INDEX GOES BRRR: 117 X: 7.33789 -INDEX GOES BRRR: 241 X: 15.1006 -INDEX GOES BRRR: 201 X: 12.5732 -INDEX GOES BRRR: 143 X: 8.96094 -INDEX GOES BRRR: 242 X: 15.1582 -INDEX GOES BRRR: 236 X: 14.793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9775 -INDEX GOES BRRR: 19 X: 1.23145 -INDEX GOES BRRR: 831 X: -12.002 -INDEX GOES BRRR: 145 X: 9.11328 -INDEX GOES BRRR: 86 X: 5.40527 -INDEX GOES BRRR: 108 X: 6.78711 -INDEX GOES BRRR: 202 X: 12.6689 -INDEX GOES BRRR: 995 X: -1.79883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 488 X: 30.5166 -INDEX GOES BRRR: 72 X: 4.54199 -INDEX GOES BRRR: 186 X: 11.6543 -INDEX GOES BRRR: 191 X: 11.957 -INDEX GOES BRRR: 189 X: 11.8691 -INDEX GOES BRRR: 67 X: 4.2373 -INDEX GOES BRRR: 228 X: 14.2959 -INDEX GOES BRRR: 265 X: 16.5996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.46973 -INDEX GOES BRRR: 164 X: 10.2949 -INDEX GOES BRRR: 302 X: 18.916 -INDEX GOES BRRR: 369 X: 23.0801 -INDEX GOES BRRR: 672 X: -21.9629 -INDEX GOES BRRR: 977 X: -2.8916 -INDEX GOES BRRR: 71 X: 4.43848 -INDEX GOES BRRR: 142 X: 8.91113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 76 X: 4.75391 -INDEX GOES BRRR: 760 X: -16.4746 -INDEX GOES BRRR: 251 X: 15.7354 -INDEX GOES BRRR: 361 X: 22.6133 -INDEX GOES BRRR: 239 X: 14.959 -INDEX GOES BRRR: 243 X: 15.2207 -INDEX GOES BRRR: 943 X: -5.04688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8711 -INDEX GOES BRRR: 294 X: 18.4238 -INDEX GOES BRRR: 280 X: 17.5566 -INDEX GOES BRRR: 236 X: 14.7588 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 782 X: -15.0654 -INDEX GOES BRRR: 142 X: 8.91504 -INDEX GOES BRRR: 175 X: 10.9658 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8193 -INDEX GOES BRRR: 187 X: 11.748 -INDEX GOES BRRR: 241 X: 15.0723 -INDEX GOES BRRR: 205 X: 12.8262 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 148 X: 9.28516 -INDEX GOES BRRR: 189 X: 11.8682 -INDEX GOES BRRR: 255 X: 15.9854 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.05469 -INDEX GOES BRRR: 37 X: 2.35059 -INDEX GOES BRRR: 93 X: 5.83496 -INDEX GOES BRRR: 82 X: 5.14844 -INDEX GOES BRRR: 121 X: 7.60449 -INDEX GOES BRRR: 106 X: 6.66797 -INDEX GOES BRRR: 174 X: 10.8975 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 344 X: 21.5195 -INDEX GOES BRRR: 61 X: 3.81934 -INDEX GOES BRRR: 64 X: 4.01367 -INDEX GOES BRRR: 351 X: 21.9531 -INDEX GOES BRRR: 1021 X: -0.137695 -INDEX GOES BRRR: 42 X: 2.66113 -INDEX GOES BRRR: 214 X: 13.4199 -INDEX GOES BRRR: 10 X: 0.650391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.74805 -INDEX GOES BRRR: 858 X: -10.3223 -INDEX GOES BRRR: 912 X: -6.99121 -INDEX GOES BRRR: 193 X: 12.0986 -INDEX GOES BRRR: 295 X: 18.4521 -INDEX GOES BRRR: 465 X: 29.0684 -INDEX GOES BRRR: 1023 X: -0.0117188 -INDEX GOES BRRR: 296 X: 18.5273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 176 X: 11.042 -INDEX GOES BRRR: 72 X: 4.51074 -INDEX GOES BRRR: 160 X: 10.0215 -INDEX GOES BRRR: 309 X: 19.373 -INDEX GOES BRRR: 936 X: -5.46973 -INDEX GOES BRRR: 276 X: 17.2812 -INDEX GOES BRRR: 64 X: 4.00488 -INDEX GOES BRRR: 142 X: 8.92871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 890 X: -8.31641 -INDEX GOES BRRR: 296 X: 18.5459 -INDEX GOES BRRR: 133 X: 8.34277 -INDEX GOES BRRR: 83 X: 5.24219 -INDEX GOES BRRR: 352 X: 22.0615 -INDEX GOES BRRR: 331 X: 20.7041 -INDEX GOES BRRR: 180 X: 11.2539 -INDEX GOES BRRR: 76 X: 4.80469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8477 -INDEX GOES BRRR: 95 X: 5.9668 -INDEX GOES BRRR: 211 X: 13.1973 -INDEX GOES BRRR: 994 X: -1.86035 -INDEX GOES BRRR: 135 X: 8.47852 -INDEX GOES BRRR: 132 X: 8.28906 -INDEX GOES BRRR: 261 X: 16.3643 -INDEX GOES BRRR: 889 X: -8.43066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.15137 -INDEX GOES BRRR: 293 X: 18.3672 -INDEX GOES BRRR: 8 X: 0.530273 -INDEX GOES BRRR: 118 X: 7.4082 -INDEX GOES BRRR: 174 X: 10.9092 -INDEX GOES BRRR: 914 X: -6.81836 -INDEX GOES BRRR: 231 X: 14.4639 -INDEX GOES BRRR: 317 X: 19.8164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4727 -INDEX GOES BRRR: 991 X: -2.02246 -INDEX GOES BRRR: 37 X: 2.31543 -INDEX GOES BRRR: 22 X: 1.41309 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 956 X: -4.22949 -INDEX GOES BRRR: 118 X: 7.43652 -INDEX GOES BRRR: 27 X: 1.71582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.5947 -INDEX GOES BRRR: 218 X: 13.6768 -INDEX GOES BRRR: 57 X: 3.61328 -INDEX GOES BRRR: 987 X: -2.29688 -INDEX GOES BRRR: 206 X: 12.9277 -INDEX GOES BRRR: 47 X: 2.96875 -INDEX GOES BRRR: 91 X: 5.72852 -INDEX GOES BRRR: 117 X: 7.37012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 249 X: 15.6104 -INDEX GOES BRRR: 68 X: 4.30371 -INDEX GOES BRRR: 199 X: 12.4795 -INDEX GOES BRRR: 330 X: 20.6436 -INDEX GOES BRRR: 186 X: 11.626 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 868 X: -9.69141 -INDEX GOES BRRR: 164 X: 10.2686 -INDEX GOES BRRR: 80 X: 5.04297 -INDEX GOES BRRR: 100 X: 6.30859 -INDEX GOES BRRR: 149 X: 9.32031 -INDEX GOES BRRR: 202 X: 12.6758 -INDEX GOES BRRR: 399 X: 24.9893 -INDEX GOES BRRR: 147 X: 9.20117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 427 X: 26.7266 -INDEX GOES BRRR: 74 X: 4.67676 -INDEX GOES BRRR: 187 X: 11.7002 -INDEX GOES BRRR: 250 X: 15.6357 -INDEX GOES BRRR: 178 X: 11.1562 -INDEX GOES BRRR: 250 X: 15.6641 -INDEX GOES BRRR: 200 X: 12.5518 -INDEX GOES BRRR: 996 X: -1.69434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.374 -INDEX GOES BRRR: 70 X: 4.37695 -INDEX GOES BRRR: 447 X: 27.9717 -INDEX GOES BRRR: 278 X: 17.3857 -INDEX GOES BRRR: 175 X: 10.9785 -INDEX GOES BRRR: 217 X: 13.5635 -INDEX GOES BRRR: 130 X: 8.16504 -INDEX GOES BRRR: 431 X: 26.9375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.3584 -INDEX GOES BRRR: 145 X: 9.08105 -INDEX GOES BRRR: 423 X: 26.4541 -INDEX GOES BRRR: 172 X: 10.7559 -INDEX GOES BRRR: 318 X: 19.9219 -INDEX GOES BRRR: 44 X: 2.76172 -INDEX GOES BRRR: 308 X: 19.3105 -INDEX GOES BRRR: 120 X: 7.50977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1348 -INDEX GOES BRRR: 491 X: 30.7178 -INDEX GOES BRRR: 231 X: 14.4424 -INDEX GOES BRRR: 125 X: 7.81641 -INDEX GOES BRRR: 230 X: 14.4053 -INDEX GOES BRRR: 177 X: 11.0947 -INDEX GOES BRRR: 240 X: 15.0107 -INDEX GOES BRRR: 295 X: 18.4658 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.97168 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 956 X: -4.19531 -INDEX GOES BRRR: 109 X: 6.83691 -INDEX GOES BRRR: 366 X: 22.9346 -INDEX GOES BRRR: 211 X: 13.2266 -INDEX GOES BRRR: 269 X: 16.8525 -INDEX GOES BRRR: 337 X: 21.1084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 29 X: 1.83789 -INDEX GOES BRRR: 804 X: -13.7197 -INDEX GOES BRRR: 1009 X: -0.888672 -INDEX GOES BRRR: 343 X: 21.498 -INDEX GOES BRRR: 113 X: 7.08105 -INDEX GOES BRRR: 880 X: -8.97363 -INDEX GOES BRRR: 111 X: 6.9375 -INDEX GOES BRRR: 345 X: 21.5811 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.46387 -INDEX GOES BRRR: 203 X: 12.7393 -INDEX GOES BRRR: 383 X: 23.9795 -INDEX GOES BRRR: 956 X: -4.23438 -INDEX GOES BRRR: 40 X: 2.50391 -INDEX GOES BRRR: 239 X: 14.9443 -INDEX GOES BRRR: 267 X: 16.708 -INDEX GOES BRRR: 194 X: 12.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.1094 -INDEX GOES BRRR: 311 X: 19.4639 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1014 X: -0.615234 -INDEX GOES BRRR: 225 X: 14.1035 -INDEX GOES BRRR: 86 X: 5.37988 -INDEX GOES BRRR: 200 X: 12.5557 -INDEX GOES BRRR: 479 X: 29.958 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 916 X: -6.70215 -INDEX GOES BRRR: 150 X: 9.39844 -INDEX GOES BRRR: 207 X: 12.999 -INDEX GOES BRRR: 192 X: 12.0127 -INDEX GOES BRRR: 254 X: 15.8857 -INDEX GOES BRRR: 100 X: 6.29883 -INDEX GOES BRRR: 126 X: 7.88965 -INDEX GOES BRRR: 360 X: 22.5146 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.834 -INDEX GOES BRRR: 171 X: 10.7207 -INDEX GOES BRRR: 56 X: 3.53906 -INDEX GOES BRRR: 17 X: 1.10254 -INDEX GOES BRRR: 333 X: 20.8643 -INDEX GOES BRRR: 253 X: 15.8252 -INDEX GOES BRRR: 271 X: 16.999 -INDEX GOES BRRR: 102 X: 6.38281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.97656 -INDEX GOES BRRR: 765 X: -16.1641 -INDEX GOES BRRR: 42 X: 2.66016 -INDEX GOES BRRR: 448 X: 28.04 -INDEX GOES BRRR: 198 X: 12.3857 -INDEX GOES BRRR: 383 X: 23.9668 -INDEX GOES BRRR: 912 X: -6.9834 -INDEX GOES BRRR: 170 X: 10.6641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 962 X: -3.81934 -INDEX GOES BRRR: 1021 X: -0.131836 -INDEX GOES BRRR: 243 X: 15.2021 -INDEX GOES BRRR: 848 X: -10.9854 -INDEX GOES BRRR: 310 X: 19.417 -INDEX GOES BRRR: 201 X: 12.5986 -INDEX GOES BRRR: 176 X: 11.0537 -INDEX GOES BRRR: 988 X: -2.2373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.50977 -INDEX GOES BRRR: 109 X: 6.86035 -INDEX GOES BRRR: 244 X: 15.2676 -INDEX GOES BRRR: 144 X: 9.02441 -INDEX GOES BRRR: 171 X: 10.6934 -INDEX GOES BRRR: 114 X: 7.12891 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 437 X: 27.3652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.874 -INDEX GOES BRRR: 432 X: 27.0205 -INDEX GOES BRRR: 152 X: 9.55078 -INDEX GOES BRRR: 28 X: 1.80664 -INDEX GOES BRRR: 97 X: 6.10938 -INDEX GOES BRRR: 322 X: 20.1699 -INDEX GOES BRRR: 193 X: 12.0967 -INDEX GOES BRRR: 224 X: 14.0283 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.125977 -INDEX GOES BRRR: 495 X: 30.958 -INDEX GOES BRRR: 217 X: 13.6113 -INDEX GOES BRRR: 303 X: 18.9854 -INDEX GOES BRRR: 124 X: 7.77344 -INDEX GOES BRRR: 332 X: 20.8027 -INDEX GOES BRRR: 222 X: 13.8877 -INDEX GOES BRRR: 38 X: 2.41211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0576172 -INDEX GOES BRRR: 327 X: 20.4961 -INDEX GOES BRRR: 384 X: 24.0547 -INDEX GOES BRRR: 964 X: -3.74512 -INDEX GOES BRRR: 372 X: 23.2754 -INDEX GOES BRRR: 54 X: 3.42578 -INDEX GOES BRRR: 6 X: 0.395508 -INDEX GOES BRRR: 240 X: 15.0342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2002 -INDEX GOES BRRR: 241 X: 15.0996 -INDEX GOES BRRR: 262 X: 16.4355 -INDEX GOES BRRR: 289 X: 18.0869 -INDEX GOES BRRR: 282 X: 17.6562 -INDEX GOES BRRR: 313 X: 19.5879 -INDEX GOES BRRR: 317 X: 19.8408 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.3076 -INDEX GOES BRRR: 38 X: 2.38965 -INDEX GOES BRRR: 35 X: 2.23242 -INDEX GOES BRRR: 88 X: 5.55371 -INDEX GOES BRRR: 247 X: 15.4492 -INDEX GOES BRRR: 990 X: -2.10449 -INDEX GOES BRRR: 307 X: 19.2246 -INDEX GOES BRRR: 389 X: 24.373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 942 X: -5.10156 -INDEX GOES BRRR: 976 X: -2.95898 -INDEX GOES BRRR: 1014 X: -0.607422 -INDEX GOES BRRR: 67 X: 4.22559 -INDEX GOES BRRR: 311 X: 19.4941 -INDEX GOES BRRR: 998 X: -1.60156 -INDEX GOES BRRR: 174 X: 10.8867 -INDEX GOES BRRR: 290 X: 18.166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.01172 -INDEX GOES BRRR: 135 X: 8.4668 -INDEX GOES BRRR: 184 X: 11.5264 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 274 X: 17.1826 -INDEX GOES BRRR: 83 X: 5.22168 -INDEX GOES BRRR: 253 X: 15.8125 -INDEX GOES BRRR: 123 X: 7.74316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.667 -INDEX GOES BRRR: 991 X: -2.05859 -INDEX GOES BRRR: 232 X: 14.5234 -INDEX GOES BRRR: 215 X: 13.4707 -INDEX GOES BRRR: 174 X: 10.8809 -INDEX GOES BRRR: 198 X: 12.4336 -INDEX GOES BRRR: 179 X: 11.2197 -INDEX GOES BRRR: 888 X: -8.47266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.71875 -INDEX GOES BRRR: 326 X: 20.3916 -INDEX GOES BRRR: 62 X: 3.88184 -INDEX GOES BRRR: 991 X: -2.05957 -INDEX GOES BRRR: 311 X: 19.4482 -INDEX GOES BRRR: 14 X: 0.924805 -INDEX GOES BRRR: 130 X: 8.16797 -INDEX GOES BRRR: 352 X: 22.0264 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.7031 -INDEX GOES BRRR: 340 X: 21.2617 -INDEX GOES BRRR: 197 X: 12.374 -INDEX GOES BRRR: 370 X: 23.1738 -INDEX GOES BRRR: 126 X: 7.9209 -INDEX GOES BRRR: 347 X: 21.7471 -INDEX GOES BRRR: 339 X: 21.2256 -INDEX GOES BRRR: 196 X: 12.2842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0049 -INDEX GOES BRRR: 180 X: 11.3086 -INDEX GOES BRRR: 140 X: 8.79199 -INDEX GOES BRRR: 29 X: 1.82715 -INDEX GOES BRRR: 1021 X: -0.183594 -INDEX GOES BRRR: 16 X: 1 -INDEX GOES BRRR: 97 X: 6.11426 -INDEX GOES BRRR: 179 X: 11.207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 295 X: 18.4834 -INDEX GOES BRRR: 1004 X: -1.23047 -INDEX GOES BRRR: 176 X: 11.0537 -INDEX GOES BRRR: 441 X: 27.5693 -INDEX GOES BRRR: 461 X: 28.8701 -INDEX GOES BRRR: 158 X: 9.89941 -INDEX GOES BRRR: 147 X: 9.20215 -INDEX GOES BRRR: 207 X: 12.9951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.2373 -INDEX GOES BRRR: 9 X: 0.606445 -INDEX GOES BRRR: 71 X: 4.45508 -INDEX GOES BRRR: 345 X: 21.6104 -INDEX GOES BRRR: 327 X: 20.4434 -INDEX GOES BRRR: 344 X: 21.5508 -INDEX GOES BRRR: 78 X: 4.89355 -INDEX GOES BRRR: 877 X: -9.1543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5547 -INDEX GOES BRRR: 335 X: 20.9736 -INDEX GOES BRRR: 895 X: -8.01172 -INDEX GOES BRRR: 1006 X: -1.11719 -INDEX GOES BRRR: 926 X: -6.11328 -INDEX GOES BRRR: 317 X: 19.8262 -INDEX GOES BRRR: 53 X: 3.34082 -INDEX GOES BRRR: 5 X: 0.332031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2256 -INDEX GOES BRRR: 197 X: 12.3701 -INDEX GOES BRRR: 76 X: 4.79688 -INDEX GOES BRRR: 275 X: 17.1895 -INDEX GOES BRRR: 110 X: 6.90918 -INDEX GOES BRRR: 131 X: 8.24219 -INDEX GOES BRRR: 35 X: 2.21875 -INDEX GOES BRRR: 971 X: -3.27539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 279 X: 17.4492 -INDEX GOES BRRR: 140 X: 8.76172 -INDEX GOES BRRR: 164 X: 10.2812 -INDEX GOES BRRR: 97 X: 6.11035 -INDEX GOES BRRR: 137 X: 8.59375 -INDEX GOES BRRR: 25 X: 1.57031 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 194 X: 12.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.6924 -INDEX GOES BRRR: 210 X: 13.1436 -INDEX GOES BRRR: 234 X: 14.6768 -INDEX GOES BRRR: 149 X: 9.36035 -INDEX GOES BRRR: 109 X: 6.8623 -INDEX GOES BRRR: 332 X: 20.7559 -INDEX GOES BRRR: 82 X: 5.12988 -INDEX GOES BRRR: 425 X: 26.5762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.94043 -INDEX GOES BRRR: 273 X: 17.0674 -INDEX GOES BRRR: 232 X: 14.5605 -INDEX GOES BRRR: 294 X: 18.4082 -INDEX GOES BRRR: 124 X: 7.77441 -INDEX GOES BRRR: 150 X: 9.40527 -INDEX GOES BRRR: 113 X: 7.12109 -INDEX GOES BRRR: 983 X: -2.54688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.69141 -INDEX GOES BRRR: 462 X: 28.9365 -INDEX GOES BRRR: 975 X: -3.03906 -INDEX GOES BRRR: 49 X: 3.10449 -INDEX GOES BRRR: 368 X: 23.0186 -INDEX GOES BRRR: 1021 X: -0.141602 -INDEX GOES BRRR: 159 X: 9.95703 -INDEX GOES BRRR: 84 X: 5.27344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 825 X: -12.4082 -INDEX GOES BRRR: 23 X: 1.48828 -INDEX GOES BRRR: 317 X: 19.8613 -INDEX GOES BRRR: 26 X: 1.68555 -INDEX GOES BRRR: 326 X: 20.4297 -INDEX GOES BRRR: 83 X: 5.19629 -INDEX GOES BRRR: 22 X: 1.38574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.09766 -INDEX GOES BRRR: 885 X: -8.65625 -INDEX GOES BRRR: 960 X: -3.95605 -INDEX GOES BRRR: 152 X: 9.50195 -INDEX GOES BRRR: 104 X: 6.54688 -INDEX GOES BRRR: 75 X: 4.71875 -INDEX GOES BRRR: 1014 X: -0.595703 -INDEX GOES BRRR: 71 X: 4.46191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.71777 -INDEX GOES BRRR: 32 X: 2.04883 -INDEX GOES BRRR: 95 X: 5.94824 -INDEX GOES BRRR: 86 X: 5.42188 -INDEX GOES BRRR: 963 X: -3.79883 -INDEX GOES BRRR: 1018 X: -0.348633 -INDEX GOES BRRR: 254 X: 15.8818 -INDEX GOES BRRR: 250 X: 15.6484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.49902 -INDEX GOES BRRR: 113 X: 7.11816 -INDEX GOES BRRR: 165 X: 10.3291 -INDEX GOES BRRR: 380 X: 23.7764 -INDEX GOES BRRR: 127 X: 7.97266 -INDEX GOES BRRR: 361 X: 22.623 -INDEX GOES BRRR: 82 X: 5.14648 -INDEX GOES BRRR: 325 X: 20.3252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.833 -INDEX GOES BRRR: 131 X: 8.21777 -INDEX GOES BRRR: 221 X: 13.8506 -INDEX GOES BRRR: 359 X: 22.4473 -INDEX GOES BRRR: 875 X: -9.25391 -INDEX GOES BRRR: 31 X: 1.9375 -INDEX GOES BRRR: 38 X: 2.42578 -INDEX GOES BRRR: 235 X: 14.7334 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 128 X: 8.01562 -INDEX GOES BRRR: 269 X: 16.8232 -INDEX GOES BRRR: 987 X: -2.27344 -INDEX GOES BRRR: 1002 X: -1.34082 -INDEX GOES BRRR: 142 X: 8.93262 -INDEX GOES BRRR: 16 X: 1.04004 -INDEX GOES BRRR: 947 X: -4.76465 -INDEX GOES BRRR: 849 X: -10.8828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 411 X: 25.7061 -INDEX GOES BRRR: 123 X: 7.73047 -INDEX GOES BRRR: 919 X: -6.54688 -INDEX GOES BRRR: 83 X: 5.22949 -INDEX GOES BRRR: 342 X: 21.4248 -INDEX GOES BRRR: 173 X: 10.8672 -INDEX GOES BRRR: 885 X: -8.67676 -INDEX GOES BRRR: 297 X: 18.6006 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 749 X: -17.1484 -INDEX GOES BRRR: 368 X: 23.0127 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 832 X: -11.9551 -INDEX GOES BRRR: 924 X: -6.19922 -INDEX GOES BRRR: 63 X: 3.99707 -INDEX GOES BRRR: 244 X: 15.2695 -INDEX GOES BRRR: 267 X: 16.7451 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 88 X: 5.52148 -INDEX GOES BRRR: 179 X: 11.2422 -INDEX GOES BRRR: 282 X: 17.6494 -INDEX GOES BRRR: 184 X: 11.5488 -INDEX GOES BRRR: 184 X: 11.5225 -INDEX GOES BRRR: 196 X: 12.25 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 431 X: 26.9502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 927 X: -6.05664 -INDEX GOES BRRR: 214 X: 13.4248 -INDEX GOES BRRR: 17 X: 1.08984 -INDEX GOES BRRR: 818 X: -12.8574 -INDEX GOES BRRR: 382 X: 23.8896 -INDEX GOES BRRR: 154 X: 9.65625 -INDEX GOES BRRR: 3 X: 0.239258 -INDEX GOES BRRR: 240 X: 15.0283 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 860 X: -10.25 -INDEX GOES BRRR: 137 X: 8.58887 -INDEX GOES BRRR: 309 X: 19.3369 -INDEX GOES BRRR: 384 X: 24.0107 -INDEX GOES BRRR: 966 X: -3.58008 -INDEX GOES BRRR: 359 X: 22.4658 -INDEX GOES BRRR: 60 X: 3.80957 -INDEX GOES BRRR: 915 X: -6.78125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.51367 -INDEX GOES BRRR: 250 X: 15.6377 -INDEX GOES BRRR: 207 X: 12.9971 -INDEX GOES BRRR: 88 X: 5.55078 -INDEX GOES BRRR: 311 X: 19.4648 -INDEX GOES BRRR: 336 X: 21.0049 -INDEX GOES BRRR: 231 X: 14.4512 -INDEX GOES BRRR: 895 X: -8.0332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.65332 -INDEX GOES BRRR: 338 X: 21.1582 -INDEX GOES BRRR: 426 X: 26.6719 -INDEX GOES BRRR: 180 X: 11.2891 -INDEX GOES BRRR: 217 X: 13.5938 -INDEX GOES BRRR: 274 X: 17.1465 -INDEX GOES BRRR: 142 X: 8.93164 -INDEX GOES BRRR: 228 X: 14.2646 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.8584 -INDEX GOES BRRR: 170 X: 10.6826 -INDEX GOES BRRR: 27 X: 1.7373 -INDEX GOES BRRR: 156 X: 9.75391 -INDEX GOES BRRR: 239 X: 14.9668 -INDEX GOES BRRR: 133 X: 8.37305 -INDEX GOES BRRR: 202 X: 12.6455 -INDEX GOES BRRR: 61 X: 3.8584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 976 X: -2.95703 -INDEX GOES BRRR: 162 X: 10.1748 -INDEX GOES BRRR: 130 X: 8.17676 -INDEX GOES BRRR: 234 X: 14.6689 -INDEX GOES BRRR: 371 X: 23.1924 -INDEX GOES BRRR: 231 X: 14.457 -INDEX GOES BRRR: 110 X: 6.90234 -INDEX GOES BRRR: 157 X: 9.85156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6631 -INDEX GOES BRRR: 1009 X: -0.887695 -INDEX GOES BRRR: 321 X: 20.0996 -INDEX GOES BRRR: 305 X: 19.1006 -INDEX GOES BRRR: 338 X: 21.1777 -INDEX GOES BRRR: 189 X: 11.8467 -INDEX GOES BRRR: 426 X: 26.627 -INDEX GOES BRRR: 1007 X: -1.03613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4883 -INDEX GOES BRRR: 105 X: 6.58984 -INDEX GOES BRRR: 260 X: 16.2656 -INDEX GOES BRRR: 48 X: 3.03125 -INDEX GOES BRRR: 998 X: -1.59375 -INDEX GOES BRRR: 217 X: 13.6221 -INDEX GOES BRRR: 76 X: 4.80371 -INDEX GOES BRRR: 910 X: -7.09668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5254 -INDEX GOES BRRR: 200 X: 12.5273 -INDEX GOES BRRR: 103 X: 6.48145 -INDEX GOES BRRR: 963 X: -3.75977 -INDEX GOES BRRR: 95 X: 5.96582 -INDEX GOES BRRR: 920 X: -6.46289 -INDEX GOES BRRR: 1012 X: -0.737305 -INDEX GOES BRRR: 161 X: 10.0898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.14551 -INDEX GOES BRRR: 899 X: -7.75879 -INDEX GOES BRRR: 330 X: 20.6846 -INDEX GOES BRRR: 395 X: 24.6992 -INDEX GOES BRRR: 353 X: 22.1152 -INDEX GOES BRRR: 23 X: 1.46387 -INDEX GOES BRRR: 35 X: 2.19043 -INDEX GOES BRRR: 175 X: 10.999 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.70508 -INDEX GOES BRRR: 61 X: 3.85742 -INDEX GOES BRRR: 311 X: 19.4902 -INDEX GOES BRRR: 948 X: -4.73535 -INDEX GOES BRRR: 364 X: 22.8115 -INDEX GOES BRRR: 179 X: 11.2451 -INDEX GOES BRRR: 111 X: 6.98926 -INDEX GOES BRRR: 329 X: 20.584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.84863 -INDEX GOES BRRR: 357 X: 22.3486 -INDEX GOES BRRR: 472 X: 29.5068 -INDEX GOES BRRR: 162 X: 10.1719 -INDEX GOES BRRR: 96 X: 6.0293 -INDEX GOES BRRR: 32 X: 2.03223 -INDEX GOES BRRR: 231 X: 14.4717 -INDEX GOES BRRR: 117 X: 7.33398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.79102 -INDEX GOES BRRR: 215 X: 13.4492 -INDEX GOES BRRR: 842 X: -11.3623 -INDEX GOES BRRR: 894 X: -8.08398 -INDEX GOES BRRR: 154 X: 9.63086 -INDEX GOES BRRR: 120 X: 7.54004 -INDEX GOES BRRR: 941 X: -5.18066 -INDEX GOES BRRR: 917 X: -6.63281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4824 -INDEX GOES BRRR: 47 X: 2.98047 -INDEX GOES BRRR: 1002 X: -1.34863 -INDEX GOES BRRR: 143 X: 8.94629 -INDEX GOES BRRR: 1000 X: -1.49023 -INDEX GOES BRRR: 126 X: 7.89648 -INDEX GOES BRRR: 871 X: -9.52734 -INDEX GOES BRRR: 173 X: 10.8545 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.24219 -INDEX GOES BRRR: 966 X: -3.61133 -INDEX GOES BRRR: 288 X: 18.0234 -INDEX GOES BRRR: 414 X: 25.9355 -INDEX GOES BRRR: 264 X: 16.5332 -INDEX GOES BRRR: 46 X: 2.89941 -INDEX GOES BRRR: 328 X: 20.5156 -INDEX GOES BRRR: 208 X: 13.0264 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 707 X: -19.7666 -INDEX GOES BRRR: 292 X: 18.2959 -INDEX GOES BRRR: 419 X: 26.2012 -INDEX GOES BRRR: 209 X: 13.1152 -INDEX GOES BRRR: 442 X: 27.6807 -INDEX GOES BRRR: 193 X: 12.0645 -INDEX GOES BRRR: 125 X: 7.87402 -INDEX GOES BRRR: 255 X: 15.9795 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 279 X: 17.4697 -INDEX GOES BRRR: 955 X: -4.28613 -INDEX GOES BRRR: 380 X: 23.7725 -INDEX GOES BRRR: 417 X: 26.1045 -INDEX GOES BRRR: 234 X: 14.6611 -INDEX GOES BRRR: 315 X: 19.7031 -INDEX GOES BRRR: 463 X: 28.9697 -INDEX GOES BRRR: 200 X: 12.5039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6318 -INDEX GOES BRRR: 283 X: 17.7324 -INDEX GOES BRRR: 957 X: -4.15039 -INDEX GOES BRRR: 302 X: 18.916 -INDEX GOES BRRR: 322 X: 20.1777 -INDEX GOES BRRR: 136 X: 8.51562 -INDEX GOES BRRR: 143 X: 8.9668 -INDEX GOES BRRR: 187 X: 11.7373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3262 -INDEX GOES BRRR: 71 X: 4.46484 -INDEX GOES BRRR: 14 X: 0.911133 -INDEX GOES BRRR: 227 X: 14.2334 -INDEX GOES BRRR: 207 X: 12.9961 -INDEX GOES BRRR: 187 X: 11.6992 -INDEX GOES BRRR: 1023 X: -0.0517578 -INDEX GOES BRRR: 239 X: 14.9932 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.91504 -INDEX GOES BRRR: 400 X: 25.0537 -INDEX GOES BRRR: 300 X: 18.7842 -INDEX GOES BRRR: 252 X: 15.7617 -INDEX GOES BRRR: 117 X: 7.31641 -INDEX GOES BRRR: 109 X: 6.85449 -INDEX GOES BRRR: 20 X: 1.25391 -INDEX GOES BRRR: 18 X: 1.15918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7578 -INDEX GOES BRRR: 267 X: 16.6934 -INDEX GOES BRRR: 122 X: 7.67969 -INDEX GOES BRRR: 94 X: 5.89453 -INDEX GOES BRRR: 354 X: 22.1572 -INDEX GOES BRRR: 798 X: -14.1094 -INDEX GOES BRRR: 362 X: 22.6523 -INDEX GOES BRRR: 27 X: 1.73828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 873 X: -9.40039 -INDEX GOES BRRR: 311 X: 19.46 -INDEX GOES BRRR: 187 X: 11.7324 -INDEX GOES BRRR: 322 X: 20.1533 -INDEX GOES BRRR: 883 X: -8.77246 -INDEX GOES BRRR: 44 X: 2.81152 -INDEX GOES BRRR: 396 X: 24.7627 -INDEX GOES BRRR: 229 X: 14.374 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.28516 -INDEX GOES BRRR: 84 X: 5.27734 -INDEX GOES BRRR: 5 X: 0.356445 -INDEX GOES BRRR: 1 X: 0.0820312 -INDEX GOES BRRR: 28 X: 1.81152 -INDEX GOES BRRR: 180 X: 11.2969 -INDEX GOES BRRR: 63 X: 3.94824 -INDEX GOES BRRR: 98 X: 6.13574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.39746 -INDEX GOES BRRR: 904 X: -7.47852 -INDEX GOES BRRR: 18 X: 1.13477 -INDEX GOES BRRR: 920 X: -6.4707 -INDEX GOES BRRR: 8 X: 0.557617 -INDEX GOES BRRR: 99 X: 6.18945 -INDEX GOES BRRR: 269 X: 16.8604 -INDEX GOES BRRR: 332 X: 20.752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.59766 -INDEX GOES BRRR: 98 X: 6.18652 -INDEX GOES BRRR: 188 X: 11.793 -INDEX GOES BRRR: 287 X: 17.9697 -INDEX GOES BRRR: 32 X: 2.02441 -INDEX GOES BRRR: 77 X: 4.83984 -INDEX GOES BRRR: 900 X: -7.69238 -INDEX GOES BRRR: 276 X: 17.2617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.0957 -INDEX GOES BRRR: 251 X: 15.7197 -INDEX GOES BRRR: 440 X: 27.5527 -INDEX GOES BRRR: 966 X: -3.57031 -INDEX GOES BRRR: 169 X: 10.6162 -INDEX GOES BRRR: 39 X: 2.44434 -INDEX GOES BRRR: 229 X: 14.3682 -INDEX GOES BRRR: 315 X: 19.7168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.56348 -INDEX GOES BRRR: 189 X: 11.8643 -INDEX GOES BRRR: 226 X: 14.1465 -INDEX GOES BRRR: 212 X: 13.2969 -INDEX GOES BRRR: 175 X: 10.9854 -INDEX GOES BRRR: 238 X: 14.877 -INDEX GOES BRRR: 165 X: 10.3359 -INDEX GOES BRRR: 904 X: -7.47656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.25781 -INDEX GOES BRRR: 950 X: -4.58105 -INDEX GOES BRRR: 201 X: 12.5879 -INDEX GOES BRRR: 146 X: 9.14648 -INDEX GOES BRRR: 297 X: 18.6143 -INDEX GOES BRRR: 59 X: 3.71875 -INDEX GOES BRRR: 22 X: 1.38672 -INDEX GOES BRRR: 87 X: 5.4375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.3252 -INDEX GOES BRRR: 68 X: 4.29395 -INDEX GOES BRRR: 350 X: 21.9121 -INDEX GOES BRRR: 431 X: 26.9805 -INDEX GOES BRRR: 858 X: -10.3525 -INDEX GOES BRRR: 172 X: 10.7949 -INDEX GOES BRRR: 306 X: 19.127 -INDEX GOES BRRR: 863 X: -10.0586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 411 X: 25.7207 -INDEX GOES BRRR: 337 X: 21.0732 -INDEX GOES BRRR: 938 X: -5.31934 -INDEX GOES BRRR: 23 X: 1.44238 -INDEX GOES BRRR: 345 X: 21.6211 -INDEX GOES BRRR: 224 X: 14.0293 -INDEX GOES BRRR: 175 X: 10.9805 -INDEX GOES BRRR: 61 X: 3.87109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.93848 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 226 X: 14.1777 -INDEX GOES BRRR: 1008 X: -0.986328 -INDEX GOES BRRR: 359 X: 22.4629 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 490 X: 30.6289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.99902 -INDEX GOES BRRR: 288 X: 18.0234 -INDEX GOES BRRR: 334 X: 20.9131 -INDEX GOES BRRR: 161 X: 10.0811 -INDEX GOES BRRR: 176 X: 11.0195 -INDEX GOES BRRR: 316 X: 19.792 -INDEX GOES BRRR: 108 X: 6.76953 -INDEX GOES BRRR: 345 X: 21.5928 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 323 X: 20.2451 -INDEX GOES BRRR: 65 X: 4.09863 -INDEX GOES BRRR: 166 X: 10.4092 -INDEX GOES BRRR: 30 X: 1.88965 -INDEX GOES BRRR: 329 X: 20.5771 -INDEX GOES BRRR: 185 X: 11.5986 -INDEX GOES BRRR: 977 X: -2.90137 -INDEX GOES BRRR: 224 X: 14.0459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 5 X: 0.335938 -INDEX GOES BRRR: 423 X: 26.4746 -INDEX GOES BRRR: 241 X: 15.0703 -INDEX GOES BRRR: 185 X: 11.6016 -INDEX GOES BRRR: 173 X: 10.834 -INDEX GOES BRRR: 136 X: 8.55859 -INDEX GOES BRRR: 147 X: 9.24121 -INDEX GOES BRRR: 89 X: 5.58691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.2461 -INDEX GOES BRRR: 310 X: 19.4258 -INDEX GOES BRRR: 192 X: 12.0029 -INDEX GOES BRRR: 999 X: -1.50684 -INDEX GOES BRRR: 966 X: -3.59082 -INDEX GOES BRRR: 302 X: 18.9336 -INDEX GOES BRRR: 267 X: 16.6943 -INDEX GOES BRRR: 963 X: -3.78418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.87109 -INDEX GOES BRRR: 80 X: 5.0332 -INDEX GOES BRRR: 113 X: 7.08398 -INDEX GOES BRRR: 232 X: 14.5234 -INDEX GOES BRRR: 268 X: 16.75 -INDEX GOES BRRR: 33 X: 2.07812 -INDEX GOES BRRR: 224 X: 14.0537 -INDEX GOES BRRR: 58 X: 3.66797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3711 -INDEX GOES BRRR: 131 X: 8.23926 -INDEX GOES BRRR: 130 X: 8.14062 -INDEX GOES BRRR: 53 X: 3.33008 -INDEX GOES BRRR: 974 X: -3.08594 -INDEX GOES BRRR: 75 X: 4.72168 -INDEX GOES BRRR: 195 X: 12.1963 -INDEX GOES BRRR: 990 X: -2.0918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1836 -INDEX GOES BRRR: 998 X: -1.61328 -INDEX GOES BRRR: 1008 X: -0.938477 -INDEX GOES BRRR: 92 X: 5.77637 -INDEX GOES BRRR: 150 X: 9.43652 -INDEX GOES BRRR: 276 X: 17.2646 -INDEX GOES BRRR: 182 X: 11.4082 -INDEX GOES BRRR: 141 X: 8.81934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3438 -INDEX GOES BRRR: 204 X: 12.7686 -INDEX GOES BRRR: 264 X: 16.5361 -INDEX GOES BRRR: 224 X: 14.0176 -INDEX GOES BRRR: 170 X: 10.6787 -INDEX GOES BRRR: 191 X: 11.9609 -INDEX GOES BRRR: 186 X: 11.6748 -INDEX GOES BRRR: 107 X: 6.71484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.09961 -INDEX GOES BRRR: 133 X: 8.3457 -INDEX GOES BRRR: 178 X: 11.125 -INDEX GOES BRRR: 268 X: 16.7646 -INDEX GOES BRRR: 874 X: -9.33789 -INDEX GOES BRRR: 277 X: 17.3682 -INDEX GOES BRRR: 41 X: 2.58008 -INDEX GOES BRRR: 169 X: 10.5947 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6611 -INDEX GOES BRRR: 317 X: 19.8711 -INDEX GOES BRRR: 913 X: -6.87988 -INDEX GOES BRRR: 296 X: 18.5 -INDEX GOES BRRR: 264 X: 16.5078 -INDEX GOES BRRR: 308 X: 19.2939 -INDEX GOES BRRR: 214 X: 13.4277 -INDEX GOES BRRR: 1012 X: -0.702148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.25098 -INDEX GOES BRRR: 356 X: 22.3105 -INDEX GOES BRRR: 441 X: 27.5801 -INDEX GOES BRRR: 485 X: 30.3408 -INDEX GOES BRRR: 208 X: 13.0059 -INDEX GOES BRRR: 225 X: 14.0732 -INDEX GOES BRRR: 371 X: 23.2227 -INDEX GOES BRRR: 329 X: 20.6162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 917 X: -6.62988 -INDEX GOES BRRR: 905 X: -7.41895 -INDEX GOES BRRR: 1 X: 0.110352 -INDEX GOES BRRR: 325 X: 20.3389 -INDEX GOES BRRR: 915 X: -6.80078 -INDEX GOES BRRR: 750 X: -17.1045 -INDEX GOES BRRR: 193 X: 12.0684 -INDEX GOES BRRR: 1014 X: -0.607422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.0166 -INDEX GOES BRRR: 249 X: 15.6016 -INDEX GOES BRRR: 135 X: 8.45801 -INDEX GOES BRRR: 197 X: 12.374 -INDEX GOES BRRR: 265 X: 16.582 -INDEX GOES BRRR: 238 X: 14.9141 -INDEX GOES BRRR: 113 X: 7.08398 -INDEX GOES BRRR: 901 X: -7.63867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8623 -INDEX GOES BRRR: 179 X: 11.2227 -INDEX GOES BRRR: 74 X: 4.66309 -INDEX GOES BRRR: 956 X: -4.24219 -INDEX GOES BRRR: 44 X: 2.80469 -INDEX GOES BRRR: 289 X: 18.1006 -INDEX GOES BRRR: 956 X: -4.19434 -INDEX GOES BRRR: 62 X: 3.90039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0312 -INDEX GOES BRRR: 446 X: 27.9307 -INDEX GOES BRRR: 127 X: 7.99316 -INDEX GOES BRRR: 63 X: 3.97656 -INDEX GOES BRRR: 428 X: 26.79 -INDEX GOES BRRR: 143 X: 8.99121 -INDEX GOES BRRR: 998 X: -1.59863 -INDEX GOES BRRR: 306 X: 19.1855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9082 -INDEX GOES BRRR: 301 X: 18.8516 -INDEX GOES BRRR: 122 X: 7.64453 -INDEX GOES BRRR: 175 X: 10.9385 -INDEX GOES BRRR: 317 X: 19.8486 -INDEX GOES BRRR: 73 X: 4.60254 -INDEX GOES BRRR: 234 X: 14.6416 -INDEX GOES BRRR: 35 X: 2.24805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 925 X: -6.16406 -INDEX GOES BRRR: 911 X: -7.04102 -INDEX GOES BRRR: 323 X: 20.1924 -INDEX GOES BRRR: 134 X: 8.43262 -INDEX GOES BRRR: 909 X: -7.1582 -INDEX GOES BRRR: 91 X: 5.73633 -INDEX GOES BRRR: 214 X: 13.4014 -INDEX GOES BRRR: 164 X: 10.3115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 359 X: 22.458 -INDEX GOES BRRR: 8 X: 0.526367 -INDEX GOES BRRR: 206 X: 12.9189 -INDEX GOES BRRR: 132 X: 8.28613 -INDEX GOES BRRR: 997 X: -1.63184 -INDEX GOES BRRR: 366 X: 22.9023 -INDEX GOES BRRR: 907 X: -7.25879 -INDEX GOES BRRR: 124 X: 7.75586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 896 X: -7.96582 -INDEX GOES BRRR: 986 X: -2.35742 -INDEX GOES BRRR: 143 X: 8.96191 -INDEX GOES BRRR: 11 X: 0.711914 -INDEX GOES BRRR: 435 X: 27.2021 -INDEX GOES BRRR: 107 X: 6.71191 -INDEX GOES BRRR: 218 X: 13.6377 -INDEX GOES BRRR: 251 X: 15.7021 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.709 -INDEX GOES BRRR: 21 X: 1.35645 -INDEX GOES BRRR: 50 X: 3.16797 -INDEX GOES BRRR: 190 X: 11.8926 -INDEX GOES BRRR: 7 X: 0.478516 -INDEX GOES BRRR: 946 X: -4.83301 -INDEX GOES BRRR: 74 X: 4.62988 -INDEX GOES BRRR: 109 X: 6.87305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.72168 -INDEX GOES BRRR: 956 X: -4.21777 -INDEX GOES BRRR: 260 X: 16.2793 -INDEX GOES BRRR: 184 X: 11.5498 -INDEX GOES BRRR: 264 X: 16.5508 -INDEX GOES BRRR: 143 X: 8.9375 -INDEX GOES BRRR: 410 X: 25.6279 -INDEX GOES BRRR: 117 X: 7.35156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 377 X: 23.5996 -INDEX GOES BRRR: 36 X: 2.28613 -INDEX GOES BRRR: 248 X: 15.5059 -INDEX GOES BRRR: 163 X: 10.1934 -INDEX GOES BRRR: 94 X: 5.89551 -INDEX GOES BRRR: 412 X: 25.7656 -INDEX GOES BRRR: 429 X: 26.8125 -INDEX GOES BRRR: 18 X: 1.17773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2588 -INDEX GOES BRRR: 209 X: 13.1133 -INDEX GOES BRRR: 199 X: 12.4639 -INDEX GOES BRRR: 941 X: -5.15625 -INDEX GOES BRRR: 282 X: 17.6592 -INDEX GOES BRRR: 156 X: 9.75781 -INDEX GOES BRRR: 313 X: 19.6094 -INDEX GOES BRRR: 240 X: 15.0547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.4541 -INDEX GOES BRRR: 276 X: 17.3027 -INDEX GOES BRRR: 40 X: 2.51953 -INDEX GOES BRRR: 295 X: 18.4785 -INDEX GOES BRRR: 218 X: 13.666 -INDEX GOES BRRR: 111 X: 6.94824 -INDEX GOES BRRR: 941 X: -5.12891 -INDEX GOES BRRR: 943 X: -5.0293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.6094 -INDEX GOES BRRR: 209 X: 13.1084 -INDEX GOES BRRR: 288 X: 18.0557 -INDEX GOES BRRR: 194 X: 12.1631 -INDEX GOES BRRR: 154 X: 9.67676 -INDEX GOES BRRR: 226 X: 14.1709 -INDEX GOES BRRR: 953 X: -4.42871 -INDEX GOES BRRR: 78 X: 4.92871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 992 X: -1.9541 -INDEX GOES BRRR: 9 X: 0.579102 -INDEX GOES BRRR: 326 X: 20.4229 -INDEX GOES BRRR: 320 X: 20.0557 -INDEX GOES BRRR: 275 X: 17.1875 -INDEX GOES BRRR: 158 X: 9.92969 -INDEX GOES BRRR: 196 X: 12.3047 -INDEX GOES BRRR: 143 X: 8.99219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9492 -INDEX GOES BRRR: 205 X: 12.8467 -INDEX GOES BRRR: 859 X: -10.2998 -INDEX GOES BRRR: 817 X: -12.9062 -INDEX GOES BRRR: 117 X: 7.35059 -INDEX GOES BRRR: 186 X: 11.6523 -INDEX GOES BRRR: 75 X: 4.69238 -INDEX GOES BRRR: 108 X: 6.77051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4404 -INDEX GOES BRRR: 418 X: 26.1475 -INDEX GOES BRRR: 67 X: 4.23828 -INDEX GOES BRRR: 288 X: 18.0078 -INDEX GOES BRRR: 22 X: 1.3877 -INDEX GOES BRRR: 194 X: 12.1309 -INDEX GOES BRRR: 182 X: 11.4121 -INDEX GOES BRRR: 316 X: 19.7891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5205 -INDEX GOES BRRR: 252 X: 15.7939 -INDEX GOES BRRR: 204 X: 12.7979 -INDEX GOES BRRR: 118 X: 7.38672 -INDEX GOES BRRR: 181 X: 11.374 -INDEX GOES BRRR: 826 X: -12.3398 -INDEX GOES BRRR: 107 X: 6.70801 -INDEX GOES BRRR: 89 X: 5.56836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3193 -INDEX GOES BRRR: 210 X: 13.1611 -INDEX GOES BRRR: 233 X: 14.5801 -INDEX GOES BRRR: 86 X: 5.42676 -INDEX GOES BRRR: 459 X: 28.6914 -INDEX GOES BRRR: 372 X: 23.2607 -INDEX GOES BRRR: 180 X: 11.2617 -INDEX GOES BRRR: 441 X: 27.5771 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8604 -INDEX GOES BRRR: 36 X: 2.26367 -INDEX GOES BRRR: 356 X: 22.2812 -INDEX GOES BRRR: 206 X: 12.8965 -INDEX GOES BRRR: 84 X: 5.28906 -INDEX GOES BRRR: 321 X: 20.1211 -INDEX GOES BRRR: 94 X: 5.89551 -INDEX GOES BRRR: 117 X: 7.32129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2109 -INDEX GOES BRRR: 227 X: 14.2012 -INDEX GOES BRRR: 156 X: 9.79004 -INDEX GOES BRRR: 223 X: 13.9932 -INDEX GOES BRRR: 100 X: 6.29004 -INDEX GOES BRRR: 114 X: 7.15137 -INDEX GOES BRRR: 399 X: 24.9453 -INDEX GOES BRRR: 30 X: 1.93359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 15 X: 0.961914 -INDEX GOES BRRR: 85 X: 5.32715 -INDEX GOES BRRR: 325 X: 20.333 -INDEX GOES BRRR: 142 X: 8.88281 -INDEX GOES BRRR: 186 X: 11.6621 -INDEX GOES BRRR: 461 X: 28.8252 -INDEX GOES BRRR: 225 X: 14.0713 -INDEX GOES BRRR: 98 X: 6.16504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 223 X: 13.9951 -INDEX GOES BRRR: 36 X: 2.26367 -INDEX GOES BRRR: 219 X: 13.6885 -INDEX GOES BRRR: 261 X: 16.3379 -INDEX GOES BRRR: 122 X: 7.62695 -INDEX GOES BRRR: 42 X: 2.64453 -INDEX GOES BRRR: 57 X: 3.60059 -INDEX GOES BRRR: 276 X: 17.29 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 341 X: 21.3701 -INDEX GOES BRRR: 431 X: 26.999 -INDEX GOES BRRR: 473 X: 29.5908 -INDEX GOES BRRR: 493 X: 30.8369 -INDEX GOES BRRR: 236 X: 14.7822 -INDEX GOES BRRR: 921 X: -6.39355 -INDEX GOES BRRR: 963 X: -3.78711 -INDEX GOES BRRR: 203 X: 12.7051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.75488 -INDEX GOES BRRR: 253 X: 15.8486 -INDEX GOES BRRR: 865 X: -9.93457 -INDEX GOES BRRR: 78 X: 4.91992 -INDEX GOES BRRR: 269 X: 16.8232 -INDEX GOES BRRR: 29 X: 1.82812 -INDEX GOES BRRR: 212 X: 13.2559 -INDEX GOES BRRR: 260 X: 16.2803 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 374 X: 23.3916 -INDEX GOES BRRR: 134 X: 8.38867 -INDEX GOES BRRR: 419 X: 26.2383 -INDEX GOES BRRR: 46 X: 2.90625 -INDEX GOES BRRR: 115 X: 7.20605 -INDEX GOES BRRR: 155 X: 9.70801 -INDEX GOES BRRR: 997 X: -1.67871 -INDEX GOES BRRR: 26 X: 1.68652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.62402 -INDEX GOES BRRR: 975 X: -3.05469 -INDEX GOES BRRR: 942 X: -5.07031 -INDEX GOES BRRR: 335 X: 20.9912 -INDEX GOES BRRR: 390 X: 24.3838 -INDEX GOES BRRR: 65 X: 4.08008 -INDEX GOES BRRR: 950 X: -4.62402 -INDEX GOES BRRR: 488 X: 30.541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.97559 -INDEX GOES BRRR: 291 X: 18.2021 -INDEX GOES BRRR: 307 X: 19.2061 -INDEX GOES BRRR: 131 X: 8.2041 -INDEX GOES BRRR: 27 X: 1.72461 -INDEX GOES BRRR: 77 X: 4.8125 -INDEX GOES BRRR: 321 X: 20.1201 -INDEX GOES BRRR: 195 X: 12.2422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.4326 -INDEX GOES BRRR: 335 X: 20.9727 -INDEX GOES BRRR: 207 X: 12.9902 -INDEX GOES BRRR: 51 X: 3.20801 -INDEX GOES BRRR: 192 X: 12.0156 -INDEX GOES BRRR: 64 X: 4.0498 -INDEX GOES BRRR: 333 X: 20.8672 -INDEX GOES BRRR: 292 X: 18.3047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.41406 -INDEX GOES BRRR: 303 X: 18.9961 -INDEX GOES BRRR: 80 X: 5.0293 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 72 X: 4.51953 -INDEX GOES BRRR: 239 X: 14.9668 -INDEX GOES BRRR: 316 X: 19.8066 -INDEX GOES BRRR: 206 X: 12.8848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2637 -INDEX GOES BRRR: 314 X: 19.6289 -INDEX GOES BRRR: 32 X: 2.04785 -INDEX GOES BRRR: 253 X: 15.8359 -INDEX GOES BRRR: 208 X: 13.0371 -INDEX GOES BRRR: 55 X: 3.44238 -INDEX GOES BRRR: 424 X: 26.502 -INDEX GOES BRRR: 356 X: 22.3115 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 361 X: 22.5928 -INDEX GOES BRRR: 256 X: 16.0186 -INDEX GOES BRRR: 178 X: 11.1309 -INDEX GOES BRRR: 292 X: 18.2783 -INDEX GOES BRRR: 819 X: -12.7832 -INDEX GOES BRRR: 1016 X: -0.486328 -INDEX GOES BRRR: 170 X: 10.6543 -INDEX GOES BRRR: 125 X: 7.87305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.832 -INDEX GOES BRRR: 451 X: 28.1953 -INDEX GOES BRRR: 985 X: -2.40625 -INDEX GOES BRRR: 884 X: -8.74805 -INDEX GOES BRRR: 267 X: 16.7051 -INDEX GOES BRRR: 125 X: 7.8623 -INDEX GOES BRRR: 165 X: 10.3379 -INDEX GOES BRRR: 890 X: -8.31934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 799 X: -14.0127 -INDEX GOES BRRR: 104 X: 6.51074 -INDEX GOES BRRR: 99 X: 6.24512 -INDEX GOES BRRR: 839 X: -11.5195 -INDEX GOES BRRR: 140 X: 8.80176 -INDEX GOES BRRR: 224 X: 14.0449 -INDEX GOES BRRR: 215 X: 13.4521 -INDEX GOES BRRR: 1016 X: -0.494141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 443 X: 27.7227 -INDEX GOES BRRR: 136 X: 8.52539 -INDEX GOES BRRR: 263 X: 16.4971 -INDEX GOES BRRR: 405 X: 25.3301 -INDEX GOES BRRR: 11 X: 0.704102 -INDEX GOES BRRR: 256 X: 16.0088 -INDEX GOES BRRR: 304 X: 19.0215 -INDEX GOES BRRR: 126 X: 7.88965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1318 -INDEX GOES BRRR: 317 X: 19.8379 -INDEX GOES BRRR: 76 X: 4.77441 -INDEX GOES BRRR: 188 X: 11.7939 -INDEX GOES BRRR: 202 X: 12.625 -INDEX GOES BRRR: 181 X: 11.3262 -INDEX GOES BRRR: 1016 X: -0.439453 -INDEX GOES BRRR: 203 X: 12.6982 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.01465 -INDEX GOES BRRR: 930 X: -5.81641 -INDEX GOES BRRR: 104 X: 6.53418 -INDEX GOES BRRR: 294 X: 18.4238 -INDEX GOES BRRR: 200 X: 12.5596 -INDEX GOES BRRR: 359 X: 22.4902 -INDEX GOES BRRR: 22 X: 1.41992 -INDEX GOES BRRR: 106 X: 6.66504 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.73633 -INDEX GOES BRRR: 380 X: 23.7676 -INDEX GOES BRRR: 67 X: 4.21777 -INDEX GOES BRRR: 197 X: 12.3438 -INDEX GOES BRRR: 980 X: -2.71875 -INDEX GOES BRRR: 45 X: 2.8584 -INDEX GOES BRRR: 853 X: -10.6719 -INDEX GOES BRRR: 88 X: 5.51172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.86719 -INDEX GOES BRRR: 439 X: 27.4385 -INDEX GOES BRRR: 134 X: 8.38281 -INDEX GOES BRRR: 276 X: 17.2861 -INDEX GOES BRRR: 87 X: 5.47168 -INDEX GOES BRRR: 408 X: 25.5117 -INDEX GOES BRRR: 175 X: 10.9453 -INDEX GOES BRRR: 877 X: -9.15527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.127 -INDEX GOES BRRR: 276 X: 17.2559 -INDEX GOES BRRR: 51 X: 3.2207 -INDEX GOES BRRR: 319 X: 19.9424 -INDEX GOES BRRR: 101 X: 6.31348 -INDEX GOES BRRR: 222 X: 13.8828 -INDEX GOES BRRR: 1008 X: -0.961914 -INDEX GOES BRRR: 255 X: 15.9883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.64355 -INDEX GOES BRRR: 120 X: 7.51562 -INDEX GOES BRRR: 7 X: 0.490234 -INDEX GOES BRRR: 99 X: 6.19141 -INDEX GOES BRRR: 235 X: 14.6875 -INDEX GOES BRRR: 189 X: 11.8486 -INDEX GOES BRRR: 63 X: 3.97754 -INDEX GOES BRRR: 941 X: -5.12598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 971 X: -3.3125 -INDEX GOES BRRR: 98 X: 6.18555 -INDEX GOES BRRR: 55 X: 3.46387 -INDEX GOES BRRR: 326 X: 20.4102 -INDEX GOES BRRR: 331 X: 20.7402 -INDEX GOES BRRR: 376 X: 23.5557 -INDEX GOES BRRR: 280 X: 17.5303 -INDEX GOES BRRR: 54 X: 3.40625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.70801 -INDEX GOES BRRR: 965 X: -3.67773 -INDEX GOES BRRR: 316 X: 19.8066 -INDEX GOES BRRR: 168 X: 10.502 -INDEX GOES BRRR: 12 X: 0.791016 -INDEX GOES BRRR: 1001 X: -1.39746 -INDEX GOES BRRR: 837 X: -11.6543 -INDEX GOES BRRR: 1006 X: -1.12012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.163086 -INDEX GOES BRRR: 35 X: 2.19336 -INDEX GOES BRRR: 171 X: 10.6943 -INDEX GOES BRRR: 150 X: 9.41699 -INDEX GOES BRRR: 273 X: 17.0654 -INDEX GOES BRRR: 956 X: -4.18945 -INDEX GOES BRRR: 119 X: 7.45801 -INDEX GOES BRRR: 301 X: 18.8643 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 353 X: 22.0957 -INDEX GOES BRRR: 264 X: 16.5469 -INDEX GOES BRRR: 79 X: 4.98047 -INDEX GOES BRRR: 26 X: 1.66699 -INDEX GOES BRRR: 904 X: -7.47754 -INDEX GOES BRRR: 280 X: 17.5322 -INDEX GOES BRRR: 971 X: -3.29395 -INDEX GOES BRRR: 299 X: 18.7119 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 279 X: 17.4932 -INDEX GOES BRRR: 55 X: 3.47168 -INDEX GOES BRRR: 241 X: 15.1104 -INDEX GOES BRRR: 76 X: 4.79102 -INDEX GOES BRRR: 1011 X: -0.756836 -INDEX GOES BRRR: 157 X: 9.86426 -INDEX GOES BRRR: 27 X: 1.69141 -INDEX GOES BRRR: 460 X: 28.752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1020 X: -0.19043 -INDEX GOES BRRR: 7 X: 0.467773 -INDEX GOES BRRR: 398 X: 24.9043 -INDEX GOES BRRR: 996 X: -1.70801 -INDEX GOES BRRR: 1013 X: -0.671875 -INDEX GOES BRRR: 196 X: 12.3105 -INDEX GOES BRRR: 90 X: 5.64062 -INDEX GOES BRRR: 181 X: 11.3262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.8086 -INDEX GOES BRRR: 208 X: 13.0508 -INDEX GOES BRRR: 206 X: 12.8789 -INDEX GOES BRRR: 411 X: 25.7061 -INDEX GOES BRRR: 69 X: 4.33594 -INDEX GOES BRRR: 363 X: 22.7373 -INDEX GOES BRRR: 319 X: 19.9902 -INDEX GOES BRRR: 408 X: 25.5186 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.87988 -INDEX GOES BRRR: 159 X: 9.97266 -INDEX GOES BRRR: 234 X: 14.6611 -INDEX GOES BRRR: 382 X: 23.9092 -INDEX GOES BRRR: 53 X: 3.34668 -INDEX GOES BRRR: 160 X: 10.0293 -INDEX GOES BRRR: 234 X: 14.6377 -INDEX GOES BRRR: 178 X: 11.1436 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.35742 -INDEX GOES BRRR: 997 X: -1.67578 -INDEX GOES BRRR: 100 X: 6.27246 -INDEX GOES BRRR: 221 X: 13.8242 -INDEX GOES BRRR: 7 X: 0.486328 -INDEX GOES BRRR: 1023 X: -0.0439453 -INDEX GOES BRRR: 17 X: 1.06543 -INDEX GOES BRRR: 100 X: 6.25391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 806 X: -13.6094 -INDEX GOES BRRR: 85 X: 5.34863 -INDEX GOES BRRR: 330 X: 20.6797 -INDEX GOES BRRR: 40 X: 2.53906 -INDEX GOES BRRR: 946 X: -4.84766 -INDEX GOES BRRR: 20 X: 1.29297 -INDEX GOES BRRR: 97 X: 6.11035 -INDEX GOES BRRR: 228 X: 14.3076 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 263 X: 16.4922 -INDEX GOES BRRR: 243 X: 15.1885 -INDEX GOES BRRR: 416 X: 26.0596 -INDEX GOES BRRR: 22 X: 1.40625 -INDEX GOES BRRR: 43 X: 2.74414 -INDEX GOES BRRR: 1014 X: -0.595703 -INDEX GOES BRRR: 21 X: 1.36816 -INDEX GOES BRRR: 104 X: 6.55859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.83008 -INDEX GOES BRRR: 899 X: -7.76562 -INDEX GOES BRRR: 261 X: 16.3525 -INDEX GOES BRRR: 171 X: 10.7412 -INDEX GOES BRRR: 243 X: 15.1914 -INDEX GOES BRRR: 314 X: 19.6582 -INDEX GOES BRRR: 56 X: 3.55078 -INDEX GOES BRRR: 83 X: 5.20117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 288 X: 18.0244 -INDEX GOES BRRR: 56 X: 3.50977 -INDEX GOES BRRR: 394 X: 24.6631 -INDEX GOES BRRR: 204 X: 12.793 -INDEX GOES BRRR: 34 X: 2.16406 -INDEX GOES BRRR: 972 X: -3.21777 -INDEX GOES BRRR: 31 X: 1.98047 -INDEX GOES BRRR: 339 X: 21.2383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.40234 -INDEX GOES BRRR: 71 X: 4.45215 -INDEX GOES BRRR: 119 X: 7.47461 -INDEX GOES BRRR: 152 X: 9.55078 -INDEX GOES BRRR: 307 X: 19.2119 -INDEX GOES BRRR: 20 X: 1.30859 -INDEX GOES BRRR: 293 X: 18.3438 -INDEX GOES BRRR: 288 X: 18.0234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 477 X: 29.8408 -INDEX GOES BRRR: 380 X: 23.7646 -INDEX GOES BRRR: 84 X: 5.31152 -INDEX GOES BRRR: 219 X: 13.71 -INDEX GOES BRRR: 182 X: 11.4199 -INDEX GOES BRRR: 50 X: 3.18652 -INDEX GOES BRRR: 299 X: 18.6973 -INDEX GOES BRRR: 92 X: 5.77832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 366 X: 22.8838 -INDEX GOES BRRR: 54 X: 3.38379 -INDEX GOES BRRR: 86 X: 5.37598 -INDEX GOES BRRR: 162 X: 10.1328 -INDEX GOES BRRR: 96 X: 6.02734 -INDEX GOES BRRR: 184 X: 11.5088 -INDEX GOES BRRR: 987 X: -2.28711 -INDEX GOES BRRR: 140 X: 8.75488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 453 X: 28.374 -INDEX GOES BRRR: 318 X: 19.9258 -INDEX GOES BRRR: 160 X: 10.042 -INDEX GOES BRRR: 478 X: 29.9199 -INDEX GOES BRRR: 374 X: 23.3877 -INDEX GOES BRRR: 292 X: 18.2979 -INDEX GOES BRRR: 239 X: 14.9912 -INDEX GOES BRRR: 421 X: 26.3447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2607 -INDEX GOES BRRR: 960 X: -3.98633 -INDEX GOES BRRR: 85 X: 5.36914 -INDEX GOES BRRR: 322 X: 20.1426 -INDEX GOES BRRR: 435 X: 27.2285 -INDEX GOES BRRR: 385 X: 24.1113 -INDEX GOES BRRR: 222 X: 13.8955 -INDEX GOES BRRR: 131 X: 8.22559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3545 -INDEX GOES BRRR: 167 X: 10.499 -INDEX GOES BRRR: 987 X: -2.31152 -INDEX GOES BRRR: 391 X: 24.4727 -INDEX GOES BRRR: 162 X: 10.1465 -INDEX GOES BRRR: 260 X: 16.2764 -INDEX GOES BRRR: 1018 X: -0.361328 -INDEX GOES BRRR: 160 X: 10.0234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 372 X: 23.2949 -INDEX GOES BRRR: 19 X: 1.22168 -INDEX GOES BRRR: 262 X: 16.4307 -INDEX GOES BRRR: 961 X: -3.93262 -INDEX GOES BRRR: 32 X: 2.03125 -INDEX GOES BRRR: 87 X: 5.46777 -INDEX GOES BRRR: 175 X: 10.9443 -INDEX GOES BRRR: 171 X: 10.7051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.05957 -INDEX GOES BRRR: 219 X: 13.7402 -INDEX GOES BRRR: 677 X: -21.6787 -INDEX GOES BRRR: 17 X: 1.11816 -INDEX GOES BRRR: 20 X: 1.25391 -INDEX GOES BRRR: 334 X: 20.9189 -INDEX GOES BRRR: 892 X: -8.20605 -INDEX GOES BRRR: 25 X: 1.59375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.625 -INDEX GOES BRRR: 207 X: 12.9736 -INDEX GOES BRRR: 293 X: 18.3613 -INDEX GOES BRRR: 209 X: 13.1182 -INDEX GOES BRRR: 1020 X: -0.201172 -INDEX GOES BRRR: 969 X: -3.41211 -INDEX GOES BRRR: 189 X: 11.8301 -INDEX GOES BRRR: 246 X: 15.3799 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.41992 -INDEX GOES BRRR: 299 X: 18.7285 -INDEX GOES BRRR: 277 X: 17.3311 -INDEX GOES BRRR: 945 X: -4.92969 -INDEX GOES BRRR: 900 X: -7.70508 -INDEX GOES BRRR: 231 X: 14.4795 -INDEX GOES BRRR: 65 X: 4.08301 -INDEX GOES BRRR: 96 X: 6.01172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.88574 -INDEX GOES BRRR: 350 X: 21.8906 -INDEX GOES BRRR: 71 X: 4.45508 -INDEX GOES BRRR: 254 X: 15.8799 -INDEX GOES BRRR: 927 X: -6.04492 -INDEX GOES BRRR: 217 X: 13.5723 -INDEX GOES BRRR: 23 X: 1.49707 -INDEX GOES BRRR: 180 X: 11.2891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.10059 -INDEX GOES BRRR: 179 X: 11.2256 -INDEX GOES BRRR: 421 X: 26.335 -INDEX GOES BRRR: 302 X: 18.9053 -INDEX GOES BRRR: 59 X: 3.73828 -INDEX GOES BRRR: 262 X: 16.3779 -INDEX GOES BRRR: 121 X: 7.57324 -INDEX GOES BRRR: 45 X: 2.83105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 182 X: 11.4336 -INDEX GOES BRRR: 240 X: 15.0518 -INDEX GOES BRRR: 15 X: 0.957031 -INDEX GOES BRRR: 257 X: 16.0625 -INDEX GOES BRRR: 1018 X: -0.332031 -INDEX GOES BRRR: 98 X: 6.14648 -INDEX GOES BRRR: 34 X: 2.14844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3496 -INDEX GOES BRRR: 1016 X: -0.499023 -INDEX GOES BRRR: 287 X: 17.9561 -INDEX GOES BRRR: 160 X: 10.0127 -INDEX GOES BRRR: 165 X: 10.3398 -INDEX GOES BRRR: 228 X: 14.252 -INDEX GOES BRRR: 244 X: 15.2627 -INDEX GOES BRRR: 232 X: 14.5518 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.23633 -INDEX GOES BRRR: 91 X: 5.71484 -INDEX GOES BRRR: 115 X: 7.18848 -INDEX GOES BRRR: 45 X: 2.85449 -INDEX GOES BRRR: 109 X: 6.84277 -INDEX GOES BRRR: 187 X: 11.7197 -INDEX GOES BRRR: 235 X: 14.7441 -INDEX GOES BRRR: 32 X: 2.01758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7891 -INDEX GOES BRRR: 342 X: 21.3975 -INDEX GOES BRRR: 247 X: 15.4746 -INDEX GOES BRRR: 106 X: 6.67676 -INDEX GOES BRRR: 45 X: 2.82129 -INDEX GOES BRRR: 65 X: 4.07812 -INDEX GOES BRRR: 902 X: -7.58105 -INDEX GOES BRRR: 984 X: -2.49316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 18 X: 1.16016 -INDEX GOES BRRR: 229 X: 14.3721 -INDEX GOES BRRR: 194 X: 12.166 -INDEX GOES BRRR: 182 X: 11.3965 -INDEX GOES BRRR: 237 X: 14.8301 -INDEX GOES BRRR: 168 X: 10.501 -INDEX GOES BRRR: 820 X: -12.7061 -INDEX GOES BRRR: 30 X: 1.92285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 277 X: 17.3711 -INDEX GOES BRRR: 9 X: 0.583984 -INDEX GOES BRRR: 943 X: -5.01562 -INDEX GOES BRRR: 134 X: 8.37793 -INDEX GOES BRRR: 237 X: 14.8164 -INDEX GOES BRRR: 326 X: 20.3965 -INDEX GOES BRRR: 111 X: 6.98633 -INDEX GOES BRRR: 246 X: 15.4131 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.919922 -INDEX GOES BRRR: 280 X: 17.5479 -INDEX GOES BRRR: 158 X: 9.9082 -INDEX GOES BRRR: 108 X: 6.79883 -INDEX GOES BRRR: 972 X: -3.25 -INDEX GOES BRRR: 207 X: 12.9766 -INDEX GOES BRRR: 295 X: 18.4521 -INDEX GOES BRRR: 44 X: 2.80176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.84961 -INDEX GOES BRRR: 40 X: 2.53516 -INDEX GOES BRRR: 125 X: 7.83887 -INDEX GOES BRRR: 130 X: 8.12891 -INDEX GOES BRRR: 25 X: 1.57422 -INDEX GOES BRRR: 58 X: 3.63574 -INDEX GOES BRRR: 963 X: -3.75098 -INDEX GOES BRRR: 108 X: 6.80957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 969 X: -3.42578 -INDEX GOES BRRR: 356 X: 22.2764 -INDEX GOES BRRR: 408 X: 25.5449 -INDEX GOES BRRR: 179 X: 11.2422 -INDEX GOES BRRR: 41 X: 2.60254 -INDEX GOES BRRR: 259 X: 16.2012 -INDEX GOES BRRR: 433 X: 27.0752 -INDEX GOES BRRR: 205 X: 12.8379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6377 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 267 X: 16.6914 -INDEX GOES BRRR: 402 X: 25.166 -INDEX GOES BRRR: 1020 X: -0.230469 -INDEX GOES BRRR: 232 X: 14.5 -INDEX GOES BRRR: 434 X: 27.1348 -INDEX GOES BRRR: 74 X: 4.64355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6787 -INDEX GOES BRRR: 140 X: 8.76758 -INDEX GOES BRRR: 270 X: 16.9092 -INDEX GOES BRRR: 54 X: 3.40137 -INDEX GOES BRRR: 82 X: 5.12695 -INDEX GOES BRRR: 127 X: 7.97266 -INDEX GOES BRRR: 74 X: 4.66309 -INDEX GOES BRRR: 215 X: 13.4902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.957 -INDEX GOES BRRR: 374 X: 23.4297 -INDEX GOES BRRR: 233 X: 14.6143 -INDEX GOES BRRR: 940 X: -5.20898 -INDEX GOES BRRR: 231 X: 14.46 -INDEX GOES BRRR: 998 X: -1.62012 -INDEX GOES BRRR: 217 X: 13.6221 -INDEX GOES BRRR: 50 X: 3.13574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.94336 -INDEX GOES BRRR: 24 X: 1.50293 -INDEX GOES BRRR: 74 X: 4.66309 -INDEX GOES BRRR: 318 X: 19.9004 -INDEX GOES BRRR: 248 X: 15.5215 -INDEX GOES BRRR: 977 X: -2.89941 -INDEX GOES BRRR: 165 X: 10.3213 -INDEX GOES BRRR: 272 X: 17.0215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 847 X: -11.0293 -INDEX GOES BRRR: 159 X: 9.95703 -INDEX GOES BRRR: 84 X: 5.28223 -INDEX GOES BRRR: 237 X: 14.8145 -INDEX GOES BRRR: 154 X: 9.68066 -INDEX GOES BRRR: 164 X: 10.3076 -INDEX GOES BRRR: 226 X: 14.125 -INDEX GOES BRRR: 29 X: 1.84473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.77637 -INDEX GOES BRRR: 253 X: 15.8525 -INDEX GOES BRRR: 279 X: 17.4697 -INDEX GOES BRRR: 989 X: -2.16992 -INDEX GOES BRRR: 26 X: 1.67188 -INDEX GOES BRRR: 330 X: 20.665 -INDEX GOES BRRR: 9 X: 0.620117 -INDEX GOES BRRR: 269 X: 16.8369 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.46289 -INDEX GOES BRRR: 853 X: -10.6748 -INDEX GOES BRRR: 958 X: -4.07812 -INDEX GOES BRRR: 343 X: 21.4707 -INDEX GOES BRRR: 78 X: 4.89062 -INDEX GOES BRRR: 277 X: 17.3232 -INDEX GOES BRRR: 192 X: 12.0215 -INDEX GOES BRRR: 981 X: -2.66602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.1387 -INDEX GOES BRRR: 301 X: 18.8408 -INDEX GOES BRRR: 203 X: 12.6963 -INDEX GOES BRRR: 159 X: 9.95898 -INDEX GOES BRRR: 327 X: 20.4766 -INDEX GOES BRRR: 191 X: 11.9951 -INDEX GOES BRRR: 1002 X: -1.33594 -INDEX GOES BRRR: 92 X: 5.79492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.80371 -INDEX GOES BRRR: 869 X: -9.66113 -INDEX GOES BRRR: 312 X: 19.5312 -INDEX GOES BRRR: 379 X: 23.748 -INDEX GOES BRRR: 133 X: 8.34375 -INDEX GOES BRRR: 847 X: -11.0381 -INDEX GOES BRRR: 165 X: 10.3398 -INDEX GOES BRRR: 246 X: 15.3828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.04395 -INDEX GOES BRRR: 58 X: 3.66309 -INDEX GOES BRRR: 301 X: 18.8232 -INDEX GOES BRRR: 180 X: 11.3047 -INDEX GOES BRRR: 202 X: 12.6719 -INDEX GOES BRRR: 228 X: 14.2578 -INDEX GOES BRRR: 859 X: -10.2959 -INDEX GOES BRRR: 211 X: 13.2344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.3037 -INDEX GOES BRRR: 108 X: 6.80176 -INDEX GOES BRRR: 893 X: -8.13672 -INDEX GOES BRRR: 209 X: 13.0664 -INDEX GOES BRRR: 201 X: 12.5879 -INDEX GOES BRRR: 187 X: 11.707 -INDEX GOES BRRR: 399 X: 24.959 -INDEX GOES BRRR: 43 X: 2.7041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.7588 -INDEX GOES BRRR: 141 X: 8.82031 -INDEX GOES BRRR: 71 X: 4.49316 -INDEX GOES BRRR: 129 X: 8.10645 -INDEX GOES BRRR: 168 X: 10.542 -INDEX GOES BRRR: 25 X: 1.5957 -INDEX GOES BRRR: 112 X: 7.05664 -INDEX GOES BRRR: 231 X: 14.4688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.34375 -INDEX GOES BRRR: 875 X: -9.28125 -INDEX GOES BRRR: 45 X: 2.87305 -INDEX GOES BRRR: 182 X: 11.3926 -INDEX GOES BRRR: 241 X: 15.084 -INDEX GOES BRRR: 44 X: 2.75879 -INDEX GOES BRRR: 80 X: 5.00293 -INDEX GOES BRRR: 424 X: 26.5596 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 356 X: 22.2832 -INDEX GOES BRRR: 171 X: 10.7373 -INDEX GOES BRRR: 731 X: -18.2676 -INDEX GOES BRRR: 136 X: 8.50293 -INDEX GOES BRRR: 387 X: 24.2373 -INDEX GOES BRRR: 230 X: 14.417 -INDEX GOES BRRR: 950 X: -4.58301 -INDEX GOES BRRR: 129 X: 8.07715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 287 X: 17.9443 -INDEX GOES BRRR: 137 X: 8.5752 -INDEX GOES BRRR: 841 X: -11.3848 -INDEX GOES BRRR: 1023 X: -0.0458984 -INDEX GOES BRRR: 90 X: 5.63867 -INDEX GOES BRRR: 351 X: 21.9609 -INDEX GOES BRRR: 140 X: 8.76465 -INDEX GOES BRRR: 163 X: 10.2461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.76465 -INDEX GOES BRRR: 270 X: 16.9336 -INDEX GOES BRRR: 23 X: 1.44629 -INDEX GOES BRRR: 79 X: 4.97168 -INDEX GOES BRRR: 835 X: -11.7891 -INDEX GOES BRRR: 903 X: -7.55273 -INDEX GOES BRRR: 985 X: -2.38281 -INDEX GOES BRRR: 195 X: 12.1982 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.32617 -INDEX GOES BRRR: 914 X: -6.86133 -INDEX GOES BRRR: 1023 X: -0.0146484 -INDEX GOES BRRR: 239 X: 14.9961 -INDEX GOES BRRR: 66 X: 4.17773 -INDEX GOES BRRR: 462 X: 28.8994 -INDEX GOES BRRR: 156 X: 9.77051 -INDEX GOES BRRR: 329 X: 20.6162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1514 -INDEX GOES BRRR: 361 X: 22.6035 -INDEX GOES BRRR: 226 X: 14.1729 -INDEX GOES BRRR: 281 X: 17.6221 -INDEX GOES BRRR: 227 X: 14.2305 -INDEX GOES BRRR: 144 X: 9.04492 -INDEX GOES BRRR: 276 X: 17.2852 -INDEX GOES BRRR: 13 X: 0.833008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.3037 -INDEX GOES BRRR: 403 X: 25.2051 -INDEX GOES BRRR: 980 X: -2.70508 -INDEX GOES BRRR: 1023 X: -0.00292969 -INDEX GOES BRRR: 173 X: 10.8623 -INDEX GOES BRRR: 156 X: 9.76855 -INDEX GOES BRRR: 991 X: -2.00586 -INDEX GOES BRRR: 15 X: 0.978516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.3994 -INDEX GOES BRRR: 323 X: 20.2158 -INDEX GOES BRRR: 242 X: 15.1768 -INDEX GOES BRRR: 80 X: 5.03125 -INDEX GOES BRRR: 405 X: 25.3525 -INDEX GOES BRRR: 11 X: 0.709961 -INDEX GOES BRRR: 424 X: 26.5 -INDEX GOES BRRR: 365 X: 22.8447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 15 X: 0.96875 -INDEX GOES BRRR: 1 X: 0.0751953 -INDEX GOES BRRR: 138 X: 8.67969 -INDEX GOES BRRR: 388 X: 24.2646 -INDEX GOES BRRR: 217 X: 13.5996 -INDEX GOES BRRR: 88 X: 5.55566 -INDEX GOES BRRR: 369 X: 23.0859 -INDEX GOES BRRR: 959 X: -4.01758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.31738 -INDEX GOES BRRR: 355 X: 22.2041 -INDEX GOES BRRR: 107 X: 6.74316 -INDEX GOES BRRR: 326 X: 20.4219 -INDEX GOES BRRR: 93 X: 5.84082 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 914 X: -6.86621 -INDEX GOES BRRR: 171 X: 10.7373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 287 X: 17.9707 -INDEX GOES BRRR: 204 X: 12.7695 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 219 X: 13.7041 -INDEX GOES BRRR: 1017 X: -0.431641 -INDEX GOES BRRR: 36 X: 2.29492 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 897 X: -7.93359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 46 X: 2.89551 -INDEX GOES BRRR: 309 X: 19.3564 -INDEX GOES BRRR: 209 X: 13.1211 -INDEX GOES BRRR: 112 X: 7.0459 -INDEX GOES BRRR: 173 X: 10.8506 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 984 X: -2.46777 -INDEX GOES BRRR: 973 X: -3.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 257 X: 16.0957 -INDEX GOES BRRR: 144 X: 9.06152 -INDEX GOES BRRR: 29 X: 1.83398 -INDEX GOES BRRR: 167 X: 10.4775 -INDEX GOES BRRR: 213 X: 13.3145 -INDEX GOES BRRR: 322 X: 20.1846 -INDEX GOES BRRR: 916 X: -6.71094 -INDEX GOES BRRR: 222 X: 13.8926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.40234 -INDEX GOES BRRR: 918 X: -6.58301 -INDEX GOES BRRR: 127 X: 7.99805 -INDEX GOES BRRR: 84 X: 5.28613 -INDEX GOES BRRR: 295 X: 18.4893 -INDEX GOES BRRR: 408 X: 25.5166 -INDEX GOES BRRR: 991 X: -2.06152 -INDEX GOES BRRR: 195 X: 12.2158 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.708984 -INDEX GOES BRRR: 295 X: 18.499 -INDEX GOES BRRR: 248 X: 15.542 -INDEX GOES BRRR: 15 X: 0.996094 -INDEX GOES BRRR: 302 X: 18.9033 -INDEX GOES BRRR: 291 X: 18.249 -INDEX GOES BRRR: 228 X: 14.2734 -INDEX GOES BRRR: 132 X: 8.28516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.6143 -INDEX GOES BRRR: 10 X: 0.634766 -INDEX GOES BRRR: 415 X: 25.9922 -INDEX GOES BRRR: 423 X: 26.4492 -INDEX GOES BRRR: 133 X: 8.31445 -INDEX GOES BRRR: 856 X: -10.4824 -INDEX GOES BRRR: 200 X: 12.5596 -INDEX GOES BRRR: 118 X: 7.41309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5957 -INDEX GOES BRRR: 159 X: 9.9375 -INDEX GOES BRRR: 86 X: 5.41992 -INDEX GOES BRRR: 233 X: 14.6064 -INDEX GOES BRRR: 283 X: 17.7119 -INDEX GOES BRRR: 976 X: -2.96875 -INDEX GOES BRRR: 67 X: 4.21289 -INDEX GOES BRRR: 272 X: 17.0293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.5166 -INDEX GOES BRRR: 992 X: -1.94629 -INDEX GOES BRRR: 121 X: 7.59961 -INDEX GOES BRRR: 386 X: 24.1719 -INDEX GOES BRRR: 118 X: 7.38867 -INDEX GOES BRRR: 963 X: -3.77539 -INDEX GOES BRRR: 208 X: 13.0078 -INDEX GOES BRRR: 290 X: 18.1562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 395 X: 24.7334 -INDEX GOES BRRR: 205 X: 12.8281 -INDEX GOES BRRR: 156 X: 9.78613 -INDEX GOES BRRR: 379 X: 23.6904 -INDEX GOES BRRR: 337 X: 21.1143 -INDEX GOES BRRR: 82 X: 5.13086 -INDEX GOES BRRR: 357 X: 22.3242 -INDEX GOES BRRR: 190 X: 11.9219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.60547 -INDEX GOES BRRR: 135 X: 8.4873 -INDEX GOES BRRR: 318 X: 19.9199 -INDEX GOES BRRR: 26 X: 1.6416 -INDEX GOES BRRR: 370 X: 23.1709 -INDEX GOES BRRR: 11 X: 0.741211 -INDEX GOES BRRR: 341 X: 21.3154 -INDEX GOES BRRR: 396 X: 24.7588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 318 X: 19.9111 -INDEX GOES BRRR: 113 X: 7.08008 -INDEX GOES BRRR: 242 X: 15.1377 -INDEX GOES BRRR: 454 X: 28.4033 -INDEX GOES BRRR: 92 X: 5.7627 -INDEX GOES BRRR: 24 X: 1.5 -INDEX GOES BRRR: 174 X: 10.918 -INDEX GOES BRRR: 54 X: 3.42871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5068 -INDEX GOES BRRR: 220 X: 13.8018 -INDEX GOES BRRR: 25 X: 1.62207 -INDEX GOES BRRR: 131 X: 8.1875 -INDEX GOES BRRR: 955 X: -4.29102 -INDEX GOES BRRR: 94 X: 5.90234 -INDEX GOES BRRR: 192 X: 12.0166 -INDEX GOES BRRR: 30 X: 1.89551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6387 -INDEX GOES BRRR: 341 X: 21.334 -INDEX GOES BRRR: 336 X: 21.0352 -INDEX GOES BRRR: 151 X: 9.4541 -INDEX GOES BRRR: 179 X: 11.2041 -INDEX GOES BRRR: 194 X: 12.1631 -INDEX GOES BRRR: 47 X: 2.94141 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.1992 -INDEX GOES BRRR: 978 X: -2.83398 -INDEX GOES BRRR: 60 X: 3.77246 -INDEX GOES BRRR: 195 X: 12.2266 -INDEX GOES BRRR: 1014 X: -0.604492 -INDEX GOES BRRR: 359 X: 22.4395 -INDEX GOES BRRR: 330 X: 20.6797 -INDEX GOES BRRR: 7 X: 0.483398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.2178 -INDEX GOES BRRR: 359 X: 22.4424 -INDEX GOES BRRR: 952 X: -4.4668 -INDEX GOES BRRR: 249 X: 15.6133 -INDEX GOES BRRR: 292 X: 18.2568 -INDEX GOES BRRR: 306 X: 19.165 -INDEX GOES BRRR: 1009 X: -0.925781 -INDEX GOES BRRR: 945 X: -4.89551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.50098 -INDEX GOES BRRR: 238 X: 14.8789 -INDEX GOES BRRR: 109 X: 6.8291 -INDEX GOES BRRR: 292 X: 18.2568 -INDEX GOES BRRR: 56 X: 3.53711 -INDEX GOES BRRR: 881 X: -8.90625 -INDEX GOES BRRR: 38 X: 2.39844 -INDEX GOES BRRR: 936 X: -5.46777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.66016 -INDEX GOES BRRR: 107 X: 6.73145 -INDEX GOES BRRR: 224 X: 14.0371 -INDEX GOES BRRR: 144 X: 9.04492 -INDEX GOES BRRR: 240 X: 15.0039 -INDEX GOES BRRR: 63 X: 3.98535 -INDEX GOES BRRR: 179 X: 11.2314 -INDEX GOES BRRR: 480 X: 30.0254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.01758 -INDEX GOES BRRR: 276 X: 17.2598 -INDEX GOES BRRR: 404 X: 25.2998 -INDEX GOES BRRR: 355 X: 22.2295 -INDEX GOES BRRR: 185 X: 11.5635 -INDEX GOES BRRR: 291 X: 18.208 -INDEX GOES BRRR: 191 X: 11.959 -INDEX GOES BRRR: 87 X: 5.45312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.70898 -INDEX GOES BRRR: 54 X: 3.3877 -INDEX GOES BRRR: 15 X: 0.975586 -INDEX GOES BRRR: 236 X: 14.7588 -INDEX GOES BRRR: 363 X: 22.6885 -INDEX GOES BRRR: 358 X: 22.4316 -INDEX GOES BRRR: 358 X: 22.3945 -INDEX GOES BRRR: 55 X: 3.45312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 880 X: -8.9873 -INDEX GOES BRRR: 105 X: 6.61719 -INDEX GOES BRRR: 862 X: -10.1113 -INDEX GOES BRRR: 263 X: 16.4541 -INDEX GOES BRRR: 71 X: 4.46289 -INDEX GOES BRRR: 249 X: 15.584 -INDEX GOES BRRR: 346 X: 21.6836 -INDEX GOES BRRR: 51 X: 3.23145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2783 -INDEX GOES BRRR: 240 X: 15.0605 -INDEX GOES BRRR: 121 X: 7.58301 -INDEX GOES BRRR: 369 X: 23.1055 -INDEX GOES BRRR: 81 X: 5.10254 -INDEX GOES BRRR: 320 X: 20.0518 -INDEX GOES BRRR: 83 X: 5.21387 -INDEX GOES BRRR: 152 X: 9.53125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7031 -INDEX GOES BRRR: 127 X: 7.94141 -INDEX GOES BRRR: 91 X: 5.70801 -INDEX GOES BRRR: 89 X: 5.61914 -INDEX GOES BRRR: 155 X: 9.73438 -INDEX GOES BRRR: 83 X: 5.23242 -INDEX GOES BRRR: 108 X: 6.7998 -INDEX GOES BRRR: 153 X: 9.60547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 276 X: 17.3066 -INDEX GOES BRRR: 299 X: 18.7314 -INDEX GOES BRRR: 127 X: 7.95605 -INDEX GOES BRRR: 110 X: 6.8916 -INDEX GOES BRRR: 351 X: 21.9756 -INDEX GOES BRRR: 38 X: 2.38379 -INDEX GOES BRRR: 54 X: 3.40527 -INDEX GOES BRRR: 176 X: 11.0566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 422 X: 26.3984 -INDEX GOES BRRR: 371 X: 23.2363 -INDEX GOES BRRR: 996 X: -1.72168 -INDEX GOES BRRR: 62 X: 3.93555 -INDEX GOES BRRR: 167 X: 10.457 -INDEX GOES BRRR: 224 X: 14.042 -INDEX GOES BRRR: 16 X: 1.00488 -INDEX GOES BRRR: 23 X: 1.45801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 214 X: 13.4121 -INDEX GOES BRRR: 121 X: 7.60645 -INDEX GOES BRRR: 839 X: -11.5508 -INDEX GOES BRRR: 971 X: -3.27344 -INDEX GOES BRRR: 183 X: 11.4824 -INDEX GOES BRRR: 366 X: 22.918 -INDEX GOES BRRR: 88 X: 5.53223 -INDEX GOES BRRR: 188 X: 11.7939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.0723 -INDEX GOES BRRR: 123 X: 7.74414 -INDEX GOES BRRR: 976 X: -2.98438 -INDEX GOES BRRR: 944 X: -4.95605 -INDEX GOES BRRR: 85 X: 5.3457 -INDEX GOES BRRR: 296 X: 18.5361 -INDEX GOES BRRR: 188 X: 11.791 -INDEX GOES BRRR: 935 X: -5.5498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 979 X: -2.77734 -INDEX GOES BRRR: 117 X: 7.36426 -INDEX GOES BRRR: 249 X: 15.6064 -INDEX GOES BRRR: 215 X: 13.4814 -INDEX GOES BRRR: 125 X: 7.86523 -INDEX GOES BRRR: 796 X: -14.25 -INDEX GOES BRRR: 29 X: 1.85547 -INDEX GOES BRRR: 390 X: 24.4033 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.72266 -INDEX GOES BRRR: 86 X: 5.41406 -INDEX GOES BRRR: 939 X: -5.2627 -INDEX GOES BRRR: 231 X: 14.4434 -INDEX GOES BRRR: 86 X: 5.4082 -INDEX GOES BRRR: 163 X: 10.2354 -INDEX GOES BRRR: 991 X: -2.02051 -INDEX GOES BRRR: 327 X: 20.4658 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 299 X: 18.6924 -INDEX GOES BRRR: 189 X: 11.8301 -INDEX GOES BRRR: 302 X: 18.875 -INDEX GOES BRRR: 266 X: 16.6338 -INDEX GOES BRRR: 200 X: 12.5156 -INDEX GOES BRRR: 261 X: 16.3213 -INDEX GOES BRRR: 391 X: 24.4619 -INDEX GOES BRRR: 125 X: 7.83691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.2168 -INDEX GOES BRRR: 456 X: 28.5234 -INDEX GOES BRRR: 930 X: -5.84082 -INDEX GOES BRRR: 464 X: 29.0234 -INDEX GOES BRRR: 163 X: 10.1973 -INDEX GOES BRRR: 197 X: 12.3232 -INDEX GOES BRRR: 15 X: 0.950195 -INDEX GOES BRRR: 160 X: 10.0342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 920 X: -6.45703 -INDEX GOES BRRR: 172 X: 10.7705 -INDEX GOES BRRR: 252 X: 15.7676 -INDEX GOES BRRR: 68 X: 4.29688 -INDEX GOES BRRR: 306 X: 19.165 -INDEX GOES BRRR: 203 X: 12.7236 -INDEX GOES BRRR: 221 X: 13.8359 -INDEX GOES BRRR: 4 X: 0.291992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 992 X: -1.98047 -INDEX GOES BRRR: 212 X: 13.3008 -INDEX GOES BRRR: 169 X: 10.6035 -INDEX GOES BRRR: 365 X: 22.8379 -INDEX GOES BRRR: 281 X: 17.583 -INDEX GOES BRRR: 204 X: 12.7588 -INDEX GOES BRRR: 118 X: 7.41504 -INDEX GOES BRRR: 178 X: 11.1318 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.27148 -INDEX GOES BRRR: 270 X: 16.9004 -INDEX GOES BRRR: 432 X: 27.0518 -INDEX GOES BRRR: 20 X: 1.28027 -INDEX GOES BRRR: 48 X: 3.01758 -INDEX GOES BRRR: 200 X: 12.54 -INDEX GOES BRRR: 151 X: 9.48535 -INDEX GOES BRRR: 261 X: 16.3662 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 326 X: 20.4199 -INDEX GOES BRRR: 64 X: 4.05273 -INDEX GOES BRRR: 6 X: 0.415039 -INDEX GOES BRRR: 8 X: 0.530273 -INDEX GOES BRRR: 326 X: 20.3799 -INDEX GOES BRRR: 40 X: 2.55859 -INDEX GOES BRRR: 276 X: 17.2695 -INDEX GOES BRRR: 22 X: 1.42383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 94 X: 5.93164 -INDEX GOES BRRR: 11 X: 0.749023 -INDEX GOES BRRR: 1008 X: -0.980469 -INDEX GOES BRRR: 342 X: 21.4023 -INDEX GOES BRRR: 86 X: 5.38965 -INDEX GOES BRRR: 150 X: 9.43359 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 204 X: 12.7988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.73828 -INDEX GOES BRRR: 83 X: 5.24219 -INDEX GOES BRRR: 660 X: -22.7178 -INDEX GOES BRRR: 118 X: 7.40039 -INDEX GOES BRRR: 149 X: 9.32715 -INDEX GOES BRRR: 247 X: 15.4795 -INDEX GOES BRRR: 960 X: -3.94043 -INDEX GOES BRRR: 167 X: 10.4629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.81738 -INDEX GOES BRRR: 47 X: 2.96289 -INDEX GOES BRRR: 60 X: 3.81152 -INDEX GOES BRRR: 936 X: -5.4873 -INDEX GOES BRRR: 287 X: 17.9893 -INDEX GOES BRRR: 265 X: 16.6094 -INDEX GOES BRRR: 177 X: 11.1123 -INDEX GOES BRRR: 211 X: 13.2412 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2666 -INDEX GOES BRRR: 101 X: 6.31738 -INDEX GOES BRRR: 295 X: 18.4824 -INDEX GOES BRRR: 5 X: 0.341797 -INDEX GOES BRRR: 14 X: 0.929688 -INDEX GOES BRRR: 57 X: 3.57324 -INDEX GOES BRRR: 356 X: 22.2871 -INDEX GOES BRRR: 210 X: 13.1455 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.959 -INDEX GOES BRRR: 113 X: 7.0957 -INDEX GOES BRRR: 303 X: 18.9961 -INDEX GOES BRRR: 87 X: 5.46094 -INDEX GOES BRRR: 242 X: 15.1582 -INDEX GOES BRRR: 944 X: -4.95605 -INDEX GOES BRRR: 241 X: 15.1064 -INDEX GOES BRRR: 323 X: 20.2256 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7109 -INDEX GOES BRRR: 231 X: 14.4902 -INDEX GOES BRRR: 451 X: 28.2363 -INDEX GOES BRRR: 341 X: 21.3496 -INDEX GOES BRRR: 270 X: 16.915 -INDEX GOES BRRR: 924 X: -6.2041 -INDEX GOES BRRR: 139 X: 8.71973 -INDEX GOES BRRR: 210 X: 13.1641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.7393 -INDEX GOES BRRR: 87 X: 5.44238 -INDEX GOES BRRR: 634 X: -24.3223 -INDEX GOES BRRR: 212 X: 13.2979 -INDEX GOES BRRR: 966 X: -3.62305 -INDEX GOES BRRR: 377 X: 23.5811 -INDEX GOES BRRR: 186 X: 11.6484 -INDEX GOES BRRR: 214 X: 13.3867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.0957 -INDEX GOES BRRR: 353 X: 22.0684 -INDEX GOES BRRR: 88 X: 5.55371 -INDEX GOES BRRR: 41 X: 2.56738 -INDEX GOES BRRR: 268 X: 16.7803 -INDEX GOES BRRR: 199 X: 12.4805 -INDEX GOES BRRR: 287 X: 17.9395 -INDEX GOES BRRR: 138 X: 8.63184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.06641 -INDEX GOES BRRR: 301 X: 18.8174 -INDEX GOES BRRR: 429 X: 26.8486 -INDEX GOES BRRR: 955 X: -4.28516 -INDEX GOES BRRR: 264 X: 16.5322 -INDEX GOES BRRR: 331 X: 20.6973 -INDEX GOES BRRR: 309 X: 19.373 -INDEX GOES BRRR: 441 X: 27.624 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.43359 -INDEX GOES BRRR: 901 X: -7.6582 -INDEX GOES BRRR: 181 X: 11.3691 -INDEX GOES BRRR: 432 X: 27.0303 -INDEX GOES BRRR: 132 X: 8.29492 -INDEX GOES BRRR: 51 X: 3.21289 -INDEX GOES BRRR: 855 X: -10.5156 -INDEX GOES BRRR: 159 X: 9.94043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9727 -INDEX GOES BRRR: 920 X: -6.48242 -INDEX GOES BRRR: 292 X: 18.2842 -INDEX GOES BRRR: 220 X: 13.7949 -INDEX GOES BRRR: 333 X: 20.8164 -INDEX GOES BRRR: 389 X: 24.3223 -INDEX GOES BRRR: 10 X: 0.667969 -INDEX GOES BRRR: 163 X: 10.2031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.7666 -INDEX GOES BRRR: 167 X: 10.4424 -INDEX GOES BRRR: 316 X: 19.7715 -INDEX GOES BRRR: 897 X: -7.8916 -INDEX GOES BRRR: 236 X: 14.8115 -INDEX GOES BRRR: 887 X: -8.55859 -INDEX GOES BRRR: 175 X: 10.9443 -INDEX GOES BRRR: 865 X: -9.89746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.00488 -INDEX GOES BRRR: 42 X: 2.625 -INDEX GOES BRRR: 27 X: 1.69238 -INDEX GOES BRRR: 963 X: -3.75781 -INDEX GOES BRRR: 171 X: 10.6885 -INDEX GOES BRRR: 206 X: 12.9336 -INDEX GOES BRRR: 31 X: 1.9707 -INDEX GOES BRRR: 77 X: 4.86914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 338 X: 21.1865 -INDEX GOES BRRR: 108 X: 6.76465 -INDEX GOES BRRR: 254 X: 15.9209 -INDEX GOES BRRR: 454 X: 28.3955 -INDEX GOES BRRR: 229 X: 14.3535 -INDEX GOES BRRR: 28 X: 1.81152 -INDEX GOES BRRR: 895 X: -8.04004 -INDEX GOES BRRR: 377 X: 23.6113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.7705 -INDEX GOES BRRR: 265 X: 16.6162 -INDEX GOES BRRR: 177 X: 11.1162 -INDEX GOES BRRR: 276 X: 17.2588 -INDEX GOES BRRR: 293 X: 18.3721 -INDEX GOES BRRR: 248 X: 15.5537 -INDEX GOES BRRR: 365 X: 22.8613 -INDEX GOES BRRR: 21 X: 1.32227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 432 X: 27.0547 -INDEX GOES BRRR: 969 X: -3.42578 -INDEX GOES BRRR: 113 X: 7.09766 -INDEX GOES BRRR: 493 X: 30.8203 -INDEX GOES BRRR: 315 X: 19.7227 -INDEX GOES BRRR: 217 X: 13.5967 -INDEX GOES BRRR: 481 X: 30.085 -INDEX GOES BRRR: 174 X: 10.8906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 911 X: -7.03711 -INDEX GOES BRRR: 154 X: 9.66699 -INDEX GOES BRRR: 108 X: 6.76758 -INDEX GOES BRRR: 315 X: 19.7236 -INDEX GOES BRRR: 257 X: 16.1113 -INDEX GOES BRRR: 67 X: 4.2168 -INDEX GOES BRRR: 935 X: -5.54785 -INDEX GOES BRRR: 133 X: 8.37109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 419 X: 26.2432 -INDEX GOES BRRR: 380 X: 23.7588 -INDEX GOES BRRR: 320 X: 20.0508 -INDEX GOES BRRR: 296 X: 18.5527 -INDEX GOES BRRR: 123 X: 7.71094 -INDEX GOES BRRR: 348 X: 21.7793 -INDEX GOES BRRR: 303 X: 18.958 -INDEX GOES BRRR: 361 X: 22.6182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.16797 -INDEX GOES BRRR: 294 X: 18.416 -INDEX GOES BRRR: 979 X: -2.76953 -INDEX GOES BRRR: 134 X: 8.39355 -INDEX GOES BRRR: 217 X: 13.5762 -INDEX GOES BRRR: 153 X: 9.59473 -INDEX GOES BRRR: 988 X: -2.22852 -INDEX GOES BRRR: 287 X: 17.9404 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.20703 -INDEX GOES BRRR: 149 X: 9.36035 -INDEX GOES BRRR: 349 X: 21.8584 -INDEX GOES BRRR: 189 X: 11.8594 -INDEX GOES BRRR: 88 X: 5.55762 -INDEX GOES BRRR: 77 X: 4.86816 -INDEX GOES BRRR: 142 X: 8.90918 -INDEX GOES BRRR: 214 X: 13.4121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.92969 -INDEX GOES BRRR: 278 X: 17.4336 -INDEX GOES BRRR: 386 X: 24.1416 -INDEX GOES BRRR: 427 X: 26.7344 -INDEX GOES BRRR: 175 X: 10.9443 -INDEX GOES BRRR: 96 X: 6.02148 -INDEX GOES BRRR: 162 X: 10.1592 -INDEX GOES BRRR: 382 X: 23.9043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.10742 -INDEX GOES BRRR: 45 X: 2.84863 -INDEX GOES BRRR: 222 X: 13.9355 -INDEX GOES BRRR: 40 X: 2.53223 -INDEX GOES BRRR: 178 X: 11.1709 -INDEX GOES BRRR: 79 X: 4.94727 -INDEX GOES BRRR: 347 X: 21.6895 -INDEX GOES BRRR: 904 X: -7.44531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.31836 -INDEX GOES BRRR: 88 X: 5.5459 -INDEX GOES BRRR: 231 X: 14.4658 -INDEX GOES BRRR: 141 X: 8.81738 -INDEX GOES BRRR: 474 X: 29.6367 -INDEX GOES BRRR: 276 X: 17.2686 -INDEX GOES BRRR: 153 X: 9.57812 -INDEX GOES BRRR: 206 X: 12.918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.71094 -INDEX GOES BRRR: 203 X: 12.7197 -INDEX GOES BRRR: 226 X: 14.1611 -INDEX GOES BRRR: 298 X: 18.6406 -INDEX GOES BRRR: 60 X: 3.76074 -INDEX GOES BRRR: 182 X: 11.4111 -INDEX GOES BRRR: 36 X: 2.25781 -INDEX GOES BRRR: 1014 X: -0.600586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.6982 -INDEX GOES BRRR: 981 X: -2.64062 -INDEX GOES BRRR: 151 X: 9.48047 -INDEX GOES BRRR: 175 X: 10.9521 -INDEX GOES BRRR: 66 X: 4.14355 -INDEX GOES BRRR: 280 X: 17.5439 -INDEX GOES BRRR: 185 X: 11.5771 -INDEX GOES BRRR: 422 X: 26.4092 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0947 -INDEX GOES BRRR: 283 X: 17.7197 -INDEX GOES BRRR: 75 X: 4.7041 -INDEX GOES BRRR: 236 X: 14.791 -INDEX GOES BRRR: 26 X: 1.6748 -INDEX GOES BRRR: 218 X: 13.666 -INDEX GOES BRRR: 886 X: -8.60645 -INDEX GOES BRRR: 1022 X: -0.0917969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1406 -INDEX GOES BRRR: 383 X: 23.9521 -INDEX GOES BRRR: 328 X: 20.5615 -INDEX GOES BRRR: 320 X: 20.0312 -INDEX GOES BRRR: 152 X: 9.54102 -INDEX GOES BRRR: 103 X: 6.49805 -INDEX GOES BRRR: 309 X: 19.3242 -INDEX GOES BRRR: 103 X: 6.49316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.7979 -INDEX GOES BRRR: 365 X: 22.8135 -INDEX GOES BRRR: 105 X: 6.5791 -INDEX GOES BRRR: 917 X: -6.6709 -INDEX GOES BRRR: 893 X: -8.12793 -INDEX GOES BRRR: 209 X: 13.1201 -INDEX GOES BRRR: 225 X: 14.083 -INDEX GOES BRRR: 286 X: 17.9209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1338 -INDEX GOES BRRR: 118 X: 7.40527 -INDEX GOES BRRR: 4 X: 0.277344 -INDEX GOES BRRR: 258 X: 16.1494 -INDEX GOES BRRR: 112 X: 7.02051 -INDEX GOES BRRR: 146 X: 9.15039 -INDEX GOES BRRR: 400 X: 25.0322 -INDEX GOES BRRR: 364 X: 22.7979 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3232 -INDEX GOES BRRR: 868 X: -9.73926 -INDEX GOES BRRR: 179 X: 11.2441 -INDEX GOES BRRR: 288 X: 18.042 -INDEX GOES BRRR: 20 X: 1.31055 -INDEX GOES BRRR: 65 X: 4.09863 -INDEX GOES BRRR: 370 X: 23.1729 -INDEX GOES BRRR: 214 X: 13.4199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9932 -INDEX GOES BRRR: 100 X: 6.2666 -INDEX GOES BRRR: 225 X: 14.1035 -INDEX GOES BRRR: 148 X: 9.30469 -INDEX GOES BRRR: 62 X: 3.92969 -INDEX GOES BRRR: 0 X: 0.0429688 -INDEX GOES BRRR: 130 X: 8.15625 -INDEX GOES BRRR: 79 X: 4.99902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8271 -INDEX GOES BRRR: 265 X: 16.6152 -INDEX GOES BRRR: 178 X: 11.1641 -INDEX GOES BRRR: 102 X: 6.42969 -INDEX GOES BRRR: 204 X: 12.7861 -INDEX GOES BRRR: 93 X: 5.87109 -INDEX GOES BRRR: 252 X: 15.7568 -INDEX GOES BRRR: 131 X: 8.22559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 855 X: -10.5137 -INDEX GOES BRRR: 326 X: 20.4111 -INDEX GOES BRRR: 205 X: 12.873 -INDEX GOES BRRR: 268 X: 16.7666 -INDEX GOES BRRR: 53 X: 3.32227 -INDEX GOES BRRR: 261 X: 16.3281 -INDEX GOES BRRR: 88 X: 5.55762 -INDEX GOES BRRR: 188 X: 11.7793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.80176 -INDEX GOES BRRR: 931 X: -5.76074 -INDEX GOES BRRR: 235 X: 14.7168 -INDEX GOES BRRR: 331 X: 20.7305 -INDEX GOES BRRR: 1012 X: -0.75 -INDEX GOES BRRR: 43 X: 2.70996 -INDEX GOES BRRR: 35 X: 2.20605 -INDEX GOES BRRR: 112 X: 7.04297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 731 X: -18.2539 -INDEX GOES BRRR: 16 X: 1.04492 -INDEX GOES BRRR: 210 X: 13.1543 -INDEX GOES BRRR: 82 X: 5.18066 -INDEX GOES BRRR: 219 X: 13.7168 -INDEX GOES BRRR: 301 X: 18.8711 -INDEX GOES BRRR: 371 X: 23.1943 -INDEX GOES BRRR: 282 X: 17.6699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 368 X: 23.0264 -INDEX GOES BRRR: 257 X: 16.0674 -INDEX GOES BRRR: 147 X: 9.24512 -INDEX GOES BRRR: 269 X: 16.8447 -INDEX GOES BRRR: 984 X: -2.49805 -INDEX GOES BRRR: 217 X: 13.6123 -INDEX GOES BRRR: 146 X: 9.12988 -INDEX GOES BRRR: 387 X: 24.249 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.51074 -INDEX GOES BRRR: 359 X: 22.4932 -INDEX GOES BRRR: 141 X: 8.84375 -INDEX GOES BRRR: 293 X: 18.3662 -INDEX GOES BRRR: 45 X: 2.86523 -INDEX GOES BRRR: 181 X: 11.3477 -INDEX GOES BRRR: 332 X: 20.7578 -INDEX GOES BRRR: 290 X: 18.1758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 308 X: 19.2764 -INDEX GOES BRRR: 240 X: 15.0039 -INDEX GOES BRRR: 40 X: 2.55176 -INDEX GOES BRRR: 1022 X: -0.117188 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 178 X: 11.1855 -INDEX GOES BRRR: 200 X: 12.5439 -INDEX GOES BRRR: 477 X: 29.8174 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4541 -INDEX GOES BRRR: 2 X: 0.177734 -INDEX GOES BRRR: 135 X: 8.46582 -INDEX GOES BRRR: 393 X: 24.5684 -INDEX GOES BRRR: 192 X: 12.0332 -INDEX GOES BRRR: 918 X: -6.61719 -INDEX GOES BRRR: 197 X: 12.3701 -INDEX GOES BRRR: 154 X: 9.62988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.95215 -INDEX GOES BRRR: 953 X: -4.42578 -INDEX GOES BRRR: 184 X: 11.5205 -INDEX GOES BRRR: 1020 X: -0.225586 -INDEX GOES BRRR: 934 X: -5.62305 -INDEX GOES BRRR: 180 X: 11.3027 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 333 X: 20.8623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 282 X: 17.6514 -INDEX GOES BRRR: 1005 X: -1.18164 -INDEX GOES BRRR: 126 X: 7.91504 -INDEX GOES BRRR: 52 X: 3.28223 -INDEX GOES BRRR: 99 X: 6.20898 -INDEX GOES BRRR: 185 X: 11.5918 -INDEX GOES BRRR: 87 X: 5.46387 -INDEX GOES BRRR: 21 X: 1.35547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.2168 -INDEX GOES BRRR: 347 X: 21.7314 -INDEX GOES BRRR: 244 X: 15.251 -INDEX GOES BRRR: 269 X: 16.8213 -INDEX GOES BRRR: 970 X: -3.3623 -INDEX GOES BRRR: 294 X: 18.4346 -INDEX GOES BRRR: 867 X: -9.7793 -INDEX GOES BRRR: 186 X: 11.6416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1011 X: -0.786133 -INDEX GOES BRRR: 177 X: 11.1045 -INDEX GOES BRRR: 256 X: 16.0137 -INDEX GOES BRRR: 60 X: 3.75391 -INDEX GOES BRRR: 200 X: 12.5029 -INDEX GOES BRRR: 107 X: 6.69922 -INDEX GOES BRRR: 306 X: 19.1611 -INDEX GOES BRRR: 98 X: 6.18066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8203 -INDEX GOES BRRR: 235 X: 14.709 -INDEX GOES BRRR: 371 X: 23.2012 -INDEX GOES BRRR: 177 X: 11.1084 -INDEX GOES BRRR: 869 X: -9.66113 -INDEX GOES BRRR: 417 X: 26.082 -INDEX GOES BRRR: 970 X: -3.35938 -INDEX GOES BRRR: 31 X: 1.96875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6738 -INDEX GOES BRRR: 100 X: 6.2832 -INDEX GOES BRRR: 196 X: 12.2637 -INDEX GOES BRRR: 1021 X: -0.174805 -INDEX GOES BRRR: 268 X: 16.7988 -INDEX GOES BRRR: 90 X: 5.64844 -INDEX GOES BRRR: 215 X: 13.4932 -INDEX GOES BRRR: 70 X: 4.38965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 969 X: -3.39355 -INDEX GOES BRRR: 139 X: 8.72852 -INDEX GOES BRRR: 107 X: 6.71191 -INDEX GOES BRRR: 158 X: 9.87695 -INDEX GOES BRRR: 402 X: 25.1748 -INDEX GOES BRRR: 98 X: 6.14258 -INDEX GOES BRRR: 94 X: 5.89062 -INDEX GOES BRRR: 907 X: -7.28418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0342 -INDEX GOES BRRR: 322 X: 20.1846 -INDEX GOES BRRR: 147 X: 9.21777 -INDEX GOES BRRR: 926 X: -6.11133 -INDEX GOES BRRR: 168 X: 10.5068 -INDEX GOES BRRR: 197 X: 12.3486 -INDEX GOES BRRR: 207 X: 12.9863 -INDEX GOES BRRR: 1012 X: -0.726562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 964 X: -3.75 -INDEX GOES BRRR: 274 X: 17.1279 -INDEX GOES BRRR: 958 X: -4.12402 -INDEX GOES BRRR: 68 X: 4.25879 -INDEX GOES BRRR: 141 X: 8.83398 -INDEX GOES BRRR: 125 X: 7.83398 -INDEX GOES BRRR: 24 X: 1.55176 -INDEX GOES BRRR: 203 X: 12.6914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.44629 -INDEX GOES BRRR: 932 X: -5.7002 -INDEX GOES BRRR: 188 X: 11.7998 -INDEX GOES BRRR: 479 X: 29.9629 -INDEX GOES BRRR: 38 X: 2.43652 -INDEX GOES BRRR: 223 X: 13.9863 -INDEX GOES BRRR: 60 X: 3.79883 -INDEX GOES BRRR: 96 X: 6.05371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.9316 -INDEX GOES BRRR: 348 X: 21.8037 -INDEX GOES BRRR: 394 X: 24.6279 -INDEX GOES BRRR: 189 X: 11.8555 -INDEX GOES BRRR: 128 X: 8.05273 -INDEX GOES BRRR: 82 X: 5.18164 -INDEX GOES BRRR: 223 X: 13.9668 -INDEX GOES BRRR: 87 X: 5.44043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 967 X: -3.55566 -INDEX GOES BRRR: 159 X: 9.97852 -INDEX GOES BRRR: 258 X: 16.127 -INDEX GOES BRRR: 249 X: 15.5811 -INDEX GOES BRRR: 207 X: 12.9473 -INDEX GOES BRRR: 851 X: -10.8008 -INDEX GOES BRRR: 179 X: 11.1992 -INDEX GOES BRRR: 294 X: 18.375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9678 -INDEX GOES BRRR: 184 X: 11.5166 -INDEX GOES BRRR: 281 X: 17.6201 -INDEX GOES BRRR: 191 X: 11.958 -INDEX GOES BRRR: 232 X: 14.5439 -INDEX GOES BRRR: 12 X: 0.810547 -INDEX GOES BRRR: 216 X: 13.5537 -INDEX GOES BRRR: 928 X: -5.97461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 209 X: 13.0889 -INDEX GOES BRRR: 286 X: 17.9102 -INDEX GOES BRRR: 386 X: 24.1504 -INDEX GOES BRRR: 917 X: -6.66406 -INDEX GOES BRRR: 288 X: 18.0117 -INDEX GOES BRRR: 47 X: 2.94824 -INDEX GOES BRRR: 264 X: 16.54 -INDEX GOES BRRR: 874 X: -9.33105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.106445 -INDEX GOES BRRR: 196 X: 12.2803 -INDEX GOES BRRR: 99 X: 6.22559 -INDEX GOES BRRR: 897 X: -7.93457 -INDEX GOES BRRR: 921 X: -6.42969 -INDEX GOES BRRR: 425 X: 26.6084 -INDEX GOES BRRR: 985 X: -2.39551 -INDEX GOES BRRR: 252 X: 15.7705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 34 X: 2.1748 -INDEX GOES BRRR: 284 X: 17.7549 -INDEX GOES BRRR: 385 X: 24.1104 -INDEX GOES BRRR: 1021 X: -0.172852 -INDEX GOES BRRR: 384 X: 24.0127 -INDEX GOES BRRR: 281 X: 17.6191 -INDEX GOES BRRR: 151 X: 9.44141 -INDEX GOES BRRR: 180 X: 11.291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 450 X: 28.1602 -INDEX GOES BRRR: 93 X: 5.85352 -INDEX GOES BRRR: 1022 X: -0.0947266 -INDEX GOES BRRR: 202 X: 12.6396 -INDEX GOES BRRR: 448 X: 28.0391 -INDEX GOES BRRR: 108 X: 6.80273 -INDEX GOES BRRR: 799 X: -14.0049 -INDEX GOES BRRR: 192 X: 12.043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5244 -INDEX GOES BRRR: 402 X: 25.1719 -INDEX GOES BRRR: 145 X: 9.09082 -INDEX GOES BRRR: 248 X: 15.54 -INDEX GOES BRRR: 87 X: 5.4375 -INDEX GOES BRRR: 137 X: 8.58301 -INDEX GOES BRRR: 821 X: -12.6426 -INDEX GOES BRRR: 281 X: 17.583 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0342 -INDEX GOES BRRR: 437 X: 27.3506 -INDEX GOES BRRR: 202 X: 12.6699 -INDEX GOES BRRR: 145 X: 9.12012 -INDEX GOES BRRR: 184 X: 11.5615 -INDEX GOES BRRR: 338 X: 21.1523 -INDEX GOES BRRR: 237 X: 14.8447 -INDEX GOES BRRR: 126 X: 7.89551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.50977 -INDEX GOES BRRR: 276 X: 17.3086 -INDEX GOES BRRR: 454 X: 28.4102 -INDEX GOES BRRR: 493 X: 30.8711 -INDEX GOES BRRR: 44 X: 2.76855 -INDEX GOES BRRR: 256 X: 16.0439 -INDEX GOES BRRR: 205 X: 12.8721 -INDEX GOES BRRR: 867 X: -9.79688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 22 X: 1.39453 -INDEX GOES BRRR: 100 X: 6.29004 -INDEX GOES BRRR: 143 X: 8.97754 -INDEX GOES BRRR: 363 X: 22.7344 -INDEX GOES BRRR: 191 X: 11.9775 -INDEX GOES BRRR: 934 X: -5.59082 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 431 X: 26.9492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1008 X: -0.939453 -INDEX GOES BRRR: 969 X: -3.39258 -INDEX GOES BRRR: 968 X: -3.45117 -INDEX GOES BRRR: 158 X: 9.91406 -INDEX GOES BRRR: 833 X: -11.9033 -INDEX GOES BRRR: 222 X: 13.876 -INDEX GOES BRRR: 955 X: -4.2998 -INDEX GOES BRRR: 66 X: 4.16113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.92383 -INDEX GOES BRRR: 462 X: 28.9346 -INDEX GOES BRRR: 264 X: 16.5146 -INDEX GOES BRRR: 910 X: -7.06348 -INDEX GOES BRRR: 822 X: -12.583 -INDEX GOES BRRR: 22 X: 1.37891 -INDEX GOES BRRR: 391 X: 24.4395 -INDEX GOES BRRR: 858 X: -10.3604 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.0596 -INDEX GOES BRRR: 111 X: 6.96387 -INDEX GOES BRRR: 264 X: 16.5312 -INDEX GOES BRRR: 931 X: -5.80859 -INDEX GOES BRRR: 178 X: 11.1357 -INDEX GOES BRRR: 121 X: 7.60156 -INDEX GOES BRRR: 474 X: 29.6572 -INDEX GOES BRRR: 77 X: 4.81543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.327148 -INDEX GOES BRRR: 175 X: 10.9785 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1013 X: -0.667969 -INDEX GOES BRRR: 878 X: -9.11523 -INDEX GOES BRRR: 38 X: 2.41895 -INDEX GOES BRRR: 812 X: -13.1963 -INDEX GOES BRRR: 102 X: 6.42188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 13 X: 0.870117 -INDEX GOES BRRR: 425 X: 26.6152 -INDEX GOES BRRR: 323 X: 20.2188 -INDEX GOES BRRR: 375 X: 23.4902 -INDEX GOES BRRR: 96 X: 6.05176 -INDEX GOES BRRR: 992 X: -1.96875 -INDEX GOES BRRR: 71 X: 4.45508 -INDEX GOES BRRR: 339 X: 21.2197 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6777 -INDEX GOES BRRR: 300 X: 18.7559 -INDEX GOES BRRR: 24 X: 1.52246 -INDEX GOES BRRR: 138 X: 8.66016 -INDEX GOES BRRR: 173 X: 10.8359 -INDEX GOES BRRR: 222 X: 13.8906 -INDEX GOES BRRR: 925 X: -6.13867 -INDEX GOES BRRR: 123 X: 7.73828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.1992 -INDEX GOES BRRR: 116 X: 7.25293 -INDEX GOES BRRR: 209 X: 13.1123 -INDEX GOES BRRR: 73 X: 4.58594 -INDEX GOES BRRR: 8 X: 0.554688 -INDEX GOES BRRR: 949 X: -4.62988 -INDEX GOES BRRR: 207 X: 12.9482 -INDEX GOES BRRR: 19 X: 1.19531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 348 X: 21.7734 -INDEX GOES BRRR: 968 X: -3.4707 -INDEX GOES BRRR: 157 X: 9.82129 -INDEX GOES BRRR: 225 X: 14.0918 -INDEX GOES BRRR: 48 X: 3.0293 -INDEX GOES BRRR: 209 X: 13.0664 -INDEX GOES BRRR: 174 X: 10.915 -INDEX GOES BRRR: 236 X: 14.7793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 84 X: 5.29102 -INDEX GOES BRRR: 77 X: 4.83594 -INDEX GOES BRRR: 910 X: -7.08984 -INDEX GOES BRRR: 189 X: 11.8301 -INDEX GOES BRRR: 48 X: 3.02246 -INDEX GOES BRRR: 59 X: 3.72656 -INDEX GOES BRRR: 999 X: -1.52832 -INDEX GOES BRRR: 296 X: 18.5498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1533 -INDEX GOES BRRR: 951 X: -4.50098 -INDEX GOES BRRR: 960 X: -3.93945 -INDEX GOES BRRR: 8 X: 0.512695 -INDEX GOES BRRR: 200 X: 12.5449 -INDEX GOES BRRR: 155 X: 9.69824 -INDEX GOES BRRR: 452 X: 28.2812 -INDEX GOES BRRR: 897 X: -7.88477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3477 -INDEX GOES BRRR: 165 X: 10.3164 -INDEX GOES BRRR: 95 X: 5.96289 -INDEX GOES BRRR: 56 X: 3.52344 -INDEX GOES BRRR: 156 X: 9.77637 -INDEX GOES BRRR: 968 X: -3.47461 -INDEX GOES BRRR: 1 X: 0.0644531 -INDEX GOES BRRR: 187 X: 11.7236 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 224 X: 14.001 -INDEX GOES BRRR: 387 X: 24.2197 -INDEX GOES BRRR: 318 X: 19.9287 -INDEX GOES BRRR: 222 X: 13.9209 -INDEX GOES BRRR: 271 X: 16.9434 -INDEX GOES BRRR: 379 X: 23.709 -INDEX GOES BRRR: 983 X: -2.52441 -INDEX GOES BRRR: 132 X: 8.26074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.541 -INDEX GOES BRRR: 422 X: 26.4277 -INDEX GOES BRRR: 215 X: 13.4873 -INDEX GOES BRRR: 199 X: 12.4512 -INDEX GOES BRRR: 429 X: 26.8145 -INDEX GOES BRRR: 161 X: 10.0781 -INDEX GOES BRRR: 3 X: 0.227539 -INDEX GOES BRRR: 997 X: -1.63672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 483 X: 30.2461 -INDEX GOES BRRR: 81 X: 5.08105 -INDEX GOES BRRR: 173 X: 10.8281 -INDEX GOES BRRR: 9 X: 0.564453 -INDEX GOES BRRR: 987 X: -2.28906 -INDEX GOES BRRR: 113 X: 7.06348 -INDEX GOES BRRR: 325 X: 20.3369 -INDEX GOES BRRR: 292 X: 18.2588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 97 X: 6.06543 -INDEX GOES BRRR: 195 X: 12.1973 -INDEX GOES BRRR: 30 X: 1.91602 -INDEX GOES BRRR: 143 X: 8.99609 -INDEX GOES BRRR: 66 X: 4.17285 -INDEX GOES BRRR: 144 X: 9.05371 -INDEX GOES BRRR: 973 X: -3.13867 -INDEX GOES BRRR: 148 X: 9.30371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 3 X: 0.217773 -INDEX GOES BRRR: 49 X: 3.09961 -INDEX GOES BRRR: 997 X: -1.64746 -INDEX GOES BRRR: 107 X: 6.71973 -INDEX GOES BRRR: 435 X: 27.2471 -INDEX GOES BRRR: 1019 X: -0.289062 -INDEX GOES BRRR: 982 X: -2.56641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.8633 -INDEX GOES BRRR: 884 X: -8.72656 -INDEX GOES BRRR: 303 X: 18.9775 -INDEX GOES BRRR: 978 X: -2.86719 -INDEX GOES BRRR: 249 X: 15.6055 -INDEX GOES BRRR: 284 X: 17.7793 -INDEX GOES BRRR: 1003 X: -1.26953 -INDEX GOES BRRR: 78 X: 4.88379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.32324 -INDEX GOES BRRR: 155 X: 9.70898 -INDEX GOES BRRR: 106 X: 6.67773 -INDEX GOES BRRR: 252 X: 15.7617 -INDEX GOES BRRR: 233 X: 14.6084 -INDEX GOES BRRR: 346 X: 21.6621 -INDEX GOES BRRR: 218 X: 13.6562 -INDEX GOES BRRR: 303 X: 18.9746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6455 -INDEX GOES BRRR: 181 X: 11.3525 -INDEX GOES BRRR: 856 X: -10.4922 -INDEX GOES BRRR: 941 X: -5.12695 -INDEX GOES BRRR: 486 X: 30.418 -INDEX GOES BRRR: 241 X: 15.083 -INDEX GOES BRRR: 987 X: -2.26953 -INDEX GOES BRRR: 192 X: 12.041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 19 X: 1.22949 -INDEX GOES BRRR: 376 X: 23.5127 -INDEX GOES BRRR: 69 X: 4.33008 -INDEX GOES BRRR: 174 X: 10.8936 -INDEX GOES BRRR: 7 X: 0.462891 -INDEX GOES BRRR: 252 X: 15.7959 -INDEX GOES BRRR: 182 X: 11.3936 -INDEX GOES BRRR: 341 X: 21.3691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.60254 -INDEX GOES BRRR: 426 X: 26.6611 -INDEX GOES BRRR: 147 X: 9.24316 -INDEX GOES BRRR: 336 X: 21.0586 -INDEX GOES BRRR: 130 X: 8.12695 -INDEX GOES BRRR: 918 X: -6.56543 -INDEX GOES BRRR: 975 X: -3.0498 -INDEX GOES BRRR: 356 X: 22.2744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0166 -INDEX GOES BRRR: 951 X: -4.50195 -INDEX GOES BRRR: 314 X: 19.6689 -INDEX GOES BRRR: 893 X: -8.14258 -INDEX GOES BRRR: 356 X: 22.2949 -INDEX GOES BRRR: 159 X: 9.95605 -INDEX GOES BRRR: 206 X: 12.9346 -INDEX GOES BRRR: 495 X: 30.9395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 46 X: 2.93262 -INDEX GOES BRRR: 319 X: 19.9434 -INDEX GOES BRRR: 866 X: -9.8252 -INDEX GOES BRRR: 379 X: 23.7031 -INDEX GOES BRRR: 316 X: 19.7979 -INDEX GOES BRRR: 230 X: 14.4121 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.74609 -INDEX GOES BRRR: 18 X: 1.16992 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 57 X: 3.61328 -INDEX GOES BRRR: 116 X: 7.2959 -INDEX GOES BRRR: 43 X: 2.70898 -INDEX GOES BRRR: 1020 X: -0.228516 -INDEX GOES BRRR: 834 X: -11.8701 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4658 -INDEX GOES BRRR: 175 X: 10.9424 -INDEX GOES BRRR: 274 X: 17.1475 -INDEX GOES BRRR: 312 X: 19.542 -INDEX GOES BRRR: 353 X: 22.083 -INDEX GOES BRRR: 78 X: 4.9043 -INDEX GOES BRRR: 472 X: 29.5449 -INDEX GOES BRRR: 195 X: 12.2227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1005 X: -1.17969 -INDEX GOES BRRR: 7 X: 0.487305 -INDEX GOES BRRR: 217 X: 13.5996 -INDEX GOES BRRR: 121 X: 7.56348 -INDEX GOES BRRR: 306 X: 19.1787 -INDEX GOES BRRR: 0 X: 0.0273438 -INDEX GOES BRRR: 99 X: 6.23828 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 34 X: 2.16016 -INDEX GOES BRRR: 29 X: 1.83594 -INDEX GOES BRRR: 73 X: 4.60938 -INDEX GOES BRRR: 137 X: 8.60742 -INDEX GOES BRRR: 240 X: 15.0029 -INDEX GOES BRRR: 263 X: 16.459 -INDEX GOES BRRR: 339 X: 21.2393 -INDEX GOES BRRR: 1 X: 0.117188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 332 X: 20.7539 -INDEX GOES BRRR: 69 X: 4.34375 -INDEX GOES BRRR: 270 X: 16.9297 -INDEX GOES BRRR: 132 X: 8.29785 -INDEX GOES BRRR: 389 X: 24.3447 -INDEX GOES BRRR: 73 X: 4.62402 -INDEX GOES BRRR: 301 X: 18.8467 -INDEX GOES BRRR: 365 X: 22.8467 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.99512 -INDEX GOES BRRR: 108 X: 6.77734 -INDEX GOES BRRR: 348 X: 21.8008 -INDEX GOES BRRR: 1011 X: -0.785156 -INDEX GOES BRRR: 388 X: 24.2852 -INDEX GOES BRRR: 62 X: 3.9043 -INDEX GOES BRRR: 227 X: 14.2471 -INDEX GOES BRRR: 1009 X: -0.910156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 465 X: 29.1035 -INDEX GOES BRRR: 295 X: 18.4941 -INDEX GOES BRRR: 169 X: 10.5811 -INDEX GOES BRRR: 97 X: 6.07812 -INDEX GOES BRRR: 427 X: 26.707 -INDEX GOES BRRR: 46 X: 2.87988 -INDEX GOES BRRR: 303 X: 18.9443 -INDEX GOES BRRR: 116 X: 7.2666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6299 -INDEX GOES BRRR: 196 X: 12.29 -INDEX GOES BRRR: 460 X: 28.7998 -INDEX GOES BRRR: 64 X: 4.02734 -INDEX GOES BRRR: 420 X: 26.2764 -INDEX GOES BRRR: 202 X: 12.626 -INDEX GOES BRRR: 230 X: 14.3945 -INDEX GOES BRRR: 160 X: 10.0234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.16992 -INDEX GOES BRRR: 65 X: 4.10156 -INDEX GOES BRRR: 907 X: -7.29297 -INDEX GOES BRRR: 136 X: 8.53223 -INDEX GOES BRRR: 138 X: 8.63672 -INDEX GOES BRRR: 940 X: -5.24707 -INDEX GOES BRRR: 3 X: 0.207031 -INDEX GOES BRRR: 126 X: 7.89258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.44824 -INDEX GOES BRRR: 171 X: 10.7373 -INDEX GOES BRRR: 1012 X: -0.688477 -INDEX GOES BRRR: 401 X: 25.0762 -INDEX GOES BRRR: 310 X: 19.4004 -INDEX GOES BRRR: 135 X: 8.45801 -INDEX GOES BRRR: 303 X: 18.9912 -INDEX GOES BRRR: 229 X: 14.3701 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 364 X: 22.7773 -INDEX GOES BRRR: 9 X: 0.574219 -INDEX GOES BRRR: 288 X: 18.0596 -INDEX GOES BRRR: 65 X: 4.09668 -INDEX GOES BRRR: 279 X: 17.4834 -INDEX GOES BRRR: 323 X: 20.2295 -INDEX GOES BRRR: 330 X: 20.6826 -INDEX GOES BRRR: 141 X: 8.83691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 285 X: 17.8467 -INDEX GOES BRRR: 969 X: -3.38184 -INDEX GOES BRRR: 463 X: 28.9824 -INDEX GOES BRRR: 904 X: -7.44922 -INDEX GOES BRRR: 77 X: 4.8291 -INDEX GOES BRRR: 3 X: 0.236328 -INDEX GOES BRRR: 281 X: 17.5742 -INDEX GOES BRRR: 379 X: 23.7148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.16895 -INDEX GOES BRRR: 148 X: 9.25195 -INDEX GOES BRRR: 206 X: 12.9111 -INDEX GOES BRRR: 211 X: 13.2217 -INDEX GOES BRRR: 948 X: -4.71191 -INDEX GOES BRRR: 46 X: 2.88281 -INDEX GOES BRRR: 151 X: 9.44727 -INDEX GOES BRRR: 254 X: 15.9209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 410 X: 25.6768 -INDEX GOES BRRR: 960 X: -3.96387 -INDEX GOES BRRR: 31 X: 1.98047 -INDEX GOES BRRR: 133 X: 8.33203 -INDEX GOES BRRR: 259 X: 16.2158 -INDEX GOES BRRR: 980 X: -2.74316 -INDEX GOES BRRR: 167 X: 10.4727 -INDEX GOES BRRR: 25 X: 1.58984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.3994 -INDEX GOES BRRR: 967 X: -3.56055 -INDEX GOES BRRR: 64 X: 4.03711 -INDEX GOES BRRR: 827 X: -12.292 -INDEX GOES BRRR: 308 X: 19.293 -INDEX GOES BRRR: 21 X: 1.34375 -INDEX GOES BRRR: 114 X: 7.17578 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.83301 -INDEX GOES BRRR: 315 X: 19.7314 -INDEX GOES BRRR: 1020 X: -0.206055 -INDEX GOES BRRR: 179 X: 11.207 -INDEX GOES BRRR: 15 X: 0.983398 -INDEX GOES BRRR: 38 X: 2.41016 -INDEX GOES BRRR: 316 X: 19.7871 -INDEX GOES BRRR: 62 X: 3.88184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 956 X: -4.22559 -INDEX GOES BRRR: 137 X: 8.62305 -INDEX GOES BRRR: 1009 X: -0.887695 -INDEX GOES BRRR: 322 X: 20.1445 -INDEX GOES BRRR: 74 X: 4.67383 -INDEX GOES BRRR: 93 X: 5.8252 -INDEX GOES BRRR: 26 X: 1.64355 -INDEX GOES BRRR: 259 X: 16.1885 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 176 X: 11.0361 -INDEX GOES BRRR: 83 X: 5.19238 -INDEX GOES BRRR: 191 X: 11.9795 -INDEX GOES BRRR: 71 X: 4.45605 -INDEX GOES BRRR: 984 X: -2.47754 -INDEX GOES BRRR: 206 X: 12.8848 -INDEX GOES BRRR: 174 X: 10.8955 -INDEX GOES BRRR: 13 X: 0.825195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.50391 -INDEX GOES BRRR: 143 X: 8.9668 -INDEX GOES BRRR: 242 X: 15.1826 -INDEX GOES BRRR: 42 X: 2.63672 -INDEX GOES BRRR: 307 X: 19.1953 -INDEX GOES BRRR: 1021 X: -0.149414 -INDEX GOES BRRR: 170 X: 10.6328 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.5332 -INDEX GOES BRRR: 219 X: 13.7412 -INDEX GOES BRRR: 229 X: 14.3252 -INDEX GOES BRRR: 10 X: 0.661133 -INDEX GOES BRRR: 147 X: 9.23047 -INDEX GOES BRRR: 5 X: 0.335938 -INDEX GOES BRRR: 200 X: 12.5479 -INDEX GOES BRRR: 174 X: 10.9316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5088 -INDEX GOES BRRR: 132 X: 8.26074 -INDEX GOES BRRR: 373 X: 23.3428 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 120 X: 7.54297 -INDEX GOES BRRR: 96 X: 6.05273 -INDEX GOES BRRR: 345 X: 21.5664 -INDEX GOES BRRR: 175 X: 10.9463 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.416016 -INDEX GOES BRRR: 118 X: 7.4248 -INDEX GOES BRRR: 123 X: 7.70508 -INDEX GOES BRRR: 234 X: 14.6689 -INDEX GOES BRRR: 251 X: 15.7471 -INDEX GOES BRRR: 126 X: 7.90039 -INDEX GOES BRRR: 102 X: 6.40234 -INDEX GOES BRRR: 136 X: 8.52539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 415 X: 25.9658 -INDEX GOES BRRR: 26 X: 1.65234 -INDEX GOES BRRR: 133 X: 8.32422 -INDEX GOES BRRR: 29 X: 1.83398 -INDEX GOES BRRR: 920 X: -6.47656 -INDEX GOES BRRR: 884 X: -8.71289 -INDEX GOES BRRR: 700 X: -20.2256 -INDEX GOES BRRR: 63 X: 3.97754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 892 X: -8.24512 -INDEX GOES BRRR: 970 X: -3.35254 -INDEX GOES BRRR: 271 X: 16.9463 -INDEX GOES BRRR: 717 X: -19.1309 -INDEX GOES BRRR: 224 X: 14.0605 -INDEX GOES BRRR: 439 X: 27.4912 -INDEX GOES BRRR: 2 X: 0.179688 -INDEX GOES BRRR: 403 X: 25.2441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.2559 -INDEX GOES BRRR: 311 X: 19.4785 -INDEX GOES BRRR: 43 X: 2.69238 -INDEX GOES BRRR: 213 X: 13.3311 -INDEX GOES BRRR: 1003 X: -1.27441 -INDEX GOES BRRR: 142 X: 8.91797 -INDEX GOES BRRR: 228 X: 14.2539 -INDEX GOES BRRR: 106 X: 6.67285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 290 X: 18.1514 -INDEX GOES BRRR: 369 X: 23.124 -INDEX GOES BRRR: 821 X: -12.626 -INDEX GOES BRRR: 370 X: 23.1709 -INDEX GOES BRRR: 341 X: 21.3555 -INDEX GOES BRRR: 329 X: 20.5703 -INDEX GOES BRRR: 74 X: 4.66504 -INDEX GOES BRRR: 1 X: 0.0751953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 789 X: -14.6426 -INDEX GOES BRRR: 941 X: -5.1582 -INDEX GOES BRRR: 953 X: -4.43066 -INDEX GOES BRRR: 219 X: 13.7412 -INDEX GOES BRRR: 366 X: 22.8926 -INDEX GOES BRRR: 129 X: 8.11035 -INDEX GOES BRRR: 238 X: 14.9365 -INDEX GOES BRRR: 104 X: 6.54395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.6973 -INDEX GOES BRRR: 245 X: 15.3604 -INDEX GOES BRRR: 138 X: 8.67871 -INDEX GOES BRRR: 397 X: 24.8701 -INDEX GOES BRRR: 224 X: 14.043 -INDEX GOES BRRR: 1011 X: -0.769531 -INDEX GOES BRRR: 1015 X: -0.52832 -INDEX GOES BRRR: 93 X: 5.82324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.94922 -INDEX GOES BRRR: 942 X: -5.10352 -INDEX GOES BRRR: 464 X: 29.0391 -INDEX GOES BRRR: 362 X: 22.6436 -INDEX GOES BRRR: 185 X: 11.5859 -INDEX GOES BRRR: 77 X: 4.82422 -INDEX GOES BRRR: 104 X: 6.52246 -INDEX GOES BRRR: 725 X: -18.6494 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.1143 -INDEX GOES BRRR: 239 X: 14.9922 -INDEX GOES BRRR: 144 X: 9.04883 -INDEX GOES BRRR: 238 X: 14.8926 -INDEX GOES BRRR: 168 X: 10.5264 -INDEX GOES BRRR: 170 X: 10.6299 -INDEX GOES BRRR: 975 X: -3.01367 -INDEX GOES BRRR: 320 X: 20.0312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.0977 -INDEX GOES BRRR: 233 X: 14.5957 -INDEX GOES BRRR: 182 X: 11.4053 -INDEX GOES BRRR: 386 X: 24.1504 -INDEX GOES BRRR: 1018 X: -0.375 -INDEX GOES BRRR: 163 X: 10.2412 -INDEX GOES BRRR: 406 X: 25.3779 -INDEX GOES BRRR: 124 X: 7.79883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.80566 -INDEX GOES BRRR: 335 X: 20.9941 -INDEX GOES BRRR: 32 X: 2.01953 -INDEX GOES BRRR: 282 X: 17.6318 -INDEX GOES BRRR: 432 X: 27.0371 -INDEX GOES BRRR: 244 X: 15.2637 -INDEX GOES BRRR: 134 X: 8.41602 -INDEX GOES BRRR: 46 X: 2.93066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.87695 -INDEX GOES BRRR: 979 X: -2.76367 -INDEX GOES BRRR: 962 X: -3.84277 -INDEX GOES BRRR: 298 X: 18.6797 -INDEX GOES BRRR: 229 X: 14.3584 -INDEX GOES BRRR: 261 X: 16.3145 -INDEX GOES BRRR: 145 X: 9.0625 -INDEX GOES BRRR: 162 X: 10.1348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.709 -INDEX GOES BRRR: 1022 X: -0.0996094 -INDEX GOES BRRR: 273 X: 17.0869 -INDEX GOES BRRR: 155 X: 9.72168 -INDEX GOES BRRR: 387 X: 24.2256 -INDEX GOES BRRR: 69 X: 4.3623 -INDEX GOES BRRR: 865 X: -9.90625 -INDEX GOES BRRR: 255 X: 15.9609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.86914 -INDEX GOES BRRR: 399 X: 24.9434 -INDEX GOES BRRR: 205 X: 12.8701 -INDEX GOES BRRR: 241 X: 15.0625 -INDEX GOES BRRR: 271 X: 16.9375 -INDEX GOES BRRR: 935 X: -5.51367 -INDEX GOES BRRR: 320 X: 20.0049 -INDEX GOES BRRR: 237 X: 14.8721 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.85645 -INDEX GOES BRRR: 40 X: 2.55957 -INDEX GOES BRRR: 45 X: 2.8291 -INDEX GOES BRRR: 399 X: 24.9502 -INDEX GOES BRRR: 188 X: 11.8047 -INDEX GOES BRRR: 459 X: 28.7344 -INDEX GOES BRRR: 222 X: 13.918 -INDEX GOES BRRR: 360 X: 22.5049 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 969 X: -3.41602 -INDEX GOES BRRR: 183 X: 11.4561 -INDEX GOES BRRR: 458 X: 28.6279 -INDEX GOES BRRR: 26 X: 1.66016 -INDEX GOES BRRR: 168 X: 10.5117 -INDEX GOES BRRR: 0 X: 0.00585938 -INDEX GOES BRRR: 379 X: 23.7422 -INDEX GOES BRRR: 134 X: 8.40527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.28223 -INDEX GOES BRRR: 385 X: 24.1055 -INDEX GOES BRRR: 92 X: 5.76758 -INDEX GOES BRRR: 56 X: 3.50879 -INDEX GOES BRRR: 1010 X: -0.836914 -INDEX GOES BRRR: 170 X: 10.6758 -INDEX GOES BRRR: 889 X: -8.37598 -INDEX GOES BRRR: 186 X: 11.665 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1011 X: -0.771484 -INDEX GOES BRRR: 75 X: 4.7334 -INDEX GOES BRRR: 257 X: 16.0771 -INDEX GOES BRRR: 234 X: 14.6768 -INDEX GOES BRRR: 245 X: 15.3262 -INDEX GOES BRRR: 128 X: 8.03027 -INDEX GOES BRRR: 159 X: 9.99805 -INDEX GOES BRRR: 121 X: 7.56641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.74707 -INDEX GOES BRRR: 1016 X: -0.452148 -INDEX GOES BRRR: 14 X: 0.915039 -INDEX GOES BRRR: 958 X: -4.11816 -INDEX GOES BRRR: 80 X: 5.03711 -INDEX GOES BRRR: 322 X: 20.1836 -INDEX GOES BRRR: 108 X: 6.7832 -INDEX GOES BRRR: 169 X: 10.6055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4492 -INDEX GOES BRRR: 315 X: 19.7021 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 471 X: 29.4971 -INDEX GOES BRRR: 3 X: 0.207031 -INDEX GOES BRRR: 852 X: -10.6895 -INDEX GOES BRRR: 824 X: -12.4512 -INDEX GOES BRRR: 87 X: 5.49316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 423 X: 26.4893 -INDEX GOES BRRR: 387 X: 24.2148 -INDEX GOES BRRR: 158 X: 9.90039 -INDEX GOES BRRR: 37 X: 2.34863 -INDEX GOES BRRR: 224 X: 14.001 -INDEX GOES BRRR: 126 X: 7.88379 -INDEX GOES BRRR: 351 X: 21.9561 -INDEX GOES BRRR: 913 X: -6.90918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 406 X: 25.4297 -INDEX GOES BRRR: 66 X: 4.13379 -INDEX GOES BRRR: 989 X: -2.17383 -INDEX GOES BRRR: 116 X: 7.28516 -INDEX GOES BRRR: 72 X: 4.54785 -INDEX GOES BRRR: 280 X: 17.5293 -INDEX GOES BRRR: 409 X: 25.6104 -INDEX GOES BRRR: 54 X: 3.39844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0488 -INDEX GOES BRRR: 490 X: 30.666 -INDEX GOES BRRR: 251 X: 15.6943 -INDEX GOES BRRR: 17 X: 1.0752 -INDEX GOES BRRR: 946 X: -4.82129 -INDEX GOES BRRR: 91 X: 5.6875 -INDEX GOES BRRR: 134 X: 8.38477 -INDEX GOES BRRR: 990 X: -2.06836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.6924 -INDEX GOES BRRR: 50 X: 3.12891 -INDEX GOES BRRR: 78 X: 4.91992 -INDEX GOES BRRR: 103 X: 6.45801 -INDEX GOES BRRR: 311 X: 19.4512 -INDEX GOES BRRR: 341 X: 21.3164 -INDEX GOES BRRR: 19 X: 1.24414 -INDEX GOES BRRR: 298 X: 18.6865 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9619 -INDEX GOES BRRR: 170 X: 10.6299 -INDEX GOES BRRR: 80 X: 5.00391 -INDEX GOES BRRR: 281 X: 17.5762 -INDEX GOES BRRR: 420 X: 26.2959 -INDEX GOES BRRR: 255 X: 15.999 -INDEX GOES BRRR: 231 X: 14.4443 -INDEX GOES BRRR: 916 X: -6.74609 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.55273 -INDEX GOES BRRR: 64 X: 4.05469 -INDEX GOES BRRR: 193 X: 12.0986 -INDEX GOES BRRR: 940 X: -5.22363 -INDEX GOES BRRR: 25 X: 1.56348 -INDEX GOES BRRR: 879 X: -9.01953 -INDEX GOES BRRR: 275 X: 17.2402 -INDEX GOES BRRR: 278 X: 17.3965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.79395 -INDEX GOES BRRR: 253 X: 15.8584 -INDEX GOES BRRR: 169 X: 10.6055 -INDEX GOES BRRR: 66 X: 4.16504 -INDEX GOES BRRR: 856 X: -10.4688 -INDEX GOES BRRR: 445 X: 27.8164 -INDEX GOES BRRR: 935 X: -5.53027 -INDEX GOES BRRR: 161 X: 10.1055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.7002 -INDEX GOES BRRR: 157 X: 9.84668 -INDEX GOES BRRR: 989 X: -2.15625 -INDEX GOES BRRR: 134 X: 8.41406 -INDEX GOES BRRR: 102 X: 6.42285 -INDEX GOES BRRR: 176 X: 11.0107 -INDEX GOES BRRR: 113 X: 7.09961 -INDEX GOES BRRR: 118 X: 7.38281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 13 X: 0.836914 -INDEX GOES BRRR: 182 X: 11.3916 -INDEX GOES BRRR: 476 X: 29.7607 -INDEX GOES BRRR: 140 X: 8.77246 -INDEX GOES BRRR: 108 X: 6.76465 -INDEX GOES BRRR: 330 X: 20.6436 -INDEX GOES BRRR: 222 X: 13.8789 -INDEX GOES BRRR: 228 X: 14.2588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 973 X: -3.13574 -INDEX GOES BRRR: 102 X: 6.42871 -INDEX GOES BRRR: 266 X: 16.6777 -INDEX GOES BRRR: 105 X: 6.57031 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 226 X: 14.1777 -INDEX GOES BRRR: 179 X: 11.2051 -INDEX GOES BRRR: 37 X: 2.33789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 388 X: 24.2646 -INDEX GOES BRRR: 213 X: 13.3457 -INDEX GOES BRRR: 1 X: 0.105469 -INDEX GOES BRRR: 313 X: 19.624 -INDEX GOES BRRR: 170 X: 10.626 -INDEX GOES BRRR: 109 X: 6.81738 -INDEX GOES BRRR: 191 X: 11.9668 -INDEX GOES BRRR: 322 X: 20.1396 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.3867 -INDEX GOES BRRR: 397 X: 24.8691 -INDEX GOES BRRR: 80 X: 5.01953 -INDEX GOES BRRR: 121 X: 7.60938 -INDEX GOES BRRR: 65 X: 4.10645 -INDEX GOES BRRR: 23 X: 1.45215 -INDEX GOES BRRR: 372 X: 23.2744 -INDEX GOES BRRR: 274 X: 17.1758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5371 -INDEX GOES BRRR: 436 X: 27.25 -INDEX GOES BRRR: 74 X: 4.68555 -INDEX GOES BRRR: 472 X: 29.5469 -INDEX GOES BRRR: 120 X: 7.53418 -INDEX GOES BRRR: 283 X: 17.6982 -INDEX GOES BRRR: 96 X: 6.0293 -INDEX GOES BRRR: 979 X: -2.79395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.748 -INDEX GOES BRRR: 167 X: 10.498 -INDEX GOES BRRR: 146 X: 9.17676 -INDEX GOES BRRR: 342 X: 21.3975 -INDEX GOES BRRR: 191 X: 11.9932 -INDEX GOES BRRR: 364 X: 22.7627 -INDEX GOES BRRR: 55 X: 3.46387 -INDEX GOES BRRR: 979 X: -2.79883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1553 -INDEX GOES BRRR: 194 X: 12.1465 -INDEX GOES BRRR: 831 X: -12.0156 -INDEX GOES BRRR: 255 X: 15.9521 -INDEX GOES BRRR: 214 X: 13.415 -INDEX GOES BRRR: 39 X: 2.45117 -INDEX GOES BRRR: 9 X: 0.589844 -INDEX GOES BRRR: 398 X: 24.9297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6758 -INDEX GOES BRRR: 1006 X: -1.0957 -INDEX GOES BRRR: 38 X: 2.4082 -INDEX GOES BRRR: 165 X: 10.3369 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 117 X: 7.35449 -INDEX GOES BRRR: 1 X: 0.0888672 -INDEX GOES BRRR: 381 X: 23.8496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.3926 -INDEX GOES BRRR: 260 X: 16.2695 -INDEX GOES BRRR: 228 X: 14.2822 -INDEX GOES BRRR: 43 X: 2.70508 -INDEX GOES BRRR: 228 X: 14.2754 -INDEX GOES BRRR: 252 X: 15.7617 -INDEX GOES BRRR: 954 X: -4.3584 -INDEX GOES BRRR: 151 X: 9.46387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 331 X: 20.6924 -INDEX GOES BRRR: 19 X: 1.19824 -INDEX GOES BRRR: 147 X: 9.20215 -INDEX GOES BRRR: 283 X: 17.707 -INDEX GOES BRRR: 53 X: 3.3291 -INDEX GOES BRRR: 295 X: 18.457 -INDEX GOES BRRR: 991 X: -2.05273 -INDEX GOES BRRR: 322 X: 20.168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.14941 -INDEX GOES BRRR: 39 X: 2.47656 -INDEX GOES BRRR: 116 X: 7.30762 -INDEX GOES BRRR: 224 X: 14.0176 -INDEX GOES BRRR: 222 X: 13.9082 -INDEX GOES BRRR: 94 X: 5.89551 -INDEX GOES BRRR: 278 X: 17.4053 -INDEX GOES BRRR: 293 X: 18.3564 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 452 X: 28.292 -INDEX GOES BRRR: 276 X: 17.3076 -INDEX GOES BRRR: 192 X: 12.0156 -INDEX GOES BRRR: 103 X: 6.47656 -INDEX GOES BRRR: 112 X: 7.0498 -INDEX GOES BRRR: 309 X: 19.3164 -INDEX GOES BRRR: 4 X: 0.27832 -INDEX GOES BRRR: 390 X: 24.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 883 X: -8.77832 -INDEX GOES BRRR: 210 X: 13.1455 -INDEX GOES BRRR: 27 X: 1.68848 -INDEX GOES BRRR: 12 X: 0.75293 -INDEX GOES BRRR: 452 X: 28.2764 -INDEX GOES BRRR: 262 X: 16.418 -INDEX GOES BRRR: 316 X: 19.7754 -INDEX GOES BRRR: 176 X: 11.0391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 414 X: 25.8818 -INDEX GOES BRRR: 87 X: 5.44336 -INDEX GOES BRRR: 1009 X: -0.879883 -INDEX GOES BRRR: 160 X: 10.0117 -INDEX GOES BRRR: 200 X: 12.5059 -INDEX GOES BRRR: 82 X: 5.14648 -INDEX GOES BRRR: 94 X: 5.87891 -INDEX GOES BRRR: 210 X: 13.1514 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 422 X: 26.4268 -INDEX GOES BRRR: 292 X: 18.3037 -INDEX GOES BRRR: 107 X: 6.70117 -INDEX GOES BRRR: 224 X: 14.0059 -INDEX GOES BRRR: 924 X: -6.23633 -INDEX GOES BRRR: 20 X: 1.26758 -INDEX GOES BRRR: 263 X: 16.4863 -INDEX GOES BRRR: 1016 X: -0.49707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.7207 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 903 X: -7.55566 -INDEX GOES BRRR: 239 X: 14.9785 -INDEX GOES BRRR: 77 X: 4.85547 -INDEX GOES BRRR: 118 X: 7.40527 -INDEX GOES BRRR: 144 X: 9.02734 -INDEX GOES BRRR: 389 X: 24.3633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 152 X: 9.54004 -INDEX GOES BRRR: 1004 X: -1.2002 -INDEX GOES BRRR: 240 X: 15.0488 -INDEX GOES BRRR: 323 X: 20.2334 -INDEX GOES BRRR: 204 X: 12.7646 -INDEX GOES BRRR: 213 X: 13.3203 -INDEX GOES BRRR: 891 X: -8.31152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 358 X: 22.4033 -INDEX GOES BRRR: 1007 X: -1.00684 -INDEX GOES BRRR: 177 X: 11.1211 -INDEX GOES BRRR: 146 X: 9.1709 -INDEX GOES BRRR: 289 X: 18.1191 -INDEX GOES BRRR: 209 X: 13.0771 -INDEX GOES BRRR: 148 X: 9.27051 -INDEX GOES BRRR: 274 X: 17.165 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4824 -INDEX GOES BRRR: 189 X: 11.832 -INDEX GOES BRRR: 402 X: 25.127 -INDEX GOES BRRR: 718 X: -19.0996 -INDEX GOES BRRR: 473 X: 29.5771 -INDEX GOES BRRR: 394 X: 24.6387 -INDEX GOES BRRR: 70 X: 4.3877 -INDEX GOES BRRR: 273 X: 17.1055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.876953 -INDEX GOES BRRR: 256 X: 16.002 -INDEX GOES BRRR: 202 X: 12.6846 -INDEX GOES BRRR: 211 X: 13.2188 -INDEX GOES BRRR: 193 X: 12.0771 -INDEX GOES BRRR: 30 X: 1.91602 -INDEX GOES BRRR: 146 X: 9.14062 -INDEX GOES BRRR: 294 X: 18.3789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.08594 -INDEX GOES BRRR: 993 X: -1.93652 -INDEX GOES BRRR: 403 X: 25.1943 -INDEX GOES BRRR: 99 X: 6.23633 -INDEX GOES BRRR: 183 X: 11.4512 -INDEX GOES BRRR: 79 X: 4.96094 -INDEX GOES BRRR: 29 X: 1.81445 -INDEX GOES BRRR: 178 X: 11.1484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.94238 -INDEX GOES BRRR: 992 X: -1.97949 -INDEX GOES BRRR: 210 X: 13.1396 -INDEX GOES BRRR: 205 X: 12.8281 -INDEX GOES BRRR: 82 X: 5.16309 -INDEX GOES BRRR: 331 X: 20.7275 -INDEX GOES BRRR: 234 X: 14.6494 -INDEX GOES BRRR: 278 X: 17.3818 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.62207 -INDEX GOES BRRR: 227 X: 14.2109 -INDEX GOES BRRR: 305 X: 19.0684 -INDEX GOES BRRR: 202 X: 12.6484 -INDEX GOES BRRR: 939 X: -5.27539 -INDEX GOES BRRR: 199 X: 12.4902 -INDEX GOES BRRR: 175 X: 10.9697 -INDEX GOES BRRR: 782 X: -15.084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.28125 -INDEX GOES BRRR: 94 X: 5.90527 -INDEX GOES BRRR: 173 X: 10.8213 -INDEX GOES BRRR: 94 X: 5.88672 -INDEX GOES BRRR: 85 X: 5.36426 -INDEX GOES BRRR: 155 X: 9.7002 -INDEX GOES BRRR: 275 X: 17.2334 -INDEX GOES BRRR: 91 X: 5.72168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 416 X: 26.0166 -INDEX GOES BRRR: 469 X: 29.3701 -INDEX GOES BRRR: 217 X: 13.5791 -INDEX GOES BRRR: 276 X: 17.2656 -INDEX GOES BRRR: 918 X: -6.60254 -INDEX GOES BRRR: 400 X: 25.0039 -INDEX GOES BRRR: 131 X: 8.23047 -INDEX GOES BRRR: 272 X: 17.0615 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 407 X: 25.4902 -INDEX GOES BRRR: 996 X: -1.69824 -INDEX GOES BRRR: 968 X: -3.46875 -INDEX GOES BRRR: 122 X: 7.66406 -INDEX GOES BRRR: 71 X: 4.48047 -INDEX GOES BRRR: 237 X: 14.8545 -INDEX GOES BRRR: 41 X: 2.5791 -INDEX GOES BRRR: 14 X: 0.908203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8594 -INDEX GOES BRRR: 71 X: 4.47461 -INDEX GOES BRRR: 171 X: 10.7168 -INDEX GOES BRRR: 326 X: 20.4307 -INDEX GOES BRRR: 1022 X: -0.0947266 -INDEX GOES BRRR: 233 X: 14.6084 -INDEX GOES BRRR: 74 X: 4.63672 -INDEX GOES BRRR: 229 X: 14.3408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5547 -INDEX GOES BRRR: 130 X: 8.12988 -INDEX GOES BRRR: 142 X: 8.87793 -INDEX GOES BRRR: 424 X: 26.5352 -INDEX GOES BRRR: 227 X: 14.1885 -INDEX GOES BRRR: 34 X: 2.13867 -INDEX GOES BRRR: 142 X: 8.91699 -INDEX GOES BRRR: 853 X: -10.6709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.3047 -INDEX GOES BRRR: 461 X: 28.8535 -INDEX GOES BRRR: 904 X: -7.4541 -INDEX GOES BRRR: 174 X: 10.9229 -INDEX GOES BRRR: 293 X: 18.3369 -INDEX GOES BRRR: 139 X: 8.7168 -INDEX GOES BRRR: 252 X: 15.7979 -INDEX GOES BRRR: 158 X: 9.89355 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5938 -INDEX GOES BRRR: 148 X: 9.25781 -INDEX GOES BRRR: 1023 X: -0.0136719 -INDEX GOES BRRR: 207 X: 12.9775 -INDEX GOES BRRR: 452 X: 28.2969 -INDEX GOES BRRR: 275 X: 17.1895 -INDEX GOES BRRR: 223 X: 13.9922 -INDEX GOES BRRR: 286 X: 17.8926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.5625 -INDEX GOES BRRR: 100 X: 6.26465 -INDEX GOES BRRR: 350 X: 21.8906 -INDEX GOES BRRR: 284 X: 17.7881 -INDEX GOES BRRR: 146 X: 9.1582 -INDEX GOES BRRR: 28 X: 1.75684 -INDEX GOES BRRR: 25 X: 1.60156 -INDEX GOES BRRR: 162 X: 10.1836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0371 -INDEX GOES BRRR: 303 X: 18.9736 -INDEX GOES BRRR: 178 X: 11.1592 -INDEX GOES BRRR: 180 X: 11.2588 -INDEX GOES BRRR: 1008 X: -0.941406 -INDEX GOES BRRR: 173 X: 10.8721 -INDEX GOES BRRR: 128 X: 8.02246 -INDEX GOES BRRR: 148 X: 9.27441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.3057 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 133 X: 8.36914 -INDEX GOES BRRR: 27 X: 1.72656 -INDEX GOES BRRR: 155 X: 9.69141 -INDEX GOES BRRR: 258 X: 16.1758 -INDEX GOES BRRR: 103 X: 6.45117 -INDEX GOES BRRR: 379 X: 23.7246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3662 -INDEX GOES BRRR: 143 X: 8.97754 -INDEX GOES BRRR: 97 X: 6.10254 -INDEX GOES BRRR: 264 X: 16.5322 -INDEX GOES BRRR: 213 X: 13.3447 -INDEX GOES BRRR: 84 X: 5.25586 -INDEX GOES BRRR: 923 X: -6.25488 -INDEX GOES BRRR: 150 X: 9.3916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.98047 -INDEX GOES BRRR: 31 X: 1.97949 -INDEX GOES BRRR: 19 X: 1.23438 -INDEX GOES BRRR: 103 X: 6.47852 -INDEX GOES BRRR: 989 X: -2.13965 -INDEX GOES BRRR: 72 X: 4.54492 -INDEX GOES BRRR: 310 X: 19.4336 -INDEX GOES BRRR: 203 X: 12.7002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 452 X: 28.2764 -INDEX GOES BRRR: 86 X: 5.40039 -INDEX GOES BRRR: 407 X: 25.4521 -INDEX GOES BRRR: 103 X: 6.47656 -INDEX GOES BRRR: 378 X: 23.6865 -INDEX GOES BRRR: 57 X: 3.57422 -INDEX GOES BRRR: 178 X: 11.1494 -INDEX GOES BRRR: 192 X: 12.0596 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 252 X: 15.8018 -INDEX GOES BRRR: 332 X: 20.7842 -INDEX GOES BRRR: 298 X: 18.6348 -INDEX GOES BRRR: 119 X: 7.44238 -INDEX GOES BRRR: 366 X: 22.9121 -INDEX GOES BRRR: 57 X: 3.59473 -INDEX GOES BRRR: 186 X: 11.6514 -INDEX GOES BRRR: 10 X: 0.642578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3379 -INDEX GOES BRRR: 258 X: 16.1445 -INDEX GOES BRRR: 206 X: 12.8828 -INDEX GOES BRRR: 245 X: 15.3379 -INDEX GOES BRRR: 94 X: 5.91699 -INDEX GOES BRRR: 947 X: -4.7998 -INDEX GOES BRRR: 272 X: 17.0205 -INDEX GOES BRRR: 212 X: 13.2598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.316406 -INDEX GOES BRRR: 189 X: 11.873 -INDEX GOES BRRR: 298 X: 18.6855 -INDEX GOES BRRR: 62 X: 3.9082 -INDEX GOES BRRR: 400 X: 25.0098 -INDEX GOES BRRR: 802 X: -13.8291 -INDEX GOES BRRR: 1018 X: -0.348633 -INDEX GOES BRRR: 156 X: 9.78516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 266 X: 16.6309 -INDEX GOES BRRR: 184 X: 11.5459 -INDEX GOES BRRR: 265 X: 16.5859 -INDEX GOES BRRR: 166 X: 10.3867 -INDEX GOES BRRR: 11 X: 0.695312 -INDEX GOES BRRR: 954 X: -4.36426 -INDEX GOES BRRR: 211 X: 13.2354 -INDEX GOES BRRR: 67 X: 4.19629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 994 X: -1.83887 -INDEX GOES BRRR: 864 X: -9.97168 -INDEX GOES BRRR: 1007 X: -1.04395 -INDEX GOES BRRR: 1008 X: -0.987305 -INDEX GOES BRRR: 58 X: 3.65039 -INDEX GOES BRRR: 900 X: -7.71973 -INDEX GOES BRRR: 68 X: 4.30762 -INDEX GOES BRRR: 126 X: 7.88867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 191 X: 11.9395 -INDEX GOES BRRR: 108 X: 6.77441 -INDEX GOES BRRR: 341 X: 21.3516 -INDEX GOES BRRR: 206 X: 12.9092 -INDEX GOES BRRR: 69 X: 4.31934 -INDEX GOES BRRR: 115 X: 7.21582 -INDEX GOES BRRR: 170 X: 10.6504 -INDEX GOES BRRR: 59 X: 3.74707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 383 X: 23.9746 -INDEX GOES BRRR: 175 X: 10.9756 -INDEX GOES BRRR: 305 X: 19.1191 -INDEX GOES BRRR: 182 X: 11.4062 -INDEX GOES BRRR: 359 X: 22.46 -INDEX GOES BRRR: 24 X: 1.56152 -INDEX GOES BRRR: 863 X: -10.0498 -INDEX GOES BRRR: 973 X: -3.16992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.14648 -INDEX GOES BRRR: 19 X: 1.19434 -INDEX GOES BRRR: 43 X: 2.70215 -INDEX GOES BRRR: 276 X: 17.2529 -INDEX GOES BRRR: 12 X: 0.750977 -INDEX GOES BRRR: 887 X: -8.53711 -INDEX GOES BRRR: 330 X: 20.6572 -INDEX GOES BRRR: 54 X: 3.38086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4336 -INDEX GOES BRRR: 67 X: 4.22461 -INDEX GOES BRRR: 395 X: 24.7305 -INDEX GOES BRRR: 86 X: 5.42578 -INDEX GOES BRRR: 288 X: 18.0391 -INDEX GOES BRRR: 393 X: 24.5635 -INDEX GOES BRRR: 11 X: 0.734375 -INDEX GOES BRRR: 374 X: 23.415 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.04395 -INDEX GOES BRRR: 262 X: 16.4297 -INDEX GOES BRRR: 41 X: 2.57227 -INDEX GOES BRRR: 93 X: 5.84277 -INDEX GOES BRRR: 315 X: 19.7012 -INDEX GOES BRRR: 346 X: 21.6338 -INDEX GOES BRRR: 57 X: 3.5918 -INDEX GOES BRRR: 366 X: 22.9316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6865 -INDEX GOES BRRR: 135 X: 8.46094 -INDEX GOES BRRR: 177 X: 11.0742 -INDEX GOES BRRR: 258 X: 16.1514 -INDEX GOES BRRR: 251 X: 15.7041 -INDEX GOES BRRR: 259 X: 16.2227 -INDEX GOES BRRR: 198 X: 12.4199 -INDEX GOES BRRR: 357 X: 22.3438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3545 -INDEX GOES BRRR: 73 X: 4.56738 -INDEX GOES BRRR: 105 X: 6.62207 -INDEX GOES BRRR: 995 X: -1.7666 -INDEX GOES BRRR: 476 X: 29.7842 -INDEX GOES BRRR: 120 X: 7.56055 -INDEX GOES BRRR: 354 X: 22.1357 -INDEX GOES BRRR: 236 X: 14.7744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.36816 -INDEX GOES BRRR: 101 X: 6.3252 -INDEX GOES BRRR: 5 X: 0.367188 -INDEX GOES BRRR: 235 X: 14.6963 -INDEX GOES BRRR: 320 X: 20 -INDEX GOES BRRR: 397 X: 24.8535 -INDEX GOES BRRR: 173 X: 10.8672 -INDEX GOES BRRR: 968 X: -3.44238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.73926 -INDEX GOES BRRR: 85 X: 5.3291 -INDEX GOES BRRR: 293 X: 18.374 -INDEX GOES BRRR: 16 X: 1.00488 -INDEX GOES BRRR: 139 X: 8.73633 -INDEX GOES BRRR: 107 X: 6.70215 -INDEX GOES BRRR: 141 X: 8.81836 -INDEX GOES BRRR: 207 X: 12.9736 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1357 -INDEX GOES BRRR: 239 X: 14.9844 -INDEX GOES BRRR: 1001 X: -1.38086 -INDEX GOES BRRR: 6 X: 0.401367 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 330 X: 20.6338 -INDEX GOES BRRR: 37 X: 2.33594 -INDEX GOES BRRR: 332 X: 20.7676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.77734 -INDEX GOES BRRR: 150 X: 9.38672 -INDEX GOES BRRR: 307 X: 19.2178 -INDEX GOES BRRR: 133 X: 8.36133 -INDEX GOES BRRR: 3 X: 0.216797 -INDEX GOES BRRR: 935 X: -5.51953 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 300 X: 18.8066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 446 X: 27.8809 -INDEX GOES BRRR: 405 X: 25.3271 -INDEX GOES BRRR: 107 X: 6.71777 -INDEX GOES BRRR: 255 X: 15.9619 -INDEX GOES BRRR: 919 X: -6.52148 -INDEX GOES BRRR: 243 X: 15.2305 -INDEX GOES BRRR: 248 X: 15.542 -INDEX GOES BRRR: 36 X: 2.27344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 15 X: 0.958008 -INDEX GOES BRRR: 384 X: 24.0215 -INDEX GOES BRRR: 219 X: 13.7295 -INDEX GOES BRRR: 39 X: 2.46387 -INDEX GOES BRRR: 1013 X: -0.65918 -INDEX GOES BRRR: 111 X: 6.97461 -INDEX GOES BRRR: 129 X: 8.08105 -INDEX GOES BRRR: 114 X: 7.15039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 268 X: 16.7637 -INDEX GOES BRRR: 208 X: 13.0508 -INDEX GOES BRRR: 946 X: -4.82617 -INDEX GOES BRRR: 39 X: 2.48145 -INDEX GOES BRRR: 953 X: -4.41016 -INDEX GOES BRRR: 440 X: 27.5586 -INDEX GOES BRRR: 58 X: 3.66211 -INDEX GOES BRRR: 369 X: 23.0996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.45508 -INDEX GOES BRRR: 329 X: 20.5869 -INDEX GOES BRRR: 75 X: 4.71094 -INDEX GOES BRRR: 206 X: 12.9209 -INDEX GOES BRRR: 92 X: 5.80078 -INDEX GOES BRRR: 67 X: 4.19531 -INDEX GOES BRRR: 451 X: 28.209 -INDEX GOES BRRR: 434 X: 27.1533 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.91602 -INDEX GOES BRRR: 153 X: 9.56543 -INDEX GOES BRRR: 356 X: 22.2646 -INDEX GOES BRRR: 974 X: -3.1084 -INDEX GOES BRRR: 61 X: 3.82129 -INDEX GOES BRRR: 864 X: -9.95117 -INDEX GOES BRRR: 152 X: 9.55273 -INDEX GOES BRRR: 395 X: 24.7266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.321289 -INDEX GOES BRRR: 206 X: 12.9121 -INDEX GOES BRRR: 84 X: 5.27832 -INDEX GOES BRRR: 363 X: 22.6875 -INDEX GOES BRRR: 262 X: 16.3975 -INDEX GOES BRRR: 70 X: 4.40234 -INDEX GOES BRRR: 60 X: 3.75586 -INDEX GOES BRRR: 199 X: 12.4424 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 965 X: -3.67188 -INDEX GOES BRRR: 181 X: 11.3447 -INDEX GOES BRRR: 220 X: 13.7715 -INDEX GOES BRRR: 477 X: 29.8555 -INDEX GOES BRRR: 111 X: 6.94824 -INDEX GOES BRRR: 100 X: 6.29004 -INDEX GOES BRRR: 1020 X: -0.216797 -INDEX GOES BRRR: 898 X: -7.8418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.25977 -INDEX GOES BRRR: 981 X: -2.67285 -INDEX GOES BRRR: 930 X: -5.81445 -INDEX GOES BRRR: 64 X: 4.04492 -INDEX GOES BRRR: 116 X: 7.27051 -INDEX GOES BRRR: 262 X: 16.4199 -INDEX GOES BRRR: 803 X: -13.7715 -INDEX GOES BRRR: 976 X: -2.94531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 876 X: -9.24316 -INDEX GOES BRRR: 969 X: -3.39746 -INDEX GOES BRRR: 124 X: 7.77441 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 92 X: 5.80469 -INDEX GOES BRRR: 283 X: 17.7168 -INDEX GOES BRRR: 76 X: 4.7998 -INDEX GOES BRRR: 266 X: 16.6729 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7822 -INDEX GOES BRRR: 176 X: 11.0508 -INDEX GOES BRRR: 114 X: 7.16211 -INDEX GOES BRRR: 123 X: 7.70898 -INDEX GOES BRRR: 106 X: 6.62695 -INDEX GOES BRRR: 14 X: 0.894531 -INDEX GOES BRRR: 870 X: -9.57129 -INDEX GOES BRRR: 118 X: 7.38867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.99414 -INDEX GOES BRRR: 257 X: 16.0967 -INDEX GOES BRRR: 97 X: 6.12402 -INDEX GOES BRRR: 215 X: 13.4775 -INDEX GOES BRRR: 100 X: 6.29199 -INDEX GOES BRRR: 186 X: 11.6611 -INDEX GOES BRRR: 67 X: 4.19629 -INDEX GOES BRRR: 489 X: 30.5693 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.35547 -INDEX GOES BRRR: 1001 X: -1.38379 -INDEX GOES BRRR: 979 X: -2.76074 -INDEX GOES BRRR: 207 X: 12.9434 -INDEX GOES BRRR: 947 X: -4.77832 -INDEX GOES BRRR: 67 X: 4.21289 -INDEX GOES BRRR: 465 X: 29.1113 -INDEX GOES BRRR: 87 X: 5.49512 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.07129 -INDEX GOES BRRR: 236 X: 14.8047 -INDEX GOES BRRR: 184 X: 11.5156 -INDEX GOES BRRR: 122 X: 7.625 -INDEX GOES BRRR: 30 X: 1.92676 -INDEX GOES BRRR: 180 X: 11.2822 -INDEX GOES BRRR: 328 X: 20.5537 -INDEX GOES BRRR: 80 X: 5.00488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 933 X: -5.68066 -INDEX GOES BRRR: 298 X: 18.6309 -INDEX GOES BRRR: 155 X: 9.74707 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 185 X: 11.5752 -INDEX GOES BRRR: 914 X: -6.83887 -INDEX GOES BRRR: 313 X: 19.6016 -INDEX GOES BRRR: 954 X: -4.35449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2412 -INDEX GOES BRRR: 393 X: 24.5996 -INDEX GOES BRRR: 363 X: 22.7354 -INDEX GOES BRRR: 185 X: 11.5713 -INDEX GOES BRRR: 284 X: 17.7842 -INDEX GOES BRRR: 850 X: -10.8174 -INDEX GOES BRRR: 77 X: 4.83105 -INDEX GOES BRRR: 383 X: 23.9932 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8389 -INDEX GOES BRRR: 271 X: 16.9443 -INDEX GOES BRRR: 343 X: 21.4541 -INDEX GOES BRRR: 1 X: 0.107422 -INDEX GOES BRRR: 911 X: -7.03711 -INDEX GOES BRRR: 138 X: 8.63477 -INDEX GOES BRRR: 171 X: 10.7412 -INDEX GOES BRRR: 182 X: 11.3984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.627 -INDEX GOES BRRR: 1010 X: -0.875 -INDEX GOES BRRR: 180 X: 11.2988 -INDEX GOES BRRR: 25 X: 1.56445 -INDEX GOES BRRR: 130 X: 8.14844 -INDEX GOES BRRR: 23 X: 1.4668 -INDEX GOES BRRR: 270 X: 16.9043 -INDEX GOES BRRR: 989 X: -2.17188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.0996 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 70 X: 4.40332 -INDEX GOES BRRR: 943 X: -5.03516 -INDEX GOES BRRR: 21 X: 1.3252 -INDEX GOES BRRR: 177 X: 11.0957 -INDEX GOES BRRR: 85 X: 5.33887 -INDEX GOES BRRR: 417 X: 26.1074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 77 X: 4.83691 -INDEX GOES BRRR: 313 X: 19.6006 -INDEX GOES BRRR: 262 X: 16.4209 -INDEX GOES BRRR: 83 X: 5.19141 -INDEX GOES BRRR: 350 X: 21.9004 -INDEX GOES BRRR: 51 X: 3.24805 -INDEX GOES BRRR: 78 X: 4.93457 -INDEX GOES BRRR: 358 X: 22.3867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.39062 -INDEX GOES BRRR: 114 X: 7.15039 -INDEX GOES BRRR: 49 X: 3.11719 -INDEX GOES BRRR: 59 X: 3.74805 -INDEX GOES BRRR: 371 X: 23.21 -INDEX GOES BRRR: 352 X: 22.0312 -INDEX GOES BRRR: 126 X: 7.92969 -INDEX GOES BRRR: 183 X: 11.4922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0527 -INDEX GOES BRRR: 137 X: 8.56543 -INDEX GOES BRRR: 153 X: 9.62109 -INDEX GOES BRRR: 332 X: 20.7852 -INDEX GOES BRRR: 210 X: 13.1602 -INDEX GOES BRRR: 121 X: 7.5957 -INDEX GOES BRRR: 56 X: 3.5293 -INDEX GOES BRRR: 357 X: 22.3311 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.6895 -INDEX GOES BRRR: 89 X: 5.60938 -INDEX GOES BRRR: 172 X: 10.7939 -INDEX GOES BRRR: 19 X: 1.2041 -INDEX GOES BRRR: 192 X: 12.0098 -INDEX GOES BRRR: 261 X: 16.3584 -INDEX GOES BRRR: 78 X: 4.90723 -INDEX GOES BRRR: 164 X: 10.3105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.38086 -INDEX GOES BRRR: 360 X: 22.541 -INDEX GOES BRRR: 217 X: 13.5947 -INDEX GOES BRRR: 957 X: -4.16016 -INDEX GOES BRRR: 140 X: 8.77832 -INDEX GOES BRRR: 116 X: 7.26465 -INDEX GOES BRRR: 846 X: -11.0771 -INDEX GOES BRRR: 100 X: 6.30664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6475 -INDEX GOES BRRR: 379 X: 23.7334 -INDEX GOES BRRR: 937 X: -5.40039 -INDEX GOES BRRR: 293 X: 18.3203 -INDEX GOES BRRR: 180 X: 11.3105 -INDEX GOES BRRR: 354 X: 22.1641 -INDEX GOES BRRR: 197 X: 12.3135 -INDEX GOES BRRR: 192 X: 12.0176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 34 X: 2.13477 -INDEX GOES BRRR: 324 X: 20.2666 -INDEX GOES BRRR: 258 X: 16.168 -INDEX GOES BRRR: 344 X: 21.5498 -INDEX GOES BRRR: 448 X: 28.0615 -INDEX GOES BRRR: 262 X: 16.3916 -INDEX GOES BRRR: 990 X: -2.09375 -INDEX GOES BRRR: 217 X: 13.5723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 782 X: -15.1162 -INDEX GOES BRRR: 354 X: 22.1396 -INDEX GOES BRRR: 197 X: 12.3174 -INDEX GOES BRRR: 67 X: 4.19336 -INDEX GOES BRRR: 65 X: 4.0791 -INDEX GOES BRRR: 392 X: 24.5039 -INDEX GOES BRRR: 105 X: 6.5918 -INDEX GOES BRRR: 45 X: 2.8457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.1934 -INDEX GOES BRRR: 58 X: 3.6416 -INDEX GOES BRRR: 69 X: 4.32812 -INDEX GOES BRRR: 315 X: 19.709 -INDEX GOES BRRR: 45 X: 2.85352 -INDEX GOES BRRR: 88 X: 5.52051 -INDEX GOES BRRR: 308 X: 19.2715 -INDEX GOES BRRR: 121 X: 7.6123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.33496 -INDEX GOES BRRR: 442 X: 27.6299 -INDEX GOES BRRR: 228 X: 14.2617 -INDEX GOES BRRR: 127 X: 7.96387 -INDEX GOES BRRR: 94 X: 5.92285 -INDEX GOES BRRR: 191 X: 11.9551 -INDEX GOES BRRR: 224 X: 14.0254 -INDEX GOES BRRR: 175 X: 10.9443 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 733 X: -18.1562 -INDEX GOES BRRR: 209 X: 13.1152 -INDEX GOES BRRR: 1 X: 0.105469 -INDEX GOES BRRR: 29 X: 1.83203 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 255 X: 15.9658 -INDEX GOES BRRR: 52 X: 3.25977 -INDEX GOES BRRR: 302 X: 18.8818 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 276 X: 17.3018 -INDEX GOES BRRR: 141 X: 8.81836 -INDEX GOES BRRR: 376 X: 23.5449 -INDEX GOES BRRR: 199 X: 12.4873 -INDEX GOES BRRR: 70 X: 4.42383 -INDEX GOES BRRR: 88 X: 5.51758 -INDEX GOES BRRR: 144 X: 9.03906 -INDEX GOES BRRR: 949 X: -4.67578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2783 -INDEX GOES BRRR: 304 X: 19.0596 -INDEX GOES BRRR: 121 X: 7.58691 -INDEX GOES BRRR: 99 X: 6.1875 -INDEX GOES BRRR: 1011 X: -0.751953 -INDEX GOES BRRR: 199 X: 12.4609 -INDEX GOES BRRR: 196 X: 12.2852 -INDEX GOES BRRR: 823 X: -12.5615 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 682 X: -21.3438 -INDEX GOES BRRR: 121 X: 7.58496 -INDEX GOES BRRR: 116 X: 7.28223 -INDEX GOES BRRR: 91 X: 5.6875 -INDEX GOES BRRR: 217 X: 13.5869 -INDEX GOES BRRR: 235 X: 14.7393 -INDEX GOES BRRR: 968 X: -3.4541 -INDEX GOES BRRR: 101 X: 6.34766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9854 -INDEX GOES BRRR: 321 X: 20.0938 -INDEX GOES BRRR: 180 X: 11.293 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 113 X: 7.11816 -INDEX GOES BRRR: 335 X: 20.9814 -INDEX GOES BRRR: 239 X: 14.9463 -INDEX GOES BRRR: 163 X: 10.1875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.0996 -INDEX GOES BRRR: 26 X: 1.67676 -INDEX GOES BRRR: 124 X: 7.7998 -INDEX GOES BRRR: 368 X: 23.0127 -INDEX GOES BRRR: 373 X: 23.3555 -INDEX GOES BRRR: 304 X: 19.002 -INDEX GOES BRRR: 418 X: 26.1328 -INDEX GOES BRRR: 230 X: 14.3887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.20117 -INDEX GOES BRRR: 233 X: 14.5752 -INDEX GOES BRRR: 403 X: 25.1914 -INDEX GOES BRRR: 268 X: 16.7754 -INDEX GOES BRRR: 54 X: 3.39355 -INDEX GOES BRRR: 30 X: 1.91406 -INDEX GOES BRRR: 177 X: 11.0742 -INDEX GOES BRRR: 326 X: 20.3984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 429 X: 26.8652 -INDEX GOES BRRR: 289 X: 18.0898 -INDEX GOES BRRR: 252 X: 15.7852 -INDEX GOES BRRR: 70 X: 4.37793 -INDEX GOES BRRR: 145 X: 9.0957 -INDEX GOES BRRR: 212 X: 13.3047 -INDEX GOES BRRR: 306 X: 19.1455 -INDEX GOES BRRR: 179 X: 11.2031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.73535 -INDEX GOES BRRR: 294 X: 18.377 -INDEX GOES BRRR: 162 X: 10.167 -INDEX GOES BRRR: 146 X: 9.14648 -INDEX GOES BRRR: 75 X: 4.72754 -INDEX GOES BRRR: 481 X: 30.082 -INDEX GOES BRRR: 66 X: 4.12598 -INDEX GOES BRRR: 72 X: 4.50684 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4512 -INDEX GOES BRRR: 882 X: -8.84961 -INDEX GOES BRRR: 326 X: 20.3887 -INDEX GOES BRRR: 365 X: 22.8213 -INDEX GOES BRRR: 40 X: 2.50391 -INDEX GOES BRRR: 109 X: 6.85449 -INDEX GOES BRRR: 183 X: 11.4824 -INDEX GOES BRRR: 146 X: 9.13477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 98 X: 6.14844 -INDEX GOES BRRR: 208 X: 13.0449 -INDEX GOES BRRR: 215 X: 13.4746 -INDEX GOES BRRR: 362 X: 22.6416 -INDEX GOES BRRR: 966 X: -3.56934 -INDEX GOES BRRR: 91 X: 5.74707 -INDEX GOES BRRR: 212 X: 13.2725 -INDEX GOES BRRR: 56 X: 3.52441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 941 X: -5.17871 -INDEX GOES BRRR: 182 X: 11.4346 -INDEX GOES BRRR: 231 X: 14.4395 -INDEX GOES BRRR: 102 X: 6.41113 -INDEX GOES BRRR: 234 X: 14.6318 -INDEX GOES BRRR: 65 X: 4.09473 -INDEX GOES BRRR: 55 X: 3.45703 -INDEX GOES BRRR: 156 X: 9.76758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6377 -INDEX GOES BRRR: 90 X: 5.66211 -INDEX GOES BRRR: 903 X: -7.53418 -INDEX GOES BRRR: 934 X: -5.59766 -INDEX GOES BRRR: 81 X: 5.10742 -INDEX GOES BRRR: 239 X: 14.9873 -INDEX GOES BRRR: 114 X: 7.18457 -INDEX GOES BRRR: 392 X: 24.5049 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 370 X: 23.1377 -INDEX GOES BRRR: 887 X: -8.55957 -INDEX GOES BRRR: 5 X: 0.327148 -INDEX GOES BRRR: 235 X: 14.7119 -INDEX GOES BRRR: 149 X: 9.33203 -INDEX GOES BRRR: 227 X: 14.209 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 90 X: 5.66406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.51562 -INDEX GOES BRRR: 812 X: -13.2227 -INDEX GOES BRRR: 124 X: 7.7793 -INDEX GOES BRRR: 164 X: 10.2715 -INDEX GOES BRRR: 29 X: 1.86914 -INDEX GOES BRRR: 997 X: -1.67871 -INDEX GOES BRRR: 1013 X: -0.651367 -INDEX GOES BRRR: 251 X: 15.7383 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.589844 -INDEX GOES BRRR: 11 X: 0.725586 -INDEX GOES BRRR: 228 X: 14.293 -INDEX GOES BRRR: 187 X: 11.7285 -INDEX GOES BRRR: 198 X: 12.4307 -INDEX GOES BRRR: 315 X: 19.7041 -INDEX GOES BRRR: 271 X: 16.9463 -INDEX GOES BRRR: 105 X: 6.58105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.9707 -INDEX GOES BRRR: 456 X: 28.5381 -INDEX GOES BRRR: 138 X: 8.63477 -INDEX GOES BRRR: 53 X: 3.35742 -INDEX GOES BRRR: 278 X: 17.3916 -INDEX GOES BRRR: 961 X: -3.93555 -INDEX GOES BRRR: 175 X: 10.9893 -INDEX GOES BRRR: 237 X: 14.8613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.208 -INDEX GOES BRRR: 2 X: 0.18457 -INDEX GOES BRRR: 285 X: 17.835 -INDEX GOES BRRR: 288 X: 18.0244 -INDEX GOES BRRR: 248 X: 15.5293 -INDEX GOES BRRR: 904 X: -7.48145 -INDEX GOES BRRR: 788 X: -14.6904 -INDEX GOES BRRR: 278 X: 17.417 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.33691 -INDEX GOES BRRR: 92 X: 5.76855 -INDEX GOES BRRR: 204 X: 12.751 -INDEX GOES BRRR: 27 X: 1.74219 -INDEX GOES BRRR: 1017 X: -0.398438 -INDEX GOES BRRR: 105 X: 6.62109 -INDEX GOES BRRR: 184 X: 11.5576 -INDEX GOES BRRR: 261 X: 16.374 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.7148 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 342 X: 21.3799 -INDEX GOES BRRR: 954 X: -4.32324 -INDEX GOES BRRR: 946 X: -4.8252 -INDEX GOES BRRR: 31 X: 1.99023 -INDEX GOES BRRR: 960 X: -3.94531 -INDEX GOES BRRR: 238 X: 14.9082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 924 X: -6.24219 -INDEX GOES BRRR: 935 X: -5.52344 -INDEX GOES BRRR: 127 X: 7.94141 -INDEX GOES BRRR: 284 X: 17.7764 -INDEX GOES BRRR: 73 X: 4.57715 -INDEX GOES BRRR: 936 X: -5.45508 -INDEX GOES BRRR: 218 X: 13.6328 -INDEX GOES BRRR: 69 X: 4.34473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.44824 -INDEX GOES BRRR: 147 X: 9.19043 -INDEX GOES BRRR: 97 X: 6.11035 -INDEX GOES BRRR: 68 X: 4.2627 -INDEX GOES BRRR: 127 X: 7.98828 -INDEX GOES BRRR: 256 X: 16.0508 -INDEX GOES BRRR: 890 X: -8.34961 -INDEX GOES BRRR: 207 X: 12.9434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3242 -INDEX GOES BRRR: 968 X: -3.49707 -INDEX GOES BRRR: 55 X: 3.46973 -INDEX GOES BRRR: 295 X: 18.4609 -INDEX GOES BRRR: 960 X: -3.94922 -INDEX GOES BRRR: 322 X: 20.1592 -INDEX GOES BRRR: 131 X: 8.24316 -INDEX GOES BRRR: 75 X: 4.73926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1807 -INDEX GOES BRRR: 217 X: 13.6035 -INDEX GOES BRRR: 183 X: 11.4795 -INDEX GOES BRRR: 162 X: 10.1504 -INDEX GOES BRRR: 355 X: 22.2295 -INDEX GOES BRRR: 93 X: 5.84961 -INDEX GOES BRRR: 394 X: 24.6641 -INDEX GOES BRRR: 996 X: -1.70312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 337 X: 21.1016 -INDEX GOES BRRR: 280 X: 17.5508 -INDEX GOES BRRR: 945 X: -4.91016 -INDEX GOES BRRR: 972 X: -3.21875 -INDEX GOES BRRR: 118 X: 7.42578 -INDEX GOES BRRR: 156 X: 9.75684 -INDEX GOES BRRR: 407 X: 25.458 -INDEX GOES BRRR: 46 X: 2.93457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.5703 -INDEX GOES BRRR: 278 X: 17.4023 -INDEX GOES BRRR: 361 X: 22.5703 -INDEX GOES BRRR: 1001 X: -1.37988 -INDEX GOES BRRR: 259 X: 16.2148 -INDEX GOES BRRR: 132 X: 8.26465 -INDEX GOES BRRR: 454 X: 28.4141 -INDEX GOES BRRR: 45 X: 2.86426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.3125 -INDEX GOES BRRR: 254 X: 15.9004 -INDEX GOES BRRR: 951 X: -4.53809 -INDEX GOES BRRR: 264 X: 16.5039 -INDEX GOES BRRR: 145 X: 9.1123 -INDEX GOES BRRR: 332 X: 20.7656 -INDEX GOES BRRR: 42 X: 2.66113 -INDEX GOES BRRR: 187 X: 11.6982 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 971 X: -3.31055 -INDEX GOES BRRR: 291 X: 18.2188 -INDEX GOES BRRR: 1021 X: -0.130859 -INDEX GOES BRRR: 203 X: 12.7432 -INDEX GOES BRRR: 178 X: 11.1377 -INDEX GOES BRRR: 928 X: -5.93945 -INDEX GOES BRRR: 249 X: 15.5879 -INDEX GOES BRRR: 947 X: -4.78613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 30 X: 1.91797 -INDEX GOES BRRR: 241 X: 15.0625 -INDEX GOES BRRR: 192 X: 12.0215 -INDEX GOES BRRR: 67 X: 4.20703 -INDEX GOES BRRR: 91 X: 5.71387 -INDEX GOES BRRR: 54 X: 3.43555 -INDEX GOES BRRR: 53 X: 3.31934 -INDEX GOES BRRR: 315 X: 19.708 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.0771484 -INDEX GOES BRRR: 87 X: 5.44238 -INDEX GOES BRRR: 124 X: 7.7998 -INDEX GOES BRRR: 727 X: -18.5625 -INDEX GOES BRRR: 338 X: 21.127 -INDEX GOES BRRR: 345 X: 21.5771 -INDEX GOES BRRR: 189 X: 11.8652 -INDEX GOES BRRR: 295 X: 18.4395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 323 X: 20.2197 -INDEX GOES BRRR: 142 X: 8.91504 -INDEX GOES BRRR: 326 X: 20.3926 -INDEX GOES BRRR: 1011 X: -0.807617 -INDEX GOES BRRR: 376 X: 23.5205 -INDEX GOES BRRR: 68 X: 4.28125 -INDEX GOES BRRR: 118 X: 7.43457 -INDEX GOES BRRR: 190 X: 11.9072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.6523 -INDEX GOES BRRR: 187 X: 11.7285 -INDEX GOES BRRR: 837 X: -11.6553 -INDEX GOES BRRR: 57 X: 3.58789 -INDEX GOES BRRR: 327 X: 20.4971 -INDEX GOES BRRR: 950 X: -4.60254 -INDEX GOES BRRR: 400 X: 25.0469 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.73242 -INDEX GOES BRRR: 430 X: 26.9072 -INDEX GOES BRRR: 177 X: 11.1006 -INDEX GOES BRRR: 206 X: 12.8779 -INDEX GOES BRRR: 1011 X: -0.793945 -INDEX GOES BRRR: 214 X: 13.3975 -INDEX GOES BRRR: 171 X: 10.6992 -INDEX GOES BRRR: 992 X: -1.98535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 841 X: -11.3965 -INDEX GOES BRRR: 68 X: 4.30176 -INDEX GOES BRRR: 1023 X: -0.0507812 -INDEX GOES BRRR: 962 X: -3.87012 -INDEX GOES BRRR: 189 X: 11.8164 -INDEX GOES BRRR: 226 X: 14.1553 -INDEX GOES BRRR: 411 X: 25.7334 -INDEX GOES BRRR: 958 X: -4.10254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.47363 -INDEX GOES BRRR: 794 X: -14.3584 -INDEX GOES BRRR: 310 X: 19.3799 -INDEX GOES BRRR: 463 X: 28.9531 -INDEX GOES BRRR: 222 X: 13.8818 -INDEX GOES BRRR: 291 X: 18.2344 -INDEX GOES BRRR: 335 X: 20.9883 -INDEX GOES BRRR: 65 X: 4.1123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1016 X: -0.480469 -INDEX GOES BRRR: 793 X: -14.4307 -INDEX GOES BRRR: 87 X: 5.47266 -INDEX GOES BRRR: 224 X: 14.0537 -INDEX GOES BRRR: 862 X: -10.0645 -INDEX GOES BRRR: 1018 X: -0.363281 -INDEX GOES BRRR: 122 X: 7.62891 -INDEX GOES BRRR: 24 X: 1.52344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.152344 -INDEX GOES BRRR: 151 X: 9.4834 -INDEX GOES BRRR: 276 X: 17.2969 -INDEX GOES BRRR: 292 X: 18.2646 -INDEX GOES BRRR: 237 X: 14.833 -INDEX GOES BRRR: 149 X: 9.36035 -INDEX GOES BRRR: 333 X: 20.8213 -INDEX GOES BRRR: 46 X: 2.89746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 141 X: 8.85645 -INDEX GOES BRRR: 120 X: 7.52637 -INDEX GOES BRRR: 257 X: 16.1084 -INDEX GOES BRRR: 158 X: 9.91699 -INDEX GOES BRRR: 129 X: 8.10742 -INDEX GOES BRRR: 360 X: 22.5596 -INDEX GOES BRRR: 449 X: 28.1045 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.56055 -INDEX GOES BRRR: 188 X: 11.7578 -INDEX GOES BRRR: 213 X: 13.3135 -INDEX GOES BRRR: 181 X: 11.3145 -INDEX GOES BRRR: 84 X: 5.29395 -INDEX GOES BRRR: 242 X: 15.1846 -INDEX GOES BRRR: 78 X: 4.88379 -INDEX GOES BRRR: 20 X: 1.31152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 84 X: 5.28418 -INDEX GOES BRRR: 427 X: 26.6992 -INDEX GOES BRRR: 331 X: 20.7305 -INDEX GOES BRRR: 948 X: -4.73242 -INDEX GOES BRRR: 108 X: 6.78125 -INDEX GOES BRRR: 342 X: 21.4326 -INDEX GOES BRRR: 194 X: 12.1436 -INDEX GOES BRRR: 913 X: -6.87891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 353 X: 22.124 -INDEX GOES BRRR: 899 X: -7.79004 -INDEX GOES BRRR: 895 X: -8.04883 -INDEX GOES BRRR: 332 X: 20.7988 -INDEX GOES BRRR: 96 X: 6.0293 -INDEX GOES BRRR: 103 X: 6.44531 -INDEX GOES BRRR: 334 X: 20.8848 -INDEX GOES BRRR: 57 X: 3.58008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 877 X: -9.18555 -INDEX GOES BRRR: 190 X: 11.9033 -INDEX GOES BRRR: 21 X: 1.35645 -INDEX GOES BRRR: 220 X: 13.752 -INDEX GOES BRRR: 1020 X: -0.220703 -INDEX GOES BRRR: 209 X: 13.1064 -INDEX GOES BRRR: 345 X: 21.6201 -INDEX GOES BRRR: 165 X: 10.3145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.2959 -INDEX GOES BRRR: 357 X: 22.3467 -INDEX GOES BRRR: 290 X: 18.165 -INDEX GOES BRRR: 72 X: 4.52734 -INDEX GOES BRRR: 426 X: 26.6533 -INDEX GOES BRRR: 332 X: 20.7598 -INDEX GOES BRRR: 966 X: -3.6123 -INDEX GOES BRRR: 357 X: 22.3652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.62207 -INDEX GOES BRRR: 20 X: 1.2832 -INDEX GOES BRRR: 161 X: 10.0869 -INDEX GOES BRRR: 276 X: 17.2666 -INDEX GOES BRRR: 869 X: -9.68457 -INDEX GOES BRRR: 68 X: 4.31055 -INDEX GOES BRRR: 18 X: 1.12988 -INDEX GOES BRRR: 1007 X: -1.04688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.873 -INDEX GOES BRRR: 128 X: 8.03906 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 141 X: 8.8125 -INDEX GOES BRRR: 222 X: 13.9043 -INDEX GOES BRRR: 771 X: -15.7676 -INDEX GOES BRRR: 358 X: 22.3877 -INDEX GOES BRRR: 226 X: 14.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 967 X: -3.54883 -INDEX GOES BRRR: 108 X: 6.77539 -INDEX GOES BRRR: 406 X: 25.3867 -INDEX GOES BRRR: 153 X: 9.60156 -INDEX GOES BRRR: 39 X: 2.45117 -INDEX GOES BRRR: 300 X: 18.7812 -INDEX GOES BRRR: 1005 X: -1.17969 -INDEX GOES BRRR: 203 X: 12.7461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.00293 -INDEX GOES BRRR: 19 X: 1.23438 -INDEX GOES BRRR: 294 X: 18.417 -INDEX GOES BRRR: 57 X: 3.61035 -INDEX GOES BRRR: 185 X: 11.5635 -INDEX GOES BRRR: 952 X: -4.47559 -INDEX GOES BRRR: 473 X: 29.5713 -INDEX GOES BRRR: 75 X: 4.7373 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 43 X: 2.73633 -INDEX GOES BRRR: 7 X: 0.456055 -INDEX GOES BRRR: 84 X: 5.26562 -INDEX GOES BRRR: 90 X: 5.64551 -INDEX GOES BRRR: 222 X: 13.9189 -INDEX GOES BRRR: 184 X: 11.5371 -INDEX GOES BRRR: 276 X: 17.2891 -INDEX GOES BRRR: 987 X: -2.31152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.11621 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 284 X: 17.7725 -INDEX GOES BRRR: 891 X: -8.29199 -INDEX GOES BRRR: 288 X: 18.0371 -INDEX GOES BRRR: 290 X: 18.1777 -INDEX GOES BRRR: 921 X: -6.39648 -INDEX GOES BRRR: 221 X: 13.8389 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3555 -INDEX GOES BRRR: 58 X: 3.65332 -INDEX GOES BRRR: 345 X: 21.5625 -INDEX GOES BRRR: 389 X: 24.3311 -INDEX GOES BRRR: 236 X: 14.7656 -INDEX GOES BRRR: 944 X: -4.96387 -INDEX GOES BRRR: 370 X: 23.1602 -INDEX GOES BRRR: 151 X: 9.48145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.6074 -INDEX GOES BRRR: 953 X: -4.37793 -INDEX GOES BRRR: 305 X: 19.1016 -INDEX GOES BRRR: 94 X: 5.91113 -INDEX GOES BRRR: 39 X: 2.47461 -INDEX GOES BRRR: 232 X: 14.5283 -INDEX GOES BRRR: 324 X: 20.293 -INDEX GOES BRRR: 300 X: 18.7559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3193 -INDEX GOES BRRR: 414 X: 25.916 -INDEX GOES BRRR: 984 X: -2.49414 -INDEX GOES BRRR: 3 X: 0.244141 -INDEX GOES BRRR: 360 X: 22.5518 -INDEX GOES BRRR: 145 X: 9.07324 -INDEX GOES BRRR: 60 X: 3.75293 -INDEX GOES BRRR: 830 X: -12.1221 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9131 -INDEX GOES BRRR: 191 X: 11.9473 -INDEX GOES BRRR: 358 X: 22.3896 -INDEX GOES BRRR: 106 X: 6.64746 -INDEX GOES BRRR: 210 X: 13.1602 -INDEX GOES BRRR: 214 X: 13.4102 -INDEX GOES BRRR: 958 X: -4.125 -INDEX GOES BRRR: 251 X: 15.6914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.02637 -INDEX GOES BRRR: 227 X: 14.1943 -INDEX GOES BRRR: 416 X: 26.0049 -INDEX GOES BRRR: 201 X: 12.6201 -INDEX GOES BRRR: 249 X: 15.6143 -INDEX GOES BRRR: 485 X: 30.3135 -INDEX GOES BRRR: 273 X: 17.0645 -INDEX GOES BRRR: 145 X: 9.09082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 200 X: 12.5566 -INDEX GOES BRRR: 932 X: -5.69043 -INDEX GOES BRRR: 97 X: 6.10254 -INDEX GOES BRRR: 989 X: -2.17578 -INDEX GOES BRRR: 1016 X: -0.438477 -INDEX GOES BRRR: 305 X: 19.1045 -INDEX GOES BRRR: 134 X: 8.41602 -INDEX GOES BRRR: 993 X: -1.88086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 234 X: 14.6514 -INDEX GOES BRRR: 966 X: -3.5918 -INDEX GOES BRRR: 333 X: 20.8145 -INDEX GOES BRRR: 443 X: 27.7266 -INDEX GOES BRRR: 67 X: 4.2041 -INDEX GOES BRRR: 1016 X: -0.449219 -INDEX GOES BRRR: 200 X: 12.5215 -INDEX GOES BRRR: 174 X: 10.9102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 424 X: 26.5059 -INDEX GOES BRRR: 1014 X: -0.616211 -INDEX GOES BRRR: 950 X: -4.5957 -INDEX GOES BRRR: 249 X: 15.6162 -INDEX GOES BRRR: 347 X: 21.6963 -INDEX GOES BRRR: 1006 X: -1.09277 -INDEX GOES BRRR: 229 X: 14.3672 -INDEX GOES BRRR: 29 X: 1.81934 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.82227 -INDEX GOES BRRR: 179 X: 11.2432 -INDEX GOES BRRR: 320 X: 20.0547 -INDEX GOES BRRR: 54 X: 3.41211 -INDEX GOES BRRR: 91 X: 5.72754 -INDEX GOES BRRR: 985 X: -2.43555 -INDEX GOES BRRR: 66 X: 4.18457 -INDEX GOES BRRR: 1003 X: -1.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.09277 -INDEX GOES BRRR: 324 X: 20.2686 -INDEX GOES BRRR: 7 X: 0.451172 -INDEX GOES BRRR: 93 X: 5.8584 -INDEX GOES BRRR: 234 X: 14.665 -INDEX GOES BRRR: 302 X: 18.8926 -INDEX GOES BRRR: 123 X: 7.72461 -INDEX GOES BRRR: 911 X: -7.0459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1008 X: -0.96875 -INDEX GOES BRRR: 326 X: 20.4189 -INDEX GOES BRRR: 327 X: 20.4785 -INDEX GOES BRRR: 760 X: -16.458 -INDEX GOES BRRR: 296 X: 18.5596 -INDEX GOES BRRR: 8 X: 0.5 -INDEX GOES BRRR: 211 X: 13.2109 -INDEX GOES BRRR: 136 X: 8.50781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.8623 -INDEX GOES BRRR: 1019 X: -0.291016 -INDEX GOES BRRR: 56 X: 3.5 -INDEX GOES BRRR: 137 X: 8.57617 -INDEX GOES BRRR: 183 X: 11.4717 -INDEX GOES BRRR: 115 X: 7.21484 -INDEX GOES BRRR: 277 X: 17.3262 -INDEX GOES BRRR: 54 X: 3.43164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5908 -INDEX GOES BRRR: 111 X: 6.95605 -INDEX GOES BRRR: 972 X: -3.24023 -INDEX GOES BRRR: 74 X: 4.66797 -INDEX GOES BRRR: 105 X: 6.62207 -INDEX GOES BRRR: 180 X: 11.292 -INDEX GOES BRRR: 58 X: 3.63086 -INDEX GOES BRRR: 282 X: 17.6367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0557 -INDEX GOES BRRR: 142 X: 8.90332 -INDEX GOES BRRR: 230 X: 14.4043 -INDEX GOES BRRR: 266 X: 16.6846 -INDEX GOES BRRR: 214 X: 13.3975 -INDEX GOES BRRR: 202 X: 12.6299 -INDEX GOES BRRR: 375 X: 23.498 -INDEX GOES BRRR: 88 X: 5.50781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 886 X: -8.57617 -INDEX GOES BRRR: 908 X: -7.2168 -INDEX GOES BRRR: 922 X: -6.36035 -INDEX GOES BRRR: 924 X: -6.19824 -INDEX GOES BRRR: 43 X: 2.7168 -INDEX GOES BRRR: 68 X: 4.25684 -INDEX GOES BRRR: 256 X: 16.0127 -INDEX GOES BRRR: 146 X: 9.17188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1014 X: -0.598633 -INDEX GOES BRRR: 149 X: 9.31641 -INDEX GOES BRRR: 35 X: 2.19141 -INDEX GOES BRRR: 281 X: 17.5742 -INDEX GOES BRRR: 942 X: -5.08301 -INDEX GOES BRRR: 10 X: 0.65625 -INDEX GOES BRRR: 759 X: -16.5283 -INDEX GOES BRRR: 252 X: 15.7822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0605 -INDEX GOES BRRR: 152 X: 9.5127 -INDEX GOES BRRR: 914 X: -6.85742 -INDEX GOES BRRR: 933 X: -5.64844 -INDEX GOES BRRR: 260 X: 16.2686 -INDEX GOES BRRR: 1017 X: -0.399414 -INDEX GOES BRRR: 449 X: 28.1113 -INDEX GOES BRRR: 1012 X: -0.709961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.09668 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 316 X: 19.7744 -INDEX GOES BRRR: 192 X: 12.0596 -INDEX GOES BRRR: 21 X: 1.35156 -INDEX GOES BRRR: 969 X: -3.3916 -INDEX GOES BRRR: 158 X: 9.89648 -INDEX GOES BRRR: 383 X: 23.9893 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 989 X: -2.14648 -INDEX GOES BRRR: 987 X: -2.30859 -INDEX GOES BRRR: 1022 X: -0.119141 -INDEX GOES BRRR: 978 X: -2.85645 -INDEX GOES BRRR: 229 X: 14.3584 -INDEX GOES BRRR: 12 X: 0.763672 -INDEX GOES BRRR: 423 X: 26.4639 -INDEX GOES BRRR: 315 X: 19.7324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.14062 -INDEX GOES BRRR: 66 X: 4.17578 -INDEX GOES BRRR: 305 X: 19.0771 -INDEX GOES BRRR: 250 X: 15.6416 -INDEX GOES BRRR: 169 X: 10.6074 -INDEX GOES BRRR: 110 X: 6.89453 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 222 X: 13.9219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 950 X: -4.58691 -INDEX GOES BRRR: 1020 X: -0.245117 -INDEX GOES BRRR: 235 X: 14.7168 -INDEX GOES BRRR: 12 X: 0.771484 -INDEX GOES BRRR: 876 X: -9.24805 -INDEX GOES BRRR: 413 X: 25.8467 -INDEX GOES BRRR: 350 X: 21.9238 -INDEX GOES BRRR: 215 X: 13.4971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.63672 -INDEX GOES BRRR: 220 X: 13.8096 -INDEX GOES BRRR: 123 X: 7.74121 -INDEX GOES BRRR: 69 X: 4.36914 -INDEX GOES BRRR: 111 X: 6.97852 -INDEX GOES BRRR: 129 X: 8.12109 -INDEX GOES BRRR: 144 X: 9.01074 -INDEX GOES BRRR: 304 X: 19.0078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 343 X: 21.4609 -INDEX GOES BRRR: 769 X: -15.9072 -INDEX GOES BRRR: 222 X: 13.9258 -INDEX GOES BRRR: 817 X: -12.8828 -INDEX GOES BRRR: 173 X: 10.873 -INDEX GOES BRRR: 242 X: 15.1318 -INDEX GOES BRRR: 312 X: 19.5371 -INDEX GOES BRRR: 32 X: 2.03613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9521 -INDEX GOES BRRR: 224 X: 14.0127 -INDEX GOES BRRR: 997 X: -1.64355 -INDEX GOES BRRR: 177 X: 11.0625 -INDEX GOES BRRR: 213 X: 13.3672 -INDEX GOES BRRR: 921 X: -6.38965 -INDEX GOES BRRR: 252 X: 15.7979 -INDEX GOES BRRR: 70 X: 4.38477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.27539 -INDEX GOES BRRR: 241 X: 15.1035 -INDEX GOES BRRR: 21 X: 1.34668 -INDEX GOES BRRR: 39 X: 2.44141 -INDEX GOES BRRR: 277 X: 17.3496 -INDEX GOES BRRR: 199 X: 12.4521 -INDEX GOES BRRR: 159 X: 9.98926 -INDEX GOES BRRR: 291 X: 18.1904 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.06445 -INDEX GOES BRRR: 189 X: 11.8662 -INDEX GOES BRRR: 242 X: 15.1592 -INDEX GOES BRRR: 24 X: 1.51172 -INDEX GOES BRRR: 182 X: 11.3838 -INDEX GOES BRRR: 804 X: -13.7002 -INDEX GOES BRRR: 173 X: 10.873 -INDEX GOES BRRR: 30 X: 1.8877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 909 X: -7.12891 -INDEX GOES BRRR: 162 X: 10.1641 -INDEX GOES BRRR: 322 X: 20.1787 -INDEX GOES BRRR: 182 X: 11.4355 -INDEX GOES BRRR: 243 X: 15.2285 -INDEX GOES BRRR: 104 X: 6.53418 -INDEX GOES BRRR: 888 X: -8.47754 -INDEX GOES BRRR: 199 X: 12.4863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.1084 -INDEX GOES BRRR: 845 X: -11.1367 -INDEX GOES BRRR: 994 X: -1.87207 -INDEX GOES BRRR: 56 X: 3.52441 -INDEX GOES BRRR: 258 X: 16.1396 -INDEX GOES BRRR: 94 X: 5.91309 -INDEX GOES BRRR: 27 X: 1.73633 -INDEX GOES BRRR: 162 X: 10.1279 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0508 -INDEX GOES BRRR: 137 X: 8.5957 -INDEX GOES BRRR: 69 X: 4.3252 -INDEX GOES BRRR: 221 X: 13.8311 -INDEX GOES BRRR: 36 X: 2.30469 -INDEX GOES BRRR: 48 X: 3.03613 -INDEX GOES BRRR: 210 X: 13.1357 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 810 X: -13.3594 -INDEX GOES BRRR: 227 X: 14.2383 -INDEX GOES BRRR: 917 X: -6.6748 -INDEX GOES BRRR: 148 X: 9.26758 -INDEX GOES BRRR: 242 X: 15.1768 -INDEX GOES BRRR: 77 X: 4.84863 -INDEX GOES BRRR: 991 X: -2.01562 -INDEX GOES BRRR: 101 X: 6.3291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 425 X: 26.5957 -INDEX GOES BRRR: 170 X: 10.667 -INDEX GOES BRRR: 108 X: 6.7998 -INDEX GOES BRRR: 14 X: 0.925781 -INDEX GOES BRRR: 247 X: 15.4893 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 387 X: 24.2227 -INDEX GOES BRRR: 155 X: 9.74805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 957 X: -4.13281 -INDEX GOES BRRR: 165 X: 10.3506 -INDEX GOES BRRR: 124 X: 7.79883 -INDEX GOES BRRR: 372 X: 23.2998 -INDEX GOES BRRR: 168 X: 10.5322 -INDEX GOES BRRR: 124 X: 7.7666 -INDEX GOES BRRR: 264 X: 16.5264 -INDEX GOES BRRR: 263 X: 16.4697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 997 X: -1.68066 -INDEX GOES BRRR: 23 X: 1.48145 -INDEX GOES BRRR: 119 X: 7.47852 -INDEX GOES BRRR: 86 X: 5.39648 -INDEX GOES BRRR: 202 X: 12.6562 -INDEX GOES BRRR: 428 X: 26.7871 -INDEX GOES BRRR: 44 X: 2.79688 -INDEX GOES BRRR: 271 X: 16.9434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4082 -INDEX GOES BRRR: 945 X: -4.91504 -INDEX GOES BRRR: 58 X: 3.64453 -INDEX GOES BRRR: 390 X: 24.417 -INDEX GOES BRRR: 1013 X: -0.660156 -INDEX GOES BRRR: 181 X: 11.3389 -INDEX GOES BRRR: 33 X: 2.07617 -INDEX GOES BRRR: 163 X: 10.2188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.708 -INDEX GOES BRRR: 284 X: 17.75 -INDEX GOES BRRR: 274 X: 17.1719 -INDEX GOES BRRR: 957 X: -4.13086 -INDEX GOES BRRR: 185 X: 11.6182 -INDEX GOES BRRR: 229 X: 14.3164 -INDEX GOES BRRR: 194 X: 12.1465 -INDEX GOES BRRR: 66 X: 4.14746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 219 X: 13.7061 -INDEX GOES BRRR: 165 X: 10.3555 -INDEX GOES BRRR: 872 X: -9.45215 -INDEX GOES BRRR: 127 X: 7.94824 -INDEX GOES BRRR: 248 X: 15.5195 -INDEX GOES BRRR: 252 X: 15.7578 -INDEX GOES BRRR: 914 X: -6.87207 -INDEX GOES BRRR: 52 X: 3.30273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 67 X: 4.24609 -INDEX GOES BRRR: 188 X: 11.75 -INDEX GOES BRRR: 44 X: 2.78516 -INDEX GOES BRRR: 443 X: 27.7412 -INDEX GOES BRRR: 75 X: 4.68848 -INDEX GOES BRRR: 982 X: -2.58594 -INDEX GOES BRRR: 45 X: 2.83301 -INDEX GOES BRRR: 924 X: -6.22559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1002 X: -1.32715 -INDEX GOES BRRR: 220 X: 13.7959 -INDEX GOES BRRR: 118 X: 7.41309 -INDEX GOES BRRR: 223 X: 13.9473 -INDEX GOES BRRR: 345 X: 21.5723 -INDEX GOES BRRR: 311 X: 19.4678 -INDEX GOES BRRR: 67 X: 4.22363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 477 X: 29.8643 -INDEX GOES BRRR: 214 X: 13.415 -INDEX GOES BRRR: 218 X: 13.6699 -INDEX GOES BRRR: 1018 X: -0.348633 -INDEX GOES BRRR: 130 X: 8.18262 -INDEX GOES BRRR: 173 X: 10.8125 -INDEX GOES BRRR: 246 X: 15.375 -INDEX GOES BRRR: 18 X: 1.16406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 2 X: 0.139648 -INDEX GOES BRRR: 372 X: 23.2676 -INDEX GOES BRRR: 427 X: 26.707 -INDEX GOES BRRR: 96 X: 6.00781 -INDEX GOES BRRR: 201 X: 12.5869 -INDEX GOES BRRR: 276 X: 17.2959 -INDEX GOES BRRR: 106 X: 6.64453 -INDEX GOES BRRR: 59 X: 3.72363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.46777 -INDEX GOES BRRR: 74 X: 4.66309 -INDEX GOES BRRR: 202 X: 12.6738 -INDEX GOES BRRR: 207 X: 12.9639 -INDEX GOES BRRR: 211 X: 13.1924 -INDEX GOES BRRR: 87 X: 5.48535 -INDEX GOES BRRR: 280 X: 17.5371 -INDEX GOES BRRR: 969 X: -3.41797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 300 X: 18.793 -INDEX GOES BRRR: 86 X: 5.42773 -INDEX GOES BRRR: 255 X: 15.9541 -INDEX GOES BRRR: 137 X: 8.56445 -INDEX GOES BRRR: 69 X: 4.33203 -INDEX GOES BRRR: 67 X: 4.19141 -INDEX GOES BRRR: 178 X: 11.1641 -INDEX GOES BRRR: 228 X: 14.2725 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.4639 -INDEX GOES BRRR: 147 X: 9.2373 -INDEX GOES BRRR: 57 X: 3.56641 -INDEX GOES BRRR: 202 X: 12.6855 -INDEX GOES BRRR: 378 X: 23.6484 -INDEX GOES BRRR: 136 X: 8.55176 -INDEX GOES BRRR: 113 X: 7.06836 -INDEX GOES BRRR: 131 X: 8.22949 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.74316 -INDEX GOES BRRR: 42 X: 2.68262 -INDEX GOES BRRR: 292 X: 18.2783 -INDEX GOES BRRR: 264 X: 16.5576 -INDEX GOES BRRR: 156 X: 9.75879 -INDEX GOES BRRR: 29 X: 1.86719 -INDEX GOES BRRR: 164 X: 10.2773 -INDEX GOES BRRR: 140 X: 8.76855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4365 -INDEX GOES BRRR: 231 X: 14.4512 -INDEX GOES BRRR: 106 X: 6.66016 -INDEX GOES BRRR: 980 X: -2.68945 -INDEX GOES BRRR: 190 X: 11.9141 -INDEX GOES BRRR: 56 X: 3.50195 -INDEX GOES BRRR: 161 X: 10.0908 -INDEX GOES BRRR: 109 X: 6.81836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 54 X: 3.39746 -INDEX GOES BRRR: 26 X: 1.65234 -INDEX GOES BRRR: 84 X: 5.25098 -INDEX GOES BRRR: 1022 X: -0.108398 -INDEX GOES BRRR: 346 X: 21.666 -INDEX GOES BRRR: 780 X: -15.2354 -INDEX GOES BRRR: 380 X: 23.8018 -INDEX GOES BRRR: 15 X: 0.96875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 292 X: 18.2549 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 427 X: 26.7061 -INDEX GOES BRRR: 232 X: 14.5488 -INDEX GOES BRRR: 40 X: 2.53516 -INDEX GOES BRRR: 182 X: 11.3906 -INDEX GOES BRRR: 952 X: -4.48633 -INDEX GOES BRRR: 185 X: 11.5723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.3799 -INDEX GOES BRRR: 154 X: 9.62695 -INDEX GOES BRRR: 186 X: 11.6836 -INDEX GOES BRRR: 138 X: 8.64355 -INDEX GOES BRRR: 241 X: 15.1074 -INDEX GOES BRRR: 452 X: 28.2715 -INDEX GOES BRRR: 316 X: 19.8008 -INDEX GOES BRRR: 155 X: 9.71875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.1748 -INDEX GOES BRRR: 372 X: 23.2861 -INDEX GOES BRRR: 279 X: 17.4482 -INDEX GOES BRRR: 275 X: 17.21 -INDEX GOES BRRR: 935 X: -5.50684 -INDEX GOES BRRR: 69 X: 4.33984 -INDEX GOES BRRR: 73 X: 4.61719 -INDEX GOES BRRR: 296 X: 18.5547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.2773 -INDEX GOES BRRR: 33 X: 2.06641 -INDEX GOES BRRR: 359 X: 22.4648 -INDEX GOES BRRR: 434 X: 27.1357 -INDEX GOES BRRR: 940 X: -5.19922 -INDEX GOES BRRR: 885 X: -8.63965 -INDEX GOES BRRR: 359 X: 22.4541 -INDEX GOES BRRR: 265 X: 16.5977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 848 X: -10.9639 -INDEX GOES BRRR: 195 X: 12.2441 -INDEX GOES BRRR: 99 X: 6.19727 -INDEX GOES BRRR: 226 X: 14.1729 -INDEX GOES BRRR: 63 X: 3.98828 -INDEX GOES BRRR: 264 X: 16.5068 -INDEX GOES BRRR: 332 X: 20.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.1982 -INDEX GOES BRRR: 321 X: 20.082 -INDEX GOES BRRR: 194 X: 12.1748 -INDEX GOES BRRR: 97 X: 6.0791 -INDEX GOES BRRR: 191 X: 11.9648 -INDEX GOES BRRR: 242 X: 15.1406 -INDEX GOES BRRR: 147 X: 9.24707 -INDEX GOES BRRR: 335 X: 20.9766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.76367 -INDEX GOES BRRR: 120 X: 7.53125 -INDEX GOES BRRR: 156 X: 9.78027 -INDEX GOES BRRR: 440 X: 27.5117 -INDEX GOES BRRR: 220 X: 13.79 -INDEX GOES BRRR: 186 X: 11.6768 -INDEX GOES BRRR: 273 X: 17.0889 -INDEX GOES BRRR: 311 X: 19.4795 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 83 X: 5.24512 -INDEX GOES BRRR: 292 X: 18.2676 -INDEX GOES BRRR: 266 X: 16.6709 -INDEX GOES BRRR: 40 X: 2.50781 -INDEX GOES BRRR: 95 X: 5.98242 -INDEX GOES BRRR: 222 X: 13.8828 -INDEX GOES BRRR: 866 X: -9.85352 -INDEX GOES BRRR: 26 X: 1.67871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.043 -INDEX GOES BRRR: 385 X: 24.0977 -INDEX GOES BRRR: 260 X: 16.2969 -INDEX GOES BRRR: 167 X: 10.4688 -INDEX GOES BRRR: 53 X: 3.35156 -INDEX GOES BRRR: 355 X: 22.1875 -INDEX GOES BRRR: 384 X: 24.0039 -INDEX GOES BRRR: 21 X: 1.34766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5449 -INDEX GOES BRRR: 447 X: 27.9385 -INDEX GOES BRRR: 317 X: 19.8184 -INDEX GOES BRRR: 1000 X: -1.44141 -INDEX GOES BRRR: 202 X: 12.6621 -INDEX GOES BRRR: 953 X: -4.41895 -INDEX GOES BRRR: 992 X: -1.9707 -INDEX GOES BRRR: 126 X: 7.91309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 819 X: -12.79 -INDEX GOES BRRR: 177 X: 11.1094 -INDEX GOES BRRR: 198 X: 12.4033 -INDEX GOES BRRR: 983 X: -2.55664 -INDEX GOES BRRR: 125 X: 7.8252 -INDEX GOES BRRR: 102 X: 6.41699 -INDEX GOES BRRR: 288 X: 18.0029 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7773 -INDEX GOES BRRR: 185 X: 11.5908 -INDEX GOES BRRR: 883 X: -8.75586 -INDEX GOES BRRR: 956 X: -4.24414 -INDEX GOES BRRR: 936 X: -5.47852 -INDEX GOES BRRR: 11 X: 0.739258 -INDEX GOES BRRR: 1001 X: -1.39648 -INDEX GOES BRRR: 230 X: 14.4023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 421 X: 26.3281 -INDEX GOES BRRR: 948 X: -4.71875 -INDEX GOES BRRR: 6 X: 0.390625 -INDEX GOES BRRR: 128 X: 8.02246 -INDEX GOES BRRR: 87 X: 5.48926 -INDEX GOES BRRR: 786 X: -14.8164 -INDEX GOES BRRR: 289 X: 18.0781 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5938 -INDEX GOES BRRR: 884 X: -8.74805 -INDEX GOES BRRR: 207 X: 12.9814 -INDEX GOES BRRR: 992 X: -1.98633 -INDEX GOES BRRR: 133 X: 8.32422 -INDEX GOES BRRR: 217 X: 13.5654 -INDEX GOES BRRR: 287 X: 17.998 -INDEX GOES BRRR: 294 X: 18.416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0322 -INDEX GOES BRRR: 330 X: 20.6523 -INDEX GOES BRRR: 852 X: -10.7148 -INDEX GOES BRRR: 122 X: 7.65332 -INDEX GOES BRRR: 400 X: 25.0322 -INDEX GOES BRRR: 132 X: 8.28613 -INDEX GOES BRRR: 449 X: 28.0977 -INDEX GOES BRRR: 136 X: 8.54883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 442 X: 27.6289 -INDEX GOES BRRR: 147 X: 9.21582 -INDEX GOES BRRR: 1000 X: -1.45312 -INDEX GOES BRRR: 996 X: -1.71387 -INDEX GOES BRRR: 154 X: 9.63672 -INDEX GOES BRRR: 829 X: -12.1299 -INDEX GOES BRRR: 465 X: 29.0791 -INDEX GOES BRRR: 17 X: 1.1084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.40723 -INDEX GOES BRRR: 147 X: 9.23438 -INDEX GOES BRRR: 95 X: 5.95605 -INDEX GOES BRRR: 0 X: 0.0478516 -INDEX GOES BRRR: 11 X: 0.71875 -INDEX GOES BRRR: 59 X: 3.72656 -INDEX GOES BRRR: 1001 X: -1.42578 -INDEX GOES BRRR: 887 X: -8.50586 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.65918 -INDEX GOES BRRR: 168 X: 10.5107 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 266 X: 16.6748 -INDEX GOES BRRR: 1 X: 0.0878906 -INDEX GOES BRRR: 82 X: 5.13867 -INDEX GOES BRRR: 100 X: 6.26172 -INDEX GOES BRRR: 280 X: 17.5322 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.874 -INDEX GOES BRRR: 173 X: 10.874 -INDEX GOES BRRR: 162 X: 10.1299 -INDEX GOES BRRR: 213 X: 13.3701 -INDEX GOES BRRR: 123 X: 7.7041 -INDEX GOES BRRR: 18 X: 1.1709 -INDEX GOES BRRR: 175 X: 10.9824 -INDEX GOES BRRR: 37 X: 2.35156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2373 -INDEX GOES BRRR: 492 X: 30.7793 -INDEX GOES BRRR: 72 X: 4.52441 -INDEX GOES BRRR: 277 X: 17.3711 -INDEX GOES BRRR: 62 X: 3.91309 -INDEX GOES BRRR: 119 X: 7.46582 -INDEX GOES BRRR: 950 X: -4.5957 -INDEX GOES BRRR: 128 X: 8.04883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.75977 -INDEX GOES BRRR: 210 X: 13.1777 -INDEX GOES BRRR: 914 X: -6.83691 -INDEX GOES BRRR: 1017 X: -0.412109 -INDEX GOES BRRR: 236 X: 14.8027 -INDEX GOES BRRR: 218 X: 13.6445 -INDEX GOES BRRR: 467 X: 29.2002 -INDEX GOES BRRR: 213 X: 13.3584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 981 X: -2.66113 -INDEX GOES BRRR: 320 X: 20.0303 -INDEX GOES BRRR: 821 X: -12.627 -INDEX GOES BRRR: 136 X: 8.52734 -INDEX GOES BRRR: 301 X: 18.8428 -INDEX GOES BRRR: 402 X: 25.1562 -INDEX GOES BRRR: 25 X: 1.61133 -INDEX GOES BRRR: 82 X: 5.13086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.333 -INDEX GOES BRRR: 419 X: 26.2334 -INDEX GOES BRRR: 29 X: 1.8457 -INDEX GOES BRRR: 129 X: 8.08594 -INDEX GOES BRRR: 245 X: 15.3232 -INDEX GOES BRRR: 8 X: 0.544922 -INDEX GOES BRRR: 61 X: 3.87402 -INDEX GOES BRRR: 261 X: 16.3145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.20996 -INDEX GOES BRRR: 244 X: 15.2676 -INDEX GOES BRRR: 222 X: 13.8887 -INDEX GOES BRRR: 882 X: -8.86133 -INDEX GOES BRRR: 84 X: 5.29785 -INDEX GOES BRRR: 94 X: 5.89648 -INDEX GOES BRRR: 140 X: 8.77734 -INDEX GOES BRRR: 474 X: 29.6807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2246 -INDEX GOES BRRR: 240 X: 15.0518 -INDEX GOES BRRR: 146 X: 9.16211 -INDEX GOES BRRR: 437 X: 27.333 -INDEX GOES BRRR: 134 X: 8.4248 -INDEX GOES BRRR: 148 X: 9.29688 -INDEX GOES BRRR: 170 X: 10.665 -INDEX GOES BRRR: 809 X: -13.4102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 190 X: 11.9092 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 26 X: 1.68164 -INDEX GOES BRRR: 124 X: 7.79395 -INDEX GOES BRRR: 110 X: 6.88574 -INDEX GOES BRRR: 93 X: 5.84961 -INDEX GOES BRRR: 235 X: 14.6982 -INDEX GOES BRRR: 426 X: 26.6621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.99512 -INDEX GOES BRRR: 346 X: 21.668 -INDEX GOES BRRR: 977 X: -2.93652 -INDEX GOES BRRR: 997 X: -1.68652 -INDEX GOES BRRR: 458 X: 28.6328 -INDEX GOES BRRR: 445 X: 27.8301 -INDEX GOES BRRR: 382 X: 23.9102 -INDEX GOES BRRR: 275 X: 17.2402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.66699 -INDEX GOES BRRR: 336 X: 21.0537 -INDEX GOES BRRR: 233 X: 14.5947 -INDEX GOES BRRR: 90 X: 5.62695 -INDEX GOES BRRR: 11 X: 0.742188 -INDEX GOES BRRR: 233 X: 14.5635 -INDEX GOES BRRR: 85 X: 5.36523 -INDEX GOES BRRR: 243 X: 15.2168 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 736 X: -17.9473 -INDEX GOES BRRR: 177 X: 11.0635 -INDEX GOES BRRR: 838 X: -11.5947 -INDEX GOES BRRR: 156 X: 9.75 -INDEX GOES BRRR: 920 X: -6.43848 -INDEX GOES BRRR: 99 X: 6.21875 -INDEX GOES BRRR: 218 X: 13.6709 -INDEX GOES BRRR: 233 X: 14.6133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 401 X: 25.1064 -INDEX GOES BRRR: 362 X: 22.6426 -INDEX GOES BRRR: 205 X: 12.8301 -INDEX GOES BRRR: 341 X: 21.3145 -INDEX GOES BRRR: 180 X: 11.252 -INDEX GOES BRRR: 869 X: -9.65234 -INDEX GOES BRRR: 291 X: 18.2207 -INDEX GOES BRRR: 252 X: 15.792 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.33691 -INDEX GOES BRRR: 265 X: 16.5898 -INDEX GOES BRRR: 906 X: -7.34766 -INDEX GOES BRRR: 1019 X: -0.282227 -INDEX GOES BRRR: 148 X: 9.2959 -INDEX GOES BRRR: 41 X: 2.56543 -INDEX GOES BRRR: 277 X: 17.3613 -INDEX GOES BRRR: 313 X: 19.5986 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5488 -INDEX GOES BRRR: 108 X: 6.75293 -INDEX GOES BRRR: 150 X: 9.40625 -INDEX GOES BRRR: 374 X: 23.3818 -INDEX GOES BRRR: 282 X: 17.6289 -INDEX GOES BRRR: 359 X: 22.4531 -INDEX GOES BRRR: 364 X: 22.7588 -INDEX GOES BRRR: 105 X: 6.58984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 146 X: 9.13281 -INDEX GOES BRRR: 182 X: 11.3828 -INDEX GOES BRRR: 418 X: 26.1514 -INDEX GOES BRRR: 48 X: 3.06152 -INDEX GOES BRRR: 196 X: 12.2549 -INDEX GOES BRRR: 336 X: 21.0293 -INDEX GOES BRRR: 265 X: 16.6221 -INDEX GOES BRRR: 281 X: 17.6133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0596 -INDEX GOES BRRR: 1013 X: -0.644531 -INDEX GOES BRRR: 184 X: 11.5039 -INDEX GOES BRRR: 177 X: 11.1016 -INDEX GOES BRRR: 990 X: -2.11133 -INDEX GOES BRRR: 195 X: 12.2246 -INDEX GOES BRRR: 119 X: 7.48047 -INDEX GOES BRRR: 124 X: 7.78613 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.291016 -INDEX GOES BRRR: 35 X: 2.19531 -INDEX GOES BRRR: 262 X: 16.4287 -INDEX GOES BRRR: 84 X: 5.29688 -INDEX GOES BRRR: 821 X: -12.6426 -INDEX GOES BRRR: 125 X: 7.83008 -INDEX GOES BRRR: 314 X: 19.627 -INDEX GOES BRRR: 876 X: -9.18945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.0732 -INDEX GOES BRRR: 62 X: 3.92676 -INDEX GOES BRRR: 914 X: -6.83789 -INDEX GOES BRRR: 181 X: 11.3301 -INDEX GOES BRRR: 29 X: 1.8418 -INDEX GOES BRRR: 222 X: 13.9258 -INDEX GOES BRRR: 202 X: 12.6719 -INDEX GOES BRRR: 338 X: 21.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 392 X: 24.541 -INDEX GOES BRRR: 243 X: 15.2012 -INDEX GOES BRRR: 400 X: 25.0498 -INDEX GOES BRRR: 299 X: 18.7256 -INDEX GOES BRRR: 75 X: 4.70215 -INDEX GOES BRRR: 909 X: -7.16797 -INDEX GOES BRRR: 417 X: 26.0713 -INDEX GOES BRRR: 147 X: 9.2207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 337 X: 21.083 -INDEX GOES BRRR: 24 X: 1.54297 -INDEX GOES BRRR: 151 X: 9.47266 -INDEX GOES BRRR: 158 X: 9.93457 -INDEX GOES BRRR: 908 X: -7.20312 -INDEX GOES BRRR: 202 X: 12.6426 -INDEX GOES BRRR: 973 X: -3.17773 -INDEX GOES BRRR: 206 X: 12.9072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1020 X: -0.220703 -INDEX GOES BRRR: 250 X: 15.6309 -INDEX GOES BRRR: 256 X: 16.0586 -INDEX GOES BRRR: 189 X: 11.8721 -INDEX GOES BRRR: 146 X: 9.18652 -INDEX GOES BRRR: 424 X: 26.5498 -INDEX GOES BRRR: 324 X: 20.2812 -INDEX GOES BRRR: 65 X: 4.09863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 280 X: 17.5254 -INDEX GOES BRRR: 237 X: 14.832 -INDEX GOES BRRR: 935 X: -5.53418 -INDEX GOES BRRR: 92 X: 5.78613 -INDEX GOES BRRR: 34 X: 2.14844 -INDEX GOES BRRR: 489 X: 30.6064 -INDEX GOES BRRR: 284 X: 17.7656 -INDEX GOES BRRR: 1 X: 0.0996094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.22266 -INDEX GOES BRRR: 260 X: 16.3018 -INDEX GOES BRRR: 191 X: 11.9609 -INDEX GOES BRRR: 30 X: 1.90137 -INDEX GOES BRRR: 17 X: 1.06641 -INDEX GOES BRRR: 282 X: 17.6289 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 894 X: -8.10156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.65625 -INDEX GOES BRRR: 1019 X: -0.264648 -INDEX GOES BRRR: 133 X: 8.36719 -INDEX GOES BRRR: 1007 X: -1.0625 -INDEX GOES BRRR: 63 X: 3.96484 -INDEX GOES BRRR: 116 X: 7.2832 -INDEX GOES BRRR: 244 X: 15.2676 -INDEX GOES BRRR: 280 X: 17.541 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0234 -INDEX GOES BRRR: 487 X: 30.4619 -INDEX GOES BRRR: 1 X: 0.112305 -INDEX GOES BRRR: 38 X: 2.39258 -INDEX GOES BRRR: 287 X: 17.9473 -INDEX GOES BRRR: 244 X: 15.2949 -INDEX GOES BRRR: 148 X: 9.26465 -INDEX GOES BRRR: 61 X: 3.85938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.23047 -INDEX GOES BRRR: 78 X: 4.87598 -INDEX GOES BRRR: 70 X: 4.39355 -INDEX GOES BRRR: 286 X: 17.9238 -INDEX GOES BRRR: 97 X: 6.09961 -INDEX GOES BRRR: 23 X: 1.48145 -INDEX GOES BRRR: 936 X: -5.45605 -INDEX GOES BRRR: 226 X: 14.1816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 4 X: 0.255859 -INDEX GOES BRRR: 83 X: 5.23145 -INDEX GOES BRRR: 135 X: 8.48438 -INDEX GOES BRRR: 101 X: 6.35254 -INDEX GOES BRRR: 387 X: 24.2012 -INDEX GOES BRRR: 1001 X: -1.39844 -INDEX GOES BRRR: 167 X: 10.4453 -INDEX GOES BRRR: 54 X: 3.3877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.43262 -INDEX GOES BRRR: 61 X: 3.84863 -INDEX GOES BRRR: 402 X: 25.1387 -INDEX GOES BRRR: 278 X: 17.3828 -INDEX GOES BRRR: 364 X: 22.8037 -INDEX GOES BRRR: 430 X: 26.9043 -INDEX GOES BRRR: 182 X: 11.4346 -INDEX GOES BRRR: 9 X: 0.584961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 440 X: 27.5 -INDEX GOES BRRR: 136 X: 8.54297 -INDEX GOES BRRR: 157 X: 9.84668 -INDEX GOES BRRR: 197 X: 12.3223 -INDEX GOES BRRR: 960 X: -3.95605 -INDEX GOES BRRR: 959 X: -4.01953 -INDEX GOES BRRR: 749 X: -17.1455 -INDEX GOES BRRR: 405 X: 25.3271 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.84277 -INDEX GOES BRRR: 361 X: 22.5996 -INDEX GOES BRRR: 164 X: 10.3086 -INDEX GOES BRRR: 239 X: 14.9609 -INDEX GOES BRRR: 210 X: 13.1445 -INDEX GOES BRRR: 806 X: -13.585 -INDEX GOES BRRR: 929 X: -5.88672 -INDEX GOES BRRR: 471 X: 29.4521 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.54102 -INDEX GOES BRRR: 1010 X: -0.830078 -INDEX GOES BRRR: 238 X: 14.9111 -INDEX GOES BRRR: 350 X: 21.8926 -INDEX GOES BRRR: 59 X: 3.69727 -INDEX GOES BRRR: 949 X: -4.68359 -INDEX GOES BRRR: 376 X: 23.5293 -INDEX GOES BRRR: 976 X: -2.93945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.1123 -INDEX GOES BRRR: 202 X: 12.6387 -INDEX GOES BRRR: 279 X: 17.4961 -INDEX GOES BRRR: 989 X: -2.1416 -INDEX GOES BRRR: 143 X: 8.98633 -INDEX GOES BRRR: 217 X: 13.6191 -INDEX GOES BRRR: 386 X: 24.1357 -INDEX GOES BRRR: 196 X: 12.2695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.805664 -INDEX GOES BRRR: 63 X: 3.96484 -INDEX GOES BRRR: 999 X: -1.55859 -INDEX GOES BRRR: 269 X: 16.8672 -INDEX GOES BRRR: 102 X: 6.43359 -INDEX GOES BRRR: 167 X: 10.4883 -INDEX GOES BRRR: 87 X: 5.46191 -INDEX GOES BRRR: 948 X: -4.73145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.66797 -INDEX GOES BRRR: 310 X: 19.3789 -INDEX GOES BRRR: 1012 X: -0.713867 -INDEX GOES BRRR: 332 X: 20.7539 -INDEX GOES BRRR: 868 X: -9.70312 -INDEX GOES BRRR: 0 X: 0.0136719 -INDEX GOES BRRR: 925 X: -6.12891 -INDEX GOES BRRR: 397 X: 24.8164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 14 X: 0.87793 -INDEX GOES BRRR: 242 X: 15.1299 -INDEX GOES BRRR: 997 X: -1.68066 -INDEX GOES BRRR: 968 X: -3.47656 -INDEX GOES BRRR: 120 X: 7.56152 -INDEX GOES BRRR: 224 X: 14.002 -INDEX GOES BRRR: 308 X: 19.2617 -INDEX GOES BRRR: 424 X: 26.542 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.0918 -INDEX GOES BRRR: 261 X: 16.3691 -INDEX GOES BRRR: 149 X: 9.32129 -INDEX GOES BRRR: 399 X: 24.9717 -INDEX GOES BRRR: 810 X: -13.3447 -INDEX GOES BRRR: 103 X: 6.44824 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 123 X: 7.70801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 263 X: 16.459 -INDEX GOES BRRR: 227 X: 14.2139 -INDEX GOES BRRR: 274 X: 17.1494 -INDEX GOES BRRR: 7 X: 0.484375 -INDEX GOES BRRR: 146 X: 9.17188 -INDEX GOES BRRR: 387 X: 24.2256 -INDEX GOES BRRR: 356 X: 22.3057 -INDEX GOES BRRR: 45 X: 2.86816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 245 X: 15.3623 -INDEX GOES BRRR: 7 X: 0.443359 -INDEX GOES BRRR: 375 X: 23.4707 -INDEX GOES BRRR: 121 X: 7.59668 -INDEX GOES BRRR: 924 X: -6.19238 -INDEX GOES BRRR: 281 X: 17.5957 -INDEX GOES BRRR: 224 X: 14.0488 -INDEX GOES BRRR: 470 X: 29.4014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6309 -INDEX GOES BRRR: 909 X: -7.17969 -INDEX GOES BRRR: 370 X: 23.1436 -INDEX GOES BRRR: 158 X: 9.92285 -INDEX GOES BRRR: 293 X: 18.3721 -INDEX GOES BRRR: 48 X: 3.00684 -INDEX GOES BRRR: 95 X: 5.98828 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 452 X: 28.2666 -INDEX GOES BRRR: 240 X: 15.0078 -INDEX GOES BRRR: 313 X: 19.6152 -INDEX GOES BRRR: 360 X: 22.5479 -INDEX GOES BRRR: 175 X: 10.9893 -INDEX GOES BRRR: 256 X: 16.042 -INDEX GOES BRRR: 9 X: 0.577148 -INDEX GOES BRRR: 949 X: -4.62598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9619 -INDEX GOES BRRR: 306 X: 19.1709 -INDEX GOES BRRR: 448 X: 28.0557 -INDEX GOES BRRR: 85 X: 5.34375 -INDEX GOES BRRR: 320 X: 20.0459 -INDEX GOES BRRR: 975 X: -3.05371 -INDEX GOES BRRR: 51 X: 3.21582 -INDEX GOES BRRR: 280 X: 17.5547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1009 X: -0.884766 -INDEX GOES BRRR: 313 X: 19.5996 -INDEX GOES BRRR: 48 X: 3.05078 -INDEX GOES BRRR: 267 X: 16.7236 -INDEX GOES BRRR: 763 X: -16.2607 -INDEX GOES BRRR: 1014 X: -0.563477 -INDEX GOES BRRR: 229 X: 14.374 -INDEX GOES BRRR: 984 X: -2.43945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.43359 -INDEX GOES BRRR: 80 X: 5.02637 -INDEX GOES BRRR: 271 X: 16.9609 -INDEX GOES BRRR: 214 X: 13.4102 -INDEX GOES BRRR: 288 X: 18.0312 -INDEX GOES BRRR: 949 X: -4.66211 -INDEX GOES BRRR: 313 X: 19.582 -INDEX GOES BRRR: 143 X: 8.96289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 407 X: 25.4502 -INDEX GOES BRRR: 357 X: 22.3438 -INDEX GOES BRRR: 143 X: 8.93848 -INDEX GOES BRRR: 233 X: 14.5693 -INDEX GOES BRRR: 106 X: 6.66895 -INDEX GOES BRRR: 298 X: 18.6797 -INDEX GOES BRRR: 219 X: 13.6924 -INDEX GOES BRRR: 230 X: 14.375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.19824 -INDEX GOES BRRR: 338 X: 21.126 -INDEX GOES BRRR: 265 X: 16.5957 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 374 X: 23.3945 -INDEX GOES BRRR: 130 X: 8.18457 -INDEX GOES BRRR: 956 X: -4.19922 -INDEX GOES BRRR: 315 X: 19.7158 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 963 X: -3.78223 -INDEX GOES BRRR: 958 X: -4.08008 -INDEX GOES BRRR: 977 X: -2.9043 -INDEX GOES BRRR: 474 X: 29.6758 -INDEX GOES BRRR: 268 X: 16.7578 -INDEX GOES BRRR: 38 X: 2.43262 -INDEX GOES BRRR: 792 X: -14.5 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 335 X: 20.958 -INDEX GOES BRRR: 338 X: 21.1602 -INDEX GOES BRRR: 971 X: -3.28711 -INDEX GOES BRRR: 152 X: 9.52734 -INDEX GOES BRRR: 24 X: 1.50391 -INDEX GOES BRRR: 115 X: 7.19141 -INDEX GOES BRRR: 275 X: 17.2041 -INDEX GOES BRRR: 970 X: -3.36523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 484 X: 30.2539 -INDEX GOES BRRR: 137 X: 8.62109 -INDEX GOES BRRR: 796 X: -14.2031 -INDEX GOES BRRR: 171 X: 10.7227 -INDEX GOES BRRR: 425 X: 26.5898 -INDEX GOES BRRR: 183 X: 11.4473 -INDEX GOES BRRR: 301 X: 18.8428 -INDEX GOES BRRR: 366 X: 22.9062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.77441 -INDEX GOES BRRR: 36 X: 2.31055 -INDEX GOES BRRR: 111 X: 6.99609 -INDEX GOES BRRR: 263 X: 16.4541 -INDEX GOES BRRR: 108 X: 6.75098 -INDEX GOES BRRR: 144 X: 9.02637 -INDEX GOES BRRR: 412 X: 25.79 -INDEX GOES BRRR: 20 X: 1.27441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.832 -INDEX GOES BRRR: 165 X: 10.3574 -INDEX GOES BRRR: 199 X: 12.4873 -INDEX GOES BRRR: 324 X: 20.2822 -INDEX GOES BRRR: 372 X: 23.2939 -INDEX GOES BRRR: 989 X: -2.1709 -INDEX GOES BRRR: 330 X: 20.665 -INDEX GOES BRRR: 34 X: 2.1416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 337 X: 21.1084 -INDEX GOES BRRR: 307 X: 19.2041 -INDEX GOES BRRR: 1021 X: -0.150391 -INDEX GOES BRRR: 99 X: 6.19336 -INDEX GOES BRRR: 128 X: 8.05273 -INDEX GOES BRRR: 280 X: 17.5596 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 444 X: 27.793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.3037 -INDEX GOES BRRR: 135 X: 8.4541 -INDEX GOES BRRR: 205 X: 12.8203 -INDEX GOES BRRR: 156 X: 9.77051 -INDEX GOES BRRR: 185 X: 11.6035 -INDEX GOES BRRR: 300 X: 18.8057 -INDEX GOES BRRR: 252 X: 15.8096 -INDEX GOES BRRR: 74 X: 4.68359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 156 X: 9.76367 -INDEX GOES BRRR: 443 X: 27.7373 -INDEX GOES BRRR: 167 X: 10.4961 -INDEX GOES BRRR: 800 X: -13.9434 -INDEX GOES BRRR: 367 X: 22.9531 -INDEX GOES BRRR: 11 X: 0.691406 -INDEX GOES BRRR: 1018 X: -0.338867 -INDEX GOES BRRR: 1023 X: -0.00195312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.96973 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 296 X: 18.5381 -INDEX GOES BRRR: 113 X: 7.12109 -INDEX GOES BRRR: 1004 X: -1.22559 -INDEX GOES BRRR: 201 X: 12.6094 -INDEX GOES BRRR: 965 X: -3.64453 -INDEX GOES BRRR: 976 X: -2.9668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.6133 -INDEX GOES BRRR: 161 X: 10.1182 -INDEX GOES BRRR: 289 X: 18.1113 -INDEX GOES BRRR: 2 X: 0.125977 -INDEX GOES BRRR: 98 X: 6.1416 -INDEX GOES BRRR: 325 X: 20.3145 -INDEX GOES BRRR: 40 X: 2.50488 -INDEX GOES BRRR: 91 X: 5.74023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 902 X: -7.62109 -INDEX GOES BRRR: 448 X: 28.0127 -INDEX GOES BRRR: 336 X: 21.0381 -INDEX GOES BRRR: 214 X: 13.3887 -INDEX GOES BRRR: 42 X: 2.67676 -INDEX GOES BRRR: 96 X: 6.04199 -INDEX GOES BRRR: 39 X: 2.48438 -INDEX GOES BRRR: 864 X: -9.95996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 259 X: 16.1963 -INDEX GOES BRRR: 252 X: 15.7646 -INDEX GOES BRRR: 217 X: 13.5879 -INDEX GOES BRRR: 261 X: 16.3564 -INDEX GOES BRRR: 209 X: 13.085 -INDEX GOES BRRR: 174 X: 10.9082 -INDEX GOES BRRR: 118 X: 7.40137 -INDEX GOES BRRR: 212 X: 13.2754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 257 X: 16.1006 -INDEX GOES BRRR: 81 X: 5.0625 -INDEX GOES BRRR: 215 X: 13.4551 -INDEX GOES BRRR: 300 X: 18.7598 -INDEX GOES BRRR: 956 X: -4.18848 -INDEX GOES BRRR: 402 X: 25.1562 -INDEX GOES BRRR: 105 X: 6.62305 -INDEX GOES BRRR: 215 X: 13.4785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1367 -INDEX GOES BRRR: 218 X: 13.6777 -INDEX GOES BRRR: 466 X: 29.1748 -INDEX GOES BRRR: 341 X: 21.3643 -INDEX GOES BRRR: 84 X: 5.29492 -INDEX GOES BRRR: 129 X: 8.10742 -INDEX GOES BRRR: 395 X: 24.7197 -INDEX GOES BRRR: 109 X: 6.8291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.64551 -INDEX GOES BRRR: 93 X: 5.83887 -INDEX GOES BRRR: 259 X: 16.1885 -INDEX GOES BRRR: 286 X: 17.8945 -INDEX GOES BRRR: 97 X: 6.11816 -INDEX GOES BRRR: 1014 X: -0.595703 -INDEX GOES BRRR: 215 X: 13.4609 -INDEX GOES BRRR: 441 X: 27.6211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 274 X: 17.1279 -INDEX GOES BRRR: 272 X: 17.0439 -INDEX GOES BRRR: 125 X: 7.85156 -INDEX GOES BRRR: 130 X: 8.16992 -INDEX GOES BRRR: 1003 X: -1.27539 -INDEX GOES BRRR: 368 X: 23.0068 -INDEX GOES BRRR: 446 X: 27.877 -INDEX GOES BRRR: 843 X: -11.2754 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 63 X: 3.99121 -INDEX GOES BRRR: 339 X: 21.2354 -INDEX GOES BRRR: 1002 X: -1.3291 -INDEX GOES BRRR: 115 X: 7.19531 -INDEX GOES BRRR: 957 X: -4.15723 -INDEX GOES BRRR: 8 X: 0.501953 -INDEX GOES BRRR: 383 X: 23.9492 -INDEX GOES BRRR: 186 X: 11.6562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 932 X: -5.72266 -INDEX GOES BRRR: 214 X: 13.4326 -INDEX GOES BRRR: 171 X: 10.7051 -INDEX GOES BRRR: 1008 X: -0.980469 -INDEX GOES BRRR: 215 X: 13.4697 -INDEX GOES BRRR: 396 X: 24.7588 -INDEX GOES BRRR: 254 X: 15.9082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.25098 -INDEX GOES BRRR: 311 X: 19.4707 -INDEX GOES BRRR: 46 X: 2.90039 -INDEX GOES BRRR: 946 X: -4.85449 -INDEX GOES BRRR: 63 X: 3.96191 -INDEX GOES BRRR: 1004 X: -1.24219 -INDEX GOES BRRR: 245 X: 15.3457 -INDEX GOES BRRR: 220 X: 13.7969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.04395 -INDEX GOES BRRR: 53 X: 3.32617 -INDEX GOES BRRR: 190 X: 11.9062 -INDEX GOES BRRR: 912 X: -6.98633 -INDEX GOES BRRR: 999 X: -1.55957 -INDEX GOES BRRR: 20 X: 1.29102 -INDEX GOES BRRR: 108 X: 6.78516 -INDEX GOES BRRR: 89 X: 5.61816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 67 X: 4.24902 -INDEX GOES BRRR: 162 X: 10.166 -INDEX GOES BRRR: 161 X: 10.0674 -INDEX GOES BRRR: 1018 X: -0.374023 -INDEX GOES BRRR: 352 X: 22.0605 -INDEX GOES BRRR: 432 X: 27.0605 -INDEX GOES BRRR: 183 X: 11.4434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 121 X: 7.5791 -INDEX GOES BRRR: 383 X: 23.9951 -INDEX GOES BRRR: 898 X: -7.86816 -INDEX GOES BRRR: 136 X: 8.5332 -INDEX GOES BRRR: 98 X: 6.16602 -INDEX GOES BRRR: 204 X: 12.7939 -INDEX GOES BRRR: 937 X: -5.42773 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 328 X: 20.5518 -INDEX GOES BRRR: 220 X: 13.7539 -INDEX GOES BRRR: 58 X: 3.64746 -INDEX GOES BRRR: 266 X: 16.6709 -INDEX GOES BRRR: 25 X: 1.6123 -INDEX GOES BRRR: 238 X: 14.9062 -INDEX GOES BRRR: 310 X: 19.4062 -INDEX GOES BRRR: 366 X: 22.8936 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.2168 -INDEX GOES BRRR: 903 X: -7.5459 -INDEX GOES BRRR: 136 X: 8.53125 -INDEX GOES BRRR: 1004 X: -1.19141 -INDEX GOES BRRR: 182 X: 11.4072 -INDEX GOES BRRR: 117 X: 7.36426 -INDEX GOES BRRR: 320 X: 20.0596 -INDEX GOES BRRR: 133 X: 8.37402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.3975 -INDEX GOES BRRR: 429 X: 26.8613 -INDEX GOES BRRR: 81 X: 5.12012 -INDEX GOES BRRR: 7 X: 0.453125 -INDEX GOES BRRR: 187 X: 11.7471 -INDEX GOES BRRR: 428 X: 26.7627 -INDEX GOES BRRR: 352 X: 22.0508 -INDEX GOES BRRR: 346 X: 21.626 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8145 -INDEX GOES BRRR: 9 X: 0.580078 -INDEX GOES BRRR: 436 X: 27.3047 -INDEX GOES BRRR: 980 X: -2.7207 -INDEX GOES BRRR: 217 X: 13.5713 -INDEX GOES BRRR: 242 X: 15.1445 -INDEX GOES BRRR: 174 X: 10.8818 -INDEX GOES BRRR: 277 X: 17.3545 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.83203 -INDEX GOES BRRR: 37 X: 2.3623 -INDEX GOES BRRR: 392 X: 24.5508 -INDEX GOES BRRR: 213 X: 13.3516 -INDEX GOES BRRR: 166 X: 10.4326 -INDEX GOES BRRR: 141 X: 8.86035 -INDEX GOES BRRR: 341 X: 21.3271 -INDEX GOES BRRR: 67 X: 4.20801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4482 -INDEX GOES BRRR: 119 X: 7.49219 -INDEX GOES BRRR: 284 X: 17.7686 -INDEX GOES BRRR: 306 X: 19.168 -INDEX GOES BRRR: 234 X: 14.666 -INDEX GOES BRRR: 84 X: 5.26953 -INDEX GOES BRRR: 51 X: 3.21289 -INDEX GOES BRRR: 176 X: 11.0547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 69 X: 4.35742 -INDEX GOES BRRR: 961 X: -3.88086 -INDEX GOES BRRR: 134 X: 8.4043 -INDEX GOES BRRR: 225 X: 14.0625 -INDEX GOES BRRR: 203 X: 12.7031 -INDEX GOES BRRR: 196 X: 12.2969 -INDEX GOES BRRR: 387 X: 24.1973 -INDEX GOES BRRR: 166 X: 10.3799 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 300 X: 18.7793 -INDEX GOES BRRR: 1000 X: -1.46191 -INDEX GOES BRRR: 995 X: -1.79688 -INDEX GOES BRRR: 936 X: -5.46973 -INDEX GOES BRRR: 895 X: -8.0332 -INDEX GOES BRRR: 205 X: 12.8477 -INDEX GOES BRRR: 319 X: 19.9707 -INDEX GOES BRRR: 168 X: 10.5498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 993 X: -1.91211 -INDEX GOES BRRR: 417 X: 26.0996 -INDEX GOES BRRR: 154 X: 9.63672 -INDEX GOES BRRR: 78 X: 4.92871 -INDEX GOES BRRR: 213 X: 13.3125 -INDEX GOES BRRR: 1012 X: -0.733398 -INDEX GOES BRRR: 279 X: 17.4766 -INDEX GOES BRRR: 1000 X: -1.45801 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8672 -INDEX GOES BRRR: 253 X: 15.8184 -INDEX GOES BRRR: 921 X: -6.38477 -INDEX GOES BRRR: 386 X: 24.1455 -INDEX GOES BRRR: 64 X: 4.05273 -INDEX GOES BRRR: 77 X: 4.81543 -INDEX GOES BRRR: 210 X: 13.1846 -INDEX GOES BRRR: 910 X: -7.10547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.2568 -INDEX GOES BRRR: 267 X: 16.7021 -INDEX GOES BRRR: 292 X: 18.2676 -INDEX GOES BRRR: 47 X: 2.94141 -INDEX GOES BRRR: 146 X: 9.16992 -INDEX GOES BRRR: 426 X: 26.6807 -INDEX GOES BRRR: 93 X: 5.86133 -INDEX GOES BRRR: 107 X: 6.72656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.0742 -INDEX GOES BRRR: 20 X: 1.30859 -INDEX GOES BRRR: 904 X: -7.45312 -INDEX GOES BRRR: 158 X: 9.89258 -INDEX GOES BRRR: 66 X: 4.15039 -INDEX GOES BRRR: 88 X: 5.54102 -INDEX GOES BRRR: 994 X: -1.83594 -INDEX GOES BRRR: 120 X: 7.55176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.9248 -INDEX GOES BRRR: 11 X: 0.737305 -INDEX GOES BRRR: 849 X: -10.9258 -INDEX GOES BRRR: 13 X: 0.852539 -INDEX GOES BRRR: 132 X: 8.29297 -INDEX GOES BRRR: 129 X: 8.08789 -INDEX GOES BRRR: 220 X: 13.7832 -INDEX GOES BRRR: 288 X: 18.043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.07715 -INDEX GOES BRRR: 123 X: 7.73145 -INDEX GOES BRRR: 208 X: 13.0029 -INDEX GOES BRRR: 895 X: -8.04688 -INDEX GOES BRRR: 257 X: 16.1084 -INDEX GOES BRRR: 117 X: 7.34277 -INDEX GOES BRRR: 1010 X: -0.860352 -INDEX GOES BRRR: 82 X: 5.14746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8184 -INDEX GOES BRRR: 115 X: 7.19238 -INDEX GOES BRRR: 262 X: 16.4082 -INDEX GOES BRRR: 257 X: 16.1211 -INDEX GOES BRRR: 149 X: 9.32812 -INDEX GOES BRRR: 377 X: 23.5664 -INDEX GOES BRRR: 389 X: 24.3711 -INDEX GOES BRRR: 265 X: 16.5898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.2676 -INDEX GOES BRRR: 120 X: 7.54102 -INDEX GOES BRRR: 48 X: 3.00781 -INDEX GOES BRRR: 264 X: 16.5283 -INDEX GOES BRRR: 74 X: 4.64551 -INDEX GOES BRRR: 220 X: 13.79 -INDEX GOES BRRR: 124 X: 7.75391 -INDEX GOES BRRR: 216 X: 13.5537 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 66 X: 4.14746 -INDEX GOES BRRR: 251 X: 15.7344 -INDEX GOES BRRR: 201 X: 12.5938 -INDEX GOES BRRR: 257 X: 16.123 -INDEX GOES BRRR: 1017 X: -0.417969 -INDEX GOES BRRR: 11 X: 0.689453 -INDEX GOES BRRR: 207 X: 12.9473 -INDEX GOES BRRR: 48 X: 3.03516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8564 -INDEX GOES BRRR: 850 X: -10.8477 -INDEX GOES BRRR: 87 X: 5.49805 -INDEX GOES BRRR: 131 X: 8.23535 -INDEX GOES BRRR: 197 X: 12.3467 -INDEX GOES BRRR: 355 X: 22.1904 -INDEX GOES BRRR: 907 X: -7.30078 -INDEX GOES BRRR: 970 X: -3.34082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.166 -INDEX GOES BRRR: 335 X: 20.9502 -INDEX GOES BRRR: 885 X: -8.64062 -INDEX GOES BRRR: 165 X: 10.3496 -INDEX GOES BRRR: 360 X: 22.5605 -INDEX GOES BRRR: 356 X: 22.2568 -INDEX GOES BRRR: 108 X: 6.79004 -INDEX GOES BRRR: 59 X: 3.74512 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 101 X: 6.32812 -INDEX GOES BRRR: 316 X: 19.7646 -INDEX GOES BRRR: 243 X: 15.2119 -INDEX GOES BRRR: 246 X: 15.3809 -INDEX GOES BRRR: 359 X: 22.4873 -INDEX GOES BRRR: 300 X: 18.7832 -INDEX GOES BRRR: 201 X: 12.5664 -INDEX GOES BRRR: 307 X: 19.2002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 391 X: 24.4453 -INDEX GOES BRRR: 52 X: 3.28418 -INDEX GOES BRRR: 821 X: -12.6416 -INDEX GOES BRRR: 42 X: 2.68359 -INDEX GOES BRRR: 976 X: -2.94629 -INDEX GOES BRRR: 157 X: 9.81543 -INDEX GOES BRRR: 235 X: 14.71 -INDEX GOES BRRR: 294 X: 18.4238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.3418 -INDEX GOES BRRR: 216 X: 13.5068 -INDEX GOES BRRR: 936 X: -5.44629 -INDEX GOES BRRR: 295 X: 18.457 -INDEX GOES BRRR: 2 X: 0.152344 -INDEX GOES BRRR: 12 X: 0.761719 -INDEX GOES BRRR: 243 X: 15.2295 -INDEX GOES BRRR: 775 X: -15.5234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2178 -INDEX GOES BRRR: 236 X: 14.7734 -INDEX GOES BRRR: 458 X: 28.6348 -INDEX GOES BRRR: 165 X: 10.3594 -INDEX GOES BRRR: 227 X: 14.1934 -INDEX GOES BRRR: 124 X: 7.77832 -INDEX GOES BRRR: 842 X: -11.333 -INDEX GOES BRRR: 1008 X: -0.964844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 366 X: 22.8818 -INDEX GOES BRRR: 828 X: -12.2305 -INDEX GOES BRRR: 145 X: 9.12109 -INDEX GOES BRRR: 138 X: 8.68262 -INDEX GOES BRRR: 393 X: 24.5752 -INDEX GOES BRRR: 56 X: 3.50195 -INDEX GOES BRRR: 1013 X: -0.638672 -INDEX GOES BRRR: 994 X: -1.84766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 980 X: -2.72168 -INDEX GOES BRRR: 979 X: -2.78418 -INDEX GOES BRRR: 974 X: -3.08789 -INDEX GOES BRRR: 87 X: 5.48828 -INDEX GOES BRRR: 287 X: 17.9404 -INDEX GOES BRRR: 67 X: 4.22949 -INDEX GOES BRRR: 259 X: 16.2324 -INDEX GOES BRRR: 441 X: 27.5977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.64258 -INDEX GOES BRRR: 304 X: 19.002 -INDEX GOES BRRR: 381 X: 23.8555 -INDEX GOES BRRR: 53 X: 3.33398 -INDEX GOES BRRR: 1001 X: -1.37695 -INDEX GOES BRRR: 71 X: 4.4502 -INDEX GOES BRRR: 41 X: 2.57812 -INDEX GOES BRRR: 102 X: 6.39551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.39453 -INDEX GOES BRRR: 141 X: 8.82617 -INDEX GOES BRRR: 169 X: 10.624 -INDEX GOES BRRR: 154 X: 9.65625 -INDEX GOES BRRR: 121 X: 7.5752 -INDEX GOES BRRR: 93 X: 5.84766 -INDEX GOES BRRR: 22 X: 1.39941 -INDEX GOES BRRR: 10 X: 0.68457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 294 X: 18.4033 -INDEX GOES BRRR: 315 X: 19.7295 -INDEX GOES BRRR: 187 X: 11.7148 -INDEX GOES BRRR: 888 X: -8.49609 -INDEX GOES BRRR: 229 X: 14.3594 -INDEX GOES BRRR: 176 X: 11.0557 -INDEX GOES BRRR: 495 X: 30.9541 -INDEX GOES BRRR: 159 X: 9.9873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9727 -INDEX GOES BRRR: 217 X: 13.6064 -INDEX GOES BRRR: 286 X: 17.9082 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 219 X: 13.7344 -INDEX GOES BRRR: 141 X: 8.85059 -INDEX GOES BRRR: 32 X: 2.05957 -INDEX GOES BRRR: 80 X: 5.01074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.69824 -INDEX GOES BRRR: 94 X: 5.88477 -INDEX GOES BRRR: 168 X: 10.5332 -INDEX GOES BRRR: 751 X: -17.041 -INDEX GOES BRRR: 119 X: 7.44629 -INDEX GOES BRRR: 269 X: 16.8154 -INDEX GOES BRRR: 30 X: 1.88867 -INDEX GOES BRRR: 53 X: 3.36621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8604 -INDEX GOES BRRR: 112 X: 7.04102 -INDEX GOES BRRR: 184 X: 11.5117 -INDEX GOES BRRR: 91 X: 5.72168 -INDEX GOES BRRR: 1022 X: -0.111328 -INDEX GOES BRRR: 419 X: 26.2402 -INDEX GOES BRRR: 1011 X: -0.801758 -INDEX GOES BRRR: 217 X: 13.5781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 956 X: -4.20801 -INDEX GOES BRRR: 52 X: 3.25879 -INDEX GOES BRRR: 7 X: 0.47168 -INDEX GOES BRRR: 67 X: 4.20996 -INDEX GOES BRRR: 153 X: 9.61133 -INDEX GOES BRRR: 62 X: 3.88379 -INDEX GOES BRRR: 25 X: 1.58887 -INDEX GOES BRRR: 48 X: 3.05176 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8652 -INDEX GOES BRRR: 176 X: 11.0449 -INDEX GOES BRRR: 304 X: 19.0078 -INDEX GOES BRRR: 105 X: 6.59277 -INDEX GOES BRRR: 419 X: 26.248 -INDEX GOES BRRR: 18 X: 1.17773 -INDEX GOES BRRR: 367 X: 22.959 -INDEX GOES BRRR: 29 X: 1.84375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.240234 -INDEX GOES BRRR: 352 X: 22.0615 -INDEX GOES BRRR: 337 X: 21.0654 -INDEX GOES BRRR: 142 X: 8.89746 -INDEX GOES BRRR: 991 X: -2.05371 -INDEX GOES BRRR: 1 X: 0.119141 -INDEX GOES BRRR: 163 X: 10.2314 -INDEX GOES BRRR: 252 X: 15.7939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 114 X: 7.125 -INDEX GOES BRRR: 268 X: 16.7891 -INDEX GOES BRRR: 248 X: 15.5596 -INDEX GOES BRRR: 222 X: 13.9092 -INDEX GOES BRRR: 75 X: 4.71387 -INDEX GOES BRRR: 153 X: 9.62402 -INDEX GOES BRRR: 59 X: 3.71582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 326 X: 20.4238 -INDEX GOES BRRR: 105 X: 6.58789 -INDEX GOES BRRR: 403 X: 25.21 -INDEX GOES BRRR: 142 X: 8.90039 -INDEX GOES BRRR: 51 X: 3.22363 -INDEX GOES BRRR: 163 X: 10.2334 -INDEX GOES BRRR: 445 X: 27.8545 -INDEX GOES BRRR: 126 X: 7.90137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1826 -INDEX GOES BRRR: 252 X: 15.8066 -INDEX GOES BRRR: 153 X: 9.59473 -INDEX GOES BRRR: 369 X: 23.0684 -INDEX GOES BRRR: 358 X: 22.4219 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 140 X: 8.75684 -INDEX GOES BRRR: 251 X: 15.7109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 44 X: 2.75391 -INDEX GOES BRRR: 880 X: -8.97461 -INDEX GOES BRRR: 302 X: 18.9326 -INDEX GOES BRRR: 167 X: 10.4863 -INDEX GOES BRRR: 27 X: 1.71094 -INDEX GOES BRRR: 195 X: 12.2061 -INDEX GOES BRRR: 182 X: 11.3965 -INDEX GOES BRRR: 252 X: 15.7529 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.2207 -INDEX GOES BRRR: 131 X: 8.24707 -INDEX GOES BRRR: 58 X: 3.65723 -INDEX GOES BRRR: 1021 X: -0.136719 -INDEX GOES BRRR: 946 X: -4.84277 -INDEX GOES BRRR: 876 X: -9.2207 -INDEX GOES BRRR: 367 X: 22.9482 -INDEX GOES BRRR: 193 X: 12.0908 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2646 -INDEX GOES BRRR: 941 X: -5.15625 -INDEX GOES BRRR: 125 X: 7.84082 -INDEX GOES BRRR: 74 X: 4.68457 -INDEX GOES BRRR: 308 X: 19.3086 -INDEX GOES BRRR: 144 X: 9.02148 -INDEX GOES BRRR: 223 X: 13.9395 -INDEX GOES BRRR: 79 X: 4.99121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.6211 -INDEX GOES BRRR: 881 X: -8.91992 -INDEX GOES BRRR: 148 X: 9.28027 -INDEX GOES BRRR: 235 X: 14.6973 -INDEX GOES BRRR: 111 X: 6.95117 -INDEX GOES BRRR: 355 X: 22.209 -INDEX GOES BRRR: 213 X: 13.3652 -INDEX GOES BRRR: 86 X: 5.38184 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.6084 -INDEX GOES BRRR: 21 X: 1.35156 -INDEX GOES BRRR: 328 X: 20.5381 -INDEX GOES BRRR: 960 X: -3.98926 -INDEX GOES BRRR: 145 X: 9.10254 -INDEX GOES BRRR: 92 X: 5.78906 -INDEX GOES BRRR: 121 X: 7.6123 -INDEX GOES BRRR: 187 X: 11.7197 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 997 X: -1.64648 -INDEX GOES BRRR: 52 X: 3.25977 -INDEX GOES BRRR: 286 X: 17.9062 -INDEX GOES BRRR: 202 X: 12.6465 -INDEX GOES BRRR: 281 X: 17.584 -INDEX GOES BRRR: 109 X: 6.86816 -INDEX GOES BRRR: 304 X: 19 -INDEX GOES BRRR: 121 X: 7.56738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.72266 -INDEX GOES BRRR: 104 X: 6.53418 -INDEX GOES BRRR: 292 X: 18.3018 -INDEX GOES BRRR: 75 X: 4.74805 -INDEX GOES BRRR: 78 X: 4.91699 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 216 X: 13.5049 -INDEX GOES BRRR: 281 X: 17.5723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 977 X: -2.93164 -INDEX GOES BRRR: 326 X: 20.4053 -INDEX GOES BRRR: 887 X: -8.52344 -INDEX GOES BRRR: 203 X: 12.7256 -INDEX GOES BRRR: 938 X: -5.36816 -INDEX GOES BRRR: 1014 X: -0.597656 -INDEX GOES BRRR: 367 X: 22.958 -INDEX GOES BRRR: 266 X: 16.6436 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.61035 -INDEX GOES BRRR: 112 X: 7.06152 -INDEX GOES BRRR: 320 X: 20.0127 -INDEX GOES BRRR: 982 X: -2.59766 -INDEX GOES BRRR: 129 X: 8.06445 -INDEX GOES BRRR: 242 X: 15.1748 -INDEX GOES BRRR: 184 X: 11.5557 -INDEX GOES BRRR: 267 X: 16.7012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6729 -INDEX GOES BRRR: 27 X: 1.72461 -INDEX GOES BRRR: 876 X: -9.19531 -INDEX GOES BRRR: 410 X: 25.6621 -INDEX GOES BRRR: 128 X: 8.05957 -INDEX GOES BRRR: 372 X: 23.2656 -INDEX GOES BRRR: 212 X: 13.2832 -INDEX GOES BRRR: 13 X: 0.841797 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 225 X: 14.1064 -INDEX GOES BRRR: 284 X: 17.7695 -INDEX GOES BRRR: 137 X: 8.60449 -INDEX GOES BRRR: 203 X: 12.7207 -INDEX GOES BRRR: 22 X: 1.41309 -INDEX GOES BRRR: 180 X: 11.252 -INDEX GOES BRRR: 896 X: -7.96289 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.6875 -INDEX GOES BRRR: 207 X: 12.9688 -INDEX GOES BRRR: 81 X: 5.10645 -INDEX GOES BRRR: 71 X: 4.49609 -INDEX GOES BRRR: 20 X: 1.27734 -INDEX GOES BRRR: 23 X: 1.44434 -INDEX GOES BRRR: 63 X: 3.98828 -INDEX GOES BRRR: 303 X: 18.9902 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 985 X: -2.39355 -INDEX GOES BRRR: 128 X: 8.00879 -INDEX GOES BRRR: 93 X: 5.83789 -INDEX GOES BRRR: 125 X: 7.86914 -INDEX GOES BRRR: 1015 X: -0.504883 -INDEX GOES BRRR: 52 X: 3.27246 -INDEX GOES BRRR: 52 X: 3.27148 -INDEX GOES BRRR: 75 X: 4.73438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.11914 -INDEX GOES BRRR: 334 X: 20.8779 -INDEX GOES BRRR: 69 X: 4.34473 -INDEX GOES BRRR: 129 X: 8.0957 -INDEX GOES BRRR: 242 X: 15.1758 -INDEX GOES BRRR: 366 X: 22.9336 -INDEX GOES BRRR: 986 X: -2.32812 -INDEX GOES BRRR: 1007 X: -1.04688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 437 X: 27.3486 -INDEX GOES BRRR: 14 X: 0.904297 -INDEX GOES BRRR: 309 X: 19.3311 -INDEX GOES BRRR: 429 X: 26.8379 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 173 X: 10.8408 -INDEX GOES BRRR: 88 X: 5.5 -INDEX GOES BRRR: 66 X: 4.17773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 36 X: 2.25 -INDEX GOES BRRR: 48 X: 3.00293 -INDEX GOES BRRR: 320 X: 20.0049 -INDEX GOES BRRR: 271 X: 16.9463 -INDEX GOES BRRR: 161 X: 10.0908 -INDEX GOES BRRR: 240 X: 15.0361 -INDEX GOES BRRR: 8 X: 0.506836 -INDEX GOES BRRR: 290 X: 18.1387 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 996 X: -1.71973 -INDEX GOES BRRR: 126 X: 7.9209 -INDEX GOES BRRR: 783 X: -15.04 -INDEX GOES BRRR: 395 X: 24.749 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 12 X: 0.760742 -INDEX GOES BRRR: 314 X: 19.6338 -INDEX GOES BRRR: 270 X: 16.8955 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.578125 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 229 X: 14.3721 -INDEX GOES BRRR: 193 X: 12.0762 -INDEX GOES BRRR: 332 X: 20.7744 -INDEX GOES BRRR: 920 X: -6.45996 -INDEX GOES BRRR: 950 X: -4.60547 -INDEX GOES BRRR: 187 X: 11.7314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8418 -INDEX GOES BRRR: 245 X: 15.334 -INDEX GOES BRRR: 271 X: 16.9648 -INDEX GOES BRRR: 273 X: 17.0859 -INDEX GOES BRRR: 258 X: 16.1299 -INDEX GOES BRRR: 989 X: -2.12891 -INDEX GOES BRRR: 280 X: 17.5479 -INDEX GOES BRRR: 110 X: 6.92773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.93555 -INDEX GOES BRRR: 922 X: -6.3418 -INDEX GOES BRRR: 960 X: -3.98242 -INDEX GOES BRRR: 10 X: 0.643555 -INDEX GOES BRRR: 107 X: 6.69629 -INDEX GOES BRRR: 77 X: 4.84766 -INDEX GOES BRRR: 266 X: 16.6777 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.27832 -INDEX GOES BRRR: 941 X: -5.14258 -INDEX GOES BRRR: 70 X: 4.37695 -INDEX GOES BRRR: 156 X: 9.77539 -INDEX GOES BRRR: 34 X: 2.16797 -INDEX GOES BRRR: 334 X: 20.9062 -INDEX GOES BRRR: 127 X: 7.97363 -INDEX GOES BRRR: 167 X: 10.4863 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 974 X: -3.07031 -INDEX GOES BRRR: 33 X: 2.11328 -INDEX GOES BRRR: 192 X: 12.0156 -INDEX GOES BRRR: 229 X: 14.3438 -INDEX GOES BRRR: 299 X: 18.7236 -INDEX GOES BRRR: 196 X: 12.2646 -INDEX GOES BRRR: 153 X: 9.56543 -INDEX GOES BRRR: 3 X: 0.235352 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 150 X: 9.38281 -INDEX GOES BRRR: 202 X: 12.6846 -INDEX GOES BRRR: 441 X: 27.5762 -INDEX GOES BRRR: 842 X: -11.3213 -INDEX GOES BRRR: 906 X: -7.3457 -INDEX GOES BRRR: 52 X: 3.29688 -INDEX GOES BRRR: 919 X: -6.52734 -INDEX GOES BRRR: 472 X: 29.5029 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 948 X: -4.69922 -INDEX GOES BRRR: 284 X: 17.7578 -INDEX GOES BRRR: 106 X: 6.625 -INDEX GOES BRRR: 1007 X: -1.04004 -INDEX GOES BRRR: 96 X: 6.05957 -INDEX GOES BRRR: 372 X: 23.2969 -INDEX GOES BRRR: 998 X: -1.61621 -INDEX GOES BRRR: 188 X: 11.7988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3535 -INDEX GOES BRRR: 29 X: 1.87207 -INDEX GOES BRRR: 200 X: 12.5488 -INDEX GOES BRRR: 378 X: 23.6396 -INDEX GOES BRRR: 211 X: 13.2021 -INDEX GOES BRRR: 108 X: 6.76562 -INDEX GOES BRRR: 352 X: 22.0439 -INDEX GOES BRRR: 973 X: -3.18652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.58203 -INDEX GOES BRRR: 373 X: 23.3711 -INDEX GOES BRRR: 382 X: 23.9268 -INDEX GOES BRRR: 197 X: 12.3477 -INDEX GOES BRRR: 891 X: -8.29297 -INDEX GOES BRRR: 931 X: -5.76465 -INDEX GOES BRRR: 373 X: 23.374 -INDEX GOES BRRR: 160 X: 10.0596 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9961 -INDEX GOES BRRR: 5 X: 0.313477 -INDEX GOES BRRR: 91 X: 5.71973 -INDEX GOES BRRR: 828 X: -12.2129 -INDEX GOES BRRR: 0 X: 0.00390625 -INDEX GOES BRRR: 289 X: 18.1162 -INDEX GOES BRRR: 328 X: 20.5488 -INDEX GOES BRRR: 468 X: 29.2539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 456 X: 28.5215 -INDEX GOES BRRR: 316 X: 19.7666 -INDEX GOES BRRR: 102 X: 6.37891 -INDEX GOES BRRR: 131 X: 8.2373 -INDEX GOES BRRR: 309 X: 19.374 -INDEX GOES BRRR: 148 X: 9.25 -INDEX GOES BRRR: 156 X: 9.80371 -INDEX GOES BRRR: 454 X: 28.3867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 916 X: -6.69531 -INDEX GOES BRRR: 890 X: -8.36035 -INDEX GOES BRRR: 41 X: 2.57129 -INDEX GOES BRRR: 143 X: 8.96777 -INDEX GOES BRRR: 150 X: 9.39648 -INDEX GOES BRRR: 109 X: 6.82812 -INDEX GOES BRRR: 233 X: 14.6045 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.2256 -INDEX GOES BRRR: 154 X: 9.66309 -INDEX GOES BRRR: 29 X: 1.85156 -INDEX GOES BRRR: 1011 X: -0.783203 -INDEX GOES BRRR: 205 X: 12.8369 -INDEX GOES BRRR: 298 X: 18.6484 -INDEX GOES BRRR: 284 X: 17.79 -INDEX GOES BRRR: 81 X: 5.09961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.84863 -INDEX GOES BRRR: 441 X: 27.5791 -INDEX GOES BRRR: 322 X: 20.1543 -INDEX GOES BRRR: 997 X: -1.62891 -INDEX GOES BRRR: 888 X: -8.5 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 162 X: 10.1699 -INDEX GOES BRRR: 326 X: 20.4209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.64453 -INDEX GOES BRRR: 100 X: 6.27637 -INDEX GOES BRRR: 183 X: 11.4668 -INDEX GOES BRRR: 970 X: -3.31445 -INDEX GOES BRRR: 134 X: 8.41113 -INDEX GOES BRRR: 292 X: 18.2705 -INDEX GOES BRRR: 930 X: -5.83105 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7109 -INDEX GOES BRRR: 173 X: 10.8301 -INDEX GOES BRRR: 229 X: 14.3486 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 263 X: 16.4844 -INDEX GOES BRRR: 346 X: 21.6797 -INDEX GOES BRRR: 861 X: -10.1787 -INDEX GOES BRRR: 306 X: 19.1699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 944 X: -4.96191 -INDEX GOES BRRR: 78 X: 4.87891 -INDEX GOES BRRR: 55 X: 3.47266 -INDEX GOES BRRR: 242 X: 15.1689 -INDEX GOES BRRR: 166 X: 10.4258 -INDEX GOES BRRR: 107 X: 6.69629 -INDEX GOES BRRR: 377 X: 23.5684 -INDEX GOES BRRR: 222 X: 13.8955 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 422 X: 26.3945 -INDEX GOES BRRR: 159 X: 9.96484 -INDEX GOES BRRR: 320 X: 20.043 -INDEX GOES BRRR: 85 X: 5.3418 -INDEX GOES BRRR: 42 X: 2.62598 -INDEX GOES BRRR: 111 X: 6.95703 -INDEX GOES BRRR: 429 X: 26.8486 -INDEX GOES BRRR: 141 X: 8.85059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7871 -INDEX GOES BRRR: 167 X: 10.4727 -INDEX GOES BRRR: 77 X: 4.82129 -INDEX GOES BRRR: 34 X: 2.12598 -INDEX GOES BRRR: 58 X: 3.65723 -INDEX GOES BRRR: 992 X: -1.94043 -INDEX GOES BRRR: 854 X: -10.623 -INDEX GOES BRRR: 191 X: 11.9385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.3057 -INDEX GOES BRRR: 78 X: 4.8916 -INDEX GOES BRRR: 131 X: 8.23242 -INDEX GOES BRRR: 16 X: 1.02539 -INDEX GOES BRRR: 205 X: 12.8555 -INDEX GOES BRRR: 858 X: -10.3721 -INDEX GOES BRRR: 971 X: -3.30762 -INDEX GOES BRRR: 77 X: 4.8252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 928 X: -5.96387 -INDEX GOES BRRR: 935 X: -5.54004 -INDEX GOES BRRR: 310 X: 19.4238 -INDEX GOES BRRR: 348 X: 21.7529 -INDEX GOES BRRR: 142 X: 8.93359 -INDEX GOES BRRR: 204 X: 12.791 -INDEX GOES BRRR: 138 X: 8.68164 -INDEX GOES BRRR: 282 X: 17.666 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 32 X: 2.05664 -INDEX GOES BRRR: 150 X: 9.38672 -INDEX GOES BRRR: 922 X: -6.3457 -INDEX GOES BRRR: 791 X: -14.5615 -INDEX GOES BRRR: 169 X: 10.5898 -INDEX GOES BRRR: 33 X: 2.0752 -INDEX GOES BRRR: 352 X: 22.0264 -INDEX GOES BRRR: 230 X: 14.4307 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7256 -INDEX GOES BRRR: 297 X: 18.5654 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 73 X: 4.59863 -INDEX GOES BRRR: 87 X: 5.46484 -INDEX GOES BRRR: 299 X: 18.7119 -INDEX GOES BRRR: 245 X: 15.3584 -INDEX GOES BRRR: 142 X: 8.93066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 3 X: 0.199219 -INDEX GOES BRRR: 18 X: 1.14551 -INDEX GOES BRRR: 752 X: -16.9863 -INDEX GOES BRRR: 260 X: 16.251 -INDEX GOES BRRR: 266 X: 16.6797 -INDEX GOES BRRR: 219 X: 13.7119 -INDEX GOES BRRR: 25 X: 1.58984 -INDEX GOES BRRR: 308 X: 19.2627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.78613 -INDEX GOES BRRR: 12 X: 0.793945 -INDEX GOES BRRR: 157 X: 9.85059 -INDEX GOES BRRR: 92 X: 5.80273 -INDEX GOES BRRR: 305 X: 19.1084 -INDEX GOES BRRR: 266 X: 16.6494 -INDEX GOES BRRR: 94 X: 5.88867 -INDEX GOES BRRR: 226 X: 14.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 70 X: 4.41113 -INDEX GOES BRRR: 359 X: 22.4697 -INDEX GOES BRRR: 23 X: 1.49902 -INDEX GOES BRRR: 973 X: -3.14746 -INDEX GOES BRRR: 115 X: 7.19824 -INDEX GOES BRRR: 109 X: 6.85156 -INDEX GOES BRRR: 151 X: 9.44043 -INDEX GOES BRRR: 299 X: 18.6914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1572 -INDEX GOES BRRR: 361 X: 22.6172 -INDEX GOES BRRR: 325 X: 20.3311 -INDEX GOES BRRR: 150 X: 9.39355 -INDEX GOES BRRR: 38 X: 2.42285 -INDEX GOES BRRR: 100 X: 6.25 -INDEX GOES BRRR: 370 X: 23.1826 -INDEX GOES BRRR: 31 X: 1.9707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.50391 -INDEX GOES BRRR: 250 X: 15.6504 -INDEX GOES BRRR: 267 X: 16.7207 -INDEX GOES BRRR: 945 X: -4.89648 -INDEX GOES BRRR: 272 X: 17.0498 -INDEX GOES BRRR: 92 X: 5.7666 -INDEX GOES BRRR: 986 X: -2.36621 -INDEX GOES BRRR: 339 X: 21.1992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 428 X: 26.7617 -INDEX GOES BRRR: 149 X: 9.32715 -INDEX GOES BRRR: 302 X: 18.8818 -INDEX GOES BRRR: 154 X: 9.67285 -INDEX GOES BRRR: 64 X: 4.03906 -INDEX GOES BRRR: 341 X: 21.3379 -INDEX GOES BRRR: 132 X: 8.2666 -INDEX GOES BRRR: 811 X: -13.2695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 295 X: 18.4453 -INDEX GOES BRRR: 896 X: -7.96777 -INDEX GOES BRRR: 162 X: 10.1426 -INDEX GOES BRRR: 116 X: 7.27637 -INDEX GOES BRRR: 976 X: -2.99805 -INDEX GOES BRRR: 65 X: 4.09473 -INDEX GOES BRRR: 161 X: 10.0645 -INDEX GOES BRRR: 206 X: 12.915 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.8896 -INDEX GOES BRRR: 247 X: 15.4883 -INDEX GOES BRRR: 414 X: 25.8818 -INDEX GOES BRRR: 963 X: -3.76562 -INDEX GOES BRRR: 143 X: 8.99805 -INDEX GOES BRRR: 185 X: 11.5752 -INDEX GOES BRRR: 220 X: 13.7793 -INDEX GOES BRRR: 254 X: 15.9092 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 310 X: 19.4121 -INDEX GOES BRRR: 155 X: 9.70215 -INDEX GOES BRRR: 9 X: 0.623047 -INDEX GOES BRRR: 304 X: 19.0439 -INDEX GOES BRRR: 332 X: 20.8115 -INDEX GOES BRRR: 979 X: -2.78125 -INDEX GOES BRRR: 23 X: 1.46875 -INDEX GOES BRRR: 141 X: 8.84961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 353 X: 22.0645 -INDEX GOES BRRR: 149 X: 9.34277 -INDEX GOES BRRR: 267 X: 16.6924 -INDEX GOES BRRR: 96 X: 6.03711 -INDEX GOES BRRR: 329 X: 20.5977 -INDEX GOES BRRR: 393 X: 24.6025 -INDEX GOES BRRR: 319 X: 19.9941 -INDEX GOES BRRR: 150 X: 9.43262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.56738 -INDEX GOES BRRR: 944 X: -4.97168 -INDEX GOES BRRR: 195 X: 12.2422 -INDEX GOES BRRR: 435 X: 27.2471 -INDEX GOES BRRR: 217 X: 13.6025 -INDEX GOES BRRR: 295 X: 18.4795 -INDEX GOES BRRR: 72 X: 4.52344 -INDEX GOES BRRR: 344 X: 21.5293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 319 X: 19.9854 -INDEX GOES BRRR: 243 X: 15.2305 -INDEX GOES BRRR: 200 X: 12.5459 -INDEX GOES BRRR: 113 X: 7.10352 -INDEX GOES BRRR: 746 X: -17.3467 -INDEX GOES BRRR: 303 X: 18.9521 -INDEX GOES BRRR: 302 X: 18.9277 -INDEX GOES BRRR: 293 X: 18.3467 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.0332 -INDEX GOES BRRR: 300 X: 18.8115 -INDEX GOES BRRR: 258 X: 16.1777 -INDEX GOES BRRR: 314 X: 19.6836 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 47 X: 2.94727 -INDEX GOES BRRR: 464 X: 29.0342 -INDEX GOES BRRR: 309 X: 19.3447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.1094 -INDEX GOES BRRR: 1001 X: -1.38184 -INDEX GOES BRRR: 1013 X: -0.678711 -INDEX GOES BRRR: 3 X: 0.210938 -INDEX GOES BRRR: 180 X: 11.3047 -INDEX GOES BRRR: 65 X: 4.08691 -INDEX GOES BRRR: 236 X: 14.7871 -INDEX GOES BRRR: 421 X: 26.3223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.398438 -INDEX GOES BRRR: 278 X: 17.4014 -INDEX GOES BRRR: 197 X: 12.3604 -INDEX GOES BRRR: 139 X: 8.69629 -INDEX GOES BRRR: 108 X: 6.7666 -INDEX GOES BRRR: 973 X: -3.17578 -INDEX GOES BRRR: 134 X: 8.37891 -INDEX GOES BRRR: 67 X: 4.19824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.6436 -INDEX GOES BRRR: 246 X: 15.4053 -INDEX GOES BRRR: 125 X: 7.81348 -INDEX GOES BRRR: 200 X: 12.5518 -INDEX GOES BRRR: 316 X: 19.8037 -INDEX GOES BRRR: 144 X: 9.02441 -INDEX GOES BRRR: 797 X: -14.1367 -INDEX GOES BRRR: 146 X: 9.14453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.41309 -INDEX GOES BRRR: 101 X: 6.3291 -INDEX GOES BRRR: 107 X: 6.70508 -INDEX GOES BRRR: 156 X: 9.79688 -INDEX GOES BRRR: 185 X: 11.5879 -INDEX GOES BRRR: 118 X: 7.43457 -INDEX GOES BRRR: 263 X: 16.4658 -INDEX GOES BRRR: 320 X: 20.0498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9023 -INDEX GOES BRRR: 83 X: 5.23242 -INDEX GOES BRRR: 97 X: 6.08984 -INDEX GOES BRRR: 134 X: 8.40527 -INDEX GOES BRRR: 177 X: 11.1113 -INDEX GOES BRRR: 31 X: 1.97656 -INDEX GOES BRRR: 239 X: 14.9648 -INDEX GOES BRRR: 95 X: 5.99414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 342 X: 21.3994 -INDEX GOES BRRR: 140 X: 8.78613 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 108 X: 6.77344 -INDEX GOES BRRR: 125 X: 7.83789 -INDEX GOES BRRR: 1010 X: -0.836914 -INDEX GOES BRRR: 224 X: 14.0488 -INDEX GOES BRRR: 1007 X: -1.02637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 432 X: 27.0293 -INDEX GOES BRRR: 312 X: 19.5312 -INDEX GOES BRRR: 487 X: 30.4521 -INDEX GOES BRRR: 72 X: 4.52441 -INDEX GOES BRRR: 290 X: 18.1709 -INDEX GOES BRRR: 128 X: 8.01367 -INDEX GOES BRRR: 11 X: 0.71875 -INDEX GOES BRRR: 325 X: 20.3145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 226 X: 14.1758 -INDEX GOES BRRR: 232 X: 14.5273 -INDEX GOES BRRR: 104 X: 6.51562 -INDEX GOES BRRR: 390 X: 24.4082 -INDEX GOES BRRR: 243 X: 15.1875 -INDEX GOES BRRR: 350 X: 21.9307 -INDEX GOES BRRR: 146 X: 9.14258 -INDEX GOES BRRR: 265 X: 16.623 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.651367 -INDEX GOES BRRR: 156 X: 9.79102 -INDEX GOES BRRR: 35 X: 2.18848 -INDEX GOES BRRR: 359 X: 22.4951 -INDEX GOES BRRR: 130 X: 8.12793 -INDEX GOES BRRR: 103 X: 6.44531 -INDEX GOES BRRR: 928 X: -5.96973 -INDEX GOES BRRR: 187 X: 11.7285 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 64 X: 4.04297 -INDEX GOES BRRR: 237 X: 14.8145 -INDEX GOES BRRR: 57 X: 3.5957 -INDEX GOES BRRR: 342 X: 21.3779 -INDEX GOES BRRR: 122 X: 7.63574 -INDEX GOES BRRR: 122 X: 7.62695 -INDEX GOES BRRR: 59 X: 3.74023 -INDEX GOES BRRR: 213 X: 13.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8408 -INDEX GOES BRRR: 117 X: 7.3125 -INDEX GOES BRRR: 132 X: 8.25586 -INDEX GOES BRRR: 57 X: 3.60156 -INDEX GOES BRRR: 237 X: 14.8193 -INDEX GOES BRRR: 225 X: 14.1133 -INDEX GOES BRRR: 217 X: 13.6025 -INDEX GOES BRRR: 208 X: 13.0107 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 4 X: 0.258789 -INDEX GOES BRRR: 288 X: 18.0029 -INDEX GOES BRRR: 150 X: 9.41797 -INDEX GOES BRRR: 212 X: 13.2988 -INDEX GOES BRRR: 126 X: 7.87793 -INDEX GOES BRRR: 194 X: 12.1543 -INDEX GOES BRRR: 258 X: 16.1494 -INDEX GOES BRRR: 35 X: 2.24023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 31 X: 1.94531 -INDEX GOES BRRR: 114 X: 7.16016 -INDEX GOES BRRR: 201 X: 12.6182 -INDEX GOES BRRR: 961 X: -3.92383 -INDEX GOES BRRR: 400 X: 25.0078 -INDEX GOES BRRR: 119 X: 7.44043 -INDEX GOES BRRR: 454 X: 28.4141 -INDEX GOES BRRR: 495 X: 30.9814 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1768 -INDEX GOES BRRR: 114 X: 7.14258 -INDEX GOES BRRR: 324 X: 20.3018 -INDEX GOES BRRR: 869 X: -9.67773 -INDEX GOES BRRR: 102 X: 6.39551 -INDEX GOES BRRR: 33 X: 2.10645 -INDEX GOES BRRR: 357 X: 22.3652 -INDEX GOES BRRR: 148 X: 9.30762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1338 -INDEX GOES BRRR: 266 X: 16.6748 -INDEX GOES BRRR: 867 X: -9.77148 -INDEX GOES BRRR: 38 X: 2.37891 -INDEX GOES BRRR: 924 X: -6.2168 -INDEX GOES BRRR: 336 X: 21.0488 -INDEX GOES BRRR: 153 X: 9.57227 -INDEX GOES BRRR: 165 X: 10.3291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 472 X: 29.5 -INDEX GOES BRRR: 330 X: 20.626 -INDEX GOES BRRR: 9 X: 0.568359 -INDEX GOES BRRR: 74 X: 4.64941 -INDEX GOES BRRR: 933 X: -5.6416 -INDEX GOES BRRR: 190 X: 11.8906 -INDEX GOES BRRR: 159 X: 9.97949 -INDEX GOES BRRR: 112 X: 7.05957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2305 -INDEX GOES BRRR: 61 X: 3.85059 -INDEX GOES BRRR: 174 X: 10.9111 -INDEX GOES BRRR: 162 X: 10.1426 -INDEX GOES BRRR: 894 X: -8.09375 -INDEX GOES BRRR: 135 X: 8.47852 -INDEX GOES BRRR: 956 X: -4.21289 -INDEX GOES BRRR: 1022 X: -0.108398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 964 X: -3.73438 -INDEX GOES BRRR: 50 X: 3.14746 -INDEX GOES BRRR: 299 X: 18.7373 -INDEX GOES BRRR: 439 X: 27.4785 -INDEX GOES BRRR: 21 X: 1.33398 -INDEX GOES BRRR: 161 X: 10.0771 -INDEX GOES BRRR: 244 X: 15.3105 -INDEX GOES BRRR: 193 X: 12.0732 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.22949 -INDEX GOES BRRR: 30 X: 1.89941 -INDEX GOES BRRR: 141 X: 8.8418 -INDEX GOES BRRR: 51 X: 3.2207 -INDEX GOES BRRR: 68 X: 4.25977 -INDEX GOES BRRR: 169 X: 10.624 -INDEX GOES BRRR: 251 X: 15.7002 -INDEX GOES BRRR: 269 X: 16.8496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.2998 -INDEX GOES BRRR: 303 X: 18.999 -INDEX GOES BRRR: 164 X: 10.2529 -INDEX GOES BRRR: 164 X: 10.2666 -INDEX GOES BRRR: 969 X: -3.37891 -INDEX GOES BRRR: 141 X: 8.82129 -INDEX GOES BRRR: 176 X: 11.0176 -INDEX GOES BRRR: 1004 X: -1.24219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 91 X: 5.72559 -INDEX GOES BRRR: 62 X: 3.87793 -INDEX GOES BRRR: 279 X: 17.4463 -INDEX GOES BRRR: 138 X: 8.66602 -INDEX GOES BRRR: 177 X: 11.0986 -INDEX GOES BRRR: 94 X: 5.93164 -INDEX GOES BRRR: 163 X: 10.1943 -INDEX GOES BRRR: 223 X: 13.9844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.742188 -INDEX GOES BRRR: 172 X: 10.7627 -INDEX GOES BRRR: 40 X: 2.5166 -INDEX GOES BRRR: 100 X: 6.29395 -INDEX GOES BRRR: 993 X: -1.87891 -INDEX GOES BRRR: 305 X: 19.0781 -INDEX GOES BRRR: 934 X: -5.5918 -INDEX GOES BRRR: 138 X: 8.65527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.35645 -INDEX GOES BRRR: 176 X: 11.0303 -INDEX GOES BRRR: 247 X: 15.4883 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 91 X: 5.73535 -INDEX GOES BRRR: 949 X: -4.6709 -INDEX GOES BRRR: 166 X: 10.4209 -INDEX GOES BRRR: 188 X: 11.7842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 212 X: 13.3027 -INDEX GOES BRRR: 89 X: 5.58691 -INDEX GOES BRRR: 38 X: 2.38086 -INDEX GOES BRRR: 936 X: -5.46777 -INDEX GOES BRRR: 3 X: 0.208008 -INDEX GOES BRRR: 300 X: 18.7871 -INDEX GOES BRRR: 1010 X: -0.834961 -INDEX GOES BRRR: 101 X: 6.35449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5029 -INDEX GOES BRRR: 151 X: 9.49414 -INDEX GOES BRRR: 193 X: 12.0654 -INDEX GOES BRRR: 198 X: 12.3916 -INDEX GOES BRRR: 108 X: 6.78223 -INDEX GOES BRRR: 37 X: 2.31543 -INDEX GOES BRRR: 110 X: 6.91016 -INDEX GOES BRRR: 311 X: 19.4941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5.04199 -INDEX GOES BRRR: 169 X: 10.5664 -INDEX GOES BRRR: 173 X: 10.8418 -INDEX GOES BRRR: 80 X: 5.03027 -INDEX GOES BRRR: 874 X: -9.33105 -INDEX GOES BRRR: 229 X: 14.3184 -INDEX GOES BRRR: 61 X: 3.86035 -INDEX GOES BRRR: 183 X: 11.4736 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 285 X: 17.8301 -INDEX GOES BRRR: 328 X: 20.5527 -INDEX GOES BRRR: 130 X: 8.17676 -INDEX GOES BRRR: 228 X: 14.2676 -INDEX GOES BRRR: 917 X: -6.63867 -INDEX GOES BRRR: 277 X: 17.3125 -INDEX GOES BRRR: 179 X: 11.2334 -INDEX GOES BRRR: 94 X: 5.90332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7842 -INDEX GOES BRRR: 469 X: 29.3691 -INDEX GOES BRRR: 39 X: 2.44824 -INDEX GOES BRRR: 87 X: 5.49316 -INDEX GOES BRRR: 73 X: 4.61816 -INDEX GOES BRRR: 945 X: -4.88867 -INDEX GOES BRRR: 168 X: 10.5352 -INDEX GOES BRRR: 157 X: 9.83203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0615 -INDEX GOES BRRR: 23 X: 1.44824 -INDEX GOES BRRR: 294 X: 18.4141 -INDEX GOES BRRR: 198 X: 12.4346 -INDEX GOES BRRR: 129 X: 8.08398 -INDEX GOES BRRR: 412 X: 25.75 -INDEX GOES BRRR: 49 X: 3.11719 -INDEX GOES BRRR: 62 X: 3.89746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.94336 -INDEX GOES BRRR: 146 X: 9.1709 -INDEX GOES BRRR: 100 X: 6.30859 -INDEX GOES BRRR: 129 X: 8.07324 -INDEX GOES BRRR: 184 X: 11.5273 -INDEX GOES BRRR: 258 X: 16.1406 -INDEX GOES BRRR: 241 X: 15.1152 -INDEX GOES BRRR: 145 X: 9.06641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.63281 -INDEX GOES BRRR: 150 X: 9.39551 -INDEX GOES BRRR: 133 X: 8.32031 -INDEX GOES BRRR: 57 X: 3.61426 -INDEX GOES BRRR: 1008 X: -0.973633 -INDEX GOES BRRR: 194 X: 12.167 -INDEX GOES BRRR: 960 X: -3.97363 -INDEX GOES BRRR: 979 X: -2.79004 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.5498 -INDEX GOES BRRR: 356 X: 22.2725 -INDEX GOES BRRR: 52 X: 3.26465 -INDEX GOES BRRR: 50 X: 3.12988 -INDEX GOES BRRR: 26 X: 1.66406 -INDEX GOES BRRR: 238 X: 14.8799 -INDEX GOES BRRR: 25 X: 1.61914 -INDEX GOES BRRR: 57 X: 3.58594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1019 X: -0.286133 -INDEX GOES BRRR: 349 X: 21.8457 -INDEX GOES BRRR: 198 X: 12.4277 -INDEX GOES BRRR: 233 X: 14.6074 -INDEX GOES BRRR: 131 X: 8.24316 -INDEX GOES BRRR: 200 X: 12.5146 -INDEX GOES BRRR: 163 X: 10.2393 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 179 X: 11.2383 -INDEX GOES BRRR: 818 X: -12.8271 -INDEX GOES BRRR: 91 X: 5.70312 -INDEX GOES BRRR: 219 X: 13.7031 -INDEX GOES BRRR: 163 X: 10.2256 -INDEX GOES BRRR: 270 X: 16.9141 -INDEX GOES BRRR: 164 X: 10.2783 -INDEX GOES BRRR: 993 X: -1.91113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4688 -INDEX GOES BRRR: 161 X: 10.1035 -INDEX GOES BRRR: 276 X: 17.3018 -INDEX GOES BRRR: 870 X: -9.60645 -INDEX GOES BRRR: 221 X: 13.8555 -INDEX GOES BRRR: 231 X: 14.459 -INDEX GOES BRRR: 239 X: 14.9707 -INDEX GOES BRRR: 184 X: 11.5254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 52 X: 3.25879 -INDEX GOES BRRR: 318 X: 19.8984 -INDEX GOES BRRR: 443 X: 27.7393 -INDEX GOES BRRR: 81 X: 5.08496 -INDEX GOES BRRR: 936 X: -5.45312 -INDEX GOES BRRR: 982 X: -2.61523 -INDEX GOES BRRR: 844 X: -11.2236 -INDEX GOES BRRR: 88 X: 5.54883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 978 X: -2.86914 -INDEX GOES BRRR: 42 X: 2.64258 -INDEX GOES BRRR: 945 X: -4.88477 -INDEX GOES BRRR: 167 X: 10.4375 -INDEX GOES BRRR: 51 X: 3.20898 -INDEX GOES BRRR: 77 X: 4.86328 -INDEX GOES BRRR: 387 X: 24.1904 -INDEX GOES BRRR: 1008 X: -0.952148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.5742 -INDEX GOES BRRR: 1015 X: -0.550781 -INDEX GOES BRRR: 295 X: 18.4619 -INDEX GOES BRRR: 198 X: 12.4014 -INDEX GOES BRRR: 218 X: 13.6621 -INDEX GOES BRRR: 96 X: 6.02441 -INDEX GOES BRRR: 391 X: 24.4873 -INDEX GOES BRRR: 285 X: 17.8418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.8955 -INDEX GOES BRRR: 154 X: 9.65137 -INDEX GOES BRRR: 1018 X: -0.364258 -INDEX GOES BRRR: 146 X: 9.13281 -INDEX GOES BRRR: 218 X: 13.6748 -INDEX GOES BRRR: 313 X: 19.5625 -INDEX GOES BRRR: 393 X: 24.6035 -INDEX GOES BRRR: 145 X: 9.07617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5283 -INDEX GOES BRRR: 91 X: 5.72949 -INDEX GOES BRRR: 131 X: 8.21387 -INDEX GOES BRRR: 198 X: 12.3936 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 0 X: 0.0576172 -INDEX GOES BRRR: 68 X: 4.2627 -INDEX GOES BRRR: 22 X: 1.37988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 210 X: 13.1758 -INDEX GOES BRRR: 1002 X: -1.36719 -INDEX GOES BRRR: 192 X: 12.0293 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 76 X: 4.79785 -INDEX GOES BRRR: 261 X: 16.333 -INDEX GOES BRRR: 931 X: -5.78613 -INDEX GOES BRRR: 316 X: 19.7939 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 408 X: 25.5225 -INDEX GOES BRRR: 183 X: 11.499 -INDEX GOES BRRR: 211 X: 13.2148 -INDEX GOES BRRR: 196 X: 12.25 -INDEX GOES BRRR: 321 X: 20.0645 -INDEX GOES BRRR: 70 X: 4.39746 -INDEX GOES BRRR: 145 X: 9.08691 -INDEX GOES BRRR: 156 X: 9.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.77148 -INDEX GOES BRRR: 183 X: 11.4756 -INDEX GOES BRRR: 264 X: 16.5615 -INDEX GOES BRRR: 91 X: 5.72852 -INDEX GOES BRRR: 102 X: 6.43457 -INDEX GOES BRRR: 382 X: 23.9238 -INDEX GOES BRRR: 35 X: 2.21191 -INDEX GOES BRRR: 182 X: 11.3877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.52344 -INDEX GOES BRRR: 399 X: 24.9902 -INDEX GOES BRRR: 301 X: 18.8525 -INDEX GOES BRRR: 186 X: 11.667 -INDEX GOES BRRR: 188 X: 11.7695 -INDEX GOES BRRR: 231 X: 14.498 -INDEX GOES BRRR: 160 X: 10.0127 -INDEX GOES BRRR: 243 X: 15.1885 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.7217 -INDEX GOES BRRR: 268 X: 16.8057 -INDEX GOES BRRR: 987 X: -2.26465 -INDEX GOES BRRR: 962 X: -3.82422 -INDEX GOES BRRR: 934 X: -5.61719 -INDEX GOES BRRR: 320 X: 20.041 -INDEX GOES BRRR: 188 X: 11.7969 -INDEX GOES BRRR: 1019 X: -0.273438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.55371 -INDEX GOES BRRR: 326 X: 20.4277 -INDEX GOES BRRR: 173 X: 10.8711 -INDEX GOES BRRR: 217 X: 13.5908 -INDEX GOES BRRR: 283 X: 17.7334 -INDEX GOES BRRR: 929 X: -5.87988 -INDEX GOES BRRR: 846 X: -11.0938 -INDEX GOES BRRR: 70 X: 4.38477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 453 X: 28.3457 -INDEX GOES BRRR: 114 X: 7.14941 -INDEX GOES BRRR: 493 X: 30.8389 -INDEX GOES BRRR: 280 X: 17.5293 -INDEX GOES BRRR: 176 X: 11.04 -INDEX GOES BRRR: 903 X: -7.5332 -INDEX GOES BRRR: 27 X: 1.72266 -INDEX GOES BRRR: 200 X: 12.5361 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 957 X: -4.15625 -INDEX GOES BRRR: 234 X: 14.6426 -INDEX GOES BRRR: 59 X: 3.72656 -INDEX GOES BRRR: 338 X: 21.1836 -INDEX GOES BRRR: 73 X: 4.58984 -INDEX GOES BRRR: 104 X: 6.52832 -INDEX GOES BRRR: 1007 X: -1.05664 -INDEX GOES BRRR: 132 X: 8.26367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1592 -INDEX GOES BRRR: 135 X: 8.4873 -INDEX GOES BRRR: 347 X: 21.7461 -INDEX GOES BRRR: 438 X: 27.4326 -INDEX GOES BRRR: 131 X: 8.22559 -INDEX GOES BRRR: 268 X: 16.7559 -INDEX GOES BRRR: 134 X: 8.4248 -INDEX GOES BRRR: 136 X: 8.55859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 372 X: 23.2588 -INDEX GOES BRRR: 269 X: 16.8467 -INDEX GOES BRRR: 184 X: 11.5361 -INDEX GOES BRRR: 117 X: 7.3584 -INDEX GOES BRRR: 942 X: -5.12402 -INDEX GOES BRRR: 179 X: 11.2266 -INDEX GOES BRRR: 897 X: -7.9248 -INDEX GOES BRRR: 81 X: 5.09961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 950 X: -4.56738 -INDEX GOES BRRR: 230 X: 14.3994 -INDEX GOES BRRR: 211 X: 13.1885 -INDEX GOES BRRR: 968 X: -3.47559 -INDEX GOES BRRR: 316 X: 19.7842 -INDEX GOES BRRR: 146 X: 9.16895 -INDEX GOES BRRR: 44 X: 2.77441 -INDEX GOES BRRR: 204 X: 12.7539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 337 X: 21.0801 -INDEX GOES BRRR: 88 X: 5.52051 -INDEX GOES BRRR: 87 X: 5.48828 -INDEX GOES BRRR: 831 X: -12.0254 -INDEX GOES BRRR: 10 X: 0.658203 -INDEX GOES BRRR: 126 X: 7.89941 -INDEX GOES BRRR: 303 X: 18.9688 -INDEX GOES BRRR: 114 X: 7.15137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.16797 -INDEX GOES BRRR: 187 X: 11.7148 -INDEX GOES BRRR: 1020 X: -0.194336 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 130 X: 8.15332 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 423 X: 26.4424 -INDEX GOES BRRR: 273 X: 17.1074 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3418 -INDEX GOES BRRR: 64 X: 4 -INDEX GOES BRRR: 11 X: 0.695312 -INDEX GOES BRRR: 269 X: 16.8438 -INDEX GOES BRRR: 900 X: -7.7041 -INDEX GOES BRRR: 905 X: -7.38672 -INDEX GOES BRRR: 43 X: 2.71387 -INDEX GOES BRRR: 944 X: -4.96777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5684 -INDEX GOES BRRR: 279 X: 17.4902 -INDEX GOES BRRR: 233 X: 14.6211 -INDEX GOES BRRR: 136 X: 8.52344 -INDEX GOES BRRR: 291 X: 18.1973 -INDEX GOES BRRR: 123 X: 7.68945 -INDEX GOES BRRR: 214 X: 13.4023 -INDEX GOES BRRR: 458 X: 28.6338 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 360 X: 22.5254 -INDEX GOES BRRR: 175 X: 10.9443 -INDEX GOES BRRR: 265 X: 16.5625 -INDEX GOES BRRR: 345 X: 21.5947 -INDEX GOES BRRR: 232 X: 14.5244 -INDEX GOES BRRR: 117 X: 7.32812 -INDEX GOES BRRR: 967 X: -3.55859 -INDEX GOES BRRR: 85 X: 5.32227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 482 X: 30.1611 -INDEX GOES BRRR: 198 X: 12.3857 -INDEX GOES BRRR: 258 X: 16.1787 -INDEX GOES BRRR: 45 X: 2.87012 -INDEX GOES BRRR: 901 X: -7.64062 -INDEX GOES BRRR: 30 X: 1.89648 -INDEX GOES BRRR: 220 X: 13.7803 -INDEX GOES BRRR: 197 X: 12.3486 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.7373 -INDEX GOES BRRR: 1011 X: -0.77832 -INDEX GOES BRRR: 18 X: 1.12598 -INDEX GOES BRRR: 130 X: 8.12695 -INDEX GOES BRRR: 383 X: 23.9971 -INDEX GOES BRRR: 285 X: 17.8379 -INDEX GOES BRRR: 912 X: -6.96582 -INDEX GOES BRRR: 2 X: 0.170898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 992 X: -1.97949 -INDEX GOES BRRR: 101 X: 6.3125 -INDEX GOES BRRR: 951 X: -4.55176 -INDEX GOES BRRR: 187 X: 11.7314 -INDEX GOES BRRR: 365 X: 22.8359 -INDEX GOES BRRR: 220 X: 13.75 -INDEX GOES BRRR: 7 X: 0.444336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.3838 -INDEX GOES BRRR: 214 X: 13.4258 -INDEX GOES BRRR: 100 X: 6.2627 -INDEX GOES BRRR: 89 X: 5.62402 -INDEX GOES BRRR: 300 X: 18.7891 -INDEX GOES BRRR: 180 X: 11.2539 -INDEX GOES BRRR: 214 X: 13.4053 -INDEX GOES BRRR: 141 X: 8.82324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.557617 -INDEX GOES BRRR: 218 X: 13.6543 -INDEX GOES BRRR: 109 X: 6.82031 -INDEX GOES BRRR: 236 X: 14.7871 -INDEX GOES BRRR: 297 X: 18.582 -INDEX GOES BRRR: 243 X: 15.2246 -INDEX GOES BRRR: 402 X: 25.1797 -INDEX GOES BRRR: 214 X: 13.4053 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.96777 -INDEX GOES BRRR: 142 X: 8.92383 -INDEX GOES BRRR: 35 X: 2.2002 -INDEX GOES BRRR: 294 X: 18.4229 -INDEX GOES BRRR: 71 X: 4.48145 -INDEX GOES BRRR: 343 X: 21.4492 -INDEX GOES BRRR: 324 X: 20.2568 -INDEX GOES BRRR: 194 X: 12.1426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 16 X: 1.03516 -INDEX GOES BRRR: 119 X: 7.43945 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 105 X: 6.6084 -INDEX GOES BRRR: 204 X: 12.8076 -INDEX GOES BRRR: 295 X: 18.4844 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 380 X: 23.7959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.389648 -INDEX GOES BRRR: 2 X: 0.15332 -INDEX GOES BRRR: 232 X: 14.5029 -INDEX GOES BRRR: 198 X: 12.375 -INDEX GOES BRRR: 307 X: 19.207 -INDEX GOES BRRR: 414 X: 25.8809 -INDEX GOES BRRR: 151 X: 9.45605 -INDEX GOES BRRR: 932 X: -5.69922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.125 -INDEX GOES BRRR: 281 X: 17.5771 -INDEX GOES BRRR: 49 X: 3.08984 -INDEX GOES BRRR: 904 X: -7.49316 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 131 X: 8.2334 -INDEX GOES BRRR: 157 X: 9.83301 -INDEX GOES BRRR: 920 X: -6.45996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 326 X: 20.3936 -INDEX GOES BRRR: 271 X: 16.9912 -INDEX GOES BRRR: 1022 X: -0.0908203 -INDEX GOES BRRR: 958 X: -4.07812 -INDEX GOES BRRR: 130 X: 8.17285 -INDEX GOES BRRR: 52 X: 3.28223 -INDEX GOES BRRR: 258 X: 16.1426 -INDEX GOES BRRR: 1018 X: -0.342773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.9365 -INDEX GOES BRRR: 192 X: 12.0303 -INDEX GOES BRRR: 241 X: 15.1016 -INDEX GOES BRRR: 185 X: 11.6025 -INDEX GOES BRRR: 110 X: 6.90332 -INDEX GOES BRRR: 966 X: -3.56348 -INDEX GOES BRRR: 225 X: 14.1133 -INDEX GOES BRRR: 46 X: 2.91113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 330 X: 20.6406 -INDEX GOES BRRR: 190 X: 11.8877 -INDEX GOES BRRR: 350 X: 21.9189 -INDEX GOES BRRR: 23 X: 1.4541 -INDEX GOES BRRR: 314 X: 19.625 -INDEX GOES BRRR: 292 X: 18.2637 -INDEX GOES BRRR: 937 X: -5.42676 -INDEX GOES BRRR: 267 X: 16.7227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.498 -INDEX GOES BRRR: 84 X: 5.27051 -INDEX GOES BRRR: 245 X: 15.373 -INDEX GOES BRRR: 202 X: 12.665 -INDEX GOES BRRR: 878 X: -9.0918 -INDEX GOES BRRR: 921 X: -6.37598 -INDEX GOES BRRR: 84 X: 5.28613 -INDEX GOES BRRR: 343 X: 21.4385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 909 X: -7.16406 -INDEX GOES BRRR: 235 X: 14.7324 -INDEX GOES BRRR: 177 X: 11.1133 -INDEX GOES BRRR: 852 X: -10.7119 -INDEX GOES BRRR: 45 X: 2.85156 -INDEX GOES BRRR: 994 X: -1.87402 -INDEX GOES BRRR: 944 X: -4.98438 -INDEX GOES BRRR: 244 X: 15.3096 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.544922 -INDEX GOES BRRR: 255 X: 15.9971 -INDEX GOES BRRR: 348 X: 21.7959 -INDEX GOES BRRR: 306 X: 19.1289 -INDEX GOES BRRR: 112 X: 7.05566 -INDEX GOES BRRR: 942 X: -5.07227 -INDEX GOES BRRR: 299 X: 18.7324 -INDEX GOES BRRR: 363 X: 22.749 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0439 -INDEX GOES BRRR: 213 X: 13.3359 -INDEX GOES BRRR: 106 X: 6.63867 -INDEX GOES BRRR: 192 X: 12.0049 -INDEX GOES BRRR: 954 X: -4.3252 -INDEX GOES BRRR: 393 X: 24.5859 -INDEX GOES BRRR: 120 X: 7.54688 -INDEX GOES BRRR: 378 X: 23.6719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 998 X: -1.57812 -INDEX GOES BRRR: 26 X: 1.65039 -INDEX GOES BRRR: 122 X: 7.64551 -INDEX GOES BRRR: 413 X: 25.8477 -INDEX GOES BRRR: 15 X: 0.984375 -INDEX GOES BRRR: 93 X: 5.8418 -INDEX GOES BRRR: 363 X: 22.7412 -INDEX GOES BRRR: 347 X: 21.7158 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1357 -INDEX GOES BRRR: 304 X: 19.0615 -INDEX GOES BRRR: 123 X: 7.7207 -INDEX GOES BRRR: 162 X: 10.1865 -INDEX GOES BRRR: 146 X: 9.14453 -INDEX GOES BRRR: 381 X: 23.8447 -INDEX GOES BRRR: 227 X: 14.248 -INDEX GOES BRRR: 133 X: 8.32129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 354 X: 22.167 -INDEX GOES BRRR: 348 X: 21.7773 -INDEX GOES BRRR: 343 X: 21.4502 -INDEX GOES BRRR: 314 X: 19.6309 -INDEX GOES BRRR: 414 X: 25.9326 -INDEX GOES BRRR: 371 X: 23.2041 -INDEX GOES BRRR: 148 X: 9.30371 -INDEX GOES BRRR: 148 X: 9.2627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.08008 -INDEX GOES BRRR: 52 X: 3.27734 -INDEX GOES BRRR: 220 X: 13.7666 -INDEX GOES BRRR: 64 X: 4.00684 -INDEX GOES BRRR: 119 X: 7.46582 -INDEX GOES BRRR: 243 X: 15.249 -INDEX GOES BRRR: 249 X: 15.6152 -INDEX GOES BRRR: 149 X: 9.35254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.29102 -INDEX GOES BRRR: 145 X: 9.10059 -INDEX GOES BRRR: 80 X: 5.0127 -INDEX GOES BRRR: 410 X: 25.6279 -INDEX GOES BRRR: 714 X: -19.3164 -INDEX GOES BRRR: 245 X: 15.3164 -INDEX GOES BRRR: 59 X: 3.71875 -INDEX GOES BRRR: 945 X: -4.89453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 935 X: -5.50684 -INDEX GOES BRRR: 390 X: 24.4277 -INDEX GOES BRRR: 869 X: -9.6875 -INDEX GOES BRRR: 357 X: 22.3281 -INDEX GOES BRRR: 88 X: 5.55957 -INDEX GOES BRRR: 833 X: -11.918 -INDEX GOES BRRR: 247 X: 15.4375 -INDEX GOES BRRR: 415 X: 25.9912 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 975 X: -3.02148 -INDEX GOES BRRR: 352 X: 22.042 -INDEX GOES BRRR: 382 X: 23.8789 -INDEX GOES BRRR: 236 X: 14.8096 -INDEX GOES BRRR: 181 X: 11.3252 -INDEX GOES BRRR: 218 X: 13.6768 -INDEX GOES BRRR: 984 X: -2.48926 -INDEX GOES BRRR: 994 X: -1.8291 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 253 X: 15.8623 -INDEX GOES BRRR: 386 X: 24.1582 -INDEX GOES BRRR: 995 X: -1.75488 -INDEX GOES BRRR: 281 X: 17.5781 -INDEX GOES BRRR: 295 X: 18.4805 -INDEX GOES BRRR: 303 X: 18.9629 -INDEX GOES BRRR: 315 X: 19.7275 -INDEX GOES BRRR: 36 X: 2.28125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 876 X: -9.19434 -INDEX GOES BRRR: 16 X: 1.02051 -INDEX GOES BRRR: 32 X: 2.05957 -INDEX GOES BRRR: 178 X: 11.1338 -INDEX GOES BRRR: 915 X: -6.76758 -INDEX GOES BRRR: 300 X: 18.7959 -INDEX GOES BRRR: 972 X: -3.23828 -INDEX GOES BRRR: 1013 X: -0.651367 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.25293 -INDEX GOES BRRR: 998 X: -1.58691 -INDEX GOES BRRR: 259 X: 16.1924 -INDEX GOES BRRR: 962 X: -3.83496 -INDEX GOES BRRR: 19 X: 1.22461 -INDEX GOES BRRR: 12 X: 0.799805 -INDEX GOES BRRR: 301 X: 18.8711 -INDEX GOES BRRR: 24 X: 1.5166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0449 -INDEX GOES BRRR: 140 X: 8.79688 -INDEX GOES BRRR: 481 X: 30.0645 -INDEX GOES BRRR: 170 X: 10.6748 -INDEX GOES BRRR: 426 X: 26.6455 -INDEX GOES BRRR: 141 X: 8.8291 -INDEX GOES BRRR: 935 X: -5.50195 -INDEX GOES BRRR: 4 X: 0.273438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.35645 -INDEX GOES BRRR: 202 X: 12.6533 -INDEX GOES BRRR: 259 X: 16.2344 -INDEX GOES BRRR: 218 X: 13.6396 -INDEX GOES BRRR: 5 X: 0.329102 -INDEX GOES BRRR: 187 X: 11.7051 -INDEX GOES BRRR: 842 X: -11.3525 -INDEX GOES BRRR: 69 X: 4.3584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 192 X: 12.0264 -INDEX GOES BRRR: 77 X: 4.84473 -INDEX GOES BRRR: 180 X: 11.2871 -INDEX GOES BRRR: 118 X: 7.375 -INDEX GOES BRRR: 12 X: 0.796875 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 249 X: 15.5879 -INDEX GOES BRRR: 59 X: 3.7207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.575195 -INDEX GOES BRRR: 357 X: 22.3682 -INDEX GOES BRRR: 177 X: 11.0801 -INDEX GOES BRRR: 82 X: 5.16797 -INDEX GOES BRRR: 1018 X: -0.323242 -INDEX GOES BRRR: 1004 X: -1.19238 -INDEX GOES BRRR: 382 X: 23.8994 -INDEX GOES BRRR: 787 X: -14.7891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.89062 -INDEX GOES BRRR: 293 X: 18.3555 -INDEX GOES BRRR: 134 X: 8.37598 -INDEX GOES BRRR: 370 X: 23.1748 -INDEX GOES BRRR: 920 X: -6.4707 -INDEX GOES BRRR: 293 X: 18.3623 -INDEX GOES BRRR: 114 X: 7.15918 -INDEX GOES BRRR: 965 X: -3.67969 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.9199 -INDEX GOES BRRR: 266 X: 16.6602 -INDEX GOES BRRR: 995 X: -1.79785 -INDEX GOES BRRR: 336 X: 21.042 -INDEX GOES BRRR: 31 X: 1.97852 -INDEX GOES BRRR: 991 X: -2.02441 -INDEX GOES BRRR: 254 X: 15.9131 -INDEX GOES BRRR: 5 X: 0.34668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 110 X: 6.87988 -INDEX GOES BRRR: 187 X: 11.7471 -INDEX GOES BRRR: 93 X: 5.86035 -INDEX GOES BRRR: 58 X: 3.66992 -INDEX GOES BRRR: 205 X: 12.8438 -INDEX GOES BRRR: 260 X: 16.2969 -INDEX GOES BRRR: 111 X: 6.9541 -INDEX GOES BRRR: 138 X: 8.66602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 360 X: 22.5273 -INDEX GOES BRRR: 967 X: -3.52441 -INDEX GOES BRRR: 106 X: 6.6709 -INDEX GOES BRRR: 111 X: 6.97363 -INDEX GOES BRRR: 51 X: 3.20996 -INDEX GOES BRRR: 327 X: 20.459 -INDEX GOES BRRR: 279 X: 17.4678 -INDEX GOES BRRR: 157 X: 9.85742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.832 -INDEX GOES BRRR: 742 X: -17.6133 -INDEX GOES BRRR: 1011 X: -0.78418 -INDEX GOES BRRR: 350 X: 21.9209 -INDEX GOES BRRR: 276 X: 17.2676 -INDEX GOES BRRR: 278 X: 17.4355 -INDEX GOES BRRR: 70 X: 4.41309 -INDEX GOES BRRR: 187 X: 11.6953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.998 -INDEX GOES BRRR: 947 X: -4.76367 -INDEX GOES BRRR: 185 X: 11.6201 -INDEX GOES BRRR: 69 X: 4.33008 -INDEX GOES BRRR: 273 X: 17.0713 -INDEX GOES BRRR: 55 X: 3.46289 -INDEX GOES BRRR: 121 X: 7.59473 -INDEX GOES BRRR: 32 X: 2.04688 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.72266 -INDEX GOES BRRR: 120 X: 7.55566 -INDEX GOES BRRR: 287 X: 17.9717 -INDEX GOES BRRR: 389 X: 24.3564 -INDEX GOES BRRR: 237 X: 14.8721 -INDEX GOES BRRR: 410 X: 25.6348 -INDEX GOES BRRR: 59 X: 3.74316 -INDEX GOES BRRR: 319 X: 19.9453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.47266 -INDEX GOES BRRR: 29 X: 1.87402 -INDEX GOES BRRR: 148 X: 9.27734 -INDEX GOES BRRR: 938 X: -5.35254 -INDEX GOES BRRR: 188 X: 11.7969 -INDEX GOES BRRR: 118 X: 7.43066 -INDEX GOES BRRR: 107 X: 6.68848 -INDEX GOES BRRR: 351 X: 21.9893 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 636 X: -24.2227 -INDEX GOES BRRR: 67 X: 4.19629 -INDEX GOES BRRR: 67 X: 4.22852 -INDEX GOES BRRR: 303 X: 18.9463 -INDEX GOES BRRR: 190 X: 11.8867 -INDEX GOES BRRR: 357 X: 22.3496 -INDEX GOES BRRR: 121 X: 7.57031 -INDEX GOES BRRR: 113 X: 7.11133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.26367 -INDEX GOES BRRR: 296 X: 18.5498 -INDEX GOES BRRR: 397 X: 24.8438 -INDEX GOES BRRR: 108 X: 6.7832 -INDEX GOES BRRR: 172 X: 10.7998 -INDEX GOES BRRR: 20 X: 1.28027 -INDEX GOES BRRR: 263 X: 16.4697 -INDEX GOES BRRR: 205 X: 12.8574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 428 X: 26.7812 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 69 X: 4.35449 -INDEX GOES BRRR: 996 X: -1.73535 -INDEX GOES BRRR: 262 X: 16.4062 -INDEX GOES BRRR: 1002 X: -1.34863 -INDEX GOES BRRR: 1019 X: -0.272461 -INDEX GOES BRRR: 407 X: 25.4717 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 378 X: 23.6758 -INDEX GOES BRRR: 325 X: 20.3613 -INDEX GOES BRRR: 105 X: 6.60645 -INDEX GOES BRRR: 190 X: 11.9238 -INDEX GOES BRRR: 205 X: 12.8369 -INDEX GOES BRRR: 293 X: 18.3301 -INDEX GOES BRRR: 285 X: 17.8418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 41 X: 2.57031 -INDEX GOES BRRR: 212 X: 13.2734 -INDEX GOES BRRR: 77 X: 4.87012 -INDEX GOES BRRR: 207 X: 12.9551 -INDEX GOES BRRR: 223 X: 13.999 -INDEX GOES BRRR: 331 X: 20.7344 -INDEX GOES BRRR: 259 X: 16.2168 -INDEX GOES BRRR: 9 X: 0.586914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 413 X: 25.8506 -INDEX GOES BRRR: 43 X: 2.74316 -INDEX GOES BRRR: 894 X: -8.11426 -INDEX GOES BRRR: 169 X: 10.6016 -INDEX GOES BRRR: 330 X: 20.6309 -INDEX GOES BRRR: 248 X: 15.5527 -INDEX GOES BRRR: 903 X: -7.51758 -INDEX GOES BRRR: 96 X: 6.0166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 284 X: 17.8066 -INDEX GOES BRRR: 238 X: 14.8916 -INDEX GOES BRRR: 937 X: -5.42188 -INDEX GOES BRRR: 151 X: 9.44336 -INDEX GOES BRRR: 319 X: 19.9854 -INDEX GOES BRRR: 1013 X: -0.65332 -INDEX GOES BRRR: 287 X: 17.9551 -INDEX GOES BRRR: 282 X: 17.6289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 28 X: 1.75586 -INDEX GOES BRRR: 997 X: -1.64062 -INDEX GOES BRRR: 158 X: 9.89062 -INDEX GOES BRRR: 398 X: 24.917 -INDEX GOES BRRR: 356 X: 22.2559 -INDEX GOES BRRR: 190 X: 11.9219 -INDEX GOES BRRR: 57 X: 3.56543 -INDEX GOES BRRR: 375 X: 23.4434 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.44629 -INDEX GOES BRRR: 24 X: 1.55176 -INDEX GOES BRRR: 981 X: -2.65234 -INDEX GOES BRRR: 24 X: 1.52051 -INDEX GOES BRRR: 208 X: 13.0098 -INDEX GOES BRRR: 56 X: 3.5127 -INDEX GOES BRRR: 112 X: 7.02148 -INDEX GOES BRRR: 992 X: -1.93945 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.9268 -INDEX GOES BRRR: 940 X: -5.23047 -INDEX GOES BRRR: 124 X: 7.77051 -INDEX GOES BRRR: 133 X: 8.34961 -INDEX GOES BRRR: 93 X: 5.82129 -INDEX GOES BRRR: 317 X: 19.8584 -INDEX GOES BRRR: 473 X: 29.6143 -INDEX GOES BRRR: 1005 X: -1.14062 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 312 X: 19.5352 -INDEX GOES BRRR: 121 X: 7.5957 -INDEX GOES BRRR: 95 X: 5.97852 -INDEX GOES BRRR: 221 X: 13.8633 -INDEX GOES BRRR: 183 X: 11.4688 -INDEX GOES BRRR: 20 X: 1.2627 -INDEX GOES BRRR: 212 X: 13.2891 -INDEX GOES BRRR: 155 X: 9.74219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.69434 -INDEX GOES BRRR: 248 X: 15.5098 -INDEX GOES BRRR: 9 X: 0.594727 -INDEX GOES BRRR: 993 X: -1.8877 -INDEX GOES BRRR: 108 X: 6.75293 -INDEX GOES BRRR: 27 X: 1.74609 -INDEX GOES BRRR: 221 X: 13.874 -INDEX GOES BRRR: 53 X: 3.36426 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 107 X: 6.72266 -INDEX GOES BRRR: 144 X: 9.00781 -INDEX GOES BRRR: 373 X: 23.3623 -INDEX GOES BRRR: 256 X: 16.0244 -INDEX GOES BRRR: 206 X: 12.9336 -INDEX GOES BRRR: 131 X: 8.23242 -INDEX GOES BRRR: 72 X: 4.5498 -INDEX GOES BRRR: 249 X: 15.5771 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.44727 -INDEX GOES BRRR: 996 X: -1.72266 -INDEX GOES BRRR: 342 X: 21.3818 -INDEX GOES BRRR: 881 X: -8.93066 -INDEX GOES BRRR: 113 X: 7.10156 -INDEX GOES BRRR: 142 X: 8.93164 -INDEX GOES BRRR: 47 X: 2.94531 -INDEX GOES BRRR: 154 X: 9.66699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3535 -INDEX GOES BRRR: 957 X: -4.1875 -INDEX GOES BRRR: 372 X: 23.2588 -INDEX GOES BRRR: 36 X: 2.29492 -INDEX GOES BRRR: 375 X: 23.4756 -INDEX GOES BRRR: 310 X: 19.3994 -INDEX GOES BRRR: 341 X: 21.3301 -INDEX GOES BRRR: 91 X: 5.70117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.0283 -INDEX GOES BRRR: 165 X: 10.3604 -INDEX GOES BRRR: 138 X: 8.6709 -INDEX GOES BRRR: 48 X: 3 -INDEX GOES BRRR: 38 X: 2.41406 -INDEX GOES BRRR: 339 X: 21.2451 -INDEX GOES BRRR: 263 X: 16.4902 -INDEX GOES BRRR: 788 X: -14.7041 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 360 X: 22.5186 -INDEX GOES BRRR: 175 X: 10.9766 -INDEX GOES BRRR: 277 X: 17.3652 -INDEX GOES BRRR: 187 X: 11.6943 -INDEX GOES BRRR: 36 X: 2.30078 -INDEX GOES BRRR: 883 X: -8.76758 -INDEX GOES BRRR: 972 X: -3.19043 -INDEX GOES BRRR: 29 X: 1.84668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 299 X: 18.7021 -INDEX GOES BRRR: 213 X: 13.3135 -INDEX GOES BRRR: 120 X: 7.53125 -INDEX GOES BRRR: 327 X: 20.4922 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 161 X: 10.123 -INDEX GOES BRRR: 193 X: 12.0869 -INDEX GOES BRRR: 279 X: 17.457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 283 X: 17.7168 -INDEX GOES BRRR: 378 X: 23.6602 -INDEX GOES BRRR: 130 X: 8.17871 -INDEX GOES BRRR: 36 X: 2.25098 -INDEX GOES BRRR: 986 X: -2.36035 -INDEX GOES BRRR: 52 X: 3.31152 -INDEX GOES BRRR: 29 X: 1.85254 -INDEX GOES BRRR: 318 X: 19.9014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.375977 -INDEX GOES BRRR: 1012 X: -0.688477 -INDEX GOES BRRR: 491 X: 30.7188 -INDEX GOES BRRR: 911 X: -7.04199 -INDEX GOES BRRR: 130 X: 8.13379 -INDEX GOES BRRR: 242 X: 15.1582 -INDEX GOES BRRR: 229 X: 14.3555 -INDEX GOES BRRR: 103 X: 6.45312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8291 -INDEX GOES BRRR: 146 X: 9.14062 -INDEX GOES BRRR: 441 X: 27.5703 -INDEX GOES BRRR: 16 X: 1.00586 -INDEX GOES BRRR: 140 X: 8.78516 -INDEX GOES BRRR: 111 X: 6.9502 -INDEX GOES BRRR: 430 X: 26.9043 -INDEX GOES BRRR: 137 X: 8.61719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 8 X: 0.518555 -INDEX GOES BRRR: 234 X: 14.6406 -INDEX GOES BRRR: 274 X: 17.1797 -INDEX GOES BRRR: 189 X: 11.8516 -INDEX GOES BRRR: 254 X: 15.8936 -INDEX GOES BRRR: 271 X: 16.9863 -INDEX GOES BRRR: 334 X: 20.9326 -INDEX GOES BRRR: 988 X: -2.20312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.65137 -INDEX GOES BRRR: 26 X: 1.64844 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 82 X: 5.14551 -INDEX GOES BRRR: 376 X: 23.5273 -INDEX GOES BRRR: 156 X: 9.75098 -INDEX GOES BRRR: 173 X: 10.8623 -INDEX GOES BRRR: 276 X: 17.2959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 233 X: 14.6045 -INDEX GOES BRRR: 164 X: 10.3057 -INDEX GOES BRRR: 936 X: -5.4707 -INDEX GOES BRRR: 174 X: 10.9209 -INDEX GOES BRRR: 255 X: 15.9561 -INDEX GOES BRRR: 433 X: 27.1055 -INDEX GOES BRRR: 300 X: 18.7588 -INDEX GOES BRRR: 919 X: -6.50195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 49 X: 3.08105 -INDEX GOES BRRR: 836 X: -11.7217 -INDEX GOES BRRR: 256 X: 16.0234 -INDEX GOES BRRR: 157 X: 9.85742 -INDEX GOES BRRR: 59 X: 3.7207 -INDEX GOES BRRR: 932 X: -5.71973 -INDEX GOES BRRR: 241 X: 15.0859 -INDEX GOES BRRR: 216 X: 13.5537 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 377 X: 23.5801 -INDEX GOES BRRR: 226 X: 14.1816 -INDEX GOES BRRR: 975 X: -3.0332 -INDEX GOES BRRR: 202 X: 12.6865 -INDEX GOES BRRR: 190 X: 11.9277 -INDEX GOES BRRR: 955 X: -4.26953 -INDEX GOES BRRR: 203 X: 12.7471 -INDEX GOES BRRR: 153 X: 9.58984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.9209 -INDEX GOES BRRR: 234 X: 14.6514 -INDEX GOES BRRR: 121 X: 7.57227 -INDEX GOES BRRR: 308 X: 19.293 -INDEX GOES BRRR: 109 X: 6.83301 -INDEX GOES BRRR: 131 X: 8.19824 -INDEX GOES BRRR: 845 X: -11.165 -INDEX GOES BRRR: 370 X: 23.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1406 -INDEX GOES BRRR: 65 X: 4.10352 -INDEX GOES BRRR: 335 X: 20.9502 -INDEX GOES BRRR: 92 X: 5.79199 -INDEX GOES BRRR: 5 X: 0.327148 -INDEX GOES BRRR: 199 X: 12.4609 -INDEX GOES BRRR: 983 X: -2.54395 -INDEX GOES BRRR: 269 X: 16.8232 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.16016 -INDEX GOES BRRR: 969 X: -3.39551 -INDEX GOES BRRR: 323 X: 20.2432 -INDEX GOES BRRR: 54 X: 3.41992 -INDEX GOES BRRR: 48 X: 3.0498 -INDEX GOES BRRR: 819 X: -12.7627 -INDEX GOES BRRR: 217 X: 13.5654 -INDEX GOES BRRR: 1001 X: -1.38281 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 945 X: -4.92676 -INDEX GOES BRRR: 948 X: -4.74512 -INDEX GOES BRRR: 77 X: 4.87109 -INDEX GOES BRRR: 108 X: 6.81152 -INDEX GOES BRRR: 159 X: 9.95508 -INDEX GOES BRRR: 838 X: -11.5752 -INDEX GOES BRRR: 264 X: 16.5225 -INDEX GOES BRRR: 365 X: 22.8652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.45996 -INDEX GOES BRRR: 44 X: 2.77734 -INDEX GOES BRRR: 154 X: 9.65918 -INDEX GOES BRRR: 58 X: 3.6416 -INDEX GOES BRRR: 402 X: 25.1846 -INDEX GOES BRRR: 213 X: 13.3203 -INDEX GOES BRRR: 61 X: 3.87305 -INDEX GOES BRRR: 166 X: 10.3896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.49609 -INDEX GOES BRRR: 139 X: 8.69824 -INDEX GOES BRRR: 242 X: 15.1348 -INDEX GOES BRRR: 398 X: 24.9316 -INDEX GOES BRRR: 251 X: 15.748 -INDEX GOES BRRR: 207 X: 12.9463 -INDEX GOES BRRR: 315 X: 19.7412 -INDEX GOES BRRR: 2 X: 0.138672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 895 X: -8.03809 -INDEX GOES BRRR: 19 X: 1.20508 -INDEX GOES BRRR: 159 X: 9.95703 -INDEX GOES BRRR: 423 X: 26.4404 -INDEX GOES BRRR: 1002 X: -1.33496 -INDEX GOES BRRR: 65 X: 4.11914 -INDEX GOES BRRR: 207 X: 12.9443 -INDEX GOES BRRR: 85 X: 5.31348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 326 X: 20.417 -INDEX GOES BRRR: 102 X: 6.40918 -INDEX GOES BRRR: 60 X: 3.76562 -INDEX GOES BRRR: 1006 X: -1.09961 -INDEX GOES BRRR: 374 X: 23.3779 -INDEX GOES BRRR: 372 X: 23.2793 -INDEX GOES BRRR: 79 X: 4.95508 -INDEX GOES BRRR: 72 X: 4.52148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.2207 -INDEX GOES BRRR: 53 X: 3.35742 -INDEX GOES BRRR: 256 X: 16.002 -INDEX GOES BRRR: 112 X: 7.0127 -INDEX GOES BRRR: 128 X: 8.03516 -INDEX GOES BRRR: 312 X: 19.5156 -INDEX GOES BRRR: 71 X: 4.46387 -INDEX GOES BRRR: 124 X: 7.79199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 157 X: 9.86523 -INDEX GOES BRRR: 262 X: 16.416 -INDEX GOES BRRR: 13 X: 0.850586 -INDEX GOES BRRR: 922 X: -6.31445 -INDEX GOES BRRR: 1023 X: -0.0458984 -INDEX GOES BRRR: 1011 X: -0.754883 -INDEX GOES BRRR: 57 X: 3.5957 -INDEX GOES BRRR: 166 X: 10.3965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.0771 -INDEX GOES BRRR: 291 X: 18.209 -INDEX GOES BRRR: 236 X: 14.7969 -INDEX GOES BRRR: 320 X: 20.0254 -INDEX GOES BRRR: 999 X: -1.55078 -INDEX GOES BRRR: 306 X: 19.1729 -INDEX GOES BRRR: 277 X: 17.3486 -INDEX GOES BRRR: 195 X: 12.2471 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.29785 -INDEX GOES BRRR: 366 X: 22.8809 -INDEX GOES BRRR: 844 X: -11.2363 -INDEX GOES BRRR: 291 X: 18.2246 -INDEX GOES BRRR: 291 X: 18.2061 -INDEX GOES BRRR: 287 X: 17.9453 -INDEX GOES BRRR: 91 X: 5.70215 -INDEX GOES BRRR: 98 X: 6.14453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.67578 -INDEX GOES BRRR: 1 X: 0.100586 -INDEX GOES BRRR: 60 X: 3.75684 -INDEX GOES BRRR: 114 X: 7.1709 -INDEX GOES BRRR: 966 X: -3.61816 -INDEX GOES BRRR: 484 X: 30.3018 -INDEX GOES BRRR: 38 X: 2.40527 -INDEX GOES BRRR: 305 X: 19.0703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1003 X: -1.2998 -INDEX GOES BRRR: 192 X: 12.0615 -INDEX GOES BRRR: 825 X: -12.3916 -INDEX GOES BRRR: 223 X: 13.9424 -INDEX GOES BRRR: 332 X: 20.8096 -INDEX GOES BRRR: 996 X: -1.73535 -INDEX GOES BRRR: 252 X: 15.8105 -INDEX GOES BRRR: 144 X: 9.0293 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 739 X: -17.7559 -INDEX GOES BRRR: 69 X: 4.36816 -INDEX GOES BRRR: 132 X: 8.30566 -INDEX GOES BRRR: 45 X: 2.85938 -INDEX GOES BRRR: 301 X: 18.8643 -INDEX GOES BRRR: 928 X: -5.96289 -INDEX GOES BRRR: 133 X: 8.34277 -INDEX GOES BRRR: 133 X: 8.3418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.10156 -INDEX GOES BRRR: 135 X: 8.44824 -INDEX GOES BRRR: 294 X: 18.4268 -INDEX GOES BRRR: 142 X: 8.93555 -INDEX GOES BRRR: 969 X: -3.3877 -INDEX GOES BRRR: 244 X: 15.2979 -INDEX GOES BRRR: 786 X: -14.8604 -INDEX GOES BRRR: 207 X: 12.999 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 331 X: 20.7109 -INDEX GOES BRRR: 223 X: 13.9941 -INDEX GOES BRRR: 160 X: 10.0391 -INDEX GOES BRRR: 103 X: 6.45703 -INDEX GOES BRRR: 250 X: 15.6787 -INDEX GOES BRRR: 941 X: -5.18555 -INDEX GOES BRRR: 938 X: -5.32129 -INDEX GOES BRRR: 302 X: 18.8799 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.374 -INDEX GOES BRRR: 980 X: -2.72363 -INDEX GOES BRRR: 129 X: 8.08398 -INDEX GOES BRRR: 409 X: 25.5801 -INDEX GOES BRRR: 961 X: -3.91602 -INDEX GOES BRRR: 137 X: 8.56348 -INDEX GOES BRRR: 359 X: 22.4561 -INDEX GOES BRRR: 209 X: 13.1016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.28711 -INDEX GOES BRRR: 231 X: 14.4922 -INDEX GOES BRRR: 247 X: 15.4619 -INDEX GOES BRRR: 188 X: 11.7666 -INDEX GOES BRRR: 245 X: 15.3369 -INDEX GOES BRRR: 91 X: 5.7207 -INDEX GOES BRRR: 258 X: 16.1689 -INDEX GOES BRRR: 398 X: 24.9365 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 78 X: 4.92773 -INDEX GOES BRRR: 274 X: 17.1289 -INDEX GOES BRRR: 960 X: -3.94043 -INDEX GOES BRRR: 159 X: 9.96289 -INDEX GOES BRRR: 1001 X: -1.41699 -INDEX GOES BRRR: 152 X: 9.5332 -INDEX GOES BRRR: 39 X: 2.46484 -INDEX GOES BRRR: 369 X: 23.1025 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.166 -INDEX GOES BRRR: 331 X: 20.7197 -INDEX GOES BRRR: 248 X: 15.541 -INDEX GOES BRRR: 960 X: -3.96289 -INDEX GOES BRRR: 143 X: 8.99805 -INDEX GOES BRRR: 96 X: 6.01855 -INDEX GOES BRRR: 47 X: 2.99219 -INDEX GOES BRRR: 150 X: 9.40234 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 760 X: -16.4629 -INDEX GOES BRRR: 87 X: 5.49121 -INDEX GOES BRRR: 940 X: -5.24707 -INDEX GOES BRRR: 59 X: 3.70508 -INDEX GOES BRRR: 139 X: 8.70898 -INDEX GOES BRRR: 462 X: 28.9199 -INDEX GOES BRRR: 132 X: 8.28125 -INDEX GOES BRRR: 143 X: 8.95898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 322 X: 20.1289 -INDEX GOES BRRR: 153 X: 9.5752 -INDEX GOES BRRR: 805 X: -13.6406 -INDEX GOES BRRR: 1013 X: -0.625977 -INDEX GOES BRRR: 62 X: 3.91309 -INDEX GOES BRRR: 137 X: 8.60254 -INDEX GOES BRRR: 923 X: -6.29199 -INDEX GOES BRRR: 71 X: 4.47461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.55566 -INDEX GOES BRRR: 7 X: 0.493164 -INDEX GOES BRRR: 317 X: 19.8184 -INDEX GOES BRRR: 289 X: 18.083 -INDEX GOES BRRR: 21 X: 1.31348 -INDEX GOES BRRR: 421 X: 26.3291 -INDEX GOES BRRR: 336 X: 21.042 -INDEX GOES BRRR: 191 X: 11.9697 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.13477 -INDEX GOES BRRR: 177 X: 11.1094 -INDEX GOES BRRR: 247 X: 15.4629 -INDEX GOES BRRR: 137 X: 8.58887 -INDEX GOES BRRR: 284 X: 17.7588 -INDEX GOES BRRR: 950 X: -4.59082 -INDEX GOES BRRR: 269 X: 16.8359 -INDEX GOES BRRR: 355 X: 22.1943 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.0712891 -INDEX GOES BRRR: 1010 X: -0.821289 -INDEX GOES BRRR: 982 X: -2.5791 -INDEX GOES BRRR: 271 X: 16.9951 -INDEX GOES BRRR: 157 X: 9.8291 -INDEX GOES BRRR: 1017 X: -0.423828 -INDEX GOES BRRR: 20 X: 1.28809 -INDEX GOES BRRR: 240 X: 15.0576 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 870 X: -9.5752 -INDEX GOES BRRR: 829 X: -12.168 -INDEX GOES BRRR: 164 X: 10.25 -INDEX GOES BRRR: 274 X: 17.1318 -INDEX GOES BRRR: 999 X: -1.50195 -INDEX GOES BRRR: 286 X: 17.8779 -INDEX GOES BRRR: 449 X: 28.085 -INDEX GOES BRRR: 169 X: 10.6172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 425 X: 26.5859 -INDEX GOES BRRR: 48 X: 3.00586 -INDEX GOES BRRR: 241 X: 15.1182 -INDEX GOES BRRR: 220 X: 13.7939 -INDEX GOES BRRR: 335 X: 20.9668 -INDEX GOES BRRR: 198 X: 12.4072 -INDEX GOES BRRR: 110 X: 6.93066 -INDEX GOES BRRR: 183 X: 11.4375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6533 -INDEX GOES BRRR: 129 X: 8.11523 -INDEX GOES BRRR: 49 X: 3.06543 -INDEX GOES BRRR: 141 X: 8.83984 -INDEX GOES BRRR: 67 X: 4.21582 -INDEX GOES BRRR: 95 X: 5.95605 -INDEX GOES BRRR: 47 X: 2.94922 -INDEX GOES BRRR: 293 X: 18.3203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.52832 -INDEX GOES BRRR: 78 X: 4.91699 -INDEX GOES BRRR: 963 X: -3.75586 -INDEX GOES BRRR: 235 X: 14.7119 -INDEX GOES BRRR: 323 X: 20.2314 -INDEX GOES BRRR: 213 X: 13.3125 -INDEX GOES BRRR: 173 X: 10.8613 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1553 -INDEX GOES BRRR: 113 X: 7.08691 -INDEX GOES BRRR: 134 X: 8.41797 -INDEX GOES BRRR: 176 X: 11.0117 -INDEX GOES BRRR: 419 X: 26.2266 -INDEX GOES BRRR: 0 X: 0.0136719 -INDEX GOES BRRR: 37 X: 2.35059 -INDEX GOES BRRR: 325 X: 20.3633 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.10938 -INDEX GOES BRRR: 292 X: 18.2783 -INDEX GOES BRRR: 125 X: 7.86523 -INDEX GOES BRRR: 174 X: 10.9092 -INDEX GOES BRRR: 42 X: 2.6377 -INDEX GOES BRRR: 987 X: -2.29004 -INDEX GOES BRRR: 21 X: 1.32617 -INDEX GOES BRRR: 111 X: 6.98047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.8994 -INDEX GOES BRRR: 965 X: -3.66406 -INDEX GOES BRRR: 81 X: 5.0918 -INDEX GOES BRRR: 369 X: 23.1113 -INDEX GOES BRRR: 258 X: 16.1855 -INDEX GOES BRRR: 66 X: 4.18652 -INDEX GOES BRRR: 147 X: 9.23047 -INDEX GOES BRRR: 961 X: -3.89258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.06641 -INDEX GOES BRRR: 1010 X: -0.838867 -INDEX GOES BRRR: 142 X: 8.87988 -INDEX GOES BRRR: 317 X: 19.8604 -INDEX GOES BRRR: 266 X: 16.6855 -INDEX GOES BRRR: 182 X: 11.3867 -INDEX GOES BRRR: 276 X: 17.251 -INDEX GOES BRRR: 823 X: -12.5049 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.49805 -INDEX GOES BRRR: 208 X: 13.0479 -INDEX GOES BRRR: 344 X: 21.5615 -INDEX GOES BRRR: 225 X: 14.1191 -INDEX GOES BRRR: 21 X: 1.33301 -INDEX GOES BRRR: 440 X: 27.5293 -INDEX GOES BRRR: 161 X: 10.0918 -INDEX GOES BRRR: 304 X: 19.0488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.144531 -INDEX GOES BRRR: 233 X: 14.5967 -INDEX GOES BRRR: 903 X: -7.53711 -INDEX GOES BRRR: 187 X: 11.7021 -INDEX GOES BRRR: 173 X: 10.8516 -INDEX GOES BRRR: 127 X: 7.9541 -INDEX GOES BRRR: 928 X: -5.96973 -INDEX GOES BRRR: 166 X: 10.3809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 922 X: -6.36426 -INDEX GOES BRRR: 835 X: -11.7959 -INDEX GOES BRRR: 44 X: 2.78906 -INDEX GOES BRRR: 226 X: 14.126 -INDEX GOES BRRR: 166 X: 10.4033 -INDEX GOES BRRR: 83 X: 5.22656 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 65 X: 4.09668 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.4502 -INDEX GOES BRRR: 232 X: 14.5059 -INDEX GOES BRRR: 215 X: 13.4404 -INDEX GOES BRRR: 201 X: 12.5752 -INDEX GOES BRRR: 125 X: 7.85059 -INDEX GOES BRRR: 1010 X: -0.863281 -INDEX GOES BRRR: 148 X: 9.27637 -INDEX GOES BRRR: 221 X: 13.8408 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5498 -INDEX GOES BRRR: 272 X: 17.0596 -INDEX GOES BRRR: 407 X: 25.4697 -INDEX GOES BRRR: 167 X: 10.4961 -INDEX GOES BRRR: 88 X: 5.52344 -INDEX GOES BRRR: 158 X: 9.89355 -INDEX GOES BRRR: 182 X: 11.376 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.6055 -INDEX GOES BRRR: 953 X: -4.37793 -INDEX GOES BRRR: 411 X: 25.7266 -INDEX GOES BRRR: 423 X: 26.4658 -INDEX GOES BRRR: 963 X: -3.7832 -INDEX GOES BRRR: 106 X: 6.62598 -INDEX GOES BRRR: 165 X: 10.3691 -INDEX GOES BRRR: 296 X: 18.5469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 0 X: 0.00488281 -INDEX GOES BRRR: 342 X: 21.3975 -INDEX GOES BRRR: 164 X: 10.2881 -INDEX GOES BRRR: 167 X: 10.4434 -INDEX GOES BRRR: 384 X: 24.0264 -INDEX GOES BRRR: 312 X: 19.5215 -INDEX GOES BRRR: 195 X: 12.2139 -INDEX GOES BRRR: 152 X: 9.5166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 86 X: 5.39355 -INDEX GOES BRRR: 925 X: -6.17773 -INDEX GOES BRRR: 415 X: 25.9736 -INDEX GOES BRRR: 76 X: 4.7832 -INDEX GOES BRRR: 246 X: 15.3867 -INDEX GOES BRRR: 932 X: -5.74609 -INDEX GOES BRRR: 147 X: 9.21191 -INDEX GOES BRRR: 70 X: 4.37598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 277 X: 17.3545 -INDEX GOES BRRR: 199 X: 12.4922 -INDEX GOES BRRR: 253 X: 15.833 -INDEX GOES BRRR: 347 X: 21.7256 -INDEX GOES BRRR: 211 X: 13.1924 -INDEX GOES BRRR: 171 X: 10.7285 -INDEX GOES BRRR: 98 X: 6.16992 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 339 X: 21.2207 -INDEX GOES BRRR: 129 X: 8.06641 -INDEX GOES BRRR: 272 X: 17.043 -INDEX GOES BRRR: 200 X: 12.5068 -INDEX GOES BRRR: 278 X: 17.4043 -INDEX GOES BRRR: 205 X: 12.8174 -INDEX GOES BRRR: 204 X: 12.7988 -INDEX GOES BRRR: 209 X: 13.1201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9541 -INDEX GOES BRRR: 796 X: -14.2334 -INDEX GOES BRRR: 474 X: 29.6484 -INDEX GOES BRRR: 183 X: 11.4619 -INDEX GOES BRRR: 0 X: 0.03125 -INDEX GOES BRRR: 999 X: -1.50586 -INDEX GOES BRRR: 84 X: 5.2832 -INDEX GOES BRRR: 60 X: 3.77832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.4199 -INDEX GOES BRRR: 167 X: 10.4707 -INDEX GOES BRRR: 247 X: 15.4424 -INDEX GOES BRRR: 140 X: 8.80566 -INDEX GOES BRRR: 1004 X: -1.23535 -INDEX GOES BRRR: 881 X: -8.91016 -INDEX GOES BRRR: 986 X: -2.34473 -INDEX GOES BRRR: 365 X: 22.8213 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.1133 -INDEX GOES BRRR: 387 X: 24.2461 -INDEX GOES BRRR: 159 X: 9.9502 -INDEX GOES BRRR: 86 X: 5.38477 -INDEX GOES BRRR: 154 X: 9.625 -INDEX GOES BRRR: 921 X: -6.42383 -INDEX GOES BRRR: 13 X: 0.816406 -INDEX GOES BRRR: 487 X: 30.499 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.55371 -INDEX GOES BRRR: 246 X: 15.4229 -INDEX GOES BRRR: 1 X: 0.123047 -INDEX GOES BRRR: 133 X: 8.35645 -INDEX GOES BRRR: 171 X: 10.7363 -INDEX GOES BRRR: 363 X: 22.749 -INDEX GOES BRRR: 99 X: 6.19629 -INDEX GOES BRRR: 368 X: 23.0615 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.5166 -INDEX GOES BRRR: 153 X: 9.6084 -INDEX GOES BRRR: 217 X: 13.6104 -INDEX GOES BRRR: 475 X: 29.6895 -INDEX GOES BRRR: 217 X: 13.5645 -INDEX GOES BRRR: 143 X: 8.95215 -INDEX GOES BRRR: 401 X: 25.0996 -INDEX GOES BRRR: 313 X: 19.5664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0508 -INDEX GOES BRRR: 266 X: 16.627 -INDEX GOES BRRR: 975 X: -3.03711 -INDEX GOES BRRR: 159 X: 9.98047 -INDEX GOES BRRR: 367 X: 22.9707 -INDEX GOES BRRR: 82 X: 5.12793 -INDEX GOES BRRR: 435 X: 27.1963 -INDEX GOES BRRR: 253 X: 15.8535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 971 X: -3.27441 -INDEX GOES BRRR: 126 X: 7.8916 -INDEX GOES BRRR: 234 X: 14.6768 -INDEX GOES BRRR: 153 X: 9.59082 -INDEX GOES BRRR: 994 X: -1.8252 -INDEX GOES BRRR: 146 X: 9.15234 -INDEX GOES BRRR: 210 X: 13.1504 -INDEX GOES BRRR: 870 X: -9.57812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.615234 -INDEX GOES BRRR: 165 X: 10.3408 -INDEX GOES BRRR: 326 X: 20.3926 -INDEX GOES BRRR: 256 X: 16.0254 -INDEX GOES BRRR: 986 X: -2.37109 -INDEX GOES BRRR: 136 X: 8.55273 -INDEX GOES BRRR: 320 X: 20.0381 -INDEX GOES BRRR: 68 X: 4.27051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5986 -INDEX GOES BRRR: 320 X: 20.0615 -INDEX GOES BRRR: 298 X: 18.6611 -INDEX GOES BRRR: 126 X: 7.91895 -INDEX GOES BRRR: 326 X: 20.3906 -INDEX GOES BRRR: 282 X: 17.6758 -INDEX GOES BRRR: 97 X: 6.10449 -INDEX GOES BRRR: 273 X: 17.1221 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.63184 -INDEX GOES BRRR: 441 X: 27.5889 -INDEX GOES BRRR: 9 X: 0.579102 -INDEX GOES BRRR: 131 X: 8.24609 -INDEX GOES BRRR: 206 X: 12.9316 -INDEX GOES BRRR: 31 X: 1.96289 -INDEX GOES BRRR: 378 X: 23.6377 -INDEX GOES BRRR: 288 X: 18.0195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.51172 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 177 X: 11.0869 -INDEX GOES BRRR: 48 X: 3.01758 -INDEX GOES BRRR: 264 X: 16.5205 -INDEX GOES BRRR: 257 X: 16.1221 -INDEX GOES BRRR: 221 X: 13.8564 -INDEX GOES BRRR: 129 X: 8.11816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7256 -INDEX GOES BRRR: 921 X: -6.39453 -INDEX GOES BRRR: 118 X: 7.4248 -INDEX GOES BRRR: 378 X: 23.6807 -INDEX GOES BRRR: 235 X: 14.7158 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 295 X: 18.459 -INDEX GOES BRRR: 157 X: 9.83984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.36719 -INDEX GOES BRRR: 1018 X: -0.349609 -INDEX GOES BRRR: 917 X: -6.65234 -INDEX GOES BRRR: 123 X: 7.72461 -INDEX GOES BRRR: 44 X: 2.77148 -INDEX GOES BRRR: 272 X: 17.0381 -INDEX GOES BRRR: 226 X: 14.1611 -INDEX GOES BRRR: 1017 X: -0.421875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.8916 -INDEX GOES BRRR: 231 X: 14.4541 -INDEX GOES BRRR: 130 X: 8.12598 -INDEX GOES BRRR: 129 X: 8.12207 -INDEX GOES BRRR: 80 X: 5.02246 -INDEX GOES BRRR: 135 X: 8.48535 -INDEX GOES BRRR: 339 X: 21.1904 -INDEX GOES BRRR: 1005 X: -1.18066 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.333 -INDEX GOES BRRR: 135 X: 8.45312 -INDEX GOES BRRR: 994 X: -1.87402 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 43 X: 2.72363 -INDEX GOES BRRR: 272 X: 17.0186 -INDEX GOES BRRR: 92 X: 5.78027 -INDEX GOES BRRR: 933 X: -5.66016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 922 X: -6.31641 -INDEX GOES BRRR: 117 X: 7.31445 -INDEX GOES BRRR: 359 X: 22.4463 -INDEX GOES BRRR: 177 X: 11.0947 -INDEX GOES BRRR: 140 X: 8.80176 -INDEX GOES BRRR: 334 X: 20.8799 -INDEX GOES BRRR: 214 X: 13.3926 -INDEX GOES BRRR: 266 X: 16.627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3193 -INDEX GOES BRRR: 128 X: 8.03809 -INDEX GOES BRRR: 406 X: 25.4033 -INDEX GOES BRRR: 1003 X: -1.2959 -INDEX GOES BRRR: 31 X: 1.97949 -INDEX GOES BRRR: 118 X: 7.39648 -INDEX GOES BRRR: 345 X: 21.583 -INDEX GOES BRRR: 388 X: 24.2705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.2324 -INDEX GOES BRRR: 131 X: 8.23926 -INDEX GOES BRRR: 97 X: 6.08984 -INDEX GOES BRRR: 324 X: 20.2568 -INDEX GOES BRRR: 931 X: -5.79785 -INDEX GOES BRRR: 991 X: -2.0166 -INDEX GOES BRRR: 978 X: -2.86133 -INDEX GOES BRRR: 142 X: 8.92871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.163086 -INDEX GOES BRRR: 16 X: 1.03418 -INDEX GOES BRRR: 213 X: 13.3506 -INDEX GOES BRRR: 348 X: 21.7578 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 400 X: 25.0596 -INDEX GOES BRRR: 271 X: 16.9434 -INDEX GOES BRRR: 271 X: 16.9453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5107 -INDEX GOES BRRR: 69 X: 4.31445 -INDEX GOES BRRR: 482 X: 30.1758 -INDEX GOES BRRR: 309 X: 19.3379 -INDEX GOES BRRR: 78 X: 4.88477 -INDEX GOES BRRR: 217 X: 13.6055 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 16 X: 1.0498 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.96191 -INDEX GOES BRRR: 152 X: 9.56055 -INDEX GOES BRRR: 116 X: 7.25684 -INDEX GOES BRRR: 260 X: 16.2637 -INDEX GOES BRRR: 9 X: 0.578125 -INDEX GOES BRRR: 198 X: 12.4287 -INDEX GOES BRRR: 148 X: 9.27051 -INDEX GOES BRRR: 204 X: 12.7695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.69922 -INDEX GOES BRRR: 267 X: 16.6875 -INDEX GOES BRRR: 271 X: 16.9697 -INDEX GOES BRRR: 174 X: 10.916 -INDEX GOES BRRR: 91 X: 5.6875 -INDEX GOES BRRR: 180 X: 11.252 -INDEX GOES BRRR: 181 X: 11.335 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9434 -INDEX GOES BRRR: 291 X: 18.248 -INDEX GOES BRRR: 199 X: 12.4541 -INDEX GOES BRRR: 1014 X: -0.599609 -INDEX GOES BRRR: 229 X: 14.3545 -INDEX GOES BRRR: 236 X: 14.7832 -INDEX GOES BRRR: 489 X: 30.5732 -INDEX GOES BRRR: 275 X: 17.2422 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 951 X: -4.54785 -INDEX GOES BRRR: 277 X: 17.373 -INDEX GOES BRRR: 73 X: 4.58398 -INDEX GOES BRRR: 192 X: 12.0156 -INDEX GOES BRRR: 94 X: 5.93457 -INDEX GOES BRRR: 857 X: -10.4082 -INDEX GOES BRRR: 117 X: 7.36621 -INDEX GOES BRRR: 382 X: 23.9131 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 203 X: 12.7393 -INDEX GOES BRRR: 188 X: 11.7842 -INDEX GOES BRRR: 858 X: -10.3564 -INDEX GOES BRRR: 151 X: 9.46484 -INDEX GOES BRRR: 64 X: 4.02051 -INDEX GOES BRRR: 420 X: 26.3076 -INDEX GOES BRRR: 4 X: 0.253906 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.32715 -INDEX GOES BRRR: 93 X: 5.83594 -INDEX GOES BRRR: 317 X: 19.8652 -INDEX GOES BRRR: 138 X: 8.66309 -INDEX GOES BRRR: 242 X: 15.1416 -INDEX GOES BRRR: 81 X: 5.10449 -INDEX GOES BRRR: 81 X: 5.10449 -INDEX GOES BRRR: 992 X: -1.94238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2803 -INDEX GOES BRRR: 179 X: 11.2178 -INDEX GOES BRRR: 17 X: 1.07422 -INDEX GOES BRRR: 153 X: 9.58301 -INDEX GOES BRRR: 422 X: 26.3916 -INDEX GOES BRRR: 98 X: 6.13574 -INDEX GOES BRRR: 261 X: 16.3574 -INDEX GOES BRRR: 946 X: -4.85742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.11719 -INDEX GOES BRRR: 121 X: 7.58496 -INDEX GOES BRRR: 295 X: 18.4697 -INDEX GOES BRRR: 475 X: 29.7373 -INDEX GOES BRRR: 107 X: 6.69238 -INDEX GOES BRRR: 6 X: 0.416992 -INDEX GOES BRRR: 296 X: 18.5127 -INDEX GOES BRRR: 232 X: 14.5127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.999 -INDEX GOES BRRR: 29 X: 1.83398 -INDEX GOES BRRR: 821 X: -12.6523 -INDEX GOES BRRR: 204 X: 12.7949 -INDEX GOES BRRR: 187 X: 11.6924 -INDEX GOES BRRR: 111 X: 6.94922 -INDEX GOES BRRR: 24 X: 1.54297 -INDEX GOES BRRR: 211 X: 13.2314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 421 X: 26.3291 -INDEX GOES BRRR: 133 X: 8.31738 -INDEX GOES BRRR: 147 X: 9.18848 -INDEX GOES BRRR: 90 X: 5.65234 -INDEX GOES BRRR: 270 X: 16.9307 -INDEX GOES BRRR: 325 X: 20.334 -INDEX GOES BRRR: 309 X: 19.3213 -INDEX GOES BRRR: 123 X: 7.70508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 371 X: 23.2148 -INDEX GOES BRRR: 199 X: 12.4883 -INDEX GOES BRRR: 174 X: 10.8975 -INDEX GOES BRRR: 965 X: -3.64355 -INDEX GOES BRRR: 151 X: 9.4502 -INDEX GOES BRRR: 207 X: 12.9854 -INDEX GOES BRRR: 234 X: 14.665 -INDEX GOES BRRR: 142 X: 8.88379 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 42 X: 2.66309 -INDEX GOES BRRR: 989 X: -2.18652 -INDEX GOES BRRR: 216 X: 13.5225 -INDEX GOES BRRR: 271 X: 16.9932 -INDEX GOES BRRR: 154 X: 9.63281 -INDEX GOES BRRR: 267 X: 16.7266 -INDEX GOES BRRR: 164 X: 10.3066 -INDEX GOES BRRR: 176 X: 11.0225 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 443 X: 27.7344 -INDEX GOES BRRR: 955 X: -4.26855 -INDEX GOES BRRR: 416 X: 26.0332 -INDEX GOES BRRR: 147 X: 9.2041 -INDEX GOES BRRR: 991 X: -2.00977 -INDEX GOES BRRR: 59 X: 3.70605 -INDEX GOES BRRR: 126 X: 7.91406 -INDEX GOES BRRR: 390 X: 24.3809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.91992 -INDEX GOES BRRR: 167 X: 10.4453 -INDEX GOES BRRR: 710 X: -19.5684 -INDEX GOES BRRR: 203 X: 12.7334 -INDEX GOES BRRR: 231 X: 14.4434 -INDEX GOES BRRR: 164 X: 10.2979 -INDEX GOES BRRR: 1004 X: -1.24805 -INDEX GOES BRRR: 967 X: -3.54395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 4 X: 0.279297 -INDEX GOES BRRR: 145 X: 9.10547 -INDEX GOES BRRR: 103 X: 6.44336 -INDEX GOES BRRR: 16 X: 1.05078 -INDEX GOES BRRR: 1022 X: -0.0888672 -INDEX GOES BRRR: 169 X: 10.5947 -INDEX GOES BRRR: 21 X: 1.32422 -INDEX GOES BRRR: 143 X: 8.95703 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 480 X: 30.002 -INDEX GOES BRRR: 134 X: 8.41016 -INDEX GOES BRRR: 52 X: 3.29883 -INDEX GOES BRRR: 131 X: 8.24121 -INDEX GOES BRRR: 60 X: 3.75098 -INDEX GOES BRRR: 8 X: 0.544922 -INDEX GOES BRRR: 310 X: 19.3799 -INDEX GOES BRRR: 142 X: 8.91113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 705 X: -19.8779 -INDEX GOES BRRR: 236 X: 14.7725 -INDEX GOES BRRR: 780 X: -15.2266 -INDEX GOES BRRR: 920 X: -6.48047 -INDEX GOES BRRR: 1009 X: -0.905273 -INDEX GOES BRRR: 191 X: 11.959 -INDEX GOES BRRR: 927 X: -6.02344 -INDEX GOES BRRR: 153 X: 9.58887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 320 X: 20.0312 -INDEX GOES BRRR: 952 X: -4.44336 -INDEX GOES BRRR: 256 X: 16.0303 -INDEX GOES BRRR: 171 X: 10.7285 -INDEX GOES BRRR: 899 X: -7.80664 -INDEX GOES BRRR: 44 X: 2.80273 -INDEX GOES BRRR: 222 X: 13.9326 -INDEX GOES BRRR: 365 X: 22.8301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.5811 -INDEX GOES BRRR: 374 X: 23.3779 -INDEX GOES BRRR: 54 X: 3.40723 -INDEX GOES BRRR: 342 X: 21.3896 -INDEX GOES BRRR: 901 X: -7.67871 -INDEX GOES BRRR: 107 X: 6.7002 -INDEX GOES BRRR: 284 X: 17.751 -INDEX GOES BRRR: 367 X: 22.9395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.9834 -INDEX GOES BRRR: 937 X: -5.41699 -INDEX GOES BRRR: 239 X: 14.9941 -INDEX GOES BRRR: 178 X: 11.1367 -INDEX GOES BRRR: 14 X: 0.901367 -INDEX GOES BRRR: 481 X: 30.1113 -INDEX GOES BRRR: 1015 X: -0.522461 -INDEX GOES BRRR: 954 X: -4.36523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 193 X: 12.1025 -INDEX GOES BRRR: 196 X: 12.2822 -INDEX GOES BRRR: 322 X: 20.1729 -INDEX GOES BRRR: 141 X: 8.8623 -INDEX GOES BRRR: 319 X: 19.9697 -INDEX GOES BRRR: 47 X: 2.94238 -INDEX GOES BRRR: 276 X: 17.2656 -INDEX GOES BRRR: 967 X: -3.54785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 361 X: 22.5791 -INDEX GOES BRRR: 55 X: 3.49805 -INDEX GOES BRRR: 178 X: 11.1826 -INDEX GOES BRRR: 234 X: 14.6416 -INDEX GOES BRRR: 950 X: -4.57715 -INDEX GOES BRRR: 235 X: 14.7041 -INDEX GOES BRRR: 994 X: -1.86133 -INDEX GOES BRRR: 875 X: -9.30273 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 109 X: 6.82324 -INDEX GOES BRRR: 67 X: 4.24512 -INDEX GOES BRRR: 249 X: 15.6172 -INDEX GOES BRRR: 95 X: 5.97754 -INDEX GOES BRRR: 216 X: 13.5547 -INDEX GOES BRRR: 131 X: 8.24609 -INDEX GOES BRRR: 942 X: -5.10059 -INDEX GOES BRRR: 296 X: 18.5518 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9629 -INDEX GOES BRRR: 145 X: 9.0918 -INDEX GOES BRRR: 927 X: -6.01953 -INDEX GOES BRRR: 305 X: 19.1055 -INDEX GOES BRRR: 95 X: 5.98047 -INDEX GOES BRRR: 492 X: 30.7617 -INDEX GOES BRRR: 130 X: 8.14648 -INDEX GOES BRRR: 92 X: 5.80469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3496 -INDEX GOES BRRR: 772 X: -15.7363 -INDEX GOES BRRR: 209 X: 13.0645 -INDEX GOES BRRR: 459 X: 28.7393 -INDEX GOES BRRR: 981 X: -2.64258 -INDEX GOES BRRR: 284 X: 17.7734 -INDEX GOES BRRR: 1002 X: -1.31738 -INDEX GOES BRRR: 198 X: 12.375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.4971 -INDEX GOES BRRR: 102 X: 6.39941 -INDEX GOES BRRR: 981 X: -2.65527 -INDEX GOES BRRR: 218 X: 13.6592 -INDEX GOES BRRR: 203 X: 12.7158 -INDEX GOES BRRR: 1023 X: -0.0341797 -INDEX GOES BRRR: 242 X: 15.1289 -INDEX GOES BRRR: 468 X: 29.292 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.9209 -INDEX GOES BRRR: 182 X: 11.4307 -INDEX GOES BRRR: 187 X: 11.7002 -INDEX GOES BRRR: 299 X: 18.6943 -INDEX GOES BRRR: 434 X: 27.1699 -INDEX GOES BRRR: 42 X: 2.62988 -INDEX GOES BRRR: 153 X: 9.59961 -INDEX GOES BRRR: 970 X: -3.33105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.19824 -INDEX GOES BRRR: 191 X: 11.9824 -INDEX GOES BRRR: 182 X: 11.4053 -INDEX GOES BRRR: 253 X: 15.8574 -INDEX GOES BRRR: 277 X: 17.3359 -INDEX GOES BRRR: 202 X: 12.6348 -INDEX GOES BRRR: 231 X: 14.4629 -INDEX GOES BRRR: 5 X: 0.358398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.4668 -INDEX GOES BRRR: 4 X: 0.270508 -INDEX GOES BRRR: 337 X: 21.0977 -INDEX GOES BRRR: 1015 X: -0.545898 -INDEX GOES BRRR: 314 X: 19.627 -INDEX GOES BRRR: 167 X: 10.4541 -INDEX GOES BRRR: 944 X: -4.99805 -INDEX GOES BRRR: 212 X: 13.2812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 344 X: 21.5596 -INDEX GOES BRRR: 246 X: 15.4072 -INDEX GOES BRRR: 177 X: 11.1094 -INDEX GOES BRRR: 976 X: -2.9668 -INDEX GOES BRRR: 243 X: 15.1982 -INDEX GOES BRRR: 403 X: 25.2012 -INDEX GOES BRRR: 21 X: 1.33008 -INDEX GOES BRRR: 161 X: 10.1162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.53613 -INDEX GOES BRRR: 881 X: -8.91992 -INDEX GOES BRRR: 331 X: 20.749 -INDEX GOES BRRR: 858 X: -10.3584 -INDEX GOES BRRR: 288 X: 18.0225 -INDEX GOES BRRR: 362 X: 22.6738 -INDEX GOES BRRR: 70 X: 4.38867 -INDEX GOES BRRR: 166 X: 10.3896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1016 X: -0.462891 -INDEX GOES BRRR: 59 X: 3.72363 -INDEX GOES BRRR: 239 X: 14.9443 -INDEX GOES BRRR: 111 X: 6.97266 -INDEX GOES BRRR: 24 X: 1.50586 -INDEX GOES BRRR: 27 X: 1.72266 -INDEX GOES BRRR: 277 X: 17.3447 -INDEX GOES BRRR: 57 X: 3.58496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 148 X: 9.29688 -INDEX GOES BRRR: 1012 X: -0.69043 -INDEX GOES BRRR: 131 X: 8.2373 -INDEX GOES BRRR: 248 X: 15.5 -INDEX GOES BRRR: 76 X: 4.78711 -INDEX GOES BRRR: 121 X: 7.62402 -INDEX GOES BRRR: 79 X: 4.94043 -INDEX GOES BRRR: 324 X: 20.2793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 369 X: 23.0869 -INDEX GOES BRRR: 136 X: 8.52734 -INDEX GOES BRRR: 387 X: 24.2256 -INDEX GOES BRRR: 59 X: 3.74707 -INDEX GOES BRRR: 31 X: 1.94629 -INDEX GOES BRRR: 254 X: 15.8789 -INDEX GOES BRRR: 1015 X: -0.538086 -INDEX GOES BRRR: 153 X: 9.62109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.19824 -INDEX GOES BRRR: 66 X: 4.16797 -INDEX GOES BRRR: 152 X: 9.52539 -INDEX GOES BRRR: 733 X: -18.1396 -INDEX GOES BRRR: 990 X: -2.11133 -INDEX GOES BRRR: 48 X: 3.04102 -INDEX GOES BRRR: 1014 X: -0.589844 -INDEX GOES BRRR: 271 X: 16.9727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.50098 -INDEX GOES BRRR: 348 X: 21.7979 -INDEX GOES BRRR: 23 X: 1.4668 -INDEX GOES BRRR: 142 X: 8.93066 -INDEX GOES BRRR: 230 X: 14.3828 -INDEX GOES BRRR: 187 X: 11.7383 -INDEX GOES BRRR: 125 X: 7.85742 -INDEX GOES BRRR: 800 X: -13.9678 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 134 X: 8.3916 -INDEX GOES BRRR: 211 X: 13.2197 -INDEX GOES BRRR: 56 X: 3.55859 -INDEX GOES BRRR: 228 X: 14.2666 -INDEX GOES BRRR: 163 X: 10.248 -INDEX GOES BRRR: 200 X: 12.501 -INDEX GOES BRRR: 101 X: 6.3125 -INDEX GOES BRRR: 125 X: 7.86719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 216 X: 13.5303 -INDEX GOES BRRR: 990 X: -2.07129 -INDEX GOES BRRR: 137 X: 8.6123 -INDEX GOES BRRR: 202 X: 12.6328 -INDEX GOES BRRR: 208 X: 13.0293 -INDEX GOES BRRR: 979 X: -2.7666 -INDEX GOES BRRR: 131 X: 8.20703 -INDEX GOES BRRR: 129 X: 8.09082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.2207 -INDEX GOES BRRR: 263 X: 16.4883 -INDEX GOES BRRR: 207 X: 12.9961 -INDEX GOES BRRR: 358 X: 22.4219 -INDEX GOES BRRR: 170 X: 10.6445 -INDEX GOES BRRR: 89 X: 5.60254 -INDEX GOES BRRR: 91 X: 5.72949 -INDEX GOES BRRR: 68 X: 4.30762 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 385 X: 24.0752 -INDEX GOES BRRR: 30 X: 1.93359 -INDEX GOES BRRR: 449 X: 28.0742 -INDEX GOES BRRR: 93 X: 5.86328 -INDEX GOES BRRR: 148 X: 9.25488 -INDEX GOES BRRR: 401 X: 25.0674 -INDEX GOES BRRR: 958 X: -4.12305 -INDEX GOES BRRR: 227 X: 14.1992 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.0889 -INDEX GOES BRRR: 994 X: -1.83691 -INDEX GOES BRRR: 245 X: 15.3721 -INDEX GOES BRRR: 249 X: 15.5654 -INDEX GOES BRRR: 142 X: 8.92383 -INDEX GOES BRRR: 988 X: -2.21973 -INDEX GOES BRRR: 55 X: 3.4668 -INDEX GOES BRRR: 109 X: 6.87012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4717 -INDEX GOES BRRR: 114 X: 7.17285 -INDEX GOES BRRR: 292 X: 18.2959 -INDEX GOES BRRR: 211 X: 13.2246 -INDEX GOES BRRR: 963 X: -3.77344 -INDEX GOES BRRR: 187 X: 11.6953 -INDEX GOES BRRR: 291 X: 18.2188 -INDEX GOES BRRR: 25 X: 1.60938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0254 -INDEX GOES BRRR: 321 X: 20.1123 -INDEX GOES BRRR: 268 X: 16.8066 -INDEX GOES BRRR: 153 X: 9.5625 -INDEX GOES BRRR: 276 X: 17.252 -INDEX GOES BRRR: 904 X: -7.46094 -INDEX GOES BRRR: 936 X: -5.47949 -INDEX GOES BRRR: 281 X: 17.5645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.46777 -INDEX GOES BRRR: 362 X: 22.6855 -INDEX GOES BRRR: 119 X: 7.49902 -INDEX GOES BRRR: 142 X: 8.91406 -INDEX GOES BRRR: 978 X: -2.85059 -INDEX GOES BRRR: 227 X: 14.2002 -INDEX GOES BRRR: 186 X: 11.6699 -INDEX GOES BRRR: 288 X: 18.0215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 349 X: 21.8535 -INDEX GOES BRRR: 344 X: 21.5605 -INDEX GOES BRRR: 12 X: 0.773438 -INDEX GOES BRRR: 267 X: 16.7188 -INDEX GOES BRRR: 57 X: 3.59668 -INDEX GOES BRRR: 162 X: 10.166 -INDEX GOES BRRR: 401 X: 25.1064 -INDEX GOES BRRR: 389 X: 24.3457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.58398 -INDEX GOES BRRR: 237 X: 14.8389 -INDEX GOES BRRR: 95 X: 5.99023 -INDEX GOES BRRR: 202 X: 12.6689 -INDEX GOES BRRR: 985 X: -2.39258 -INDEX GOES BRRR: 285 X: 17.8477 -INDEX GOES BRRR: 949 X: -4.66992 -INDEX GOES BRRR: 167 X: 10.4824 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 316 X: 19.8076 -INDEX GOES BRRR: 900 X: -7.74219 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 73 X: 4.5625 -INDEX GOES BRRR: 8 X: 0.529297 -INDEX GOES BRRR: 381 X: 23.8467 -INDEX GOES BRRR: 207 X: 12.9922 -INDEX GOES BRRR: 807 X: -13.502 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 985 X: -2.42285 -INDEX GOES BRRR: 102 X: 6.38281 -INDEX GOES BRRR: 238 X: 14.8848 -INDEX GOES BRRR: 999 X: -1.50781 -INDEX GOES BRRR: 813 X: -13.1553 -INDEX GOES BRRR: 153 X: 9.59863 -INDEX GOES BRRR: 106 X: 6.67285 -INDEX GOES BRRR: 272 X: 17.0195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 306 X: 19.1699 -INDEX GOES BRRR: 479 X: 29.9697 -INDEX GOES BRRR: 315 X: 19.7158 -INDEX GOES BRRR: 338 X: 21.1445 -INDEX GOES BRRR: 207 X: 12.9785 -INDEX GOES BRRR: 369 X: 23.0762 -INDEX GOES BRRR: 102 X: 6.39648 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.2695 -INDEX GOES BRRR: 339 X: 21.1914 -INDEX GOES BRRR: 282 X: 17.6699 -INDEX GOES BRRR: 982 X: -2.58496 -INDEX GOES BRRR: 242 X: 15.1357 -INDEX GOES BRRR: 171 X: 10.7119 -INDEX GOES BRRR: 327 X: 20.4541 -INDEX GOES BRRR: 146 X: 9.16211 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 426 X: 26.6426 -INDEX GOES BRRR: 84 X: 5.26758 -INDEX GOES BRRR: 200 X: 12.542 -INDEX GOES BRRR: 167 X: 10.4512 -INDEX GOES BRRR: 124 X: 7.77832 -INDEX GOES BRRR: 45 X: 2.8125 -INDEX GOES BRRR: 208 X: 13.0293 -INDEX GOES BRRR: 25 X: 1.58105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1015 X: -0.506836 -INDEX GOES BRRR: 63 X: 3.98242 -INDEX GOES BRRR: 45 X: 2.81738 -INDEX GOES BRRR: 176 X: 11.04 -INDEX GOES BRRR: 324 X: 20.2812 -INDEX GOES BRRR: 324 X: 20.2793 -INDEX GOES BRRR: 17 X: 1.08008 -INDEX GOES BRRR: 948 X: -4.69727 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 248 X: 15.5195 -INDEX GOES BRRR: 1023 X: -0.0507812 -INDEX GOES BRRR: 261 X: 16.3633 -INDEX GOES BRRR: 139 X: 8.73926 -INDEX GOES BRRR: 35 X: 2.22949 -INDEX GOES BRRR: 893 X: -8.17871 -INDEX GOES BRRR: 940 X: -5.21191 -INDEX GOES BRRR: 756 X: -16.7275 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.51855 -INDEX GOES BRRR: 162 X: 10.1631 -INDEX GOES BRRR: 983 X: -2.54004 -INDEX GOES BRRR: 350 X: 21.9199 -INDEX GOES BRRR: 99 X: 6.19922 -INDEX GOES BRRR: 863 X: -10.0059 -INDEX GOES BRRR: 184 X: 11.5029 -INDEX GOES BRRR: 333 X: 20.8672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.07129 -INDEX GOES BRRR: 277 X: 17.3184 -INDEX GOES BRRR: 43 X: 2.69043 -INDEX GOES BRRR: 18 X: 1.16016 -INDEX GOES BRRR: 120 X: 7.54785 -INDEX GOES BRRR: 57 X: 3.5625 -INDEX GOES BRRR: 327 X: 20.498 -INDEX GOES BRRR: 235 X: 14.7295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.33594 -INDEX GOES BRRR: 16 X: 1.01074 -INDEX GOES BRRR: 34 X: 2.16016 -INDEX GOES BRRR: 143 X: 8.94043 -INDEX GOES BRRR: 1011 X: -0.788086 -INDEX GOES BRRR: 231 X: 14.4902 -INDEX GOES BRRR: 216 X: 13.5469 -INDEX GOES BRRR: 73 X: 4.59961 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.50488 -INDEX GOES BRRR: 366 X: 22.9053 -INDEX GOES BRRR: 111 X: 6.97559 -INDEX GOES BRRR: 135 X: 8.45996 -INDEX GOES BRRR: 223 X: 13.9912 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 260 X: 16.2676 -INDEX GOES BRRR: 30 X: 1.91016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3428 -INDEX GOES BRRR: 353 X: 22.1016 -INDEX GOES BRRR: 272 X: 17.0352 -INDEX GOES BRRR: 1007 X: -1.0625 -INDEX GOES BRRR: 398 X: 24.9219 -INDEX GOES BRRR: 334 X: 20.9297 -INDEX GOES BRRR: 180 X: 11.3008 -INDEX GOES BRRR: 925 X: -6.12598 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 296 X: 18.5459 -INDEX GOES BRRR: 28 X: 1.79492 -INDEX GOES BRRR: 416 X: 26.0361 -INDEX GOES BRRR: 372 X: 23.2783 -INDEX GOES BRRR: 195 X: 12.2119 -INDEX GOES BRRR: 332 X: 20.7607 -INDEX GOES BRRR: 271 X: 16.9453 -INDEX GOES BRRR: 136 X: 8.53125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 253 X: 15.873 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 130 X: 8.16406 -INDEX GOES BRRR: 1011 X: -0.789062 -INDEX GOES BRRR: 329 X: 20.582 -INDEX GOES BRRR: 22 X: 1.43359 -INDEX GOES BRRR: 421 X: 26.3145 -INDEX GOES BRRR: 91 X: 5.6875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.58398 -INDEX GOES BRRR: 211 X: 13.2012 -INDEX GOES BRRR: 62 X: 3.92578 -INDEX GOES BRRR: 381 X: 23.8672 -INDEX GOES BRRR: 250 X: 15.6436 -INDEX GOES BRRR: 1021 X: -0.177734 -INDEX GOES BRRR: 156 X: 9.77734 -INDEX GOES BRRR: 951 X: -4.50391 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1416 -INDEX GOES BRRR: 246 X: 15.4258 -INDEX GOES BRRR: 164 X: 10.2881 -INDEX GOES BRRR: 347 X: 21.6885 -INDEX GOES BRRR: 897 X: -7.9082 -INDEX GOES BRRR: 34 X: 2.14062 -INDEX GOES BRRR: 103 X: 6.46582 -INDEX GOES BRRR: 211 X: 13.249 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 920 X: -6.47754 -INDEX GOES BRRR: 427 X: 26.7197 -INDEX GOES BRRR: 406 X: 25.4062 -INDEX GOES BRRR: 1000 X: -1.45703 -INDEX GOES BRRR: 95 X: 5.99609 -INDEX GOES BRRR: 190 X: 11.9092 -INDEX GOES BRRR: 297 X: 18.623 -INDEX GOES BRRR: 8 X: 0.552734 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 269 X: 16.8291 -INDEX GOES BRRR: 425 X: 26.6211 -INDEX GOES BRRR: 122 X: 7.65918 -INDEX GOES BRRR: 46 X: 2.89258 -INDEX GOES BRRR: 27 X: 1.72852 -INDEX GOES BRRR: 920 X: -6.48242 -INDEX GOES BRRR: 19 X: 1.24219 -INDEX GOES BRRR: 312 X: 19.5322 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 892 X: -8.24512 -INDEX GOES BRRR: 104 X: 6.52637 -INDEX GOES BRRR: 113 X: 7.12207 -INDEX GOES BRRR: 343 X: 21.4551 -INDEX GOES BRRR: 262 X: 16.4014 -INDEX GOES BRRR: 128 X: 8.05176 -INDEX GOES BRRR: 241 X: 15.0762 -INDEX GOES BRRR: 993 X: -1.92773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.543 -INDEX GOES BRRR: 285 X: 17.8125 -INDEX GOES BRRR: 257 X: 16.1104 -INDEX GOES BRRR: 3 X: 0.228516 -INDEX GOES BRRR: 477 X: 29.8525 -INDEX GOES BRRR: 222 X: 13.9268 -INDEX GOES BRRR: 997 X: -1.63867 -INDEX GOES BRRR: 182 X: 11.3936 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.5928 -INDEX GOES BRRR: 209 X: 13.0645 -INDEX GOES BRRR: 310 X: 19.4062 -INDEX GOES BRRR: 162 X: 10.1758 -INDEX GOES BRRR: 374 X: 23.416 -INDEX GOES BRRR: 276 X: 17.2676 -INDEX GOES BRRR: 209 X: 13.0654 -INDEX GOES BRRR: 168 X: 10.5527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 398 X: 24.8906 -INDEX GOES BRRR: 56 X: 3.55664 -INDEX GOES BRRR: 52 X: 3.27051 -INDEX GOES BRRR: 757 X: -16.6455 -INDEX GOES BRRR: 191 X: 11.9414 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 349 X: 21.8574 -INDEX GOES BRRR: 347 X: 21.6924 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6465 -INDEX GOES BRRR: 947 X: -4.79102 -INDEX GOES BRRR: 96 X: 6.0332 -INDEX GOES BRRR: 170 X: 10.6416 -INDEX GOES BRRR: 230 X: 14.4141 -INDEX GOES BRRR: 384 X: 24.0137 -INDEX GOES BRRR: 1002 X: -1.37207 -INDEX GOES BRRR: 956 X: -4.24316 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 970 X: -3.37402 -INDEX GOES BRRR: 205 X: 12.835 -INDEX GOES BRRR: 165 X: 10.3438 -INDEX GOES BRRR: 240 X: 15.042 -INDEX GOES BRRR: 79 X: 4.94922 -INDEX GOES BRRR: 894 X: -8.07324 -INDEX GOES BRRR: 344 X: 21.5107 -INDEX GOES BRRR: 214 X: 13.4189 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5771 -INDEX GOES BRRR: 355 X: 22.2168 -INDEX GOES BRRR: 295 X: 18.4443 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 895 X: -8.0166 -INDEX GOES BRRR: 51 X: 3.24023 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 924 X: -6.19922 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5186 -INDEX GOES BRRR: 270 X: 16.9062 -INDEX GOES BRRR: 128 X: 8.04785 -INDEX GOES BRRR: 870 X: -9.56543 -INDEX GOES BRRR: 92 X: 5.76562 -INDEX GOES BRRR: 73 X: 4.61621 -INDEX GOES BRRR: 940 X: -5.21875 -INDEX GOES BRRR: 983 X: -2.52148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.96 -INDEX GOES BRRR: 343 X: 21.4785 -INDEX GOES BRRR: 1017 X: -0.387695 -INDEX GOES BRRR: 149 X: 9.32227 -INDEX GOES BRRR: 149 X: 9.32617 -INDEX GOES BRRR: 173 X: 10.8535 -INDEX GOES BRRR: 1021 X: -0.18457 -INDEX GOES BRRR: 1022 X: -0.0986328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 993 X: -1.89258 -INDEX GOES BRRR: 149 X: 9.36523 -INDEX GOES BRRR: 109 X: 6.85352 -INDEX GOES BRRR: 190 X: 11.8779 -INDEX GOES BRRR: 80 X: 5.01562 -INDEX GOES BRRR: 4 X: 0.251953 -INDEX GOES BRRR: 1003 X: -1.30176 -INDEX GOES BRRR: 230 X: 14.4307 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 368 X: 23.0352 -INDEX GOES BRRR: 107 X: 6.74219 -INDEX GOES BRRR: 180 X: 11.2939 -INDEX GOES BRRR: 111 X: 6.94238 -INDEX GOES BRRR: 963 X: -3.78906 -INDEX GOES BRRR: 125 X: 7.81738 -INDEX GOES BRRR: 30 X: 1.91309 -INDEX GOES BRRR: 231 X: 14.4551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0908 -INDEX GOES BRRR: 92 X: 5.77148 -INDEX GOES BRRR: 205 X: 12.8457 -INDEX GOES BRRR: 141 X: 8.86523 -INDEX GOES BRRR: 1007 X: -1.02148 -INDEX GOES BRRR: 126 X: 7.8916 -INDEX GOES BRRR: 445 X: 27.835 -INDEX GOES BRRR: 31 X: 1.94336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 972 X: -3.21191 -INDEX GOES BRRR: 148 X: 9.30762 -INDEX GOES BRRR: 345 X: 21.5918 -INDEX GOES BRRR: 282 X: 17.667 -INDEX GOES BRRR: 26 X: 1.63379 -INDEX GOES BRRR: 30 X: 1.90234 -INDEX GOES BRRR: 915 X: -6.79297 -INDEX GOES BRRR: 914 X: -6.875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.29199 -INDEX GOES BRRR: 222 X: 13.9043 -INDEX GOES BRRR: 343 X: 21.4395 -INDEX GOES BRRR: 80 X: 5.03223 -INDEX GOES BRRR: 5 X: 0.357422 -INDEX GOES BRRR: 217 X: 13.6123 -INDEX GOES BRRR: 156 X: 9.79688 -INDEX GOES BRRR: 184 X: 11.5078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 413 X: 25.8252 -INDEX GOES BRRR: 190 X: 11.917 -INDEX GOES BRRR: 796 X: -14.2168 -INDEX GOES BRRR: 241 X: 15.1143 -INDEX GOES BRRR: 182 X: 11.4131 -INDEX GOES BRRR: 287 X: 17.9883 -INDEX GOES BRRR: 427 X: 26.7441 -INDEX GOES BRRR: 57 X: 3.61523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.7686 -INDEX GOES BRRR: 168 X: 10.5449 -INDEX GOES BRRR: 52 X: 3.28613 -INDEX GOES BRRR: 268 X: 16.7891 -INDEX GOES BRRR: 30 X: 1.88477 -INDEX GOES BRRR: 1002 X: -1.34277 -INDEX GOES BRRR: 1020 X: -0.25 -INDEX GOES BRRR: 327 X: 20.458 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7031 -INDEX GOES BRRR: 211 X: 13.1895 -INDEX GOES BRRR: 152 X: 9.5332 -INDEX GOES BRRR: 44 X: 2.80371 -INDEX GOES BRRR: 131 X: 8.19727 -INDEX GOES BRRR: 126 X: 7.89258 -INDEX GOES BRRR: 20 X: 1.25195 -INDEX GOES BRRR: 254 X: 15.9297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 79 X: 4.9375 -INDEX GOES BRRR: 186 X: 11.625 -INDEX GOES BRRR: 286 X: 17.876 -INDEX GOES BRRR: 285 X: 17.8496 -INDEX GOES BRRR: 22 X: 1.43164 -INDEX GOES BRRR: 62 X: 3.91406 -INDEX GOES BRRR: 260 X: 16.252 -INDEX GOES BRRR: 45 X: 2.87402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 9 X: 0.574219 -INDEX GOES BRRR: 199 X: 12.499 -INDEX GOES BRRR: 190 X: 11.8779 -INDEX GOES BRRR: 216 X: 13.5537 -INDEX GOES BRRR: 471 X: 29.4922 -INDEX GOES BRRR: 87 X: 5.44141 -INDEX GOES BRRR: 296 X: 18.543 -INDEX GOES BRRR: 377 X: 23.6182 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.835 -INDEX GOES BRRR: 119 X: 7.49609 -INDEX GOES BRRR: 302 X: 18.9287 -INDEX GOES BRRR: 127 X: 7.97949 -INDEX GOES BRRR: 246 X: 15.3779 -INDEX GOES BRRR: 997 X: -1.63184 -INDEX GOES BRRR: 149 X: 9.33203 -INDEX GOES BRRR: 383 X: 23.9531 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1406 -INDEX GOES BRRR: 139 X: 8.73633 -INDEX GOES BRRR: 397 X: 24.8145 -INDEX GOES BRRR: 179 X: 11.1924 -INDEX GOES BRRR: 228 X: 14.2646 -INDEX GOES BRRR: 220 X: 13.7861 -INDEX GOES BRRR: 224 X: 14.0029 -INDEX GOES BRRR: 161 X: 10.123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 860 X: -10.2197 -INDEX GOES BRRR: 2 X: 0.130859 -INDEX GOES BRRR: 295 X: 18.4482 -INDEX GOES BRRR: 56 X: 3.54883 -INDEX GOES BRRR: 940 X: -5.21973 -INDEX GOES BRRR: 257 X: 16.0693 -INDEX GOES BRRR: 241 X: 15.0674 -INDEX GOES BRRR: 425 X: 26.6152 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6836 -INDEX GOES BRRR: 34 X: 2.17773 -INDEX GOES BRRR: 270 X: 16.8965 -INDEX GOES BRRR: 95 X: 5.95996 -INDEX GOES BRRR: 473 X: 29.6143 -INDEX GOES BRRR: 343 X: 21.4463 -INDEX GOES BRRR: 8 X: 0.557617 -INDEX GOES BRRR: 90 X: 5.68555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 154 X: 9.65137 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 119 X: 7.47461 -INDEX GOES BRRR: 88 X: 5.51855 -INDEX GOES BRRR: 179 X: 11.2451 -INDEX GOES BRRR: 967 X: -3.5498 -INDEX GOES BRRR: 294 X: 18.4316 -INDEX GOES BRRR: 397 X: 24.8125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 405 X: 25.3691 -INDEX GOES BRRR: 120 X: 7.53906 -INDEX GOES BRRR: 270 X: 16.9277 -INDEX GOES BRRR: 958 X: -4.06348 -INDEX GOES BRRR: 156 X: 9.77539 -INDEX GOES BRRR: 246 X: 15.4355 -INDEX GOES BRRR: 159 X: 9.98828 -INDEX GOES BRRR: 153 X: 9.60449 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 940 X: -5.24219 -INDEX GOES BRRR: 59 X: 3.7041 -INDEX GOES BRRR: 63 X: 3.97168 -INDEX GOES BRRR: 160 X: 10.0254 -INDEX GOES BRRR: 125 X: 7.83984 -INDEX GOES BRRR: 189 X: 11.8154 -INDEX GOES BRRR: 332 X: 20.7686 -INDEX GOES BRRR: 358 X: 22.417 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 852 X: -10.7373 -INDEX GOES BRRR: 202 X: 12.6377 -INDEX GOES BRRR: 368 X: 23.0371 -INDEX GOES BRRR: 137 X: 8.58105 -INDEX GOES BRRR: 179 X: 11.2246 -INDEX GOES BRRR: 947 X: -4.75293 -INDEX GOES BRRR: 131 X: 8.24121 -INDEX GOES BRRR: 304 X: 19.0078 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 307 X: 19.2217 -INDEX GOES BRRR: 50 X: 3.18164 -INDEX GOES BRRR: 272 X: 17.0127 -INDEX GOES BRRR: 16 X: 1.05859 -INDEX GOES BRRR: 244 X: 15.252 -INDEX GOES BRRR: 991 X: -2.03027 -INDEX GOES BRRR: 98 X: 6.17188 -INDEX GOES BRRR: 47 X: 2.95312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.35645 -INDEX GOES BRRR: 148 X: 9.27832 -INDEX GOES BRRR: 41 X: 2.59277 -INDEX GOES BRRR: 214 X: 13.4092 -INDEX GOES BRRR: 907 X: -7.30469 -INDEX GOES BRRR: 182 X: 11.4141 -INDEX GOES BRRR: 99 X: 6.24609 -INDEX GOES BRRR: 114 X: 7.12793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 265 X: 16.6025 -INDEX GOES BRRR: 149 X: 9.32715 -INDEX GOES BRRR: 326 X: 20.4277 -INDEX GOES BRRR: 108 X: 6.76758 -INDEX GOES BRRR: 23 X: 1.48828 -INDEX GOES BRRR: 244 X: 15.2705 -INDEX GOES BRRR: 71 X: 4.45215 -INDEX GOES BRRR: 217 X: 13.5879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 450 X: 28.1836 -INDEX GOES BRRR: 110 X: 6.90723 -INDEX GOES BRRR: 221 X: 13.8486 -INDEX GOES BRRR: 68 X: 4.25977 -INDEX GOES BRRR: 67 X: 4.23145 -INDEX GOES BRRR: 216 X: 13.5508 -INDEX GOES BRRR: 336 X: 21.0195 -INDEX GOES BRRR: 413 X: 25.8145 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 367 X: 22.9883 -INDEX GOES BRRR: 242 X: 15.1318 -INDEX GOES BRRR: 80 X: 5.0332 -INDEX GOES BRRR: 171 X: 10.6963 -INDEX GOES BRRR: 158 X: 9.92676 -INDEX GOES BRRR: 326 X: 20.4258 -INDEX GOES BRRR: 955 X: -4.27539 -INDEX GOES BRRR: 131 X: 8.20215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.082 -INDEX GOES BRRR: 262 X: 16.4111 -INDEX GOES BRRR: 129 X: 8.06445 -INDEX GOES BRRR: 340 X: 21.3037 -INDEX GOES BRRR: 129 X: 8.07715 -INDEX GOES BRRR: 218 X: 13.6592 -INDEX GOES BRRR: 473 X: 29.5977 -INDEX GOES BRRR: 149 X: 9.33301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.16699 -INDEX GOES BRRR: 945 X: -4.89453 -INDEX GOES BRRR: 113 X: 7.08887 -INDEX GOES BRRR: 46 X: 2.91211 -INDEX GOES BRRR: 424 X: 26.5527 -INDEX GOES BRRR: 266 X: 16.6865 -INDEX GOES BRRR: 145 X: 9.12012 -INDEX GOES BRRR: 40 X: 2.52148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 251 X: 15.7158 -INDEX GOES BRRR: 247 X: 15.4902 -INDEX GOES BRRR: 328 X: 20.5273 -INDEX GOES BRRR: 1000 X: -1.46777 -INDEX GOES BRRR: 277 X: 17.373 -INDEX GOES BRRR: 208 X: 13.0449 -INDEX GOES BRRR: 176 X: 11.0322 -INDEX GOES BRRR: 336 X: 21.0605 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 429 X: 26.8438 -INDEX GOES BRRR: 357 X: 22.3242 -INDEX GOES BRRR: 127 X: 7.97266 -INDEX GOES BRRR: 106 X: 6.68066 -INDEX GOES BRRR: 438 X: 27.4287 -INDEX GOES BRRR: 207 X: 12.9697 -INDEX GOES BRRR: 145 X: 9.08008 -INDEX GOES BRRR: 277 X: 17.332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.999 -INDEX GOES BRRR: 134 X: 8.4248 -INDEX GOES BRRR: 168 X: 10.5332 -INDEX GOES BRRR: 172 X: 10.7783 -INDEX GOES BRRR: 37 X: 2.34863 -INDEX GOES BRRR: 193 X: 12.0996 -INDEX GOES BRRR: 156 X: 9.79395 -INDEX GOES BRRR: 162 X: 10.1279 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.8145 -INDEX GOES BRRR: 299 X: 18.7256 -INDEX GOES BRRR: 948 X: -4.71777 -INDEX GOES BRRR: 295 X: 18.4609 -INDEX GOES BRRR: 1018 X: -0.318359 -INDEX GOES BRRR: 969 X: -3.37988 -INDEX GOES BRRR: 159 X: 9.94141 -INDEX GOES BRRR: 271 X: 16.9912 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6416 -INDEX GOES BRRR: 214 X: 13.417 -INDEX GOES BRRR: 92 X: 5.79297 -INDEX GOES BRRR: 845 X: -11.1465 -INDEX GOES BRRR: 145 X: 9.06445 -INDEX GOES BRRR: 246 X: 15.416 -INDEX GOES BRRR: 453 X: 28.3291 -INDEX GOES BRRR: 985 X: -2.40723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.585 -INDEX GOES BRRR: 247 X: 15.4746 -INDEX GOES BRRR: 214 X: 13.3809 -INDEX GOES BRRR: 211 X: 13.2334 -INDEX GOES BRRR: 803 X: -13.7949 -INDEX GOES BRRR: 50 X: 3.15332 -INDEX GOES BRRR: 982 X: -2.60254 -INDEX GOES BRRR: 323 X: 20.2256 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 347 X: 21.7031 -INDEX GOES BRRR: 242 X: 15.1865 -INDEX GOES BRRR: 167 X: 10.4482 -INDEX GOES BRRR: 215 X: 13.4756 -INDEX GOES BRRR: 378 X: 23.6582 -INDEX GOES BRRR: 239 X: 14.9414 -INDEX GOES BRRR: 63 X: 3.94141 -INDEX GOES BRRR: 338 X: 21.1836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 145 X: 9.06543 -INDEX GOES BRRR: 194 X: 12.165 -INDEX GOES BRRR: 341 X: 21.3418 -INDEX GOES BRRR: 135 X: 8.49316 -INDEX GOES BRRR: 224 X: 14.0352 -INDEX GOES BRRR: 238 X: 14.8838 -INDEX GOES BRRR: 146 X: 9.12891 -INDEX GOES BRRR: 377 X: 23.5693 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.667 -INDEX GOES BRRR: 389 X: 24.3223 -INDEX GOES BRRR: 114 X: 7.1543 -INDEX GOES BRRR: 123 X: 7.71777 -INDEX GOES BRRR: 138 X: 8.66895 -INDEX GOES BRRR: 408 X: 25.5469 -INDEX GOES BRRR: 189 X: 11.8584 -INDEX GOES BRRR: 114 X: 7.1582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1002 X: -1.34473 -INDEX GOES BRRR: 176 X: 11.0029 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 198 X: 12.4355 -INDEX GOES BRRR: 375 X: 23.4795 -INDEX GOES BRRR: 907 X: -7.25977 -INDEX GOES BRRR: 337 X: 21.082 -INDEX GOES BRRR: 300 X: 18.752 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 447 X: 27.9424 -INDEX GOES BRRR: 1006 X: -1.09766 -INDEX GOES BRRR: 147 X: 9.22168 -INDEX GOES BRRR: 230 X: 14.3906 -INDEX GOES BRRR: 160 X: 10.0537 -INDEX GOES BRRR: 45 X: 2.85059 -INDEX GOES BRRR: 205 X: 12.8242 -INDEX GOES BRRR: 873 X: -9.38574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 80 X: 5 -INDEX GOES BRRR: 4 X: 0.289062 -INDEX GOES BRRR: 296 X: 18.5225 -INDEX GOES BRRR: 1019 X: -0.299805 -INDEX GOES BRRR: 897 X: -7.91797 -INDEX GOES BRRR: 466 X: 29.1572 -INDEX GOES BRRR: 214 X: 13.3975 -INDEX GOES BRRR: 99 X: 6.20312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 159 X: 9.97656 -INDEX GOES BRRR: 315 X: 19.7188 -INDEX GOES BRRR: 96 X: 6 -INDEX GOES BRRR: 12 X: 0.803711 -INDEX GOES BRRR: 904 X: -7.46191 -INDEX GOES BRRR: 54 X: 3.40625 -INDEX GOES BRRR: 151 X: 9.49512 -INDEX GOES BRRR: 306 X: 19.166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.42383 -INDEX GOES BRRR: 186 X: 11.6299 -INDEX GOES BRRR: 48 X: 3.04297 -INDEX GOES BRRR: 62 X: 3.93555 -INDEX GOES BRRR: 20 X: 1.30371 -INDEX GOES BRRR: 268 X: 16.7656 -INDEX GOES BRRR: 113 X: 7.10742 -INDEX GOES BRRR: 73 X: 4.60938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.88672 -INDEX GOES BRRR: 341 X: 21.3418 -INDEX GOES BRRR: 337 X: 21.1035 -INDEX GOES BRRR: 152 X: 9.50391 -INDEX GOES BRRR: 73 X: 4.57715 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 128 X: 8.05273 -INDEX GOES BRRR: 223 X: 13.9854 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.64941 -INDEX GOES BRRR: 1022 X: -0.0761719 -INDEX GOES BRRR: 302 X: 18.9092 -INDEX GOES BRRR: 98 X: 6.17188 -INDEX GOES BRRR: 38 X: 2.37891 -INDEX GOES BRRR: 71 X: 4.44629 -INDEX GOES BRRR: 160 X: 10.0117 -INDEX GOES BRRR: 6 X: 0.413086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1006 X: -1.11328 -INDEX GOES BRRR: 244 X: 15.293 -INDEX GOES BRRR: 179 X: 11.2051 -INDEX GOES BRRR: 319 X: 19.9844 -INDEX GOES BRRR: 116 X: 7.27344 -INDEX GOES BRRR: 254 X: 15.8818 -INDEX GOES BRRR: 74 X: 4.65137 -INDEX GOES BRRR: 870 X: -9.58496 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0498047 -INDEX GOES BRRR: 168 X: 10.5029 -INDEX GOES BRRR: 24 X: 1.5 -INDEX GOES BRRR: 1003 X: -1.28809 -INDEX GOES BRRR: 147 X: 9.22168 -INDEX GOES BRRR: 1010 X: -0.87207 -INDEX GOES BRRR: 367 X: 22.9736 -INDEX GOES BRRR: 100 X: 6.30664 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1016 X: -0.460938 -INDEX GOES BRRR: 150 X: 9.43652 -INDEX GOES BRRR: 834 X: -11.8652 -INDEX GOES BRRR: 107 X: 6.74023 -INDEX GOES BRRR: 354 X: 22.1777 -INDEX GOES BRRR: 1003 X: -1.27051 -INDEX GOES BRRR: 256 X: 16.0537 -INDEX GOES BRRR: 94 X: 5.93555 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 333 X: 20.8662 -INDEX GOES BRRR: 300 X: 18.7715 -INDEX GOES BRRR: 959 X: -4.0332 -INDEX GOES BRRR: 155 X: 9.69043 -INDEX GOES BRRR: 38 X: 2.38867 -INDEX GOES BRRR: 128 X: 8.02246 -INDEX GOES BRRR: 95 X: 5.95605 -INDEX GOES BRRR: 106 X: 6.63672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 69 X: 4.35449 -INDEX GOES BRRR: 272 X: 17.0176 -INDEX GOES BRRR: 356 X: 22.2725 -INDEX GOES BRRR: 188 X: 11.7676 -INDEX GOES BRRR: 238 X: 14.9287 -INDEX GOES BRRR: 322 X: 20.1631 -INDEX GOES BRRR: 133 X: 8.31641 -INDEX GOES BRRR: 309 X: 19.3398 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 975 X: -3.01465 -INDEX GOES BRRR: 378 X: 23.6533 -INDEX GOES BRRR: 18 X: 1.16211 -INDEX GOES BRRR: 977 X: -2.88867 -INDEX GOES BRRR: 48 X: 3.01855 -INDEX GOES BRRR: 5 X: 0.338867 -INDEX GOES BRRR: 212 X: 13.2979 -INDEX GOES BRRR: 256 X: 16.0166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.0693 -INDEX GOES BRRR: 129 X: 8.1084 -INDEX GOES BRRR: 145 X: 9.09473 -INDEX GOES BRRR: 420 X: 26.2861 -INDEX GOES BRRR: 177 X: 11.1084 -INDEX GOES BRRR: 350 X: 21.9355 -INDEX GOES BRRR: 242 X: 15.1436 -INDEX GOES BRRR: 212 X: 13.2891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4443 -INDEX GOES BRRR: 355 X: 22.2441 -INDEX GOES BRRR: 329 X: 20.5781 -INDEX GOES BRRR: 864 X: -9.96289 -INDEX GOES BRRR: 48 X: 3.05664 -INDEX GOES BRRR: 93 X: 5.85742 -INDEX GOES BRRR: 478 X: 29.9219 -INDEX GOES BRRR: 810 X: -13.3672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.97266 -INDEX GOES BRRR: 194 X: 12.167 -INDEX GOES BRRR: 148 X: 9.27734 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 237 X: 14.8506 -INDEX GOES BRRR: 263 X: 16.4668 -INDEX GOES BRRR: 110 X: 6.90137 -INDEX GOES BRRR: 362 X: 22.625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 933 X: -5.62891 -INDEX GOES BRRR: 222 X: 13.9238 -INDEX GOES BRRR: 290 X: 18.1748 -INDEX GOES BRRR: 146 X: 9.12988 -INDEX GOES BRRR: 92 X: 5.78809 -INDEX GOES BRRR: 69 X: 4.36621 -INDEX GOES BRRR: 36 X: 2.29688 -INDEX GOES BRRR: 168 X: 10.542 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.55762 -INDEX GOES BRRR: 333 X: 20.8535 -INDEX GOES BRRR: 272 X: 17.0303 -INDEX GOES BRRR: 316 X: 19.7783 -INDEX GOES BRRR: 171 X: 10.7461 -INDEX GOES BRRR: 161 X: 10.0928 -INDEX GOES BRRR: 134 X: 8.39648 -INDEX GOES BRRR: 196 X: 12.2705 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.8896 -INDEX GOES BRRR: 195 X: 12.1895 -INDEX GOES BRRR: 958 X: -4.06738 -INDEX GOES BRRR: 101 X: 6.35352 -INDEX GOES BRRR: 30 X: 1.88281 -INDEX GOES BRRR: 137 X: 8.58887 -INDEX GOES BRRR: 197 X: 12.3633 -INDEX GOES BRRR: 170 X: 10.6846 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 878 X: -9.12109 -INDEX GOES BRRR: 265 X: 16.6172 -INDEX GOES BRRR: 17 X: 1.11328 -INDEX GOES BRRR: 906 X: -7.3418 -INDEX GOES BRRR: 32 X: 2.02832 -INDEX GOES BRRR: 116 X: 7.25 -INDEX GOES BRRR: 972 X: -3.21289 -INDEX GOES BRRR: 142 X: 8.87695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.98926 -INDEX GOES BRRR: 189 X: 11.8545 -INDEX GOES BRRR: 187 X: 11.7197 -INDEX GOES BRRR: 207 X: 12.9941 -INDEX GOES BRRR: 102 X: 6.42676 -INDEX GOES BRRR: 52 X: 3.25293 -INDEX GOES BRRR: 53 X: 3.34766 -INDEX GOES BRRR: 135 X: 8.47852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.8799 -INDEX GOES BRRR: 86 X: 5.41406 -INDEX GOES BRRR: 152 X: 9.55176 -INDEX GOES BRRR: 984 X: -2.46973 -INDEX GOES BRRR: 80 X: 5.03613 -INDEX GOES BRRR: 5 X: 0.328125 -INDEX GOES BRRR: 438 X: 27.3984 -INDEX GOES BRRR: 132 X: 8.2832 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 169 X: 10.623 -INDEX GOES BRRR: 903 X: -7.5293 -INDEX GOES BRRR: 246 X: 15.4297 -INDEX GOES BRRR: 230 X: 14.4189 -INDEX GOES BRRR: 223 X: 13.9883 -INDEX GOES BRRR: 312 X: 19.5615 -INDEX GOES BRRR: 4 X: 0.297852 -INDEX GOES BRRR: 98 X: 6.15039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 47 X: 2.99219 -INDEX GOES BRRR: 12 X: 0.80957 -INDEX GOES BRRR: 155 X: 9.72656 -INDEX GOES BRRR: 388 X: 24.3057 -INDEX GOES BRRR: 2 X: 0.150391 -INDEX GOES BRRR: 46 X: 2.91211 -INDEX GOES BRRR: 1023 X: -0.0146484 -INDEX GOES BRRR: 141 X: 8.82324 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1017 X: -0.383789 -INDEX GOES BRRR: 749 X: -17.1807 -INDEX GOES BRRR: 972 X: -3.23828 -INDEX GOES BRRR: 256 X: 16.0234 -INDEX GOES BRRR: 241 X: 15.1201 -INDEX GOES BRRR: 243 X: 15.2021 -INDEX GOES BRRR: 467 X: 29.2119 -INDEX GOES BRRR: 313 X: 19.6094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 232 X: 14.5508 -INDEX GOES BRRR: 373 X: 23.3672 -INDEX GOES BRRR: 176 X: 11.0273 -INDEX GOES BRRR: 263 X: 16.458 -INDEX GOES BRRR: 948 X: -4.71875 -INDEX GOES BRRR: 143 X: 8.96777 -INDEX GOES BRRR: 1 X: 0.123047 -INDEX GOES BRRR: 50 X: 3.15625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 183 X: 11.4902 -INDEX GOES BRRR: 107 X: 6.69629 -INDEX GOES BRRR: 221 X: 13.8555 -INDEX GOES BRRR: 294 X: 18.4355 -INDEX GOES BRRR: 361 X: 22.585 -INDEX GOES BRRR: 1000 X: -1.47461 -INDEX GOES BRRR: 73 X: 4.57324 -INDEX GOES BRRR: 161 X: 10.0654 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 939 X: -5.27441 -INDEX GOES BRRR: 189 X: 11.8164 -INDEX GOES BRRR: 260 X: 16.2529 -INDEX GOES BRRR: 79 X: 4.9707 -INDEX GOES BRRR: 909 X: -7.14453 -INDEX GOES BRRR: 209 X: 13.0986 -INDEX GOES BRRR: 214 X: 13.3779 -INDEX GOES BRRR: 309 X: 19.3477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 383 X: 23.9775 -INDEX GOES BRRR: 235 X: 14.7041 -INDEX GOES BRRR: 97 X: 6.08398 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 386 X: 24.1836 -INDEX GOES BRRR: 123 X: 7.72168 -INDEX GOES BRRR: 367 X: 22.9541 -INDEX GOES BRRR: 110 X: 6.88672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 279 X: 17.4502 -INDEX GOES BRRR: 299 X: 18.708 -INDEX GOES BRRR: 192 X: 12.0088 -INDEX GOES BRRR: 281 X: 17.6162 -INDEX GOES BRRR: 22 X: 1.4248 -INDEX GOES BRRR: 1 X: 0.0820312 -INDEX GOES BRRR: 482 X: 30.1709 -INDEX GOES BRRR: 7 X: 0.478516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8545 -INDEX GOES BRRR: 325 X: 20.3516 -INDEX GOES BRRR: 941 X: -5.16797 -INDEX GOES BRRR: 130 X: 8.18359 -INDEX GOES BRRR: 328 X: 20.5273 -INDEX GOES BRRR: 182 X: 11.3916 -INDEX GOES BRRR: 279 X: 17.4434 -INDEX GOES BRRR: 67 X: 4.23535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 55 X: 3.45605 -INDEX GOES BRRR: 441 X: 27.5879 -INDEX GOES BRRR: 362 X: 22.6787 -INDEX GOES BRRR: 121 X: 7.60645 -INDEX GOES BRRR: 989 X: -2.15039 -INDEX GOES BRRR: 84 X: 5.26074 -INDEX GOES BRRR: 176 X: 11.0566 -INDEX GOES BRRR: 924 X: -6.19336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 436 X: 27.2773 -INDEX GOES BRRR: 1003 X: -1.25977 -INDEX GOES BRRR: 872 X: -9.45801 -INDEX GOES BRRR: 362 X: 22.667 -INDEX GOES BRRR: 197 X: 12.3418 -INDEX GOES BRRR: 255 X: 15.957 -INDEX GOES BRRR: 974 X: -3.07422 -INDEX GOES BRRR: 89 X: 5.61328 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.35254 -INDEX GOES BRRR: 171 X: 10.7051 -INDEX GOES BRRR: 30 X: 1.9248 -INDEX GOES BRRR: 78 X: 4.88281 -INDEX GOES BRRR: 258 X: 16.1348 -INDEX GOES BRRR: 202 X: 12.6807 -INDEX GOES BRRR: 136 X: 8.54785 -INDEX GOES BRRR: 432 X: 27.0527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 349 X: 21.8135 -INDEX GOES BRRR: 217 X: 13.6152 -INDEX GOES BRRR: 71 X: 4.46777 -INDEX GOES BRRR: 172 X: 10.8047 -INDEX GOES BRRR: 35 X: 2.23828 -INDEX GOES BRRR: 108 X: 6.75684 -INDEX GOES BRRR: 47 X: 2.96387 -INDEX GOES BRRR: 148 X: 9.28906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 311 X: 19.4854 -INDEX GOES BRRR: 160 X: 10.0527 -INDEX GOES BRRR: 341 X: 21.3145 -INDEX GOES BRRR: 147 X: 9.18945 -INDEX GOES BRRR: 221 X: 13.8535 -INDEX GOES BRRR: 135 X: 8.44238 -INDEX GOES BRRR: 1009 X: -0.911133 -INDEX GOES BRRR: 145 X: 9.12012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 386 X: 24.1543 -INDEX GOES BRRR: 105 X: 6.5625 -INDEX GOES BRRR: 478 X: 29.8945 -INDEX GOES BRRR: 1014 X: -0.615234 -INDEX GOES BRRR: 983 X: -2.51074 -INDEX GOES BRRR: 41 X: 2.58984 -INDEX GOES BRRR: 77 X: 4.84082 -INDEX GOES BRRR: 101 X: 6.31445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0293 -INDEX GOES BRRR: 180 X: 11.2764 -INDEX GOES BRRR: 17 X: 1.10742 -INDEX GOES BRRR: 976 X: -3 -INDEX GOES BRRR: 226 X: 14.1318 -INDEX GOES BRRR: 259 X: 16.2275 -INDEX GOES BRRR: 220 X: 13.7686 -INDEX GOES BRRR: 264 X: 16.5283 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1318 -INDEX GOES BRRR: 288 X: 18.041 -INDEX GOES BRRR: 16 X: 1.05176 -INDEX GOES BRRR: 323 X: 20.1914 -INDEX GOES BRRR: 143 X: 8.97754 -INDEX GOES BRRR: 42 X: 2.6416 -INDEX GOES BRRR: 661 X: -22.6729 -INDEX GOES BRRR: 997 X: -1.64258 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1 X: 0.107422 -INDEX GOES BRRR: 232 X: 14.5527 -INDEX GOES BRRR: 126 X: 7.88281 -INDEX GOES BRRR: 116 X: 7.30273 -INDEX GOES BRRR: 118 X: 7.42578 -INDEX GOES BRRR: 979 X: -2.77637 -INDEX GOES BRRR: 398 X: 24.8818 -INDEX GOES BRRR: 202 X: 12.6582 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.54 -INDEX GOES BRRR: 115 X: 7.19043 -INDEX GOES BRRR: 133 X: 8.32129 -INDEX GOES BRRR: 89 X: 5.59473 -INDEX GOES BRRR: 34 X: 2.16211 -INDEX GOES BRRR: 58 X: 3.63965 -INDEX GOES BRRR: 211 X: 13.2314 -INDEX GOES BRRR: 104 X: 6.50195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 344 X: 21.5215 -INDEX GOES BRRR: 330 X: 20.6387 -INDEX GOES BRRR: 151 X: 9.45312 -INDEX GOES BRRR: 295 X: 18.4668 -INDEX GOES BRRR: 392 X: 24.5146 -INDEX GOES BRRR: 69 X: 4.32812 -INDEX GOES BRRR: 297 X: 18.623 -INDEX GOES BRRR: 119 X: 7.47656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 131 X: 8.24512 -INDEX GOES BRRR: 298 X: 18.6846 -INDEX GOES BRRR: 160 X: 10.0049 -INDEX GOES BRRR: 71 X: 4.49219 -INDEX GOES BRRR: 100 X: 6.28418 -INDEX GOES BRRR: 250 X: 15.6416 -INDEX GOES BRRR: 281 X: 17.5771 -INDEX GOES BRRR: 61 X: 3.83203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.415 -INDEX GOES BRRR: 151 X: 9.49805 -INDEX GOES BRRR: 134 X: 8.43457 -INDEX GOES BRRR: 335 X: 20.9482 -INDEX GOES BRRR: 107 X: 6.69238 -INDEX GOES BRRR: 186 X: 11.6826 -INDEX GOES BRRR: 328 X: 20.5127 -INDEX GOES BRRR: 104 X: 6.52441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1021 X: -0.181641 -INDEX GOES BRRR: 994 X: -1.83496 -INDEX GOES BRRR: 475 X: 29.7012 -INDEX GOES BRRR: 335 X: 20.9541 -INDEX GOES BRRR: 969 X: -3.39844 -INDEX GOES BRRR: 363 X: 22.7002 -INDEX GOES BRRR: 399 X: 24.9844 -INDEX GOES BRRR: 222 X: 13.8867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.1055 -INDEX GOES BRRR: 135 X: 8.49707 -INDEX GOES BRRR: 43 X: 2.7207 -INDEX GOES BRRR: 246 X: 15.4307 -INDEX GOES BRRR: 171 X: 10.6914 -INDEX GOES BRRR: 266 X: 16.665 -INDEX GOES BRRR: 116 X: 7.26562 -INDEX GOES BRRR: 195 X: 12.1895 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 376 X: 23.5215 -INDEX GOES BRRR: 115 X: 7.24707 -INDEX GOES BRRR: 220 X: 13.7549 -INDEX GOES BRRR: 241 X: 15.123 -INDEX GOES BRRR: 309 X: 19.3486 -INDEX GOES BRRR: 168 X: 10.5615 -INDEX GOES BRRR: 305 X: 19.0645 -INDEX GOES BRRR: 3 X: 0.248047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.9229 -INDEX GOES BRRR: 328 X: 20.5508 -INDEX GOES BRRR: 931 X: -5.75391 -INDEX GOES BRRR: 323 X: 20.2441 -INDEX GOES BRRR: 223 X: 13.9434 -INDEX GOES BRRR: 345 X: 21.5732 -INDEX GOES BRRR: 333 X: 20.8564 -INDEX GOES BRRR: 350 X: 21.8896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.86719 -INDEX GOES BRRR: 170 X: 10.6865 -INDEX GOES BRRR: 247 X: 15.4531 -INDEX GOES BRRR: 168 X: 10.5527 -INDEX GOES BRRR: 321 X: 20.0947 -INDEX GOES BRRR: 178 X: 11.1836 -INDEX GOES BRRR: 188 X: 11.7549 -INDEX GOES BRRR: 306 X: 19.1406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0127 -INDEX GOES BRRR: 921 X: -6.41797 -INDEX GOES BRRR: 813 X: -13.126 -INDEX GOES BRRR: 1016 X: -0.498047 -INDEX GOES BRRR: 434 X: 27.165 -INDEX GOES BRRR: 254 X: 15.9023 -INDEX GOES BRRR: 34 X: 2.13281 -INDEX GOES BRRR: 236 X: 14.7773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 93 X: 5.87305 -INDEX GOES BRRR: 253 X: 15.8477 -INDEX GOES BRRR: 242 X: 15.1807 -INDEX GOES BRRR: 146 X: 9.14453 -INDEX GOES BRRR: 944 X: -4.94922 -INDEX GOES BRRR: 261 X: 16.3604 -INDEX GOES BRRR: 147 X: 9.21387 -INDEX GOES BRRR: 383 X: 23.9951 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.75391 -INDEX GOES BRRR: 375 X: 23.4551 -INDEX GOES BRRR: 345 X: 21.6113 -INDEX GOES BRRR: 801 X: -13.9336 -INDEX GOES BRRR: 973 X: -3.1709 -INDEX GOES BRRR: 280 X: 17.5576 -INDEX GOES BRRR: 121 X: 7.57715 -INDEX GOES BRRR: 274 X: 17.1348 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 294 X: 18.3965 -INDEX GOES BRRR: 338 X: 21.1758 -INDEX GOES BRRR: 1010 X: -0.849609 -INDEX GOES BRRR: 1003 X: -1.26172 -INDEX GOES BRRR: 86 X: 5.41211 -INDEX GOES BRRR: 308 X: 19.2568 -INDEX GOES BRRR: 844 X: -11.2305 -INDEX GOES BRRR: 976 X: -2.98926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.76562 -INDEX GOES BRRR: 977 X: -2.92188 -INDEX GOES BRRR: 253 X: 15.8271 -INDEX GOES BRRR: 998 X: -1.61914 -INDEX GOES BRRR: 468 X: 29.2959 -INDEX GOES BRRR: 335 X: 20.9648 -INDEX GOES BRRR: 161 X: 10.0811 -INDEX GOES BRRR: 229 X: 14.374 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 943 X: -5.03516 -INDEX GOES BRRR: 937 X: -5.38867 -INDEX GOES BRRR: 1022 X: -0.102539 -INDEX GOES BRRR: 71 X: 4.4834 -INDEX GOES BRRR: 883 X: -8.79883 -INDEX GOES BRRR: 121 X: 7.57324 -INDEX GOES BRRR: 299 X: 18.7021 -INDEX GOES BRRR: 384 X: 24.0479 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 118 X: 7.38965 -INDEX GOES BRRR: 111 X: 6.98633 -INDEX GOES BRRR: 269 X: 16.8438 -INDEX GOES BRRR: 150 X: 9.40723 -INDEX GOES BRRR: 191 X: 11.9551 -INDEX GOES BRRR: 389 X: 24.3398 -INDEX GOES BRRR: 179 X: 11.2266 -INDEX GOES BRRR: 172 X: 10.7822 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.0938 -INDEX GOES BRRR: 316 X: 19.8076 -INDEX GOES BRRR: 290 X: 18.127 -INDEX GOES BRRR: 169 X: 10.5947 -INDEX GOES BRRR: 105 X: 6.6123 -INDEX GOES BRRR: 999 X: -1.50098 -INDEX GOES BRRR: 66 X: 4.18652 -INDEX GOES BRRR: 364 X: 22.7852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 222 X: 13.9033 -INDEX GOES BRRR: 59 X: 3.73047 -INDEX GOES BRRR: 352 X: 22.0293 -INDEX GOES BRRR: 82 X: 5.12793 -INDEX GOES BRRR: 57 X: 3.62109 -INDEX GOES BRRR: 270 X: 16.8975 -INDEX GOES BRRR: 162 X: 10.1553 -INDEX GOES BRRR: 237 X: 14.8311 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 15 X: 0.983398 -INDEX GOES BRRR: 145 X: 9.09863 -INDEX GOES BRRR: 85 X: 5.3125 -INDEX GOES BRRR: 974 X: -3.07227 -INDEX GOES BRRR: 168 X: 10.5 -INDEX GOES BRRR: 208 X: 13.0283 -INDEX GOES BRRR: 273 X: 17.0811 -INDEX GOES BRRR: 170 X: 10.6543 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.4219 -INDEX GOES BRRR: 312 X: 19.5576 -INDEX GOES BRRR: 195 X: 12.2471 -INDEX GOES BRRR: 74 X: 4.67676 -INDEX GOES BRRR: 206 X: 12.918 -INDEX GOES BRRR: 956 X: -4.24414 -INDEX GOES BRRR: 884 X: -8.72363 -INDEX GOES BRRR: 93 X: 5.84082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 846 X: -11.0957 -INDEX GOES BRRR: 391 X: 24.4385 -INDEX GOES BRRR: 288 X: 18.0498 -INDEX GOES BRRR: 425 X: 26.6172 -INDEX GOES BRRR: 144 X: 9.05566 -INDEX GOES BRRR: 110 X: 6.93555 -INDEX GOES BRRR: 90 X: 5.65039 -INDEX GOES BRRR: 190 X: 11.8809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 298 X: 18.6504 -INDEX GOES BRRR: 916 X: -6.68945 -INDEX GOES BRRR: 149 X: 9.32812 -INDEX GOES BRRR: 179 X: 11.2217 -INDEX GOES BRRR: 950 X: -4.58594 -INDEX GOES BRRR: 803 X: -13.7568 -INDEX GOES BRRR: 123 X: 7.7334 -INDEX GOES BRRR: 223 X: 13.9521 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 184 X: 11.5469 -INDEX GOES BRRR: 177 X: 11.1182 -INDEX GOES BRRR: 923 X: -6.30664 -INDEX GOES BRRR: 282 X: 17.6709 -INDEX GOES BRRR: 461 X: 28.8623 -INDEX GOES BRRR: 67 X: 4.19238 -INDEX GOES BRRR: 162 X: 10.1367 -INDEX GOES BRRR: 868 X: -9.69141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.94141 -INDEX GOES BRRR: 366 X: 22.9219 -INDEX GOES BRRR: 190 X: 11.8994 -INDEX GOES BRRR: 933 X: -5.63281 -INDEX GOES BRRR: 434 X: 27.125 -INDEX GOES BRRR: 292 X: 18.2559 -INDEX GOES BRRR: 261 X: 16.3359 -INDEX GOES BRRR: 241 X: 15.1162 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 441 X: 27.582 -INDEX GOES BRRR: 177 X: 11.0938 -INDEX GOES BRRR: 981 X: -2.66992 -INDEX GOES BRRR: 400 X: 25.043 -INDEX GOES BRRR: 393 X: 24.5938 -INDEX GOES BRRR: 168 X: 10.5322 -INDEX GOES BRRR: 309 X: 19.3506 -INDEX GOES BRRR: 954 X: -4.34375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 267 X: 16.7119 -INDEX GOES BRRR: 261 X: 16.3262 -INDEX GOES BRRR: 923 X: -6.25488 -INDEX GOES BRRR: 160 X: 10.0146 -INDEX GOES BRRR: 150 X: 9.41211 -INDEX GOES BRRR: 131 X: 8.20801 -INDEX GOES BRRR: 159 X: 9.96484 -INDEX GOES BRRR: 953 X: -4.42676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 17 X: 1.1084 -INDEX GOES BRRR: 872 X: -9.48047 -INDEX GOES BRRR: 9 X: 0.614258 -INDEX GOES BRRR: 424 X: 26.5303 -INDEX GOES BRRR: 114 X: 7.125 -INDEX GOES BRRR: 99 X: 6.24414 -INDEX GOES BRRR: 992 X: -1.9834 -INDEX GOES BRRR: 151 X: 9.4834 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 95 X: 5.95117 -INDEX GOES BRRR: 248 X: 15.5615 -INDEX GOES BRRR: 36 X: 2.26465 -INDEX GOES BRRR: 189 X: 11.8691 -INDEX GOES BRRR: 417 X: 26.1064 -INDEX GOES BRRR: 124 X: 7.77051 -INDEX GOES BRRR: 366 X: 22.8809 -INDEX GOES BRRR: 201 X: 12.5898 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 89 X: 5.60742 -INDEX GOES BRRR: 72 X: 4.54492 -INDEX GOES BRRR: 207 X: 12.9463 -INDEX GOES BRRR: 177 X: 11.0693 -INDEX GOES BRRR: 465 X: 29.1035 -INDEX GOES BRRR: 314 X: 19.666 -INDEX GOES BRRR: 310 X: 19.3828 -INDEX GOES BRRR: 993 X: -1.91602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 798 X: -14.124 -INDEX GOES BRRR: 351 X: 21.9375 -INDEX GOES BRRR: 118 X: 7.40332 -INDEX GOES BRRR: 274 X: 17.167 -INDEX GOES BRRR: 406 X: 25.4229 -INDEX GOES BRRR: 282 X: 17.6592 -INDEX GOES BRRR: 280 X: 17.5215 -INDEX GOES BRRR: 249 X: 15.6201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.5791 -INDEX GOES BRRR: 221 X: 13.8398 -INDEX GOES BRRR: 141 X: 8.85742 -INDEX GOES BRRR: 378 X: 23.6562 -INDEX GOES BRRR: 387 X: 24.2354 -INDEX GOES BRRR: 197 X: 12.3613 -INDEX GOES BRRR: 106 X: 6.66113 -INDEX GOES BRRR: 349 X: 21.8438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.50391 -INDEX GOES BRRR: 229 X: 14.3408 -INDEX GOES BRRR: 8 X: 0.558594 -INDEX GOES BRRR: 241 X: 15.0791 -INDEX GOES BRRR: 94 X: 5.9043 -INDEX GOES BRRR: 97 X: 6.1084 -INDEX GOES BRRR: 28 X: 1.75781 -INDEX GOES BRRR: 155 X: 9.68848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 360 X: 22.5479 -INDEX GOES BRRR: 108 X: 6.79688 -INDEX GOES BRRR: 40 X: 2.54492 -INDEX GOES BRRR: 161 X: 10.0781 -INDEX GOES BRRR: 231 X: 14.4492 -INDEX GOES BRRR: 200 X: 12.5332 -INDEX GOES BRRR: 4 X: 0.291016 -INDEX GOES BRRR: 1017 X: -0.423828 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 194 X: 12.1514 -INDEX GOES BRRR: 343 X: 21.4395 -INDEX GOES BRRR: 101 X: 6.33496 -INDEX GOES BRRR: 94 X: 5.88184 -INDEX GOES BRRR: 198 X: 12.3828 -INDEX GOES BRRR: 880 X: -8.97266 -INDEX GOES BRRR: 237 X: 14.8262 -INDEX GOES BRRR: 79 X: 4.99707 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 147 X: 9.21191 -INDEX GOES BRRR: 234 X: 14.6465 -INDEX GOES BRRR: 133 X: 8.3291 -INDEX GOES BRRR: 178 X: 11.1787 -INDEX GOES BRRR: 103 X: 6.44336 -INDEX GOES BRRR: 58 X: 3.64062 -INDEX GOES BRRR: 184 X: 11.5527 -INDEX GOES BRRR: 951 X: -4.52637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 387 X: 24.2422 -INDEX GOES BRRR: 191 X: 11.958 -INDEX GOES BRRR: 426 X: 26.6719 -INDEX GOES BRRR: 360 X: 22.5391 -INDEX GOES BRRR: 185 X: 11.6123 -INDEX GOES BRRR: 287 X: 17.9707 -INDEX GOES BRRR: 166 X: 10.377 -INDEX GOES BRRR: 180 X: 11.252 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 281 X: 17.5674 -INDEX GOES BRRR: 1006 X: -1.11914 -INDEX GOES BRRR: 224 X: 14.0049 -INDEX GOES BRRR: 92 X: 5.78906 -INDEX GOES BRRR: 160 X: 10.0225 -INDEX GOES BRRR: 97 X: 6.08887 -INDEX GOES BRRR: 62 X: 3.9209 -INDEX GOES BRRR: 224 X: 14.0195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.44043 -INDEX GOES BRRR: 1020 X: -0.236328 -INDEX GOES BRRR: 982 X: -2.58691 -INDEX GOES BRRR: 20 X: 1.28027 -INDEX GOES BRRR: 356 X: 22.2598 -INDEX GOES BRRR: 943 X: -5.04492 -INDEX GOES BRRR: 311 X: 19.4941 -INDEX GOES BRRR: 185 X: 11.5723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 149 X: 9.36523 -INDEX GOES BRRR: 82 X: 5.1582 -INDEX GOES BRRR: 137 X: 8.61719 -INDEX GOES BRRR: 213 X: 13.334 -INDEX GOES BRRR: 10 X: 0.62793 -INDEX GOES BRRR: 57 X: 3.62109 -INDEX GOES BRRR: 163 X: 10.2148 -INDEX GOES BRRR: 45 X: 2.87012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.44043 -INDEX GOES BRRR: 57 X: 3.58887 -INDEX GOES BRRR: 952 X: -4.47656 -INDEX GOES BRRR: 101 X: 6.3291 -INDEX GOES BRRR: 245 X: 15.3691 -INDEX GOES BRRR: 221 X: 13.8174 -INDEX GOES BRRR: 145 X: 9.07031 -INDEX GOES BRRR: 254 X: 15.9277 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2676 -INDEX GOES BRRR: 261 X: 16.3135 -INDEX GOES BRRR: 198 X: 12.4062 -INDEX GOES BRRR: 33 X: 2.06738 -INDEX GOES BRRR: 221 X: 13.8291 -INDEX GOES BRRR: 196 X: 12.2812 -INDEX GOES BRRR: 249 X: 15.6094 -INDEX GOES BRRR: 194 X: 12.1533 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 302 X: 18.915 -INDEX GOES BRRR: 241 X: 15.0947 -INDEX GOES BRRR: 301 X: 18.8691 -INDEX GOES BRRR: 304 X: 19.0137 -INDEX GOES BRRR: 175 X: 10.9512 -INDEX GOES BRRR: 304 X: 19.0137 -INDEX GOES BRRR: 188 X: 11.79 -INDEX GOES BRRR: 228 X: 14.2871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.51465 -INDEX GOES BRRR: 326 X: 20.3965 -INDEX GOES BRRR: 260 X: 16.252 -INDEX GOES BRRR: 944 X: -4.94238 -INDEX GOES BRRR: 240 X: 15.0439 -INDEX GOES BRRR: 26 X: 1.63574 -INDEX GOES BRRR: 126 X: 7.93457 -INDEX GOES BRRR: 961 X: -3.93262 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 815 X: -13.0479 -INDEX GOES BRRR: 317 X: 19.8232 -INDEX GOES BRRR: 77 X: 4.83789 -INDEX GOES BRRR: 113 X: 7.07617 -INDEX GOES BRRR: 234 X: 14.6514 -INDEX GOES BRRR: 98 X: 6.13379 -INDEX GOES BRRR: 432 X: 27 -INDEX GOES BRRR: 432 X: 27.0488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.7188 -INDEX GOES BRRR: 1014 X: -0.614258 -INDEX GOES BRRR: 952 X: -4.47266 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 876 X: -9.23828 -INDEX GOES BRRR: 26 X: 1.63574 -INDEX GOES BRRR: 191 X: 11.9453 -INDEX GOES BRRR: 298 X: 18.6465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0264 -INDEX GOES BRRR: 375 X: 23.4941 -INDEX GOES BRRR: 253 X: 15.8174 -INDEX GOES BRRR: 347 X: 21.7002 -INDEX GOES BRRR: 4 X: 0.264648 -INDEX GOES BRRR: 15 X: 0.951172 -INDEX GOES BRRR: 61 X: 3.83301 -INDEX GOES BRRR: 285 X: 17.8271 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.65918 -INDEX GOES BRRR: 40 X: 2.54785 -INDEX GOES BRRR: 363 X: 22.7441 -INDEX GOES BRRR: 164 X: 10.2822 -INDEX GOES BRRR: 939 X: -5.25195 -INDEX GOES BRRR: 172 X: 10.7842 -INDEX GOES BRRR: 955 X: -4.27539 -INDEX GOES BRRR: 19 X: 1.2207 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 177 X: 11.1084 -INDEX GOES BRRR: 181 X: 11.3145 -INDEX GOES BRRR: 988 X: -2.22559 -INDEX GOES BRRR: 323 X: 20.2227 -INDEX GOES BRRR: 120 X: 7.54492 -INDEX GOES BRRR: 49 X: 3.06934 -INDEX GOES BRRR: 298 X: 18.6689 -INDEX GOES BRRR: 344 X: 21.5371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.5 -INDEX GOES BRRR: 985 X: -2.38379 -INDEX GOES BRRR: 831 X: -12.0107 -INDEX GOES BRRR: 260 X: 16.2783 -INDEX GOES BRRR: 208 X: 13.0176 -INDEX GOES BRRR: 47 X: 2.9707 -INDEX GOES BRRR: 227 X: 14.2129 -INDEX GOES BRRR: 146 X: 9.14941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.4033 -INDEX GOES BRRR: 171 X: 10.7354 -INDEX GOES BRRR: 441 X: 27.6162 -INDEX GOES BRRR: 60 X: 3.80078 -INDEX GOES BRRR: 13 X: 0.839844 -INDEX GOES BRRR: 293 X: 18.3193 -INDEX GOES BRRR: 114 X: 7.1582 -INDEX GOES BRRR: 295 X: 18.4814 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 378 X: 23.6494 -INDEX GOES BRRR: 18 X: 1.17871 -INDEX GOES BRRR: 195 X: 12.1963 -INDEX GOES BRRR: 946 X: -4.85742 -INDEX GOES BRRR: 194 X: 12.1338 -INDEX GOES BRRR: 159 X: 9.9502 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 283 X: 17.7109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.78125 -INDEX GOES BRRR: 114 X: 7.13086 -INDEX GOES BRRR: 271 X: 16.9844 -INDEX GOES BRRR: 287 X: 17.9756 -INDEX GOES BRRR: 1000 X: -1.46094 -INDEX GOES BRRR: 60 X: 3.76562 -INDEX GOES BRRR: 168 X: 10.5107 -INDEX GOES BRRR: 832 X: -11.9932 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 74 X: 4.67285 -INDEX GOES BRRR: 258 X: 16.1719 -INDEX GOES BRRR: 862 X: -10.0732 -INDEX GOES BRRR: 153 X: 9.58984 -INDEX GOES BRRR: 262 X: 16.3936 -INDEX GOES BRRR: 395 X: 24.7461 -INDEX GOES BRRR: 859 X: -10.2979 -INDEX GOES BRRR: 386 X: 24.1309 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.60449 -INDEX GOES BRRR: 1023 X: -0.00585938 -INDEX GOES BRRR: 59 X: 3.72949 -INDEX GOES BRRR: 164 X: 10.2617 -INDEX GOES BRRR: 244 X: 15.2822 -INDEX GOES BRRR: 68 X: 4.2959 -INDEX GOES BRRR: 859 X: -10.292 -INDEX GOES BRRR: 185 X: 11.6191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 318 X: 19.8779 -INDEX GOES BRRR: 440 X: 27.5176 -INDEX GOES BRRR: 421 X: 26.3467 -INDEX GOES BRRR: 269 X: 16.8535 -INDEX GOES BRRR: 842 X: -11.3379 -INDEX GOES BRRR: 129 X: 8.12305 -INDEX GOES BRRR: 53 X: 3.32715 -INDEX GOES BRRR: 161 X: 10.1123 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 244 X: 15.2568 -INDEX GOES BRRR: 318 X: 19.9209 -INDEX GOES BRRR: 146 X: 9.16895 -INDEX GOES BRRR: 181 X: 11.333 -INDEX GOES BRRR: 98 X: 6.14844 -INDEX GOES BRRR: 400 X: 25.0234 -INDEX GOES BRRR: 964 X: -3.70996 -INDEX GOES BRRR: 132 X: 8.27148 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.8896 -INDEX GOES BRRR: 471 X: 29.4814 -INDEX GOES BRRR: 106 X: 6.64844 -INDEX GOES BRRR: 129 X: 8.10352 -INDEX GOES BRRR: 372 X: 23.3057 -INDEX GOES BRRR: 151 X: 9.49609 -INDEX GOES BRRR: 96 X: 6.00098 -INDEX GOES BRRR: 451 X: 28.248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 247 X: 15.4805 -INDEX GOES BRRR: 50 X: 3.13184 -INDEX GOES BRRR: 347 X: 21.748 -INDEX GOES BRRR: 202 X: 12.6836 -INDEX GOES BRRR: 303 X: 18.9893 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 257 X: 16.1016 -INDEX GOES BRRR: 215 X: 13.458 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 268 X: 16.8096 -INDEX GOES BRRR: 201 X: 12.6025 -INDEX GOES BRRR: 321 X: 20.0928 -INDEX GOES BRRR: 119 X: 7.44141 -INDEX GOES BRRR: 302 X: 18.9023 -INDEX GOES BRRR: 234 X: 14.6465 -INDEX GOES BRRR: 418 X: 26.1738 -INDEX GOES BRRR: 147 X: 9.19336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1000 X: -1.48535 -INDEX GOES BRRR: 123 X: 7.74512 -INDEX GOES BRRR: 53 X: 3.36133 -INDEX GOES BRRR: 43 X: 2.69434 -INDEX GOES BRRR: 1013 X: -0.682617 -INDEX GOES BRRR: 489 X: 30.5928 -INDEX GOES BRRR: 154 X: 9.6416 -INDEX GOES BRRR: 943 X: -5.05566 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 261 X: 16.3691 -INDEX GOES BRRR: 372 X: 23.3066 -INDEX GOES BRRR: 972 X: -3.23242 -INDEX GOES BRRR: 386 X: 24.1846 -INDEX GOES BRRR: 316 X: 19.7959 -INDEX GOES BRRR: 255 X: 15.959 -INDEX GOES BRRR: 288 X: 18.0488 -INDEX GOES BRRR: 653 X: -23.1406 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.7139 -INDEX GOES BRRR: 287 X: 17.9639 -INDEX GOES BRRR: 991 X: -2.00195 -INDEX GOES BRRR: 202 X: 12.6318 -INDEX GOES BRRR: 133 X: 8.34473 -INDEX GOES BRRR: 234 X: 14.6738 -INDEX GOES BRRR: 146 X: 9.14355 -INDEX GOES BRRR: 99 X: 6.24121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 327 X: 20.4678 -INDEX GOES BRRR: 1004 X: -1.23535 -INDEX GOES BRRR: 67 X: 4.22656 -INDEX GOES BRRR: 106 X: 6.66016 -INDEX GOES BRRR: 353 X: 22.1191 -INDEX GOES BRRR: 23 X: 1.49121 -INDEX GOES BRRR: 906 X: -7.33691 -INDEX GOES BRRR: 985 X: -2.42871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 115 X: 7.24121 -INDEX GOES BRRR: 44 X: 2.79004 -INDEX GOES BRRR: 302 X: 18.8906 -INDEX GOES BRRR: 187 X: 11.6924 -INDEX GOES BRRR: 486 X: 30.4346 -INDEX GOES BRRR: 276 X: 17.2979 -INDEX GOES BRRR: 951 X: -4.53027 -INDEX GOES BRRR: 352 X: 22.0244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 366 X: 22.9092 -INDEX GOES BRRR: 253 X: 15.8174 -INDEX GOES BRRR: 986 X: -2.31543 -INDEX GOES BRRR: 8 X: 0.503906 -INDEX GOES BRRR: 50 X: 3.15625 -INDEX GOES BRRR: 151 X: 9.44238 -INDEX GOES BRRR: 59 X: 3.74023 -INDEX GOES BRRR: 252 X: 15.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 37 X: 2.35059 -INDEX GOES BRRR: 320 X: 20.0146 -INDEX GOES BRRR: 169 X: 10.5693 -INDEX GOES BRRR: 176 X: 11.042 -INDEX GOES BRRR: 276 X: 17.2656 -INDEX GOES BRRR: 249 X: 15.6025 -INDEX GOES BRRR: 183 X: 11.4453 -INDEX GOES BRRR: 114 X: 7.15918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9697 -INDEX GOES BRRR: 117 X: 7.34961 -INDEX GOES BRRR: 92 X: 5.76855 -INDEX GOES BRRR: 94 X: 5.88086 -INDEX GOES BRRR: 28 X: 1.79199 -INDEX GOES BRRR: 1020 X: -0.191406 -INDEX GOES BRRR: 162 X: 10.1436 -INDEX GOES BRRR: 167 X: 10.457 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 243 X: 15.1895 -INDEX GOES BRRR: 126 X: 7.88281 -INDEX GOES BRRR: 187 X: 11.6924 -INDEX GOES BRRR: 478 X: 29.9268 -INDEX GOES BRRR: 142 X: 8.93359 -INDEX GOES BRRR: 243 X: 15.2275 -INDEX GOES BRRR: 242 X: 15.1494 -INDEX GOES BRRR: 34 X: 2.14551 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 990 X: -2.1084 -INDEX GOES BRRR: 294 X: 18.416 -INDEX GOES BRRR: 851 X: -10.7959 -INDEX GOES BRRR: 99 X: 6.19336 -INDEX GOES BRRR: 994 X: -1.81934 -INDEX GOES BRRR: 366 X: 22.8867 -INDEX GOES BRRR: 379 X: 23.7432 -INDEX GOES BRRR: 49 X: 3.06738 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 352 X: 22.0166 -INDEX GOES BRRR: 16 X: 1.05762 -INDEX GOES BRRR: 191 X: 11.9873 -INDEX GOES BRRR: 283 X: 17.6973 -INDEX GOES BRRR: 123 X: 7.72754 -INDEX GOES BRRR: 986 X: -2.34375 -INDEX GOES BRRR: 316 X: 19.7666 -INDEX GOES BRRR: 204 X: 12.7627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 979 X: -2.79785 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 226 X: 14.1279 -INDEX GOES BRRR: 487 X: 30.4453 -INDEX GOES BRRR: 200 X: 12.5186 -INDEX GOES BRRR: 77 X: 4.84668 -INDEX GOES BRRR: 360 X: 22.5352 -INDEX GOES BRRR: 194 X: 12.1748 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.4834 -INDEX GOES BRRR: 239 X: 14.9941 -INDEX GOES BRRR: 48 X: 3.01465 -INDEX GOES BRRR: 1009 X: -0.910156 -INDEX GOES BRRR: 463 X: 28.9854 -INDEX GOES BRRR: 172 X: 10.791 -INDEX GOES BRRR: 82 X: 5.16113 -INDEX GOES BRRR: 254 X: 15.8936 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 940 X: -5.2168 -INDEX GOES BRRR: 59 X: 3.74121 -INDEX GOES BRRR: 247 X: 15.4785 -INDEX GOES BRRR: 866 X: -9.82324 -INDEX GOES BRRR: 114 X: 7.12891 -INDEX GOES BRRR: 1011 X: -0.810547 -INDEX GOES BRRR: 308 X: 19.2598 -INDEX GOES BRRR: 5 X: 0.353516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 70 X: 4.4043 -INDEX GOES BRRR: 225 X: 14.0879 -INDEX GOES BRRR: 243 X: 15.2266 -INDEX GOES BRRR: 178 X: 11.1816 -INDEX GOES BRRR: 1021 X: -0.143555 -INDEX GOES BRRR: 115 X: 7.20996 -INDEX GOES BRRR: 280 X: 17.54 -INDEX GOES BRRR: 81 X: 5.06445 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 385 X: 24.0732 -INDEX GOES BRRR: 1001 X: -1.39551 -INDEX GOES BRRR: 920 X: -6.44434 -INDEX GOES BRRR: 144 X: 9.03516 -INDEX GOES BRRR: 966 X: -3.62012 -INDEX GOES BRRR: 48 X: 3.05078 -INDEX GOES BRRR: 265 X: 16.5928 -INDEX GOES BRRR: 130 X: 8.15527 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.339844 -INDEX GOES BRRR: 179 X: 11.2334 -INDEX GOES BRRR: 76 X: 4.80176 -INDEX GOES BRRR: 988 X: -2.21191 -INDEX GOES BRRR: 933 X: -5.6748 -INDEX GOES BRRR: 291 X: 18.2373 -INDEX GOES BRRR: 157 X: 9.85449 -INDEX GOES BRRR: 438 X: 27.4072 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 904 X: -7.45703 -INDEX GOES BRRR: 185 X: 11.5635 -INDEX GOES BRRR: 212 X: 13.2676 -INDEX GOES BRRR: 137 X: 8.60254 -INDEX GOES BRRR: 97 X: 6.10645 -INDEX GOES BRRR: 60 X: 3.78613 -INDEX GOES BRRR: 296 X: 18.5527 -INDEX GOES BRRR: 298 X: 18.6533 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.998 -INDEX GOES BRRR: 93 X: 5.81348 -INDEX GOES BRRR: 972 X: -3.23047 -INDEX GOES BRRR: 177 X: 11.1016 -INDEX GOES BRRR: 981 X: -2.66992 -INDEX GOES BRRR: 212 X: 13.2646 -INDEX GOES BRRR: 216 X: 13.5342 -INDEX GOES BRRR: 866 X: -9.83301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.20312 -INDEX GOES BRRR: 368 X: 23.0391 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 30 X: 1.89746 -INDEX GOES BRRR: 352 X: 22.0449 -INDEX GOES BRRR: 130 X: 8.14453 -INDEX GOES BRRR: 241 X: 15.1064 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1846 -INDEX GOES BRRR: 410 X: 25.6641 -INDEX GOES BRRR: 287 X: 17.9961 -INDEX GOES BRRR: 96 X: 6.02832 -INDEX GOES BRRR: 108 X: 6.7959 -INDEX GOES BRRR: 1006 X: -1.08203 -INDEX GOES BRRR: 139 X: 8.73047 -INDEX GOES BRRR: 127 X: 7.99023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 87 X: 5.43848 -INDEX GOES BRRR: 187 X: 11.7451 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 186 X: 11.6729 -INDEX GOES BRRR: 385 X: 24.0723 -INDEX GOES BRRR: 335 X: 20.9756 -INDEX GOES BRRR: 156 X: 9.77637 -INDEX GOES BRRR: 961 X: -3.88672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.57812 -INDEX GOES BRRR: 253 X: 15.8232 -INDEX GOES BRRR: 1011 X: -0.802734 -INDEX GOES BRRR: 358 X: 22.4141 -INDEX GOES BRRR: 441 X: 27.5977 -INDEX GOES BRRR: 308 X: 19.293 -INDEX GOES BRRR: 387 X: 24.2363 -INDEX GOES BRRR: 193 X: 12.124 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.90723 -INDEX GOES BRRR: 339 X: 21.2246 -INDEX GOES BRRR: 178 X: 11.1377 -INDEX GOES BRRR: 999 X: -1.5332 -INDEX GOES BRRR: 254 X: 15.9287 -INDEX GOES BRRR: 255 X: 15.9805 -INDEX GOES BRRR: 931 X: -5.76074 -INDEX GOES BRRR: 432 X: 27.0039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 201 X: 12.5879 -INDEX GOES BRRR: 193 X: 12.1172 -INDEX GOES BRRR: 1000 X: -1.45605 -INDEX GOES BRRR: 970 X: -3.3623 -INDEX GOES BRRR: 885 X: -8.66211 -INDEX GOES BRRR: 202 X: 12.6426 -INDEX GOES BRRR: 67 X: 4.18945 -INDEX GOES BRRR: 277 X: 17.3477 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.8379 -INDEX GOES BRRR: 154 X: 9.67871 -INDEX GOES BRRR: 72 X: 4.53613 -INDEX GOES BRRR: 99 X: 6.21289 -INDEX GOES BRRR: 35 X: 2.23535 -INDEX GOES BRRR: 80 X: 5.03027 -INDEX GOES BRRR: 31 X: 1.96777 -INDEX GOES BRRR: 962 X: -3.86523 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.390625 -INDEX GOES BRRR: 966 X: -3.57812 -INDEX GOES BRRR: 409 X: 25.6123 -INDEX GOES BRRR: 880 X: -8.99805 -INDEX GOES BRRR: 134 X: 8.40527 -INDEX GOES BRRR: 23 X: 1.49805 -INDEX GOES BRRR: 165 X: 10.332 -INDEX GOES BRRR: 252 X: 15.7568 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.54297 -INDEX GOES BRRR: 299 X: 18.7432 -INDEX GOES BRRR: 266 X: 16.6523 -INDEX GOES BRRR: 187 X: 11.6875 -INDEX GOES BRRR: 338 X: 21.1836 -INDEX GOES BRRR: 232 X: 14.5039 -INDEX GOES BRRR: 146 X: 9.1416 -INDEX GOES BRRR: 135 X: 8.44336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 986 X: -2.32617 -INDEX GOES BRRR: 12 X: 0.758789 -INDEX GOES BRRR: 242 X: 15.1475 -INDEX GOES BRRR: 25 X: 1.6084 -INDEX GOES BRRR: 346 X: 21.668 -INDEX GOES BRRR: 863 X: -10.0098 -INDEX GOES BRRR: 175 X: 10.998 -INDEX GOES BRRR: 170 X: 10.6699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 231 X: 14.4717 -INDEX GOES BRRR: 5 X: 0.358398 -INDEX GOES BRRR: 18 X: 1.1416 -INDEX GOES BRRR: 932 X: -5.73926 -INDEX GOES BRRR: 119 X: 7.46484 -INDEX GOES BRRR: 278 X: 17.4229 -INDEX GOES BRRR: 52 X: 3.2627 -INDEX GOES BRRR: 55 X: 3.49023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 125 X: 7.87207 -INDEX GOES BRRR: 281 X: 17.6055 -INDEX GOES BRRR: 233 X: 14.5801 -INDEX GOES BRRR: 117 X: 7.35156 -INDEX GOES BRRR: 101 X: 6.31738 -INDEX GOES BRRR: 311 X: 19.4863 -INDEX GOES BRRR: 40 X: 2.53125 -INDEX GOES BRRR: 928 X: -5.97656 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.93262 -INDEX GOES BRRR: 95 X: 5.97656 -INDEX GOES BRRR: 182 X: 11.4219 -INDEX GOES BRRR: 51 X: 3.23633 -INDEX GOES BRRR: 227 X: 14.2246 -INDEX GOES BRRR: 197 X: 12.3721 -INDEX GOES BRRR: 158 X: 9.875 -INDEX GOES BRRR: 23 X: 1.46973 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4229 -INDEX GOES BRRR: 62 X: 3.9209 -INDEX GOES BRRR: 420 X: 26.2559 -INDEX GOES BRRR: 156 X: 9.79883 -INDEX GOES BRRR: 278 X: 17.4258 -INDEX GOES BRRR: 226 X: 14.1719 -INDEX GOES BRRR: 152 X: 9.56055 -INDEX GOES BRRR: 441 X: 27.6084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 78 X: 4.90527 -INDEX GOES BRRR: 737 X: -17.9287 -INDEX GOES BRRR: 3 X: 0.208984 -INDEX GOES BRRR: 999 X: -1.52344 -INDEX GOES BRRR: 124 X: 7.77246 -INDEX GOES BRRR: 145 X: 9.08105 -INDEX GOES BRRR: 128 X: 8.00586 -INDEX GOES BRRR: 55 X: 3.47266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.54199 -INDEX GOES BRRR: 253 X: 15.8643 -INDEX GOES BRRR: 117 X: 7.34961 -INDEX GOES BRRR: 338 X: 21.1807 -INDEX GOES BRRR: 460 X: 28.7686 -INDEX GOES BRRR: 349 X: 21.8564 -INDEX GOES BRRR: 366 X: 22.8916 -INDEX GOES BRRR: 230 X: 14.417 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 201 X: 12.6035 -INDEX GOES BRRR: 243 X: 15.2256 -INDEX GOES BRRR: 250 X: 15.667 -INDEX GOES BRRR: 991 X: -2.04297 -INDEX GOES BRRR: 223 X: 13.9619 -INDEX GOES BRRR: 279 X: 17.4854 -INDEX GOES BRRR: 14 X: 0.891602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 122 X: 7.67773 -INDEX GOES BRRR: 123 X: 7.70801 -INDEX GOES BRRR: 116 X: 7.27832 -INDEX GOES BRRR: 11 X: 0.691406 -INDEX GOES BRRR: 168 X: 10.5039 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 277 X: 17.3262 -INDEX GOES BRRR: 173 X: 10.8125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 289 X: 18.1025 -INDEX GOES BRRR: 176 X: 11.0596 -INDEX GOES BRRR: 45 X: 2.84277 -INDEX GOES BRRR: 230 X: 14.4336 -INDEX GOES BRRR: 321 X: 20.1191 -INDEX GOES BRRR: 120 X: 7.52051 -INDEX GOES BRRR: 315 X: 19.7139 -INDEX GOES BRRR: 169 X: 10.6064 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 206 X: 12.9141 -INDEX GOES BRRR: 990 X: -2.08105 -INDEX GOES BRRR: 358 X: 22.377 -INDEX GOES BRRR: 981 X: -2.64844 -INDEX GOES BRRR: 185 X: 11.6055 -INDEX GOES BRRR: 303 X: 18.957 -INDEX GOES BRRR: 80 X: 5.00586 -INDEX GOES BRRR: 262 X: 16.4043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 812 X: -13.1885 -INDEX GOES BRRR: 229 X: 14.3564 -INDEX GOES BRRR: 221 X: 13.8252 -INDEX GOES BRRR: 74 X: 4.67969 -INDEX GOES BRRR: 1017 X: -0.428711 -INDEX GOES BRRR: 192 X: 12.0127 -INDEX GOES BRRR: 950 X: -4.62012 -INDEX GOES BRRR: 250 X: 15.6572 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 106 X: 6.62598 -INDEX GOES BRRR: 292 X: 18.2607 -INDEX GOES BRRR: 968 X: -3.47461 -INDEX GOES BRRR: 1001 X: -1.42969 -INDEX GOES BRRR: 322 X: 20.165 -INDEX GOES BRRR: 19 X: 1.24609 -INDEX GOES BRRR: 219 X: 13.7041 -INDEX GOES BRRR: 173 X: 10.8516 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6738 -INDEX GOES BRRR: 946 X: -4.85254 -INDEX GOES BRRR: 131 X: 8.23828 -INDEX GOES BRRR: 310 X: 19.4346 -INDEX GOES BRRR: 342 X: 21.3955 -INDEX GOES BRRR: 302 X: 18.875 -INDEX GOES BRRR: 41 X: 2.5791 -INDEX GOES BRRR: 167 X: 10.4385 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4863 -INDEX GOES BRRR: 840 X: -11.4512 -INDEX GOES BRRR: 227 X: 14.1895 -INDEX GOES BRRR: 12 X: 0.757812 -INDEX GOES BRRR: 12 X: 0.808594 -INDEX GOES BRRR: 218 X: 13.626 -INDEX GOES BRRR: 406 X: 25.3779 -INDEX GOES BRRR: 90 X: 5.62695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 998 X: -1.62402 -INDEX GOES BRRR: 204 X: 12.8008 -INDEX GOES BRRR: 33 X: 2.07422 -INDEX GOES BRRR: 239 X: 14.9785 -INDEX GOES BRRR: 955 X: -4.2793 -INDEX GOES BRRR: 66 X: 4.15918 -INDEX GOES BRRR: 231 X: 14.4512 -INDEX GOES BRRR: 331 X: 20.7197 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 124 X: 7.7666 -INDEX GOES BRRR: 223 X: 13.9912 -INDEX GOES BRRR: 40 X: 2.50781 -INDEX GOES BRRR: 307 X: 19.21 -INDEX GOES BRRR: 896 X: -7.98438 -INDEX GOES BRRR: 66 X: 4.17969 -INDEX GOES BRRR: 147 X: 9.22559 -INDEX GOES BRRR: 829 X: -12.1514 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 376 X: 23.5049 -INDEX GOES BRRR: 970 X: -3.33105 -INDEX GOES BRRR: 998 X: -1.5918 -INDEX GOES BRRR: 296 X: 18.5605 -INDEX GOES BRRR: 167 X: 10.4775 -INDEX GOES BRRR: 301 X: 18.8721 -INDEX GOES BRRR: 55 X: 3.44629 -INDEX GOES BRRR: 114 X: 7.13574 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.916 -INDEX GOES BRRR: 125 X: 7.87305 -INDEX GOES BRRR: 11 X: 0.696289 -INDEX GOES BRRR: 423 X: 26.4727 -INDEX GOES BRRR: 461 X: 28.8701 -INDEX GOES BRRR: 2 X: 0.172852 -INDEX GOES BRRR: 419 X: 26.2188 -INDEX GOES BRRR: 366 X: 22.8936 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.48438 -INDEX GOES BRRR: 351 X: 21.9375 -INDEX GOES BRRR: 154 X: 9.62598 -INDEX GOES BRRR: 280 X: 17.5068 -INDEX GOES BRRR: 926 X: -6.06738 -INDEX GOES BRRR: 360 X: 22.5098 -INDEX GOES BRRR: 337 X: 21.0762 -INDEX GOES BRRR: 105 X: 6.57812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9688 -INDEX GOES BRRR: 1005 X: -1.16113 -INDEX GOES BRRR: 191 X: 11.9844 -INDEX GOES BRRR: 16 X: 1.00293 -INDEX GOES BRRR: 326 X: 20.4043 -INDEX GOES BRRR: 221 X: 13.8633 -INDEX GOES BRRR: 14 X: 0.913086 -INDEX GOES BRRR: 6 X: 0.422852 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1011 X: -0.800781 -INDEX GOES BRRR: 154 X: 9.62695 -INDEX GOES BRRR: 1019 X: -0.267578 -INDEX GOES BRRR: 134 X: 8.38867 -INDEX GOES BRRR: 1 X: 0.0986328 -INDEX GOES BRRR: 1011 X: -0.782227 -INDEX GOES BRRR: 271 X: 16.958 -INDEX GOES BRRR: 343 X: 21.4883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 987 X: -2.27344 -INDEX GOES BRRR: 47 X: 2.9502 -INDEX GOES BRRR: 24 X: 1.50293 -INDEX GOES BRRR: 138 X: 8.67871 -INDEX GOES BRRR: 1 X: 0.0898438 -INDEX GOES BRRR: 400 X: 25.0439 -INDEX GOES BRRR: 56 X: 3.50195 -INDEX GOES BRRR: 250 X: 15.667 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.52344 -INDEX GOES BRRR: 247 X: 15.4424 -INDEX GOES BRRR: 148 X: 9.28516 -INDEX GOES BRRR: 947 X: -4.77734 -INDEX GOES BRRR: 181 X: 11.3662 -INDEX GOES BRRR: 873 X: -9.4248 -INDEX GOES BRRR: 136 X: 8.55273 -INDEX GOES BRRR: 1002 X: -1.33691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 21 X: 1.35938 -INDEX GOES BRRR: 1023 X: -0.0224609 -INDEX GOES BRRR: 969 X: -3.38672 -INDEX GOES BRRR: 251 X: 15.7412 -INDEX GOES BRRR: 1014 X: -0.567383 -INDEX GOES BRRR: 917 X: -6.67285 -INDEX GOES BRRR: 407 X: 25.4385 -INDEX GOES BRRR: 65 X: 4.0791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 135 X: 8.48047 -INDEX GOES BRRR: 268 X: 16.7695 -INDEX GOES BRRR: 260 X: 16.2881 -INDEX GOES BRRR: 216 X: 13.5215 -INDEX GOES BRRR: 176 X: 11.043 -INDEX GOES BRRR: 271 X: 16.9834 -INDEX GOES BRRR: 220 X: 13.79 -INDEX GOES BRRR: 441 X: 27.6201 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 308 X: 19.292 -INDEX GOES BRRR: 429 X: 26.8164 -INDEX GOES BRRR: 274 X: 17.1406 -INDEX GOES BRRR: 1018 X: -0.363281 -INDEX GOES BRRR: 294 X: 18.4316 -INDEX GOES BRRR: 239 X: 14.9619 -INDEX GOES BRRR: 9 X: 0.583984 -INDEX GOES BRRR: 85 X: 5.34375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 910 X: -7.11816 -INDEX GOES BRRR: 779 X: -15.2646 -INDEX GOES BRRR: 346 X: 21.6455 -INDEX GOES BRRR: 173 X: 10.8564 -INDEX GOES BRRR: 284 X: 17.8096 -INDEX GOES BRRR: 91 X: 5.70801 -INDEX GOES BRRR: 80 X: 5.03125 -INDEX GOES BRRR: 275 X: 17.1924 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8418 -INDEX GOES BRRR: 347 X: 21.7471 -INDEX GOES BRRR: 303 X: 18.9688 -INDEX GOES BRRR: 60 X: 3.77344 -INDEX GOES BRRR: 111 X: 6.97363 -INDEX GOES BRRR: 237 X: 14.8477 -INDEX GOES BRRR: 870 X: -9.60449 -INDEX GOES BRRR: 80 X: 5.01855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 155 X: 9.69922 -INDEX GOES BRRR: 230 X: 14.4092 -INDEX GOES BRRR: 241 X: 15.1143 -INDEX GOES BRRR: 148 X: 9.26074 -INDEX GOES BRRR: 430 X: 26.9072 -INDEX GOES BRRR: 285 X: 17.8711 -INDEX GOES BRRR: 339 X: 21.1895 -INDEX GOES BRRR: 212 X: 13.2744 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1023 X: -0.0195312 -INDEX GOES BRRR: 219 X: 13.7461 -INDEX GOES BRRR: 405 X: 25.3281 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 176 X: 11.0127 -INDEX GOES BRRR: 149 X: 9.34375 -INDEX GOES BRRR: 110 X: 6.93359 -INDEX GOES BRRR: 200 X: 12.5215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 381 X: 23.8379 -INDEX GOES BRRR: 186 X: 11.6748 -INDEX GOES BRRR: 316 X: 19.75 -INDEX GOES BRRR: 277 X: 17.3594 -INDEX GOES BRRR: 147 X: 9.23535 -INDEX GOES BRRR: 279 X: 17.4873 -INDEX GOES BRRR: 990 X: -2.09375 -INDEX GOES BRRR: 328 X: 20.5342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9912 -INDEX GOES BRRR: 36 X: 2.30176 -INDEX GOES BRRR: 196 X: 12.2646 -INDEX GOES BRRR: 379 X: 23.7314 -INDEX GOES BRRR: 351 X: 21.9863 -INDEX GOES BRRR: 983 X: -2.5332 -INDEX GOES BRRR: 407 X: 25.4531 -INDEX GOES BRRR: 264 X: 16.5342 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.4668 -INDEX GOES BRRR: 131 X: 8.21875 -INDEX GOES BRRR: 942 X: -5.12402 -INDEX GOES BRRR: 88 X: 5.50586 -INDEX GOES BRRR: 962 X: -3.82812 -INDEX GOES BRRR: 302 X: 18.9297 -INDEX GOES BRRR: 142 X: 8.8877 -INDEX GOES BRRR: 72 X: 4.5166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 398 X: 24.8896 -INDEX GOES BRRR: 288 X: 18.0312 -INDEX GOES BRRR: 84 X: 5.27148 -INDEX GOES BRRR: 60 X: 3.75684 -INDEX GOES BRRR: 192 X: 12 -INDEX GOES BRRR: 131 X: 8.2041 -INDEX GOES BRRR: 263 X: 16.4775 -INDEX GOES BRRR: 94 X: 5.90723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6387 -INDEX GOES BRRR: 899 X: -7.78516 -INDEX GOES BRRR: 333 X: 20.8145 -INDEX GOES BRRR: 147 X: 9.24121 -INDEX GOES BRRR: 905 X: -7.41602 -INDEX GOES BRRR: 380 X: 23.7676 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 228 X: 14.2803 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1553 -INDEX GOES BRRR: 166 X: 10.415 -INDEX GOES BRRR: 217 X: 13.6143 -INDEX GOES BRRR: 41 X: 2.60059 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 92 X: 5.75586 -INDEX GOES BRRR: 268 X: 16.7676 -INDEX GOES BRRR: 265 X: 16.6055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 204 X: 12.8096 -INDEX GOES BRRR: 816 X: -12.9424 -INDEX GOES BRRR: 900 X: -7.69531 -INDEX GOES BRRR: 127 X: 7.98633 -INDEX GOES BRRR: 116 X: 7.29883 -INDEX GOES BRRR: 1022 X: -0.110352 -INDEX GOES BRRR: 12 X: 0.796875 -INDEX GOES BRRR: 251 X: 15.7256 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.77734 -INDEX GOES BRRR: 47 X: 2.98047 -INDEX GOES BRRR: 253 X: 15.8604 -INDEX GOES BRRR: 67 X: 4.22949 -INDEX GOES BRRR: 16 X: 1.01758 -INDEX GOES BRRR: 284 X: 17.7627 -INDEX GOES BRRR: 192 X: 12.0225 -INDEX GOES BRRR: 54 X: 3.40723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 995 X: -1.76074 -INDEX GOES BRRR: 464 X: 29.0352 -INDEX GOES BRRR: 142 X: 8.92383 -INDEX GOES BRRR: 139 X: 8.73535 -INDEX GOES BRRR: 994 X: -1.84277 -INDEX GOES BRRR: 216 X: 13.5049 -INDEX GOES BRRR: 172 X: 10.8066 -INDEX GOES BRRR: 177 X: 11.0986 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 119 X: 7.48535 -INDEX GOES BRRR: 313 X: 19.585 -INDEX GOES BRRR: 370 X: 23.1709 -INDEX GOES BRRR: 974 X: -3.10352 -INDEX GOES BRRR: 945 X: -4.9248 -INDEX GOES BRRR: 155 X: 9.74512 -INDEX GOES BRRR: 206 X: 12.8857 -INDEX GOES BRRR: 117 X: 7.33691 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 304 X: 19.041 -INDEX GOES BRRR: 351 X: 21.959 -INDEX GOES BRRR: 177 X: 11.0928 -INDEX GOES BRRR: 67 X: 4.24512 -INDEX GOES BRRR: 412 X: 25.7891 -INDEX GOES BRRR: 241 X: 15.0645 -INDEX GOES BRRR: 110 X: 6.90527 -INDEX GOES BRRR: 158 X: 9.8916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7246 -INDEX GOES BRRR: 300 X: 18.7754 -INDEX GOES BRRR: 336 X: 21 -INDEX GOES BRRR: 92 X: 5.76172 -INDEX GOES BRRR: 266 X: 16.6523 -INDEX GOES BRRR: 101 X: 6.32129 -INDEX GOES BRRR: 916 X: -6.69043 -INDEX GOES BRRR: 1002 X: -1.32227 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 71 X: 4.44043 -INDEX GOES BRRR: 12 X: 0.797852 -INDEX GOES BRRR: 983 X: -2.54004 -INDEX GOES BRRR: 43 X: 2.7207 -INDEX GOES BRRR: 865 X: -9.91406 -INDEX GOES BRRR: 151 X: 9.4873 -INDEX GOES BRRR: 326 X: 20.4248 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7334 -INDEX GOES BRRR: 349 X: 21.8662 -INDEX GOES BRRR: 892 X: -8.21777 -INDEX GOES BRRR: 398 X: 24.9004 -INDEX GOES BRRR: 200 X: 12.5488 -INDEX GOES BRRR: 66 X: 4.13086 -INDEX GOES BRRR: 158 X: 9.93652 -INDEX GOES BRRR: 313 X: 19.5791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 273 X: 17.0918 -INDEX GOES BRRR: 251 X: 15.7109 -INDEX GOES BRRR: 323 X: 20.1943 -INDEX GOES BRRR: 3 X: 0.194336 -INDEX GOES BRRR: 118 X: 7.4248 -INDEX GOES BRRR: 472 X: 29.5166 -INDEX GOES BRRR: 186 X: 11.6416 -INDEX GOES BRRR: 335 X: 20.9404 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 957 X: -4.14355 -INDEX GOES BRRR: 256 X: 16.0166 -INDEX GOES BRRR: 220 X: 13.7588 -INDEX GOES BRRR: 242 X: 15.1475 -INDEX GOES BRRR: 888 X: -8.47852 -INDEX GOES BRRR: 140 X: 8.81055 -INDEX GOES BRRR: 309 X: 19.3252 -INDEX GOES BRRR: 166 X: 10.3818 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.0761719 -INDEX GOES BRRR: 252 X: 15.793 -INDEX GOES BRRR: 147 X: 9.2334 -INDEX GOES BRRR: 141 X: 8.86523 -INDEX GOES BRRR: 196 X: 12.3115 -INDEX GOES BRRR: 91 X: 5.69727 -INDEX GOES BRRR: 840 X: -11.4551 -INDEX GOES BRRR: 249 X: 15.5859 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 230 X: 14.4014 -INDEX GOES BRRR: 180 X: 11.2891 -INDEX GOES BRRR: 775 X: -15.501 -INDEX GOES BRRR: 985 X: -2.37793 -INDEX GOES BRRR: 111 X: 6.94336 -INDEX GOES BRRR: 342 X: 21.4072 -INDEX GOES BRRR: 126 X: 7.93262 -INDEX GOES BRRR: 1021 X: -0.182617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1 X: 0.0625 -INDEX GOES BRRR: 253 X: 15.8164 -INDEX GOES BRRR: 246 X: 15.3799 -INDEX GOES BRRR: 235 X: 14.7041 -INDEX GOES BRRR: 23 X: 1.49609 -INDEX GOES BRRR: 33 X: 2.11426 -INDEX GOES BRRR: 418 X: 26.1787 -INDEX GOES BRRR: 141 X: 8.85938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 882 X: -8.86426 -INDEX GOES BRRR: 294 X: 18.4248 -INDEX GOES BRRR: 183 X: 11.459 -INDEX GOES BRRR: 331 X: 20.7441 -INDEX GOES BRRR: 298 X: 18.6475 -INDEX GOES BRRR: 804 X: -13.7178 -INDEX GOES BRRR: 232 X: 14.543 -INDEX GOES BRRR: 153 X: 9.60742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 954 X: -4.31738 -INDEX GOES BRRR: 163 X: 10.2285 -INDEX GOES BRRR: 320 X: 20.0186 -INDEX GOES BRRR: 437 X: 27.3662 -INDEX GOES BRRR: 306 X: 19.1865 -INDEX GOES BRRR: 158 X: 9.9082 -INDEX GOES BRRR: 134 X: 8.41504 -INDEX GOES BRRR: 184 X: 11.5244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8574 -INDEX GOES BRRR: 167 X: 10.4609 -INDEX GOES BRRR: 331 X: 20.7051 -INDEX GOES BRRR: 357 X: 22.3242 -INDEX GOES BRRR: 119 X: 7.4502 -INDEX GOES BRRR: 266 X: 16.6406 -INDEX GOES BRRR: 471 X: 29.4922 -INDEX GOES BRRR: 127 X: 7.96484 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 75 X: 4.71777 -INDEX GOES BRRR: 87 X: 5.47168 -INDEX GOES BRRR: 3 X: 0.198242 -INDEX GOES BRRR: 998 X: -1.58789 -INDEX GOES BRRR: 123 X: 7.74219 -INDEX GOES BRRR: 295 X: 18.4756 -INDEX GOES BRRR: 33 X: 2.07324 -INDEX GOES BRRR: 112 X: 7.05371 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 238 X: 14.9219 -INDEX GOES BRRR: 128 X: 8.03809 -INDEX GOES BRRR: 5 X: 0.323242 -INDEX GOES BRRR: 79 X: 4.94531 -INDEX GOES BRRR: 275 X: 17.1973 -INDEX GOES BRRR: 81 X: 5.10742 -INDEX GOES BRRR: 174 X: 10.9365 -INDEX GOES BRRR: 290 X: 18.1709 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 310 X: 19.3809 -INDEX GOES BRRR: 211 X: 13.2402 -INDEX GOES BRRR: 265 X: 16.585 -INDEX GOES BRRR: 122 X: 7.63281 -INDEX GOES BRRR: 1020 X: -0.208984 -INDEX GOES BRRR: 232 X: 14.5107 -INDEX GOES BRRR: 67 X: 4.20605 -INDEX GOES BRRR: 242 X: 15.1621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 309 X: 19.3535 -INDEX GOES BRRR: 436 X: 27.3086 -INDEX GOES BRRR: 229 X: 14.3721 -INDEX GOES BRRR: 968 X: -3.46289 -INDEX GOES BRRR: 93 X: 5.8291 -INDEX GOES BRRR: 268 X: 16.7812 -INDEX GOES BRRR: 298 X: 18.666 -INDEX GOES BRRR: 322 X: 20.1289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 160 X: 10.0498 -INDEX GOES BRRR: 202 X: 12.6582 -INDEX GOES BRRR: 44 X: 2.80176 -INDEX GOES BRRR: 96 X: 6.0127 -INDEX GOES BRRR: 211 X: 13.2432 -INDEX GOES BRRR: 40 X: 2.51562 -INDEX GOES BRRR: 242 X: 15.1465 -INDEX GOES BRRR: 156 X: 9.79297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 314 X: 19.6514 -INDEX GOES BRRR: 150 X: 9.41309 -INDEX GOES BRRR: 21 X: 1.33887 -INDEX GOES BRRR: 167 X: 10.4648 -INDEX GOES BRRR: 850 X: -10.8584 -INDEX GOES BRRR: 261 X: 16.3516 -INDEX GOES BRRR: 419 X: 26.2188 -INDEX GOES BRRR: 287 X: 17.9629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0508 -INDEX GOES BRRR: 80 X: 5.00879 -INDEX GOES BRRR: 852 X: -10.7295 -INDEX GOES BRRR: 50 X: 3.18164 -INDEX GOES BRRR: 809 X: -13.4023 -INDEX GOES BRRR: 349 X: 21.8262 -INDEX GOES BRRR: 251 X: 15.6953 -INDEX GOES BRRR: 187 X: 11.7129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 90 X: 5.67285 -INDEX GOES BRRR: 1017 X: -0.436523 -INDEX GOES BRRR: 163 X: 10.2441 -INDEX GOES BRRR: 81 X: 5.11133 -INDEX GOES BRRR: 212 X: 13.2764 -INDEX GOES BRRR: 358 X: 22.3818 -INDEX GOES BRRR: 404 X: 25.2559 -INDEX GOES BRRR: 490 X: 30.6465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 105 X: 6.58887 -INDEX GOES BRRR: 989 X: -2.15332 -INDEX GOES BRRR: 28 X: 1.78418 -INDEX GOES BRRR: 101 X: 6.32812 -INDEX GOES BRRR: 362 X: 22.6787 -INDEX GOES BRRR: 237 X: 14.8184 -INDEX GOES BRRR: 359 X: 22.4512 -INDEX GOES BRRR: 92 X: 5.79785 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.4668 -INDEX GOES BRRR: 878 X: -9.0918 -INDEX GOES BRRR: 212 X: 13.3027 -INDEX GOES BRRR: 135 X: 8.49121 -INDEX GOES BRRR: 67 X: 4.19336 -INDEX GOES BRRR: 137 X: 8.58594 -INDEX GOES BRRR: 104 X: 6.53809 -INDEX GOES BRRR: 912 X: -6.95215 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 113 X: 7.10156 -INDEX GOES BRRR: 61 X: 3.86621 -INDEX GOES BRRR: 118 X: 7.42871 -INDEX GOES BRRR: 322 X: 20.165 -INDEX GOES BRRR: 25 X: 1.61621 -INDEX GOES BRRR: 69 X: 4.31543 -INDEX GOES BRRR: 168 X: 10.5273 -INDEX GOES BRRR: 119 X: 7.49219 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 85 X: 5.31641 -INDEX GOES BRRR: 399 X: 24.958 -INDEX GOES BRRR: 18 X: 1.13281 -INDEX GOES BRRR: 104 X: 6.51465 -INDEX GOES BRRR: 1000 X: -1.46875 -INDEX GOES BRRR: 435 X: 27.1924 -INDEX GOES BRRR: 277 X: 17.3594 -INDEX GOES BRRR: 958 X: -4.11621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6562 -INDEX GOES BRRR: 47 X: 2.94434 -INDEX GOES BRRR: 280 X: 17.501 -INDEX GOES BRRR: 215 X: 13.4746 -INDEX GOES BRRR: 771 X: -15.7559 -INDEX GOES BRRR: 51 X: 3.20898 -INDEX GOES BRRR: 983 X: -2.54688 -INDEX GOES BRRR: 11 X: 0.6875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 340 X: 21.2852 -INDEX GOES BRRR: 155 X: 9.69434 -INDEX GOES BRRR: 156 X: 9.75781 -INDEX GOES BRRR: 191 X: 11.998 -INDEX GOES BRRR: 51 X: 3.20996 -INDEX GOES BRRR: 113 X: 7.10938 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 11 X: 0.706055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.39258 -INDEX GOES BRRR: 184 X: 11.5557 -INDEX GOES BRRR: 72 X: 4.56055 -INDEX GOES BRRR: 177 X: 11.1035 -INDEX GOES BRRR: 336 X: 21.0342 -INDEX GOES BRRR: 30 X: 1.87793 -INDEX GOES BRRR: 169 X: 10.6123 -INDEX GOES BRRR: 348 X: 21.7588 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 249 X: 15.6074 -INDEX GOES BRRR: 193 X: 12.0977 -INDEX GOES BRRR: 102 X: 6.39355 -INDEX GOES BRRR: 472 X: 29.5186 -INDEX GOES BRRR: 153 X: 9.58398 -INDEX GOES BRRR: 45 X: 2.8457 -INDEX GOES BRRR: 77 X: 4.82324 -INDEX GOES BRRR: 171 X: 10.749 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 311 X: 19.4756 -INDEX GOES BRRR: 297 X: 18.6074 -INDEX GOES BRRR: 934 X: -5.58887 -INDEX GOES BRRR: 169 X: 10.5635 -INDEX GOES BRRR: 243 X: 15.1973 -INDEX GOES BRRR: 981 X: -2.67285 -INDEX GOES BRRR: 216 X: 13.5225 -INDEX GOES BRRR: 265 X: 16.5742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.3809 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 432 X: 27.0059 -INDEX GOES BRRR: 438 X: 27.3916 -INDEX GOES BRRR: 225 X: 14.0859 -INDEX GOES BRRR: 413 X: 25.8438 -INDEX GOES BRRR: 260 X: 16.2891 -INDEX GOES BRRR: 277 X: 17.3174 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 10 X: 0.673828 -INDEX GOES BRRR: 169 X: 10.6162 -INDEX GOES BRRR: 89 X: 5.56836 -INDEX GOES BRRR: 61 X: 3.84375 -INDEX GOES BRRR: 392 X: 24.5391 -INDEX GOES BRRR: 191 X: 11.9902 -INDEX GOES BRRR: 181 X: 11.3564 -INDEX GOES BRRR: 272 X: 17.043 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 384 X: 24.0498 -INDEX GOES BRRR: 281 X: 17.5645 -INDEX GOES BRRR: 458 X: 28.6836 -INDEX GOES BRRR: 1013 X: -0.666016 -INDEX GOES BRRR: 384 X: 24.002 -INDEX GOES BRRR: 409 X: 25.6035 -INDEX GOES BRRR: 119 X: 7.45703 -INDEX GOES BRRR: 228 X: 14.2676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 810 X: -13.374 -INDEX GOES BRRR: 196 X: 12.251 -INDEX GOES BRRR: 219 X: 13.7256 -INDEX GOES BRRR: 60 X: 3.75879 -INDEX GOES BRRR: 238 X: 14.8848 -INDEX GOES BRRR: 964 X: -3.70215 -INDEX GOES BRRR: 118 X: 7.38672 -INDEX GOES BRRR: 53 X: 3.35938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 315 X: 19.7051 -INDEX GOES BRRR: 193 X: 12.1143 -INDEX GOES BRRR: 362 X: 22.6777 -INDEX GOES BRRR: 26 X: 1.64355 -INDEX GOES BRRR: 853 X: -10.6543 -INDEX GOES BRRR: 108 X: 6.7832 -INDEX GOES BRRR: 911 X: -7.00098 -INDEX GOES BRRR: 333 X: 20.8447 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 185 X: 11.5957 -INDEX GOES BRRR: 49 X: 3.11719 -INDEX GOES BRRR: 390 X: 24.4287 -INDEX GOES BRRR: 60 X: 3.80273 -INDEX GOES BRRR: 219 X: 13.7422 -INDEX GOES BRRR: 111 X: 6.99414 -INDEX GOES BRRR: 90 X: 5.625 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 397 X: 24.8232 -INDEX GOES BRRR: 304 X: 19.0459 -INDEX GOES BRRR: 114 X: 7.17969 -INDEX GOES BRRR: 165 X: 10.3301 -INDEX GOES BRRR: 275 X: 17.2324 -INDEX GOES BRRR: 23 X: 1.46484 -INDEX GOES BRRR: 52 X: 3.25977 -INDEX GOES BRRR: 39 X: 2.46777 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.626 -INDEX GOES BRRR: 38 X: 2.38965 -INDEX GOES BRRR: 136 X: 8.51562 -INDEX GOES BRRR: 366 X: 22.8984 -INDEX GOES BRRR: 961 X: -3.92383 -INDEX GOES BRRR: 112 X: 7.05566 -INDEX GOES BRRR: 77 X: 4.8584 -INDEX GOES BRRR: 80 X: 5.01562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.89648 -INDEX GOES BRRR: 884 X: -8.75 -INDEX GOES BRRR: 70 X: 4.38184 -INDEX GOES BRRR: 61 X: 3.84766 -INDEX GOES BRRR: 69 X: 4.33984 -INDEX GOES BRRR: 66 X: 4.18457 -INDEX GOES BRRR: 619 X: -25.2949 -INDEX GOES BRRR: 328 X: 20.5254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2793 -INDEX GOES BRRR: 250 X: 15.6836 -INDEX GOES BRRR: 290 X: 18.126 -INDEX GOES BRRR: 171 X: 10.7393 -INDEX GOES BRRR: 107 X: 6.74609 -INDEX GOES BRRR: 802 X: -13.8291 -INDEX GOES BRRR: 25 X: 1.62305 -INDEX GOES BRRR: 28 X: 1.81055 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.501 -INDEX GOES BRRR: 426 X: 26.6602 -INDEX GOES BRRR: 25 X: 1.58984 -INDEX GOES BRRR: 130 X: 8.16406 -INDEX GOES BRRR: 92 X: 5.79004 -INDEX GOES BRRR: 76 X: 4.79395 -INDEX GOES BRRR: 131 X: 8.19727 -INDEX GOES BRRR: 190 X: 11.9307 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 240 X: 15.0508 -INDEX GOES BRRR: 219 X: 13.7031 -INDEX GOES BRRR: 948 X: -4.68848 -INDEX GOES BRRR: 928 X: -5.93945 -INDEX GOES BRRR: 215 X: 13.4561 -INDEX GOES BRRR: 274 X: 17.1504 -INDEX GOES BRRR: 18 X: 1.13184 -INDEX GOES BRRR: 128 X: 8.01172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 317 X: 19.8594 -INDEX GOES BRRR: 315 X: 19.7383 -INDEX GOES BRRR: 365 X: 22.8604 -INDEX GOES BRRR: 1020 X: -0.231445 -INDEX GOES BRRR: 21 X: 1.32031 -INDEX GOES BRRR: 287 X: 17.9854 -INDEX GOES BRRR: 127 X: 7.98047 -INDEX GOES BRRR: 148 X: 9.29492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.27539 -INDEX GOES BRRR: 236 X: 14.7695 -INDEX GOES BRRR: 438 X: 27.3828 -INDEX GOES BRRR: 107 X: 6.70801 -INDEX GOES BRRR: 311 X: 19.4717 -INDEX GOES BRRR: 960 X: -3.95605 -INDEX GOES BRRR: 347 X: 21.7451 -INDEX GOES BRRR: 229 X: 14.3584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.34668 -INDEX GOES BRRR: 267 X: 16.7217 -INDEX GOES BRRR: 45 X: 2.86914 -INDEX GOES BRRR: 468 X: 29.29 -INDEX GOES BRRR: 106 X: 6.64453 -INDEX GOES BRRR: 291 X: 18.2051 -INDEX GOES BRRR: 999 X: -1.50781 -INDEX GOES BRRR: 270 X: 16.9209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.125 -INDEX GOES BRRR: 165 X: 10.333 -INDEX GOES BRRR: 935 X: -5.51465 -INDEX GOES BRRR: 976 X: -2.98535 -INDEX GOES BRRR: 124 X: 7.77344 -INDEX GOES BRRR: 864 X: -9.99023 -INDEX GOES BRRR: 383 X: 23.9531 -INDEX GOES BRRR: 0 X: 0.00585938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.75684 -INDEX GOES BRRR: 969 X: -3.3916 -INDEX GOES BRRR: 467 X: 29.2148 -INDEX GOES BRRR: 987 X: -2.27539 -INDEX GOES BRRR: 104 X: 6.52832 -INDEX GOES BRRR: 206 X: 12.9336 -INDEX GOES BRRR: 266 X: 16.6787 -INDEX GOES BRRR: 68 X: 4.27246 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 412 X: 25.7852 -INDEX GOES BRRR: 983 X: -2.51465 -INDEX GOES BRRR: 296 X: 18.5596 -INDEX GOES BRRR: 28 X: 1.77441 -INDEX GOES BRRR: 21 X: 1.3457 -INDEX GOES BRRR: 244 X: 15.2725 -INDEX GOES BRRR: 934 X: -5.56641 -INDEX GOES BRRR: 49 X: 3.09473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 34 X: 2.1748 -INDEX GOES BRRR: 260 X: 16.2959 -INDEX GOES BRRR: 119 X: 7.49902 -INDEX GOES BRRR: 71 X: 4.47461 -INDEX GOES BRRR: 109 X: 6.85156 -INDEX GOES BRRR: 54 X: 3.41113 -INDEX GOES BRRR: 339 X: 21.1982 -INDEX GOES BRRR: 280 X: 17.5127 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.12793 -INDEX GOES BRRR: 175 X: 10.998 -INDEX GOES BRRR: 138 X: 8.62598 -INDEX GOES BRRR: 60 X: 3.79395 -INDEX GOES BRRR: 216 X: 13.5293 -INDEX GOES BRRR: 468 X: 29.2559 -INDEX GOES BRRR: 906 X: -7.34863 -INDEX GOES BRRR: 380 X: 23.7783 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9609 -INDEX GOES BRRR: 347 X: 21.7227 -INDEX GOES BRRR: 136 X: 8.53516 -INDEX GOES BRRR: 874 X: -9.37012 -INDEX GOES BRRR: 94 X: 5.91602 -INDEX GOES BRRR: 299 X: 18.71 -INDEX GOES BRRR: 84 X: 5.26074 -INDEX GOES BRRR: 153 X: 9.61914 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 174 X: 10.9023 -INDEX GOES BRRR: 310 X: 19.4121 -INDEX GOES BRRR: 158 X: 9.89941 -INDEX GOES BRRR: 156 X: 9.79492 -INDEX GOES BRRR: 114 X: 7.18164 -INDEX GOES BRRR: 464 X: 29.001 -INDEX GOES BRRR: 125 X: 7.83105 -INDEX GOES BRRR: 132 X: 8.28418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 11 X: 0.694336 -INDEX GOES BRRR: 181 X: 11.3438 -INDEX GOES BRRR: 220 X: 13.7764 -INDEX GOES BRRR: 490 X: 30.6719 -INDEX GOES BRRR: 45 X: 2.83887 -INDEX GOES BRRR: 914 X: -6.83984 -INDEX GOES BRRR: 376 X: 23.5176 -INDEX GOES BRRR: 951 X: -4.52441 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 195 X: 12.1924 -INDEX GOES BRRR: 975 X: -3.0498 -INDEX GOES BRRR: 906 X: -7.33301 -INDEX GOES BRRR: 289 X: 18.0908 -INDEX GOES BRRR: 5 X: 0.341797 -INDEX GOES BRRR: 117 X: 7.31836 -INDEX GOES BRRR: 100 X: 6.28027 -INDEX GOES BRRR: 338 X: 21.125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 761 X: -16.4219 -INDEX GOES BRRR: 111 X: 6.97461 -INDEX GOES BRRR: 1001 X: -1.40137 -INDEX GOES BRRR: 990 X: -2.06543 -INDEX GOES BRRR: 76 X: 4.80176 -INDEX GOES BRRR: 395 X: 24.7188 -INDEX GOES BRRR: 304 X: 19.0381 -INDEX GOES BRRR: 373 X: 23.3535 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 192 X: 12.041 -INDEX GOES BRRR: 4 X: 0.279297 -INDEX GOES BRRR: 991 X: -2.0625 -INDEX GOES BRRR: 976 X: -2.98535 -INDEX GOES BRRR: 199 X: 12.4951 -INDEX GOES BRRR: 32 X: 2.03906 -INDEX GOES BRRR: 65 X: 4.10938 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.55762 -INDEX GOES BRRR: 992 X: -1.97461 -INDEX GOES BRRR: 23 X: 1.43945 -INDEX GOES BRRR: 878 X: -9.11328 -INDEX GOES BRRR: 963 X: -3.80273 -INDEX GOES BRRR: 83 X: 5.23242 -INDEX GOES BRRR: 254 X: 15.9062 -INDEX GOES BRRR: 32 X: 2.01465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 942 X: -5.11133 -INDEX GOES BRRR: 158 X: 9.92969 -INDEX GOES BRRR: 18 X: 1.1377 -INDEX GOES BRRR: 92 X: 5.79395 -INDEX GOES BRRR: 165 X: 10.3301 -INDEX GOES BRRR: 88 X: 5.55469 -INDEX GOES BRRR: 185 X: 11.5664 -INDEX GOES BRRR: 1023 X: -0.0166016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 427 X: 26.7471 -INDEX GOES BRRR: 990 X: -2.09766 -INDEX GOES BRRR: 1011 X: -0.770508 -INDEX GOES BRRR: 291 X: 18.2422 -INDEX GOES BRRR: 171 X: 10.7109 -INDEX GOES BRRR: 180 X: 11.2559 -INDEX GOES BRRR: 184 X: 11.5488 -INDEX GOES BRRR: 73 X: 4.5957 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 336 X: 21.0264 -INDEX GOES BRRR: 365 X: 22.8506 -INDEX GOES BRRR: 910 X: -7.10449 -INDEX GOES BRRR: 991 X: -2.00586 -INDEX GOES BRRR: 19 X: 1.24121 -INDEX GOES BRRR: 70 X: 4.37988 -INDEX GOES BRRR: 87 X: 5.44336 -INDEX GOES BRRR: 972 X: -3.23047 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 188 X: 11.7832 -INDEX GOES BRRR: 57 X: 3.60449 -INDEX GOES BRRR: 99 X: 6.20117 -INDEX GOES BRRR: 362 X: 22.666 -INDEX GOES BRRR: 83 X: 5.24707 -INDEX GOES BRRR: 456 X: 28.5215 -INDEX GOES BRRR: 192 X: 12.0557 -INDEX GOES BRRR: 174 X: 10.9131 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4873 -INDEX GOES BRRR: 998 X: -1.57129 -INDEX GOES BRRR: 67 X: 4.21289 -INDEX GOES BRRR: 882 X: -8.82617 -INDEX GOES BRRR: 152 X: 9.54492 -INDEX GOES BRRR: 186 X: 11.6572 -INDEX GOES BRRR: 228 X: 14.2959 -INDEX GOES BRRR: 918 X: -6.62402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 483 X: 30.2461 -INDEX GOES BRRR: 11 X: 0.705078 -INDEX GOES BRRR: 84 X: 5.28613 -INDEX GOES BRRR: 364 X: 22.791 -INDEX GOES BRRR: 293 X: 18.3223 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 172 X: 10.7734 -INDEX GOES BRRR: 167 X: 10.4893 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 116 X: 7.28125 -INDEX GOES BRRR: 1012 X: -0.739258 -INDEX GOES BRRR: 161 X: 10.0859 -INDEX GOES BRRR: 175 X: 10.9922 -INDEX GOES BRRR: 310 X: 19.4365 -INDEX GOES BRRR: 260 X: 16.3115 -INDEX GOES BRRR: 890 X: -8.3457 -INDEX GOES BRRR: 320 X: 20.0547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 35 X: 2.19531 -INDEX GOES BRRR: 254 X: 15.9248 -INDEX GOES BRRR: 86 X: 5.40723 -INDEX GOES BRRR: 282 X: 17.6543 -INDEX GOES BRRR: 6 X: 0.419922 -INDEX GOES BRRR: 277 X: 17.3223 -INDEX GOES BRRR: 216 X: 13.5605 -INDEX GOES BRRR: 99 X: 6.19629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 162 X: 10.1572 -INDEX GOES BRRR: 224 X: 14.0273 -INDEX GOES BRRR: 915 X: -6.78906 -INDEX GOES BRRR: 143 X: 8.96484 -INDEX GOES BRRR: 56 X: 3.51465 -INDEX GOES BRRR: 186 X: 11.6533 -INDEX GOES BRRR: 279 X: 17.4531 -INDEX GOES BRRR: 351 X: 21.9893 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 386 X: 24.1377 -INDEX GOES BRRR: 116 X: 7.27734 -INDEX GOES BRRR: 418 X: 26.127 -INDEX GOES BRRR: 284 X: 17.7773 -INDEX GOES BRRR: 132 X: 8.2959 -INDEX GOES BRRR: 289 X: 18.1162 -INDEX GOES BRRR: 260 X: 16.2705 -INDEX GOES BRRR: 122 X: 7.6416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.56055 -INDEX GOES BRRR: 991 X: -2.0498 -INDEX GOES BRRR: 95 X: 5.9502 -INDEX GOES BRRR: 469 X: 29.333 -INDEX GOES BRRR: 234 X: 14.6406 -INDEX GOES BRRR: 912 X: -6.96582 -INDEX GOES BRRR: 312 X: 19.5234 -INDEX GOES BRRR: 79 X: 4.95996 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 227 X: 14.2236 -INDEX GOES BRRR: 283 X: 17.7285 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 271 X: 16.9492 -INDEX GOES BRRR: 74 X: 4.64648 -INDEX GOES BRRR: 360 X: 22.5049 -INDEX GOES BRRR: 441 X: 27.5654 -INDEX GOES BRRR: 212 X: 13.2559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 56 X: 3.50098 -INDEX GOES BRRR: 1012 X: -0.745117 -INDEX GOES BRRR: 119 X: 7.46582 -INDEX GOES BRRR: 115 X: 7.2334 -INDEX GOES BRRR: 906 X: -7.37402 -INDEX GOES BRRR: 870 X: -9.62402 -INDEX GOES BRRR: 281 X: 17.5791 -INDEX GOES BRRR: 772 X: -15.7266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 954 X: -4.3252 -INDEX GOES BRRR: 235 X: 14.7314 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 116 X: 7.26953 -INDEX GOES BRRR: 123 X: 7.7041 -INDEX GOES BRRR: 101 X: 6.34375 -INDEX GOES BRRR: 77 X: 4.81543 -INDEX GOES BRRR: 186 X: 11.6396 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.29297 -INDEX GOES BRRR: 881 X: -8.87598 -INDEX GOES BRRR: 155 X: 9.69141 -INDEX GOES BRRR: 284 X: 17.7549 -INDEX GOES BRRR: 398 X: 24.9287 -INDEX GOES BRRR: 321 X: 20.1006 -INDEX GOES BRRR: 438 X: 27.4102 -INDEX GOES BRRR: 442 X: 27.6562 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.55664 -INDEX GOES BRRR: 49 X: 3.1084 -INDEX GOES BRRR: 789 X: -14.6318 -INDEX GOES BRRR: 182 X: 11.4277 -INDEX GOES BRRR: 315 X: 19.6982 -INDEX GOES BRRR: 162 X: 10.1846 -INDEX GOES BRRR: 241 X: 15.0674 -INDEX GOES BRRR: 167 X: 10.4941 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.8877 -INDEX GOES BRRR: 175 X: 10.9424 -INDEX GOES BRRR: 1002 X: -1.35645 -INDEX GOES BRRR: 136 X: 8.53223 -INDEX GOES BRRR: 252 X: 15.8115 -INDEX GOES BRRR: 957 X: -4.18457 -INDEX GOES BRRR: 1011 X: -0.765625 -INDEX GOES BRRR: 320 X: 20.0166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 318 X: 19.9131 -INDEX GOES BRRR: 295 X: 18.4961 -INDEX GOES BRRR: 213 X: 13.3574 -INDEX GOES BRRR: 970 X: -3.3291 -INDEX GOES BRRR: 810 X: -13.3652 -INDEX GOES BRRR: 351 X: 21.9668 -INDEX GOES BRRR: 167 X: 10.4863 -INDEX GOES BRRR: 197 X: 12.333 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 196 X: 12.3057 -INDEX GOES BRRR: 371 X: 23.2344 -INDEX GOES BRRR: 385 X: 24.1123 -INDEX GOES BRRR: 391 X: 24.4551 -INDEX GOES BRRR: 117 X: 7.32617 -INDEX GOES BRRR: 429 X: 26.873 -INDEX GOES BRRR: 98 X: 6.18555 -INDEX GOES BRRR: 396 X: 24.7695 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 104 X: 6.53613 -INDEX GOES BRRR: 165 X: 10.3418 -INDEX GOES BRRR: 75 X: 4.70801 -INDEX GOES BRRR: 36 X: 2.25977 -INDEX GOES BRRR: 437 X: 27.3496 -INDEX GOES BRRR: 102 X: 6.43457 -INDEX GOES BRRR: 335 X: 20.9385 -INDEX GOES BRRR: 26 X: 1.63867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.83594 -INDEX GOES BRRR: 960 X: -3.94727 -INDEX GOES BRRR: 142 X: 8.92676 -INDEX GOES BRRR: 100 X: 6.26074 -INDEX GOES BRRR: 453 X: 28.3457 -INDEX GOES BRRR: 172 X: 10.8115 -INDEX GOES BRRR: 208 X: 13.0557 -INDEX GOES BRRR: 947 X: -4.76855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.5625 -INDEX GOES BRRR: 144 X: 9.00781 -INDEX GOES BRRR: 827 X: -12.2686 -INDEX GOES BRRR: 452 X: 28.2939 -INDEX GOES BRRR: 356 X: 22.2969 -INDEX GOES BRRR: 32 X: 2.04297 -INDEX GOES BRRR: 164 X: 10.292 -INDEX GOES BRRR: 963 X: -3.78906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 40 X: 2.51465 -INDEX GOES BRRR: 94 X: 5.89941 -INDEX GOES BRRR: 29 X: 1.84375 -INDEX GOES BRRR: 102 X: 6.39258 -INDEX GOES BRRR: 337 X: 21.085 -INDEX GOES BRRR: 5 X: 0.331055 -INDEX GOES BRRR: 279 X: 17.4912 -INDEX GOES BRRR: 279 X: 17.4521 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 163 X: 10.2422 -INDEX GOES BRRR: 295 X: 18.4434 -INDEX GOES BRRR: 1004 X: -1.2168 -INDEX GOES BRRR: 106 X: 6.64258 -INDEX GOES BRRR: 109 X: 6.8457 -INDEX GOES BRRR: 243 X: 15.248 -INDEX GOES BRRR: 42 X: 2.62695 -INDEX GOES BRRR: 285 X: 17.8164 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 68 X: 4.29102 -INDEX GOES BRRR: 10 X: 0.681641 -INDEX GOES BRRR: 171 X: 10.7246 -INDEX GOES BRRR: 340 X: 21.2666 -INDEX GOES BRRR: 398 X: 24.9248 -INDEX GOES BRRR: 255 X: 15.9854 -INDEX GOES BRRR: 311 X: 19.4756 -INDEX GOES BRRR: 449 X: 28.0947 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.959 -INDEX GOES BRRR: 278 X: 17.4043 -INDEX GOES BRRR: 177 X: 11.1201 -INDEX GOES BRRR: 71 X: 4.47559 -INDEX GOES BRRR: 996 X: -1.7207 -INDEX GOES BRRR: 240 X: 15.0146 -INDEX GOES BRRR: 156 X: 9.78125 -INDEX GOES BRRR: 208 X: 13.0312 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 827 X: -12.2646 -INDEX GOES BRRR: 98 X: 6.16895 -INDEX GOES BRRR: 34 X: 2.16504 -INDEX GOES BRRR: 224 X: 14.0088 -INDEX GOES BRRR: 162 X: 10.1504 -INDEX GOES BRRR: 262 X: 16.4082 -INDEX GOES BRRR: 274 X: 17.166 -INDEX GOES BRRR: 231 X: 14.46 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 858 X: -10.3447 -INDEX GOES BRRR: 106 X: 6.6543 -INDEX GOES BRRR: 159 X: 9.98242 -INDEX GOES BRRR: 990 X: -2.07324 -INDEX GOES BRRR: 75 X: 4.72949 -INDEX GOES BRRR: 245 X: 15.3477 -INDEX GOES BRRR: 21 X: 1.37402 -INDEX GOES BRRR: 90 X: 5.65918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 301 X: 18.8359 -INDEX GOES BRRR: 108 X: 6.79199 -INDEX GOES BRRR: 119 X: 7.44336 -INDEX GOES BRRR: 224 X: 14.0254 -INDEX GOES BRRR: 935 X: -5.5166 -INDEX GOES BRRR: 262 X: 16.4053 -INDEX GOES BRRR: 129 X: 8.08496 -INDEX GOES BRRR: 246 X: 15.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.54395 -INDEX GOES BRRR: 347 X: 21.6963 -INDEX GOES BRRR: 438 X: 27.3809 -INDEX GOES BRRR: 33 X: 2.10547 -INDEX GOES BRRR: 114 X: 7.13086 -INDEX GOES BRRR: 345 X: 21.5928 -INDEX GOES BRRR: 393 X: 24.5947 -INDEX GOES BRRR: 462 X: 28.9014 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 384 X: 24.0566 -INDEX GOES BRRR: 418 X: 26.1299 -INDEX GOES BRRR: 213 X: 13.332 -INDEX GOES BRRR: 97 X: 6.08008 -INDEX GOES BRRR: 18 X: 1.14062 -INDEX GOES BRRR: 954 X: -4.34668 -INDEX GOES BRRR: 376 X: 23.5576 -INDEX GOES BRRR: 257 X: 16.0977 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.48926 -INDEX GOES BRRR: 370 X: 23.168 -INDEX GOES BRRR: 933 X: -5.63965 -INDEX GOES BRRR: 151 X: 9.49902 -INDEX GOES BRRR: 383 X: 23.9502 -INDEX GOES BRRR: 402 X: 25.125 -INDEX GOES BRRR: 212 X: 13.293 -INDEX GOES BRRR: 328 X: 20.5244 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 814 X: -13.0977 -INDEX GOES BRRR: 936 X: -5.4707 -INDEX GOES BRRR: 111 X: 6.95215 -INDEX GOES BRRR: 412 X: 25.7832 -INDEX GOES BRRR: 77 X: 4.86719 -INDEX GOES BRRR: 159 X: 9.97168 -INDEX GOES BRRR: 958 X: -4.09375 -INDEX GOES BRRR: 182 X: 11.3896 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 36 X: 2.2832 -INDEX GOES BRRR: 52 X: 3.29492 -INDEX GOES BRRR: 296 X: 18.502 -INDEX GOES BRRR: 324 X: 20.3008 -INDEX GOES BRRR: 6 X: 0.407227 -INDEX GOES BRRR: 221 X: 13.8184 -INDEX GOES BRRR: 995 X: -1.75586 -INDEX GOES BRRR: 97 X: 6.08887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 321 X: 20.0703 -INDEX GOES BRRR: 988 X: -2.19434 -INDEX GOES BRRR: 178 X: 11.1787 -INDEX GOES BRRR: 985 X: -2.41309 -INDEX GOES BRRR: 124 X: 7.75 -INDEX GOES BRRR: 223 X: 13.9463 -INDEX GOES BRRR: 21 X: 1.36523 -INDEX GOES BRRR: 272 X: 17.0039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 403 X: 25.1963 -INDEX GOES BRRR: 224 X: 14.0107 -INDEX GOES BRRR: 271 X: 16.9697 -INDEX GOES BRRR: 427 X: 26.7412 -INDEX GOES BRRR: 993 X: -1.91992 -INDEX GOES BRRR: 336 X: 21.0254 -INDEX GOES BRRR: 5 X: 0.361328 -INDEX GOES BRRR: 220 X: 13.792 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 385 X: 24.0645 -INDEX GOES BRRR: 1014 X: -0.599609 -INDEX GOES BRRR: 175 X: 10.9824 -INDEX GOES BRRR: 285 X: 17.8154 -INDEX GOES BRRR: 114 X: 7.13086 -INDEX GOES BRRR: 405 X: 25.3662 -INDEX GOES BRRR: 1013 X: -0.655273 -INDEX GOES BRRR: 68 X: 4.26758 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8252 -INDEX GOES BRRR: 122 X: 7.66895 -INDEX GOES BRRR: 138 X: 8.65918 -INDEX GOES BRRR: 150 X: 9.38574 -INDEX GOES BRRR: 424 X: 26.5518 -INDEX GOES BRRR: 328 X: 20.5391 -INDEX GOES BRRR: 76 X: 4.76465 -INDEX GOES BRRR: 475 X: 29.7393 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 14 X: 0.885742 -INDEX GOES BRRR: 400 X: 25.0049 -INDEX GOES BRRR: 261 X: 16.3428 -INDEX GOES BRRR: 15 X: 0.939453 -INDEX GOES BRRR: 302 X: 18.8945 -INDEX GOES BRRR: 84 X: 5.28613 -INDEX GOES BRRR: 999 X: -1.5625 -INDEX GOES BRRR: 841 X: -11.3809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 59 X: 3.69238 -INDEX GOES BRRR: 174 X: 10.9229 -INDEX GOES BRRR: 983 X: -2.55762 -INDEX GOES BRRR: 921 X: -6.39453 -INDEX GOES BRRR: 184 X: 11.5254 -INDEX GOES BRRR: 127 X: 7.95703 -INDEX GOES BRRR: 31 X: 1.96289 -INDEX GOES BRRR: 120 X: 7.5459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 263 X: 16.4707 -INDEX GOES BRRR: 242 X: 15.1279 -INDEX GOES BRRR: 208 X: 13.0225 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 278 X: 17.4102 -INDEX GOES BRRR: 67 X: 4.24023 -INDEX GOES BRRR: 352 X: 22.0537 -INDEX GOES BRRR: 163 X: 10.249 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 315 X: 19.7168 -INDEX GOES BRRR: 971 X: -3.27539 -INDEX GOES BRRR: 400 X: 25.0176 -INDEX GOES BRRR: 42 X: 2.63086 -INDEX GOES BRRR: 286 X: 17.8877 -INDEX GOES BRRR: 334 X: 20.9131 -INDEX GOES BRRR: 927 X: -6.03809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.84668 -INDEX GOES BRRR: 255 X: 15.9805 -INDEX GOES BRRR: 158 X: 9.93164 -INDEX GOES BRRR: 118 X: 7.40332 -INDEX GOES BRRR: 254 X: 15.9365 -INDEX GOES BRRR: 110 X: 6.89844 -INDEX GOES BRRR: 58 X: 3.67676 -INDEX GOES BRRR: 406 X: 25.3916 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 6 X: 0.422852 -INDEX GOES BRRR: 66 X: 4.17773 -INDEX GOES BRRR: 146 X: 9.17383 -INDEX GOES BRRR: 76 X: 4.75391 -INDEX GOES BRRR: 483 X: 30.1904 -INDEX GOES BRRR: 210 X: 13.1279 -INDEX GOES BRRR: 86 X: 5.40723 -INDEX GOES BRRR: 1009 X: -0.90918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 120 X: 7.53516 -INDEX GOES BRRR: 387 X: 24.2432 -INDEX GOES BRRR: 86 X: 5.43262 -INDEX GOES BRRR: 136 X: 8.55859 -INDEX GOES BRRR: 119 X: 7.4668 -INDEX GOES BRRR: 155 X: 9.68848 -INDEX GOES BRRR: 138 X: 8.66211 -INDEX GOES BRRR: 203 X: 12.7188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 12 X: 0.797852 -INDEX GOES BRRR: 241 X: 15.1084 -INDEX GOES BRRR: 470 X: 29.3877 -INDEX GOES BRRR: 328 X: 20.5459 -INDEX GOES BRRR: 33 X: 2.11719 -INDEX GOES BRRR: 255 X: 15.9424 -INDEX GOES BRRR: 158 X: 9.87695 -INDEX GOES BRRR: 40 X: 2.54492 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 993 X: -1.8877 -INDEX GOES BRRR: 81 X: 5.08203 -INDEX GOES BRRR: 301 X: 18.8389 -INDEX GOES BRRR: 413 X: 25.832 -INDEX GOES BRRR: 787 X: -14.751 -INDEX GOES BRRR: 988 X: -2.2041 -INDEX GOES BRRR: 117 X: 7.31445 -INDEX GOES BRRR: 227 X: 14.2363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 166 X: 10.3779 -INDEX GOES BRRR: 284 X: 17.7646 -INDEX GOES BRRR: 1003 X: -1.29785 -INDEX GOES BRRR: 35 X: 2.24805 -INDEX GOES BRRR: 161 X: 10.0801 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 37 X: 2.33496 -INDEX GOES BRRR: 81 X: 5.07129 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 255 X: 15.9658 -INDEX GOES BRRR: 314 X: 19.6455 -INDEX GOES BRRR: 232 X: 14.5508 -INDEX GOES BRRR: 45 X: 2.85938 -INDEX GOES BRRR: 168 X: 10.5215 -INDEX GOES BRRR: 195 X: 12.209 -INDEX GOES BRRR: 193 X: 12.1104 -INDEX GOES BRRR: 244 X: 15.2715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 903 X: -7.5498 -INDEX GOES BRRR: 54 X: 3.37695 -INDEX GOES BRRR: 60 X: 3.80176 -INDEX GOES BRRR: 59 X: 3.72363 -INDEX GOES BRRR: 211 X: 13.2207 -INDEX GOES BRRR: 100 X: 6.28516 -INDEX GOES BRRR: 80 X: 5.05469 -INDEX GOES BRRR: 175 X: 10.9736 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 391 X: 24.4688 -INDEX GOES BRRR: 381 X: 23.8145 -INDEX GOES BRRR: 371 X: 23.1934 -INDEX GOES BRRR: 336 X: 21.0469 -INDEX GOES BRRR: 217 X: 13.5625 -INDEX GOES BRRR: 178 X: 11.1543 -INDEX GOES BRRR: 109 X: 6.83984 -INDEX GOES BRRR: 324 X: 20.2891 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 64 X: 4.02832 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 351 X: 21.9434 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 248 X: 15.5254 -INDEX GOES BRRR: 179 X: 11.2383 -INDEX GOES BRRR: 227 X: 14.2148 -INDEX GOES BRRR: 124 X: 7.78418 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.958 -INDEX GOES BRRR: 399 X: 24.9756 -INDEX GOES BRRR: 70 X: 4.40918 -INDEX GOES BRRR: 950 X: -4.61621 -INDEX GOES BRRR: 309 X: 19.3379 -INDEX GOES BRRR: 382 X: 23.9238 -INDEX GOES BRRR: 294 X: 18.4277 -INDEX GOES BRRR: 912 X: -6.96094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 330 X: 20.6787 -INDEX GOES BRRR: 83 X: 5.22266 -INDEX GOES BRRR: 989 X: -2.13281 -INDEX GOES BRRR: 269 X: 16.8701 -INDEX GOES BRRR: 1022 X: -0.115234 -INDEX GOES BRRR: 86 X: 5.43652 -INDEX GOES BRRR: 258 X: 16.1865 -INDEX GOES BRRR: 124 X: 7.76172 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 375 X: 23.4453 -INDEX GOES BRRR: 984 X: -2.47559 -INDEX GOES BRRR: 52 X: 3.25 -INDEX GOES BRRR: 20 X: 1.29004 -INDEX GOES BRRR: 33 X: 2.11523 -INDEX GOES BRRR: 301 X: 18.8652 -INDEX GOES BRRR: 128 X: 8.00488 -INDEX GOES BRRR: 383 X: 23.9844 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 272 X: 17.0557 -INDEX GOES BRRR: 205 X: 12.8594 -INDEX GOES BRRR: 136 X: 8.54688 -INDEX GOES BRRR: 966 X: -3.58301 -INDEX GOES BRRR: 951 X: -4.51465 -INDEX GOES BRRR: 350 X: 21.877 -INDEX GOES BRRR: 117 X: 7.35352 -INDEX GOES BRRR: 344 X: 21.5469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1019 X: -0.311523 -INDEX GOES BRRR: 12 X: 0.787109 -INDEX GOES BRRR: 170 X: 10.6465 -INDEX GOES BRRR: 363 X: 22.707 -INDEX GOES BRRR: 248 X: 15.5078 -INDEX GOES BRRR: 946 X: -4.86816 -INDEX GOES BRRR: 144 X: 9.01758 -INDEX GOES BRRR: 17 X: 1.10547 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.7334 -INDEX GOES BRRR: 7 X: 0.442383 -INDEX GOES BRRR: 156 X: 9.75977 -INDEX GOES BRRR: 210 X: 13.1816 -INDEX GOES BRRR: 953 X: -4.43457 -INDEX GOES BRRR: 294 X: 18.4219 -INDEX GOES BRRR: 432 X: 27.0449 -INDEX GOES BRRR: 310 X: 19.3887 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 99 X: 6.19238 -INDEX GOES BRRR: 180 X: 11.293 -INDEX GOES BRRR: 112 X: 7.05957 -INDEX GOES BRRR: 67 X: 4.21289 -INDEX GOES BRRR: 326 X: 20.4297 -INDEX GOES BRRR: 185 X: 11.5732 -INDEX GOES BRRR: 175 X: 10.959 -INDEX GOES BRRR: 934 X: -5.58105 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.665 -INDEX GOES BRRR: 965 X: -3.66797 -INDEX GOES BRRR: 393 X: 24.5762 -INDEX GOES BRRR: 990 X: -2.10742 -INDEX GOES BRRR: 392 X: 24.5332 -INDEX GOES BRRR: 98 X: 6.15137 -INDEX GOES BRRR: 181 X: 11.3135 -INDEX GOES BRRR: 293 X: 18.3174 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 202 X: 12.6533 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 355 X: 22.209 -INDEX GOES BRRR: 1008 X: -0.973633 -INDEX GOES BRRR: 437 X: 27.3457 -INDEX GOES BRRR: 282 X: 17.6367 -INDEX GOES BRRR: 206 X: 12.8965 -INDEX GOES BRRR: 347 X: 21.7363 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 904 X: -7.4668 -INDEX GOES BRRR: 235 X: 14.6973 -INDEX GOES BRRR: 47 X: 2.95996 -INDEX GOES BRRR: 904 X: -7.47363 -INDEX GOES BRRR: 37 X: 2.33789 -INDEX GOES BRRR: 284 X: 17.7686 -INDEX GOES BRRR: 824 X: -12.4932 -INDEX GOES BRRR: 245 X: 15.3525 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 286 X: 17.8857 -INDEX GOES BRRR: 85 X: 5.32129 -INDEX GOES BRRR: 84 X: 5.25098 -INDEX GOES BRRR: 42 X: 2.65137 -INDEX GOES BRRR: 172 X: 10.7666 -INDEX GOES BRRR: 249 X: 15.6104 -INDEX GOES BRRR: 362 X: 22.6445 -INDEX GOES BRRR: 43 X: 2.73926 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 31 X: 1.9834 -INDEX GOES BRRR: 335 X: 20.9414 -INDEX GOES BRRR: 88 X: 5.53613 -INDEX GOES BRRR: 218 X: 13.6357 -INDEX GOES BRRR: 147 X: 9.22754 -INDEX GOES BRRR: 987 X: -2.30371 -INDEX GOES BRRR: 81 X: 5.07227 -INDEX GOES BRRR: 325 X: 20.3584 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 914 X: -6.83301 -INDEX GOES BRRR: 159 X: 9.95703 -INDEX GOES BRRR: 136 X: 8.50293 -INDEX GOES BRRR: 202 X: 12.666 -INDEX GOES BRRR: 1014 X: -0.589844 -INDEX GOES BRRR: 71 X: 4.4707 -INDEX GOES BRRR: 176 X: 11.0352 -INDEX GOES BRRR: 991 X: -2.00488 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 103 X: 6.43848 -INDEX GOES BRRR: 9 X: 0.594727 -INDEX GOES BRRR: 975 X: -3.0459 -INDEX GOES BRRR: 333 X: 20.8271 -INDEX GOES BRRR: 128 X: 8.04688 -INDEX GOES BRRR: 67 X: 4.22168 -INDEX GOES BRRR: 182 X: 11.3936 -INDEX GOES BRRR: 286 X: 17.9121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 171 X: 10.6904 -INDEX GOES BRRR: 129 X: 8.10449 -INDEX GOES BRRR: 211 X: 13.2422 -INDEX GOES BRRR: 343 X: 21.4453 -INDEX GOES BRRR: 161 X: 10.0742 -INDEX GOES BRRR: 1014 X: -0.623047 -INDEX GOES BRRR: 274 X: 17.1553 -INDEX GOES BRRR: 223 X: 13.9424 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 197 X: 12.3184 -INDEX GOES BRRR: 956 X: -4.24219 -INDEX GOES BRRR: 995 X: -1.7793 -INDEX GOES BRRR: 256 X: 16.0293 -INDEX GOES BRRR: 918 X: -6.60449 -INDEX GOES BRRR: 892 X: -8.24121 -INDEX GOES BRRR: 879 X: -9.01953 -INDEX GOES BRRR: 293 X: 18.3232 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 92 X: 5.80176 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 105 X: 6.56348 -INDEX GOES BRRR: 188 X: 11.7812 -INDEX GOES BRRR: 105 X: 6.59082 -INDEX GOES BRRR: 136 X: 8.51172 -INDEX GOES BRRR: 193 X: 12.0684 -INDEX GOES BRRR: 341 X: 21.3652 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 5 X: 0.334961 -INDEX GOES BRRR: 203 X: 12.6992 -INDEX GOES BRRR: 315 X: 19.7402 -INDEX GOES BRRR: 366 X: 22.8828 -INDEX GOES BRRR: 236 X: 14.7842 -INDEX GOES BRRR: 326 X: 20.3887 -INDEX GOES BRRR: 1002 X: -1.36133 -INDEX GOES BRRR: 168 X: 10.5205 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 178 X: 11.1621 -INDEX GOES BRRR: 65 X: 4.07031 -INDEX GOES BRRR: 234 X: 14.6797 -INDEX GOES BRRR: 246 X: 15.3848 -INDEX GOES BRRR: 201 X: 12.624 -INDEX GOES BRRR: 406 X: 25.4121 -INDEX GOES BRRR: 456 X: 28.5146 -INDEX GOES BRRR: 1012 X: -0.696289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 271 X: 16.9736 -INDEX GOES BRRR: 98 X: 6.1377 -INDEX GOES BRRR: 390 X: 24.4102 -INDEX GOES BRRR: 52 X: 3.29004 -INDEX GOES BRRR: 173 X: 10.835 -INDEX GOES BRRR: 930 X: -5.81836 -INDEX GOES BRRR: 47 X: 2.97559 -INDEX GOES BRRR: 247 X: 15.4414 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 144 X: 9.0498 -INDEX GOES BRRR: 341 X: 21.3301 -INDEX GOES BRRR: 151 X: 9.44727 -INDEX GOES BRRR: 319 X: 19.9639 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 100 X: 6.28223 -INDEX GOES BRRR: 266 X: 16.6738 -INDEX GOES BRRR: 204 X: 12.8086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 27 X: 1.7334 -INDEX GOES BRRR: 96 X: 6.01074 -INDEX GOES BRRR: 163 X: 10.2002 -INDEX GOES BRRR: 955 X: -4.29297 -INDEX GOES BRRR: 81 X: 5.10742 -INDEX GOES BRRR: 407 X: 25.4883 -INDEX GOES BRRR: 942 X: -5.11621 -INDEX GOES BRRR: 18 X: 1.1377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 422 X: 26.3828 -INDEX GOES BRRR: 96 X: 6 -INDEX GOES BRRR: 158 X: 9.93359 -INDEX GOES BRRR: 144 X: 9.0293 -INDEX GOES BRRR: 107 X: 6.71973 -INDEX GOES BRRR: 400 X: 25.0352 -INDEX GOES BRRR: 149 X: 9.36035 -INDEX GOES BRRR: 61 X: 3.82715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.76758 -INDEX GOES BRRR: 208 X: 13.0166 -INDEX GOES BRRR: 18 X: 1.16895 -INDEX GOES BRRR: 266 X: 16.6572 -INDEX GOES BRRR: 10 X: 0.681641 -INDEX GOES BRRR: 245 X: 15.3281 -INDEX GOES BRRR: 113 X: 7.10547 -INDEX GOES BRRR: 262 X: 16.4297 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 152 X: 9.51465 -INDEX GOES BRRR: 966 X: -3.56445 -INDEX GOES BRRR: 910 X: -7.08203 -INDEX GOES BRRR: 178 X: 11.1523 -INDEX GOES BRRR: 202 X: 12.6826 -INDEX GOES BRRR: 319 X: 19.9443 -INDEX GOES BRRR: 901 X: -7.64941 -INDEX GOES BRRR: 224 X: 14.0576 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.5664 -INDEX GOES BRRR: 366 X: 22.9219 -INDEX GOES BRRR: 4 X: 0.263672 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 105 X: 6.61914 -INDEX GOES BRRR: 204 X: 12.7598 -INDEX GOES BRRR: 197 X: 12.3672 -INDEX GOES BRRR: 12 X: 0.807617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 293 X: 18.3428 -INDEX GOES BRRR: 432 X: 27.0498 -INDEX GOES BRRR: 985 X: -2.41699 -INDEX GOES BRRR: 98 X: 6.13281 -INDEX GOES BRRR: 974 X: -3.11621 -INDEX GOES BRRR: 161 X: 10.0869 -INDEX GOES BRRR: 157 X: 9.84473 -INDEX GOES BRRR: 852 X: -10.7002 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 233 X: 14.5625 -INDEX GOES BRRR: 198 X: 12.3809 -INDEX GOES BRRR: 198 X: 12.4043 -INDEX GOES BRRR: 26 X: 1.67676 -INDEX GOES BRRR: 230 X: 14.3779 -INDEX GOES BRRR: 168 X: 10.5479 -INDEX GOES BRRR: 23 X: 1.44434 -INDEX GOES BRRR: 207 X: 12.959 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 102 X: 6.39648 -INDEX GOES BRRR: 209 X: 13.1143 -INDEX GOES BRRR: 941 X: -5.1748 -INDEX GOES BRRR: 212 X: 13.292 -INDEX GOES BRRR: 387 X: 24.2373 -INDEX GOES BRRR: 93 X: 5.86035 -INDEX GOES BRRR: 155 X: 9.73633 -INDEX GOES BRRR: 22 X: 1.43359 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 415 X: 25.9521 -INDEX GOES BRRR: 166 X: 10.3994 -INDEX GOES BRRR: 112 X: 7.05078 -INDEX GOES BRRR: 944 X: -4.95703 -INDEX GOES BRRR: 1002 X: -1.3584 -INDEX GOES BRRR: 157 X: 9.81738 -INDEX GOES BRRR: 164 X: 10.2627 -INDEX GOES BRRR: 158 X: 9.8877 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 181 X: 11.3516 -INDEX GOES BRRR: 254 X: 15.9004 -INDEX GOES BRRR: 96 X: 6.02441 -INDEX GOES BRRR: 412 X: 25.8066 -INDEX GOES BRRR: 233 X: 14.5928 -INDEX GOES BRRR: 221 X: 13.8467 -INDEX GOES BRRR: 357 X: 22.3125 -INDEX GOES BRRR: 1006 X: -1.06641 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 250 X: 15.6689 -INDEX GOES BRRR: 838 X: -11.624 -INDEX GOES BRRR: 357 X: 22.3213 -INDEX GOES BRRR: 184 X: 11.5234 -INDEX GOES BRRR: 111 X: 6.95801 -INDEX GOES BRRR: 170 X: 10.6562 -INDEX GOES BRRR: 162 X: 10.1387 -INDEX GOES BRRR: 202 X: 12.6377 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 359 X: 22.4668 -INDEX GOES BRRR: 259 X: 16.1914 -INDEX GOES BRRR: 213 X: 13.3379 -INDEX GOES BRRR: 217 X: 13.6133 -INDEX GOES BRRR: 195 X: 12.2129 -INDEX GOES BRRR: 217 X: 13.5762 -INDEX GOES BRRR: 48 X: 3.03418 -INDEX GOES BRRR: 56 X: 3.54883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 132 X: 8.30469 -INDEX GOES BRRR: 100 X: 6.26074 -INDEX GOES BRRR: 2 X: 0.160156 -INDEX GOES BRRR: 157 X: 9.86816 -INDEX GOES BRRR: 102 X: 6.39941 -INDEX GOES BRRR: 113 X: 7.11426 -INDEX GOES BRRR: 73 X: 4.58789 -INDEX GOES BRRR: 1006 X: -1.11621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 380 X: 23.7686 -INDEX GOES BRRR: 943 X: -5.05762 -INDEX GOES BRRR: 923 X: -6.26367 -INDEX GOES BRRR: 297 X: 18.5879 -INDEX GOES BRRR: 260 X: 16.2715 -INDEX GOES BRRR: 42 X: 2.6543 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 266 X: 16.6836 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 133 X: 8.36621 -INDEX GOES BRRR: 165 X: 10.3379 -INDEX GOES BRRR: 65 X: 4.09082 -INDEX GOES BRRR: 306 X: 19.1348 -INDEX GOES BRRR: 266 X: 16.6602 -INDEX GOES BRRR: 97 X: 6.12207 -INDEX GOES BRRR: 241 X: 15.0869 -INDEX GOES BRRR: 239 X: 14.9443 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8281 -INDEX GOES BRRR: 107 X: 6.6875 -INDEX GOES BRRR: 41 X: 2.5752 -INDEX GOES BRRR: 851 X: -10.7803 -INDEX GOES BRRR: 50 X: 3.12988 -INDEX GOES BRRR: 202 X: 12.666 -INDEX GOES BRRR: 199 X: 12.4854 -INDEX GOES BRRR: 1017 X: -0.421875 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 345 X: 21.5762 -INDEX GOES BRRR: 300 X: 18.8037 -INDEX GOES BRRR: 321 X: 20.082 -INDEX GOES BRRR: 1014 X: -0.573242 -INDEX GOES BRRR: 388 X: 24.3018 -INDEX GOES BRRR: 1013 X: -0.634766 -INDEX GOES BRRR: 161 X: 10.0986 -INDEX GOES BRRR: 295 X: 18.4629 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.665 -INDEX GOES BRRR: 277 X: 17.3389 -INDEX GOES BRRR: 45 X: 2.86523 -INDEX GOES BRRR: 357 X: 22.3584 -INDEX GOES BRRR: 158 X: 9.87695 -INDEX GOES BRRR: 383 X: 23.9551 -INDEX GOES BRRR: 6 X: 0.418945 -INDEX GOES BRRR: 339 X: 21.2051 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 939 X: -5.3125 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 306 X: 19.1426 -INDEX GOES BRRR: 212 X: 13.2734 -INDEX GOES BRRR: 414 X: 25.8867 -INDEX GOES BRRR: 963 X: -3.77441 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 366 X: 22.9238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.667 -INDEX GOES BRRR: 276 X: 17.2812 -INDEX GOES BRRR: 161 X: 10.0967 -INDEX GOES BRRR: 403 X: 25.248 -INDEX GOES BRRR: 385 X: 24.1055 -INDEX GOES BRRR: 1 X: 0.078125 -INDEX GOES BRRR: 91 X: 5.69531 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 175 X: 10.9902 -INDEX GOES BRRR: 47 X: 2.95703 -INDEX GOES BRRR: 140 X: 8.78516 -INDEX GOES BRRR: 973 X: -3.16113 -INDEX GOES BRRR: 383 X: 23.9502 -INDEX GOES BRRR: 166 X: 10.377 -INDEX GOES BRRR: 312 X: 19.5186 -INDEX GOES BRRR: 225 X: 14.0791 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 997 X: -1.62891 -INDEX GOES BRRR: 199 X: 12.4688 -INDEX GOES BRRR: 3 X: 0.212891 -INDEX GOES BRRR: 68 X: 4.27539 -INDEX GOES BRRR: 138 X: 8.6709 -INDEX GOES BRRR: 431 X: 26.9473 -INDEX GOES BRRR: 187 X: 11.6875 -INDEX GOES BRRR: 162 X: 10.1465 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 277 X: 17.3389 -INDEX GOES BRRR: 23 X: 1.45215 -INDEX GOES BRRR: 199 X: 12.4971 -INDEX GOES BRRR: 44 X: 2.7627 -INDEX GOES BRRR: 242 X: 15.1396 -INDEX GOES BRRR: 225 X: 14.0938 -INDEX GOES BRRR: 331 X: 20.7148 -INDEX GOES BRRR: 984 X: -2.4873 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 45 X: 2.84082 -INDEX GOES BRRR: 1005 X: -1.16309 -INDEX GOES BRRR: 115 X: 7.2002 -INDEX GOES BRRR: 394 X: 24.6504 -INDEX GOES BRRR: 304 X: 19.0146 -INDEX GOES BRRR: 143 X: 8.98535 -INDEX GOES BRRR: 259 X: 16.2354 -INDEX GOES BRRR: 912 X: -6.99805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.28809 -INDEX GOES BRRR: 987 X: -2.29883 -INDEX GOES BRRR: 182 X: 11.3867 -INDEX GOES BRRR: 24 X: 1.50391 -INDEX GOES BRRR: 151 X: 9.45898 -INDEX GOES BRRR: 294 X: 18.4277 -INDEX GOES BRRR: 58 X: 3.66211 -INDEX GOES BRRR: 184 X: 11.5059 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 475 X: 29.7402 -INDEX GOES BRRR: 5 X: 0.320312 -INDEX GOES BRRR: 165 X: 10.3379 -INDEX GOES BRRR: 179 X: 11.2178 -INDEX GOES BRRR: 981 X: -2.64648 -INDEX GOES BRRR: 298 X: 18.6729 -INDEX GOES BRRR: 50 X: 3.12695 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.92285 -INDEX GOES BRRR: 118 X: 7.40332 -INDEX GOES BRRR: 1014 X: -0.581055 -INDEX GOES BRRR: 896 X: -7.98145 -INDEX GOES BRRR: 194 X: 12.1846 -INDEX GOES BRRR: 129 X: 8.09961 -INDEX GOES BRRR: 993 X: -1.92969 -INDEX GOES BRRR: 212 X: 13.2988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 158 X: 9.89062 -INDEX GOES BRRR: 330 X: 20.6514 -INDEX GOES BRRR: 82 X: 5.16211 -INDEX GOES BRRR: 10 X: 0.632812 -INDEX GOES BRRR: 914 X: -6.86719 -INDEX GOES BRRR: 241 X: 15.0703 -INDEX GOES BRRR: 802 X: -13.8135 -INDEX GOES BRRR: 164 X: 10.2842 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 242 X: 15.1338 -INDEX GOES BRRR: 70 X: 4.40137 -INDEX GOES BRRR: 1018 X: -0.359375 -INDEX GOES BRRR: 975 X: -3.04297 -INDEX GOES BRRR: 164 X: 10.2783 -INDEX GOES BRRR: 898 X: -7.8291 -INDEX GOES BRRR: 115 X: 7.21387 -INDEX GOES BRRR: 256 X: 16.0283 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 968 X: -3.47461 -INDEX GOES BRRR: 95 X: 5.93945 -INDEX GOES BRRR: 242 X: 15.1602 -INDEX GOES BRRR: 998 X: -1.59863 -INDEX GOES BRRR: 979 X: -2.75293 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 85 X: 5.33887 -INDEX GOES BRRR: 361 X: 22.5742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5586 -INDEX GOES BRRR: 81 X: 5.11328 -INDEX GOES BRRR: 903 X: -7.54395 -INDEX GOES BRRR: 135 X: 8.48242 -INDEX GOES BRRR: 47 X: 2.97266 -INDEX GOES BRRR: 390 X: 24.377 -INDEX GOES BRRR: 270 X: 16.9092 -INDEX GOES BRRR: 955 X: -4.2627 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 453 X: 28.3232 -INDEX GOES BRRR: 308 X: 19.2812 -INDEX GOES BRRR: 333 X: 20.8721 -INDEX GOES BRRR: 880 X: -8.98438 -INDEX GOES BRRR: 287 X: 17.9932 -INDEX GOES BRRR: 140 X: 8.78027 -INDEX GOES BRRR: 9 X: 0.597656 -INDEX GOES BRRR: 274 X: 17.1592 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.03027 -INDEX GOES BRRR: 21 X: 1.31738 -INDEX GOES BRRR: 76 X: 4.77148 -INDEX GOES BRRR: 100 X: 6.27539 -INDEX GOES BRRR: 1012 X: -0.719727 -INDEX GOES BRRR: 147 X: 9.2207 -INDEX GOES BRRR: 326 X: 20.4131 -INDEX GOES BRRR: 182 X: 11.4209 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6855 -INDEX GOES BRRR: 113 X: 7.07324 -INDEX GOES BRRR: 61 X: 3.81445 -INDEX GOES BRRR: 135 X: 8.4668 -INDEX GOES BRRR: 181 X: 11.334 -INDEX GOES BRRR: 386 X: 24.1309 -INDEX GOES BRRR: 379 X: 23.7363 -INDEX GOES BRRR: 167 X: 10.4746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 241 X: 15.1006 -INDEX GOES BRRR: 121 X: 7.57812 -INDEX GOES BRRR: 767 X: -16.0039 -INDEX GOES BRRR: 164 X: 10.2959 -INDEX GOES BRRR: 144 X: 9.0166 -INDEX GOES BRRR: 954 X: -4.34375 -INDEX GOES BRRR: 122 X: 7.67578 -INDEX GOES BRRR: 358 X: 22.4141 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 998 X: -1.60254 -INDEX GOES BRRR: 9 X: 0.583008 -INDEX GOES BRRR: 170 X: 10.6436 -INDEX GOES BRRR: 98 X: 6.13379 -INDEX GOES BRRR: 1006 X: -1.09473 -INDEX GOES BRRR: 163 X: 10.2295 -INDEX GOES BRRR: 264 X: 16.5527 -INDEX GOES BRRR: 46 X: 2.90137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1 X: 0.0820312 -INDEX GOES BRRR: 221 X: 13.8525 -INDEX GOES BRRR: 410 X: 25.6309 -INDEX GOES BRRR: 176 X: 11.042 -INDEX GOES BRRR: 250 X: 15.6475 -INDEX GOES BRRR: 79 X: 4.94922 -INDEX GOES BRRR: 199 X: 12.458 -INDEX GOES BRRR: 332 X: 20.7559 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.63965 -INDEX GOES BRRR: 117 X: 7.36035 -INDEX GOES BRRR: 133 X: 8.35547 -INDEX GOES BRRR: 242 X: 15.1641 -INDEX GOES BRRR: 31 X: 1.98047 -INDEX GOES BRRR: 130 X: 8.14746 -INDEX GOES BRRR: 298 X: 18.6592 -INDEX GOES BRRR: 272 X: 17.0156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 362 X: 22.6387 -INDEX GOES BRRR: 448 X: 28.0049 -INDEX GOES BRRR: 172 X: 10.7568 -INDEX GOES BRRR: 327 X: 20.4619 -INDEX GOES BRRR: 103 X: 6.46777 -INDEX GOES BRRR: 236 X: 14.7891 -INDEX GOES BRRR: 994 X: -1.82715 -INDEX GOES BRRR: 137 X: 8.62402 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.5918 -INDEX GOES BRRR: 130 X: 8.14648 -INDEX GOES BRRR: 969 X: -3.42676 -INDEX GOES BRRR: 251 X: 15.7227 -INDEX GOES BRRR: 71 X: 4.48926 -INDEX GOES BRRR: 17 X: 1.09375 -INDEX GOES BRRR: 194 X: 12.1602 -INDEX GOES BRRR: 757 X: -16.6455 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 346 X: 21.6729 -INDEX GOES BRRR: 31 X: 1.97363 -INDEX GOES BRRR: 60 X: 3.76562 -INDEX GOES BRRR: 259 X: 16.2314 -INDEX GOES BRRR: 417 X: 26.085 -INDEX GOES BRRR: 1002 X: -1.32129 -INDEX GOES BRRR: 249 X: 15.5664 -INDEX GOES BRRR: 65 X: 4.12012 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 78 X: 4.91504 -INDEX GOES BRRR: 1014 X: -0.613281 -INDEX GOES BRRR: 86 X: 5.43262 -INDEX GOES BRRR: 145 X: 9.06641 -INDEX GOES BRRR: 58 X: 3.67969 -INDEX GOES BRRR: 191 X: 11.9785 -INDEX GOES BRRR: 65 X: 4.1123 -INDEX GOES BRRR: 283 X: 17.7461 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 229 X: 14.3281 -INDEX GOES BRRR: 31 X: 1.95898 -INDEX GOES BRRR: 27 X: 1.73633 -INDEX GOES BRRR: 224 X: 14.0479 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 261 X: 16.3682 -INDEX GOES BRRR: 288 X: 18.0098 -INDEX GOES BRRR: 888 X: -8.44336 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 205 X: 12.8242 -INDEX GOES BRRR: 375 X: 23.459 -INDEX GOES BRRR: 319 X: 19.9639 -INDEX GOES BRRR: 453 X: 28.3174 -INDEX GOES BRRR: 183 X: 11.4805 -INDEX GOES BRRR: 60 X: 3.80371 -INDEX GOES BRRR: 218 X: 13.6582 -INDEX GOES BRRR: 246 X: 15.416 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 394 X: 24.6582 -INDEX GOES BRRR: 276 X: 17.3027 -INDEX GOES BRRR: 184 X: 11.5127 -INDEX GOES BRRR: 153 X: 9.5957 -INDEX GOES BRRR: 238 X: 14.8877 -INDEX GOES BRRR: 983 X: -2.50488 -INDEX GOES BRRR: 844 X: -11.1963 -INDEX GOES BRRR: 322 X: 20.1621 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 965 X: -3.64746 -INDEX GOES BRRR: 356 X: 22.2676 -INDEX GOES BRRR: 319 X: 19.9961 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 113 X: 7.12305 -INDEX GOES BRRR: 43 X: 2.71094 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 146 X: 9.13867 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 57 X: 3.56445 -INDEX GOES BRRR: 74 X: 4.65234 -INDEX GOES BRRR: 345 X: 21.5928 -INDEX GOES BRRR: 343 X: 21.4502 -INDEX GOES BRRR: 239 X: 14.9609 -INDEX GOES BRRR: 73 X: 4.57715 -INDEX GOES BRRR: 259 X: 16.2393 -INDEX GOES BRRR: 347 X: 21.7295 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 246 X: 15.4248 -INDEX GOES BRRR: 903 X: -7.55762 -INDEX GOES BRRR: 401 X: 25.0918 -INDEX GOES BRRR: 327 X: 20.46 -INDEX GOES BRRR: 423 X: 26.4453 -INDEX GOES BRRR: 856 X: -10.4844 -INDEX GOES BRRR: 198 X: 12.4004 -INDEX GOES BRRR: 196 X: 12.3096 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 170 X: 10.6709 -INDEX GOES BRRR: 844 X: -11.2363 -INDEX GOES BRRR: 169 X: 10.5811 -INDEX GOES BRRR: 338 X: 21.1582 -INDEX GOES BRRR: 908 X: -7.24023 -INDEX GOES BRRR: 284 X: 17.7676 -INDEX GOES BRRR: 228 X: 14.25 -INDEX GOES BRRR: 186 X: 11.6855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 65 X: 4.0791 -INDEX GOES BRRR: 995 X: -1.79688 -INDEX GOES BRRR: 89 X: 5.62012 -INDEX GOES BRRR: 987 X: -2.31055 -INDEX GOES BRRR: 8 X: 0.518555 -INDEX GOES BRRR: 318 X: 19.9062 -INDEX GOES BRRR: 958 X: -4.06348 -INDEX GOES BRRR: 239 X: 14.9746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 211 X: 13.2227 -INDEX GOES BRRR: 875 X: -9.28613 -INDEX GOES BRRR: 242 X: 15.1553 -INDEX GOES BRRR: 242 X: 15.127 -INDEX GOES BRRR: 145 X: 9.0625 -INDEX GOES BRRR: 266 X: 16.6289 -INDEX GOES BRRR: 244 X: 15.2803 -INDEX GOES BRRR: 1017 X: -0.40332 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 62 X: 3.93066 -INDEX GOES BRRR: 61 X: 3.87305 -INDEX GOES BRRR: 1019 X: -0.267578 -INDEX GOES BRRR: 294 X: 18.3867 -INDEX GOES BRRR: 251 X: 15.7324 -INDEX GOES BRRR: 67 X: 4.21191 -INDEX GOES BRRR: 293 X: 18.3545 -INDEX GOES BRRR: 106 X: 6.64746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 38 X: 2.39551 -INDEX GOES BRRR: 376 X: 23.5537 -INDEX GOES BRRR: 102 X: 6.41504 -INDEX GOES BRRR: 853 X: -10.6465 -INDEX GOES BRRR: 955 X: -4.30957 -INDEX GOES BRRR: 348 X: 21.7627 -INDEX GOES BRRR: 162 X: 10.1611 -INDEX GOES BRRR: 81 X: 5.08594 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 237 X: 14.8604 -INDEX GOES BRRR: 283 X: 17.7402 -INDEX GOES BRRR: 923 X: -6.30566 -INDEX GOES BRRR: 211 X: 13.2373 -INDEX GOES BRRR: 991 X: -2.00879 -INDEX GOES BRRR: 8 X: 0.508789 -INDEX GOES BRRR: 302 X: 18.8994 -INDEX GOES BRRR: 234 X: 14.6494 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 199 X: 12.4854 -INDEX GOES BRRR: 167 X: 10.4639 -INDEX GOES BRRR: 222 X: 13.8848 -INDEX GOES BRRR: 74 X: 4.63281 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 221 X: 13.8203 -INDEX GOES BRRR: 95 X: 5.97949 -INDEX GOES BRRR: 8 X: 0.503906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 82 X: 5.15625 -INDEX GOES BRRR: 168 X: 10.5439 -INDEX GOES BRRR: 56 X: 3.51172 -INDEX GOES BRRR: 118 X: 7.43555 -INDEX GOES BRRR: 141 X: 8.86816 -INDEX GOES BRRR: 219 X: 13.7188 -INDEX GOES BRRR: 207 X: 12.957 -INDEX GOES BRRR: 7 X: 0.458008 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9512 -INDEX GOES BRRR: 260 X: 16.3115 -INDEX GOES BRRR: 212 X: 13.2559 -INDEX GOES BRRR: 171 X: 10.7393 -INDEX GOES BRRR: 321 X: 20.0918 -INDEX GOES BRRR: 198 X: 12.4014 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 28 X: 1.79883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.332 -INDEX GOES BRRR: 336 X: 21.042 -INDEX GOES BRRR: 259 X: 16.1943 -INDEX GOES BRRR: 236 X: 14.7998 -INDEX GOES BRRR: 25 X: 1.5957 -INDEX GOES BRRR: 48 X: 3.02734 -INDEX GOES BRRR: 144 X: 9.02441 -INDEX GOES BRRR: 264 X: 16.5156 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 961 X: -3.89844 -INDEX GOES BRRR: 86 X: 5.38477 -INDEX GOES BRRR: 236 X: 14.7715 -INDEX GOES BRRR: 134 X: 8.42285 -INDEX GOES BRRR: 949 X: -4.68262 -INDEX GOES BRRR: 6 X: 0.43457 -INDEX GOES BRRR: 417 X: 26.0654 -INDEX GOES BRRR: 164 X: 10.2646 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 53 X: 3.37207 -INDEX GOES BRRR: 108 X: 6.80859 -INDEX GOES BRRR: 89 X: 5.61133 -INDEX GOES BRRR: 92 X: 5.79004 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 226 X: 14.1416 -INDEX GOES BRRR: 200 X: 12.5498 -INDEX GOES BRRR: 242 X: 15.1602 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 215 X: 13.4795 -INDEX GOES BRRR: 282 X: 17.6787 -INDEX GOES BRRR: 11 X: 0.704102 -INDEX GOES BRRR: 261 X: 16.3428 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 28 X: 1.78125 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 218 X: 13.6279 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 129 X: 8.0625 -INDEX GOES BRRR: 206 X: 12.8887 -INDEX GOES BRRR: 299 X: 18.7412 -INDEX GOES BRRR: 285 X: 17.8359 -INDEX GOES BRRR: 141 X: 8.8623 -INDEX GOES BRRR: 788 X: -14.7373 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 272 X: 17.0166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5303 -INDEX GOES BRRR: 74 X: 4.63184 -INDEX GOES BRRR: 141 X: 8.83398 -INDEX GOES BRRR: 4 X: 0.289062 -INDEX GOES BRRR: 92 X: 5.75488 -INDEX GOES BRRR: 240 X: 15.0381 -INDEX GOES BRRR: 372 X: 23.2842 -INDEX GOES BRRR: 804 X: -13.7314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 7 X: 0.469727 -INDEX GOES BRRR: 32 X: 2.06152 -INDEX GOES BRRR: 373 X: 23.3418 -INDEX GOES BRRR: 320 X: 20.0273 -INDEX GOES BRRR: 220 X: 13.7852 -INDEX GOES BRRR: 243 X: 15.2207 -INDEX GOES BRRR: 374 X: 23.3984 -INDEX GOES BRRR: 96 X: 6.05469 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 286 X: 17.9346 -INDEX GOES BRRR: 89 X: 5.61719 -INDEX GOES BRRR: 252 X: 15.7676 -INDEX GOES BRRR: 278 X: 17.4121 -INDEX GOES BRRR: 344 X: 21.5215 -INDEX GOES BRRR: 136 X: 8.55762 -INDEX GOES BRRR: 73 X: 4.5957 -INDEX GOES BRRR: 949 X: -4.65039 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 279 X: 17.4443 -INDEX GOES BRRR: 1006 X: -1.09082 -INDEX GOES BRRR: 79 X: 4.96191 -INDEX GOES BRRR: 138 X: 8.64355 -INDEX GOES BRRR: 73 X: 4.56348 -INDEX GOES BRRR: 328 X: 20.5371 -INDEX GOES BRRR: 982 X: -2.60645 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 20 X: 1.2959 -INDEX GOES BRRR: 324 X: 20.2598 -INDEX GOES BRRR: 487 X: 30.4609 -INDEX GOES BRRR: 333 X: 20.874 -INDEX GOES BRRR: 121 X: 7.5625 -INDEX GOES BRRR: 876 X: -9.23828 -INDEX GOES BRRR: 990 X: -2.0918 -INDEX GOES BRRR: 190 X: 11.9121 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 33 X: 2.08887 -INDEX GOES BRRR: 136 X: 8.50879 -INDEX GOES BRRR: 196 X: 12.3018 -INDEX GOES BRRR: 144 X: 9.05566 -INDEX GOES BRRR: 117 X: 7.3125 -INDEX GOES BRRR: 143 X: 8.94238 -INDEX GOES BRRR: 231 X: 14.459 -INDEX GOES BRRR: 105 X: 6.59375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 100 X: 6.30078 -INDEX GOES BRRR: 170 X: 10.6748 -INDEX GOES BRRR: 124 X: 7.78711 -INDEX GOES BRRR: 216 X: 13.5566 -INDEX GOES BRRR: 61 X: 3.83398 -INDEX GOES BRRR: 241 X: 15.1006 -INDEX GOES BRRR: 43 X: 2.71777 -INDEX GOES BRRR: 986 X: -2.32812 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.4141 -INDEX GOES BRRR: 758 X: -16.6035 -INDEX GOES BRRR: 62 X: 3.88867 -INDEX GOES BRRR: 102 X: 6.43555 -INDEX GOES BRRR: 221 X: 13.8213 -INDEX GOES BRRR: 410 X: 25.6377 -INDEX GOES BRRR: 76 X: 4.7959 -INDEX GOES BRRR: 496 X: 31 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 927 X: -6.05078 -INDEX GOES BRRR: 222 X: 13.915 -INDEX GOES BRRR: 100 X: 6.29102 -INDEX GOES BRRR: 202 X: 12.6689 -INDEX GOES BRRR: 320 X: 20.042 -INDEX GOES BRRR: 110 X: 6.89062 -INDEX GOES BRRR: 235 X: 14.7314 -INDEX GOES BRRR: 17 X: 1.07031 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 236 X: 14.7852 -INDEX GOES BRRR: 956 X: -4.19141 -INDEX GOES BRRR: 129 X: 8.08984 -INDEX GOES BRRR: 201 X: 12.5801 -INDEX GOES BRRR: 166 X: 10.4277 -INDEX GOES BRRR: 241 X: 15.0977 -INDEX GOES BRRR: 869 X: -9.67285 -INDEX GOES BRRR: 151 X: 9.4375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 380 X: 23.7734 -INDEX GOES BRRR: 239 X: 14.9941 -INDEX GOES BRRR: 135 X: 8.48535 -INDEX GOES BRRR: 1023 X: -0.0234375 -INDEX GOES BRRR: 315 X: 19.7051 -INDEX GOES BRRR: 207 X: 12.9502 -INDEX GOES BRRR: 351 X: 21.9375 -INDEX GOES BRRR: 154 X: 9.62793 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 165 X: 10.3486 -INDEX GOES BRRR: 286 X: 17.9189 -INDEX GOES BRRR: 266 X: 16.6348 -INDEX GOES BRRR: 260 X: 16.2773 -INDEX GOES BRRR: 314 X: 19.6602 -INDEX GOES BRRR: 763 X: -16.3105 -INDEX GOES BRRR: 56 X: 3.53223 -INDEX GOES BRRR: 399 X: 24.9971 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 968 X: -3.44434 -INDEX GOES BRRR: 177 X: 11.0742 -INDEX GOES BRRR: 386 X: 24.1562 -INDEX GOES BRRR: 190 X: 11.918 -INDEX GOES BRRR: 92 X: 5.75977 -INDEX GOES BRRR: 937 X: -5.38086 -INDEX GOES BRRR: 923 X: -6.26758 -INDEX GOES BRRR: 317 X: 19.8223 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 299 X: 18.6875 -INDEX GOES BRRR: 345 X: 21.5723 -INDEX GOES BRRR: 207 X: 12.998 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 81 X: 5.07031 -INDEX GOES BRRR: 991 X: -2.0332 -INDEX GOES BRRR: 287 X: 17.9688 -INDEX GOES BRRR: 487 X: 30.458 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 14 X: 0.93457 -INDEX GOES BRRR: 82 X: 5.17383 -INDEX GOES BRRR: 190 X: 11.9365 -INDEX GOES BRRR: 141 X: 8.84375 -INDEX GOES BRRR: 222 X: 13.8857 -INDEX GOES BRRR: 1023 X: -0.0126953 -INDEX GOES BRRR: 65 X: 4.06934 -INDEX GOES BRRR: 923 X: -6.28809 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0771 -INDEX GOES BRRR: 282 X: 17.6455 -INDEX GOES BRRR: 95 X: 5.94336 -INDEX GOES BRRR: 435 X: 27.2227 -INDEX GOES BRRR: 155 X: 9.69727 -INDEX GOES BRRR: 5 X: 0.329102 -INDEX GOES BRRR: 256 X: 16.0156 -INDEX GOES BRRR: 86 X: 5.42773 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 140 X: 8.79102 -INDEX GOES BRRR: 46 X: 2.88477 -INDEX GOES BRRR: 85 X: 5.32715 -INDEX GOES BRRR: 65 X: 4.06641 -INDEX GOES BRRR: 102 X: 6.41699 -INDEX GOES BRRR: 981 X: -2.63574 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 895 X: -8.04883 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 976 X: -2.97168 -INDEX GOES BRRR: 237 X: 14.8711 -INDEX GOES BRRR: 164 X: 10.2891 -INDEX GOES BRRR: 168 X: 10.5615 -INDEX GOES BRRR: 153 X: 9.57324 -INDEX GOES BRRR: 336 X: 21.001 -INDEX GOES BRRR: 53 X: 3.31543 -INDEX GOES BRRR: 203 X: 12.7344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 363 X: 22.7148 -INDEX GOES BRRR: 404 X: 25.2568 -INDEX GOES BRRR: 250 X: 15.6855 -INDEX GOES BRRR: 194 X: 12.1562 -INDEX GOES BRRR: 2 X: 0.134766 -INDEX GOES BRRR: 324 X: 20.2725 -INDEX GOES BRRR: 883 X: -8.77832 -INDEX GOES BRRR: 195 X: 12.1943 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 456 X: 28.5488 -INDEX GOES BRRR: 37 X: 2.31934 -INDEX GOES BRRR: 196 X: 12.2666 -INDEX GOES BRRR: 185 X: 11.5996 -INDEX GOES BRRR: 198 X: 12.3789 -INDEX GOES BRRR: 322 X: 20.165 -INDEX GOES BRRR: 122 X: 7.64746 -INDEX GOES BRRR: 68 X: 4.25879 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 127 X: 7.97461 -INDEX GOES BRRR: 318 X: 19.9131 -INDEX GOES BRRR: 126 X: 7.89453 -INDEX GOES BRRR: 948 X: -4.71777 -INDEX GOES BRRR: 938 X: -5.37402 -INDEX GOES BRRR: 200 X: 12.5254 -INDEX GOES BRRR: 52 X: 3.29395 -INDEX GOES BRRR: 1007 X: -1.01855 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 313 X: 19.5762 -INDEX GOES BRRR: 231 X: 14.4375 -INDEX GOES BRRR: 37 X: 2.3125 -INDEX GOES BRRR: 13 X: 0.833984 -INDEX GOES BRRR: 168 X: 10.5342 -INDEX GOES BRRR: 988 X: -2.21875 -INDEX GOES BRRR: 946 X: -4.81738 -INDEX GOES BRRR: 186 X: 11.6279 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 260 X: 16.2676 -INDEX GOES BRRR: 394 X: 24.6582 -INDEX GOES BRRR: 252 X: 15.7734 -INDEX GOES BRRR: 991 X: -2.00977 -INDEX GOES BRRR: 904 X: -7.48145 -INDEX GOES BRRR: 15 X: 0.947266 -INDEX GOES BRRR: 275 X: 17.2021 -INDEX GOES BRRR: 955 X: -4.28906 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 142 X: 8.90137 -INDEX GOES BRRR: 96 X: 6.06152 -INDEX GOES BRRR: 354 X: 22.1357 -INDEX GOES BRRR: 126 X: 7.8916 -INDEX GOES BRRR: 147 X: 9.21094 -INDEX GOES BRRR: 126 X: 7.9209 -INDEX GOES BRRR: 24 X: 1.54688 -INDEX GOES BRRR: 918 X: -6.61816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 143 X: 8.97656 -INDEX GOES BRRR: 942 X: -5.12012 -INDEX GOES BRRR: 181 X: 11.3711 -INDEX GOES BRRR: 138 X: 8.67676 -INDEX GOES BRRR: 315 X: 19.7451 -INDEX GOES BRRR: 117 X: 7.3125 -INDEX GOES BRRR: 854 X: -10.585 -INDEX GOES BRRR: 130 X: 8.12988 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 164 X: 10.3027 -INDEX GOES BRRR: 195 X: 12.2236 -INDEX GOES BRRR: 995 X: -1.79395 -INDEX GOES BRRR: 869 X: -9.66309 -INDEX GOES BRRR: 858 X: -10.3281 -INDEX GOES BRRR: 412 X: 25.8105 -INDEX GOES BRRR: 973 X: -3.16699 -INDEX GOES BRRR: 192 X: 12.0254 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 828 X: -12.2441 -INDEX GOES BRRR: 235 X: 14.7285 -INDEX GOES BRRR: 123 X: 7.69141 -INDEX GOES BRRR: 303 X: 18.9424 -INDEX GOES BRRR: 37 X: 2.33398 -INDEX GOES BRRR: 403 X: 25.2383 -INDEX GOES BRRR: 97 X: 6.10742 -INDEX GOES BRRR: 187 X: 11.71 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 217 X: 13.5762 -INDEX GOES BRRR: 135 X: 8.44922 -INDEX GOES BRRR: 334 X: 20.8818 -INDEX GOES BRRR: 302 X: 18.9209 -INDEX GOES BRRR: 331 X: 20.6895 -INDEX GOES BRRR: 236 X: 14.7783 -INDEX GOES BRRR: 192 X: 12.0117 -INDEX GOES BRRR: 340 X: 21.3037 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 264 X: 16.5576 -INDEX GOES BRRR: 273 X: 17.0771 -INDEX GOES BRRR: 173 X: 10.8662 -INDEX GOES BRRR: 233 X: 14.5742 -INDEX GOES BRRR: 106 X: 6.66211 -INDEX GOES BRRR: 62 X: 3.8916 -INDEX GOES BRRR: 158 X: 9.89551 -INDEX GOES BRRR: 166 X: 10.3984 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 117 X: 7.35449 -INDEX GOES BRRR: 964 X: -3.71777 -INDEX GOES BRRR: 171 X: 10.6973 -INDEX GOES BRRR: 36 X: 2.28027 -INDEX GOES BRRR: 221 X: 13.8604 -INDEX GOES BRRR: 138 X: 8.67285 -INDEX GOES BRRR: 157 X: 9.81738 -INDEX GOES BRRR: 270 X: 16.9287 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 215 X: 13.4932 -INDEX GOES BRRR: 945 X: -4.93555 -INDEX GOES BRRR: 198 X: 12.4238 -INDEX GOES BRRR: 166 X: 10.4014 -INDEX GOES BRRR: 51 X: 3.21875 -INDEX GOES BRRR: 311 X: 19.4668 -INDEX GOES BRRR: 998 X: -1.57715 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 151 X: 9.45801 -INDEX GOES BRRR: 409 X: 25.584 -INDEX GOES BRRR: 890 X: -8.3584 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 949 X: -4.66992 -INDEX GOES BRRR: 915 X: -6.76855 -INDEX GOES BRRR: 156 X: 9.79297 -INDEX GOES BRRR: 248 X: 15.501 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 69 X: 4.36133 -INDEX GOES BRRR: 321 X: 20.0859 -INDEX GOES BRRR: 230 X: 14.3838 -INDEX GOES BRRR: 1018 X: -0.350586 -INDEX GOES BRRR: 263 X: 16.4961 -INDEX GOES BRRR: 266 X: 16.6504 -INDEX GOES BRRR: 967 X: -3.54688 -INDEX GOES BRRR: 345 X: 21.5723 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 325 X: 20.3545 -INDEX GOES BRRR: 978 X: -2.86328 -INDEX GOES BRRR: 35 X: 2.24219 -INDEX GOES BRRR: 47 X: 2.95801 -INDEX GOES BRRR: 271 X: 16.9912 -INDEX GOES BRRR: 87 X: 5.44238 -INDEX GOES BRRR: 0 X: 0.03125 -INDEX GOES BRRR: 1019 X: -0.283203 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 173 X: 10.834 -INDEX GOES BRRR: 456 X: 28.5566 -INDEX GOES BRRR: 4 X: 0.280273 -INDEX GOES BRRR: 198 X: 12.3838 -INDEX GOES BRRR: 131 X: 8.2207 -INDEX GOES BRRR: 418 X: 26.1777 -INDEX GOES BRRR: 234 X: 14.6289 -INDEX GOES BRRR: 137 X: 8.59473 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 863 X: -10.0146 -INDEX GOES BRRR: 266 X: 16.6348 -INDEX GOES BRRR: 417 X: 26.1182 -INDEX GOES BRRR: 323 X: 20.2383 -INDEX GOES BRRR: 125 X: 7.82129 -INDEX GOES BRRR: 256 X: 16.0244 -INDEX GOES BRRR: 968 X: -3.48438 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 207 X: 12.9697 -INDEX GOES BRRR: 316 X: 19.7969 -INDEX GOES BRRR: 849 X: -10.9004 -INDEX GOES BRRR: 267 X: 16.7275 -INDEX GOES BRRR: 187 X: 11.7324 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 98 X: 6.16504 -INDEX GOES BRRR: 680 X: -21.4619 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.12598 -INDEX GOES BRRR: 1023 X: -0.0273438 -INDEX GOES BRRR: 986 X: -2.31836 -INDEX GOES BRRR: 375 X: 23.4707 -INDEX GOES BRRR: 366 X: 22.8936 -INDEX GOES BRRR: 132 X: 8.2959 -INDEX GOES BRRR: 296 X: 18.5342 -INDEX GOES BRRR: 20 X: 1.29395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 410 X: 25.6797 -INDEX GOES BRRR: 987 X: -2.26562 -INDEX GOES BRRR: 915 X: -6.78711 -INDEX GOES BRRR: 272 X: 17.0576 -INDEX GOES BRRR: 106 X: 6.62988 -INDEX GOES BRRR: 181 X: 11.3555 -INDEX GOES BRRR: 123 X: 7.71191 -INDEX GOES BRRR: 122 X: 7.63086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 24 X: 1.56055 -INDEX GOES BRRR: 187 X: 11.6953 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 193 X: 12.1045 -INDEX GOES BRRR: 204 X: 12.7861 -INDEX GOES BRRR: 379 X: 23.6885 -INDEX GOES BRRR: 156 X: 9.75488 -INDEX GOES BRRR: 218 X: 13.6357 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 885 X: -8.64258 -INDEX GOES BRRR: 217 X: 13.5889 -INDEX GOES BRRR: 206 X: 12.8877 -INDEX GOES BRRR: 32 X: 2.04199 -INDEX GOES BRRR: 205 X: 12.8125 -INDEX GOES BRRR: 171 X: 10.71 -INDEX GOES BRRR: 144 X: 9.00195 -INDEX GOES BRRR: 943 X: -5.01953 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 254 X: 15.918 -INDEX GOES BRRR: 422 X: 26.3799 -INDEX GOES BRRR: 158 X: 9.89941 -INDEX GOES BRRR: 259 X: 16.2227 -INDEX GOES BRRR: 34 X: 2.12598 -INDEX GOES BRRR: 352 X: 22.0586 -INDEX GOES BRRR: 84 X: 5.29102 -INDEX GOES BRRR: 384 X: 24.0508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.66211 -INDEX GOES BRRR: 173 X: 10.8574 -INDEX GOES BRRR: 67 X: 4.22656 -INDEX GOES BRRR: 342 X: 21.3896 -INDEX GOES BRRR: 488 X: 30.5361 -INDEX GOES BRRR: 249 X: 15.5635 -INDEX GOES BRRR: 814 X: -13.0684 -INDEX GOES BRRR: 6 X: 0.432617 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 839 X: -11.5479 -INDEX GOES BRRR: 67 X: 4.18848 -INDEX GOES BRRR: 230 X: 14.4004 -INDEX GOES BRRR: 869 X: -9.63477 -INDEX GOES BRRR: 309 X: 19.3545 -INDEX GOES BRRR: 70 X: 4.38867 -INDEX GOES BRRR: 473 X: 29.5898 -INDEX GOES BRRR: 299 X: 18.7314 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 416 X: 26.0215 -INDEX GOES BRRR: 910 X: -7.07617 -INDEX GOES BRRR: 147 X: 9.22754 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 163 X: 10.2461 -INDEX GOES BRRR: 955 X: -4.27637 -INDEX GOES BRRR: 378 X: 23.6709 -INDEX GOES BRRR: 335 X: 20.9561 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1018 X: -0.332031 -INDEX GOES BRRR: 919 X: -6.54785 -INDEX GOES BRRR: 123 X: 7.70117 -INDEX GOES BRRR: 269 X: 16.8242 -INDEX GOES BRRR: 873 X: -9.41016 -INDEX GOES BRRR: 39 X: 2.49316 -INDEX GOES BRRR: 291 X: 18.1924 -INDEX GOES BRRR: 49 X: 3.09766 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 228 X: 14.2881 -INDEX GOES BRRR: 974 X: -3.10645 -INDEX GOES BRRR: 62 X: 3.90527 -INDEX GOES BRRR: 258 X: 16.1572 -INDEX GOES BRRR: 206 X: 12.9277 -INDEX GOES BRRR: 148 X: 9.29492 -INDEX GOES BRRR: 998 X: -1.58691 -INDEX GOES BRRR: 328 X: 20.5381 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 168 X: 10.5508 -INDEX GOES BRRR: 188 X: 11.7568 -INDEX GOES BRRR: 190 X: 11.8818 -INDEX GOES BRRR: 957 X: -4.13086 -INDEX GOES BRRR: 982 X: -2.59961 -INDEX GOES BRRR: 348 X: 21.7656 -INDEX GOES BRRR: 257 X: 16.0693 -INDEX GOES BRRR: 225 X: 14.0732 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 136 X: 8.5166 -INDEX GOES BRRR: 158 X: 9.87793 -INDEX GOES BRRR: 382 X: 23.8887 -INDEX GOES BRRR: 218 X: 13.625 -INDEX GOES BRRR: 98 X: 6.14941 -INDEX GOES BRRR: 209 X: 13.1211 -INDEX GOES BRRR: 382 X: 23.8789 -INDEX GOES BRRR: 198 X: 12.4248 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 139 X: 8.74902 -INDEX GOES BRRR: 78 X: 4.93457 -INDEX GOES BRRR: 64 X: 4.01953 -INDEX GOES BRRR: 277 X: 17.3252 -INDEX GOES BRRR: 259 X: 16.2383 -INDEX GOES BRRR: 351 X: 21.9775 -INDEX GOES BRRR: 841 X: -11.3994 -INDEX GOES BRRR: 282 X: 17.6719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 130 X: 8.1416 -INDEX GOES BRRR: 130 X: 8.14746 -INDEX GOES BRRR: 298 X: 18.6582 -INDEX GOES BRRR: 160 X: 10.0146 -INDEX GOES BRRR: 302 X: 18.9072 -INDEX GOES BRRR: 224 X: 14.0381 -INDEX GOES BRRR: 78 X: 4.89746 -INDEX GOES BRRR: 182 X: 11.4023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 221 X: 13.8398 -INDEX GOES BRRR: 171 X: 10.7207 -INDEX GOES BRRR: 366 X: 22.9062 -INDEX GOES BRRR: 278 X: 17.3809 -INDEX GOES BRRR: 101 X: 6.35352 -INDEX GOES BRRR: 146 X: 9.12891 -INDEX GOES BRRR: 278 X: 17.3838 -INDEX GOES BRRR: 894 X: -8.08301 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 141 X: 8.85938 -INDEX GOES BRRR: 326 X: 20.3965 -INDEX GOES BRRR: 15 X: 0.986328 -INDEX GOES BRRR: 4 X: 0.303711 -INDEX GOES BRRR: 189 X: 11.8271 -INDEX GOES BRRR: 273 X: 17.085 -INDEX GOES BRRR: 135 X: 8.4873 -INDEX GOES BRRR: 87 X: 5.45508 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 305 X: 19.0732 -INDEX GOES BRRR: 219 X: 13.7148 -INDEX GOES BRRR: 115 X: 7.20215 -INDEX GOES BRRR: 909 X: -7.14844 -INDEX GOES BRRR: 22 X: 1.3877 -INDEX GOES BRRR: 989 X: -2.1582 -INDEX GOES BRRR: 909 X: -7.17871 -INDEX GOES BRRR: 162 X: 10.1807 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 898 X: -7.82129 -INDEX GOES BRRR: 463 X: 28.9492 -INDEX GOES BRRR: 245 X: 15.3467 -INDEX GOES BRRR: 152 X: 9.55664 -INDEX GOES BRRR: 100 X: 6.27637 -INDEX GOES BRRR: 261 X: 16.3184 -INDEX GOES BRRR: 984 X: -2.46387 -INDEX GOES BRRR: 77 X: 4.84375 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 72 X: 4.51367 -INDEX GOES BRRR: 396 X: 24.8105 -INDEX GOES BRRR: 157 X: 9.8125 -INDEX GOES BRRR: 218 X: 13.6309 -INDEX GOES BRRR: 45 X: 2.86133 -INDEX GOES BRRR: 1001 X: -1.4248 -INDEX GOES BRRR: 292 X: 18.2979 -INDEX GOES BRRR: 367 X: 22.9746 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4248 -INDEX GOES BRRR: 213 X: 13.3438 -INDEX GOES BRRR: 229 X: 14.3164 -INDEX GOES BRRR: 284 X: 17.7939 -INDEX GOES BRRR: 236 X: 14.8047 -INDEX GOES BRRR: 94 X: 5.87988 -INDEX GOES BRRR: 201 X: 12.6162 -INDEX GOES BRRR: 178 X: 11.165 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1007 X: -1.03125 -INDEX GOES BRRR: 357 X: 22.3408 -INDEX GOES BRRR: 192 X: 12.0615 -INDEX GOES BRRR: 108 X: 6.77344 -INDEX GOES BRRR: 253 X: 15.8643 -INDEX GOES BRRR: 159 X: 9.97852 -INDEX GOES BRRR: 102 X: 6.38867 -INDEX GOES BRRR: 375 X: 23.459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 114 X: 7.15723 -INDEX GOES BRRR: 154 X: 9.66406 -INDEX GOES BRRR: 935 X: -5.55957 -INDEX GOES BRRR: 80 X: 5.01367 -INDEX GOES BRRR: 437 X: 27.3398 -INDEX GOES BRRR: 431 X: 26.9785 -INDEX GOES BRRR: 960 X: -3.94141 -INDEX GOES BRRR: 129 X: 8.11719 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 975 X: -3.00391 -INDEX GOES BRRR: 908 X: -7.18848 -INDEX GOES BRRR: 4 X: 0.264648 -INDEX GOES BRRR: 230 X: 14.3877 -INDEX GOES BRRR: 964 X: -3.69043 -INDEX GOES BRRR: 31 X: 1.94141 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 1019 X: -0.286133 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 278 X: 17.3926 -INDEX GOES BRRR: 165 X: 10.3477 -INDEX GOES BRRR: 1006 X: -1.08789 -INDEX GOES BRRR: 173 X: 10.8486 -INDEX GOES BRRR: 273 X: 17.084 -INDEX GOES BRRR: 259 X: 16.2344 -INDEX GOES BRRR: 240 X: 15.0205 -INDEX GOES BRRR: 288 X: 18.0137 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1004 X: -1.19141 -INDEX GOES BRRR: 162 X: 10.1514 -INDEX GOES BRRR: 23 X: 1.46387 -INDEX GOES BRRR: 247 X: 15.4951 -INDEX GOES BRRR: 128 X: 8.0166 -INDEX GOES BRRR: 371 X: 23.21 -INDEX GOES BRRR: 145 X: 9.12305 -INDEX GOES BRRR: 49 X: 3.11816 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 153 X: 9.58691 -INDEX GOES BRRR: 349 X: 21.8291 -INDEX GOES BRRR: 252 X: 15.7715 -INDEX GOES BRRR: 421 X: 26.3721 -INDEX GOES BRRR: 920 X: -6.44922 -INDEX GOES BRRR: 157 X: 9.82031 -INDEX GOES BRRR: 85 X: 5.3418 -INDEX GOES BRRR: 151 X: 9.43848 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 910 X: -7.10156 -INDEX GOES BRRR: 991 X: -2.01367 -INDEX GOES BRRR: 351 X: 21.9951 -INDEX GOES BRRR: 239 X: 14.9727 -INDEX GOES BRRR: 127 X: 7.9873 -INDEX GOES BRRR: 200 X: 12.5361 -INDEX GOES BRRR: 245 X: 15.3242 -INDEX GOES BRRR: 375 X: 23.4805 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 18 X: 1.18457 -INDEX GOES BRRR: 181 X: 11.3193 -INDEX GOES BRRR: 244 X: 15.29 -INDEX GOES BRRR: 210 X: 13.1621 -INDEX GOES BRRR: 109 X: 6.87012 -INDEX GOES BRRR: 55 X: 3.46875 -INDEX GOES BRRR: 159 X: 9.99023 -INDEX GOES BRRR: 98 X: 6.16699 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 208 X: 13.0566 -INDEX GOES BRRR: 186 X: 11.6865 -INDEX GOES BRRR: 261 X: 16.3291 -INDEX GOES BRRR: 863 X: -10.0244 -INDEX GOES BRRR: 1006 X: -1.10352 -INDEX GOES BRRR: 197 X: 12.3291 -INDEX GOES BRRR: 197 X: 12.3564 -INDEX GOES BRRR: 270 X: 16.9365 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 167 X: 10.4697 -INDEX GOES BRRR: 61 X: 3.82715 -INDEX GOES BRRR: 400 X: 25.0225 -INDEX GOES BRRR: 57 X: 3.57812 -INDEX GOES BRRR: 973 X: -3.12891 -INDEX GOES BRRR: 211 X: 13.2471 -INDEX GOES BRRR: 140 X: 8.77832 -INDEX GOES BRRR: 109 X: 6.85742 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 198 X: 12.4092 -INDEX GOES BRRR: 320 X: 20.0146 -INDEX GOES BRRR: 114 X: 7.13281 -INDEX GOES BRRR: 278 X: 17.4033 -INDEX GOES BRRR: 199 X: 12.4619 -INDEX GOES BRRR: 278 X: 17.3945 -INDEX GOES BRRR: 925 X: -6.1709 -INDEX GOES BRRR: 131 X: 8.21289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.72266 -INDEX GOES BRRR: 98 X: 6.18066 -INDEX GOES BRRR: 348 X: 21.752 -INDEX GOES BRRR: 311 X: 19.4795 -INDEX GOES BRRR: 308 X: 19.2568 -INDEX GOES BRRR: 832 X: -11.9521 -INDEX GOES BRRR: 213 X: 13.3428 -INDEX GOES BRRR: 128 X: 8.02637 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 933 X: -5.66992 -INDEX GOES BRRR: 94 X: 5.88867 -INDEX GOES BRRR: 360 X: 22.502 -INDEX GOES BRRR: 216 X: 13.5371 -INDEX GOES BRRR: 140 X: 8.80566 -INDEX GOES BRRR: 21 X: 1.36523 -INDEX GOES BRRR: 66 X: 4.1748 -INDEX GOES BRRR: 18 X: 1.14453 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 186 X: 11.6826 -INDEX GOES BRRR: 1007 X: -1.00293 -INDEX GOES BRRR: 403 X: 25.1875 -INDEX GOES BRRR: 315 X: 19.7217 -INDEX GOES BRRR: 268 X: 16.751 -INDEX GOES BRRR: 144 X: 9.00684 -INDEX GOES BRRR: 178 X: 11.1465 -INDEX GOES BRRR: 139 X: 8.72266 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 25 X: 1.61621 -INDEX GOES BRRR: 241 X: 15.0742 -INDEX GOES BRRR: 176 X: 11.0342 -INDEX GOES BRRR: 243 X: 15.2207 -INDEX GOES BRRR: 203 X: 12.7422 -INDEX GOES BRRR: 2 X: 0.169922 -INDEX GOES BRRR: 97 X: 6.1084 -INDEX GOES BRRR: 881 X: -8.92188 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 851 X: -10.8115 -INDEX GOES BRRR: 255 X: 15.9404 -INDEX GOES BRRR: 1011 X: -0.810547 -INDEX GOES BRRR: 987 X: -2.27441 -INDEX GOES BRRR: 96 X: 6.00098 -INDEX GOES BRRR: 255 X: 15.957 -INDEX GOES BRRR: 182 X: 11.376 -INDEX GOES BRRR: 150 X: 9.38965 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.0684 -INDEX GOES BRRR: 375 X: 23.4863 -INDEX GOES BRRR: 371 X: 23.2178 -INDEX GOES BRRR: 792 X: -14.4678 -INDEX GOES BRRR: 341 X: 21.3467 -INDEX GOES BRRR: 375 X: 23.4727 -INDEX GOES BRRR: 119 X: 7.47949 -INDEX GOES BRRR: 54 X: 3.4082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 884 X: -8.70215 -INDEX GOES BRRR: 103 X: 6.4834 -INDEX GOES BRRR: 232 X: 14.501 -INDEX GOES BRRR: 976 X: -2.9834 -INDEX GOES BRRR: 122 X: 7.66016 -INDEX GOES BRRR: 327 X: 20.4629 -INDEX GOES BRRR: 266 X: 16.6758 -INDEX GOES BRRR: 20 X: 1.25195 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 123 X: 7.70605 -INDEX GOES BRRR: 993 X: -1.88184 -INDEX GOES BRRR: 5 X: 0.331055 -INDEX GOES BRRR: 304 X: 19.0225 -INDEX GOES BRRR: 53 X: 3.32715 -INDEX GOES BRRR: 188 X: 11.75 -INDEX GOES BRRR: 228 X: 14.2529 -INDEX GOES BRRR: 322 X: 20.167 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 126 X: 7.89258 -INDEX GOES BRRR: 45 X: 2.81738 -INDEX GOES BRRR: 56 X: 3.54004 -INDEX GOES BRRR: 272 X: 17.0156 -INDEX GOES BRRR: 491 X: 30.7031 -INDEX GOES BRRR: 87 X: 5.45312 -INDEX GOES BRRR: 383 X: 23.998 -INDEX GOES BRRR: 185 X: 11.5967 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 262 X: 16.4141 -INDEX GOES BRRR: 82 X: 5.13086 -INDEX GOES BRRR: 317 X: 19.8174 -INDEX GOES BRRR: 919 X: -6.51758 -INDEX GOES BRRR: 112 X: 7.05664 -INDEX GOES BRRR: 232 X: 14.5 -INDEX GOES BRRR: 85 X: 5.3418 -INDEX GOES BRRR: 77 X: 4.87305 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 303 X: 18.9795 -INDEX GOES BRRR: 1012 X: -0.733398 -INDEX GOES BRRR: 315 X: 19.7451 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 176 X: 11.0449 -INDEX GOES BRRR: 1017 X: -0.416016 -INDEX GOES BRRR: 178 X: 11.1562 -INDEX GOES BRRR: 474 X: 29.6289 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 84 X: 5.28613 -INDEX GOES BRRR: 32 X: 2.01367 -INDEX GOES BRRR: 315 X: 19.708 -INDEX GOES BRRR: 61 X: 3.81836 -INDEX GOES BRRR: 1018 X: -0.347656 -INDEX GOES BRRR: 1003 X: -1.28418 -INDEX GOES BRRR: 73 X: 4.59082 -INDEX GOES BRRR: 155 X: 9.71191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 957 X: -4.15234 -INDEX GOES BRRR: 194 X: 12.1797 -INDEX GOES BRRR: 71 X: 4.48926 -INDEX GOES BRRR: 29 X: 1.84375 -INDEX GOES BRRR: 281 X: 17.6025 -INDEX GOES BRRR: 440 X: 27.5244 -INDEX GOES BRRR: 262 X: 16.416 -INDEX GOES BRRR: 95 X: 5.96094 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 112 X: 7.0166 -INDEX GOES BRRR: 983 X: -2.52734 -INDEX GOES BRRR: 229 X: 14.3389 -INDEX GOES BRRR: 416 X: 26.0508 -INDEX GOES BRRR: 336 X: 21.0166 -INDEX GOES BRRR: 261 X: 16.3232 -INDEX GOES BRRR: 854 X: -10.624 -INDEX GOES BRRR: 213 X: 13.3369 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.2812 -INDEX GOES BRRR: 482 X: 30.1523 -INDEX GOES BRRR: 281 X: 17.624 -INDEX GOES BRRR: 210 X: 13.1699 -INDEX GOES BRRR: 975 X: -3.0293 -INDEX GOES BRRR: 394 X: 24.6309 -INDEX GOES BRRR: 979 X: -2.81055 -INDEX GOES BRRR: 48 X: 3.0459 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 258 X: 16.1641 -INDEX GOES BRRR: 445 X: 27.8701 -INDEX GOES BRRR: 461 X: 28.8564 -INDEX GOES BRRR: 203 X: 12.7021 -INDEX GOES BRRR: 120 X: 7.50879 -INDEX GOES BRRR: 271 X: 16.9795 -INDEX GOES BRRR: 288 X: 18.0469 -INDEX GOES BRRR: 192 X: 12.0596 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 415 X: 25.959 -INDEX GOES BRRR: 77 X: 4.87012 -INDEX GOES BRRR: 218 X: 13.6553 -INDEX GOES BRRR: 47 X: 2.97266 -INDEX GOES BRRR: 87 X: 5.49023 -INDEX GOES BRRR: 237 X: 14.8477 -INDEX GOES BRRR: 348 X: 21.7812 -INDEX GOES BRRR: 357 X: 22.3672 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 138 X: 8.65527 -INDEX GOES BRRR: 0 X: 0.00976562 -INDEX GOES BRRR: 62 X: 3.8877 -INDEX GOES BRRR: 96 X: 6.04688 -INDEX GOES BRRR: 48 X: 3.04688 -INDEX GOES BRRR: 250 X: 15.6807 -INDEX GOES BRRR: 196 X: 12.293 -INDEX GOES BRRR: 206 X: 12.8789 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 180 X: 11.3018 -INDEX GOES BRRR: 113 X: 7.10059 -INDEX GOES BRRR: 182 X: 11.4287 -INDEX GOES BRRR: 2 X: 0.130859 -INDEX GOES BRRR: 344 X: 21.5186 -INDEX GOES BRRR: 24 X: 1.54688 -INDEX GOES BRRR: 52 X: 3.29395 -INDEX GOES BRRR: 54 X: 3.38086 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 343 X: 21.4775 -INDEX GOES BRRR: 243 X: 15.1924 -INDEX GOES BRRR: 1007 X: -1.04688 -INDEX GOES BRRR: 60 X: 3.77832 -INDEX GOES BRRR: 45 X: 2.83594 -INDEX GOES BRRR: 79 X: 4.96094 -INDEX GOES BRRR: 111 X: 6.95508 -INDEX GOES BRRR: 361 X: 22.5781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 161 X: 10.1035 -INDEX GOES BRRR: 216 X: 13.5039 -INDEX GOES BRRR: 334 X: 20.9199 -INDEX GOES BRRR: 405 X: 25.3721 -INDEX GOES BRRR: 210 X: 13.1377 -INDEX GOES BRRR: 236 X: 14.7627 -INDEX GOES BRRR: 150 X: 9.42383 -INDEX GOES BRRR: 24 X: 1.5166 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 905 X: -7.4209 -INDEX GOES BRRR: 35 X: 2.23535 -INDEX GOES BRRR: 1003 X: -1.29102 -INDEX GOES BRRR: 777 X: -15.4248 -INDEX GOES BRRR: 244 X: 15.2998 -INDEX GOES BRRR: 987 X: -2.25684 -INDEX GOES BRRR: 266 X: 16.6543 -INDEX GOES BRRR: 337 X: 21.1084 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 96 X: 6.04199 -INDEX GOES BRRR: 83 X: 5.21484 -INDEX GOES BRRR: 884 X: -8.71484 -INDEX GOES BRRR: 306 X: 19.1455 -INDEX GOES BRRR: 258 X: 16.1484 -INDEX GOES BRRR: 180 X: 11.2949 -INDEX GOES BRRR: 69 X: 4.36621 -INDEX GOES BRRR: 969 X: -3.42676 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 189 X: 11.8535 -INDEX GOES BRRR: 308 X: 19.2607 -INDEX GOES BRRR: 397 X: 24.8652 -INDEX GOES BRRR: 125 X: 7.83691 -INDEX GOES BRRR: 813 X: -13.1484 -INDEX GOES BRRR: 404 X: 25.2705 -INDEX GOES BRRR: 1013 X: -0.635742 -INDEX GOES BRRR: 172 X: 10.7549 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 137 X: 8.58789 -INDEX GOES BRRR: 992 X: -1.94336 -INDEX GOES BRRR: 843 X: -11.3076 -INDEX GOES BRRR: 995 X: -1.81152 -INDEX GOES BRRR: 960 X: -3.95215 -INDEX GOES BRRR: 811 X: -13.2969 -INDEX GOES BRRR: 100 X: 6.29395 -INDEX GOES BRRR: 130 X: 8.15918 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0098 -INDEX GOES BRRR: 995 X: -1.77539 -INDEX GOES BRRR: 188 X: 11.7998 -INDEX GOES BRRR: 208 X: 13.0576 -INDEX GOES BRRR: 55 X: 3.43848 -INDEX GOES BRRR: 7 X: 0.49707 -INDEX GOES BRRR: 989 X: -2.16602 -INDEX GOES BRRR: 345 X: 21.6113 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 256 X: 16.0225 -INDEX GOES BRRR: 199 X: 12.4541 -INDEX GOES BRRR: 335 X: 20.9941 -INDEX GOES BRRR: 211 X: 13.2471 -INDEX GOES BRRR: 149 X: 9.32031 -INDEX GOES BRRR: 461 X: 28.8398 -INDEX GOES BRRR: 115 X: 7.19141 -INDEX GOES BRRR: 995 X: -1.79102 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 408 X: 25.5615 -INDEX GOES BRRR: 193 X: 12.0859 -INDEX GOES BRRR: 213 X: 13.3223 -INDEX GOES BRRR: 326 X: 20.3916 -INDEX GOES BRRR: 101 X: 6.3252 -INDEX GOES BRRR: 301 X: 18.8486 -INDEX GOES BRRR: 140 X: 8.77344 -INDEX GOES BRRR: 952 X: -4.44238 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 239 X: 14.9629 -INDEX GOES BRRR: 179 X: 11.2441 -INDEX GOES BRRR: 254 X: 15.8887 -INDEX GOES BRRR: 419 X: 26.2295 -INDEX GOES BRRR: 94 X: 5.90039 -INDEX GOES BRRR: 371 X: 23.2109 -INDEX GOES BRRR: 130 X: 8.17871 -INDEX GOES BRRR: 182 X: 11.4033 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 351 X: 21.9414 -INDEX GOES BRRR: 100 X: 6.28711 -INDEX GOES BRRR: 35 X: 2.21973 -INDEX GOES BRRR: 97 X: 6.11133 -INDEX GOES BRRR: 293 X: 18.3516 -INDEX GOES BRRR: 1008 X: -0.96582 -INDEX GOES BRRR: 171 X: 10.7148 -INDEX GOES BRRR: 139 X: 8.71191 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 406 X: 25.4365 -INDEX GOES BRRR: 81 X: 5.07129 -INDEX GOES BRRR: 923 X: -6.25879 -INDEX GOES BRRR: 200 X: 12.5098 -INDEX GOES BRRR: 921 X: -6.37695 -INDEX GOES BRRR: 314 X: 19.6748 -INDEX GOES BRRR: 244 X: 15.3018 -INDEX GOES BRRR: 172 X: 10.7578 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 901 X: -7.6709 -INDEX GOES BRRR: 477 X: 29.8467 -INDEX GOES BRRR: 252 X: 15.7783 -INDEX GOES BRRR: 96 X: 6.01758 -INDEX GOES BRRR: 143 X: 8.97656 -INDEX GOES BRRR: 370 X: 23.1582 -INDEX GOES BRRR: 808 X: -13.4893 -INDEX GOES BRRR: 259 X: 16.2061 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 907 X: -7.2627 -INDEX GOES BRRR: 494 X: 30.8877 -INDEX GOES BRRR: 172 X: 10.751 -INDEX GOES BRRR: 121 X: 7.5918 -INDEX GOES BRRR: 910 X: -7.12109 -INDEX GOES BRRR: 235 X: 14.7393 -INDEX GOES BRRR: 135 X: 8.48535 -INDEX GOES BRRR: 196 X: 12.2725 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 235 X: 14.7314 -INDEX GOES BRRR: 962 X: -3.84766 -INDEX GOES BRRR: 70 X: 4.40625 -INDEX GOES BRRR: 201 X: 12.6172 -INDEX GOES BRRR: 105 X: 6.59863 -INDEX GOES BRRR: 114 X: 7.16406 -INDEX GOES BRRR: 319 X: 19.9883 -INDEX GOES BRRR: 392 X: 24.5117 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 108 X: 6.78809 -INDEX GOES BRRR: 312 X: 19.5254 -INDEX GOES BRRR: 79 X: 4.97363 -INDEX GOES BRRR: 221 X: 13.8477 -INDEX GOES BRRR: 1004 X: -1.21973 -INDEX GOES BRRR: 279 X: 17.4805 -INDEX GOES BRRR: 183 X: 11.498 -INDEX GOES BRRR: 453 X: 28.3271 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 268 X: 16.7559 -INDEX GOES BRRR: 330 X: 20.6367 -INDEX GOES BRRR: 195 X: 12.2158 -INDEX GOES BRRR: 41 X: 2.58105 -INDEX GOES BRRR: 76 X: 4.77344 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 221 X: 13.8271 -INDEX GOES BRRR: 38 X: 2.42871 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 823 X: -12.5449 -INDEX GOES BRRR: 179 X: 11.2119 -INDEX GOES BRRR: 139 X: 8.71582 -INDEX GOES BRRR: 999 X: -1.53906 -INDEX GOES BRRR: 14 X: 0.904297 -INDEX GOES BRRR: 349 X: 21.8311 -INDEX GOES BRRR: 281 X: 17.624 -INDEX GOES BRRR: 174 X: 10.915 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 916 X: -6.74902 -INDEX GOES BRRR: 189 X: 11.8574 -INDEX GOES BRRR: 152 X: 9.54395 -INDEX GOES BRRR: 132 X: 8.27441 -INDEX GOES BRRR: 20 X: 1.28516 -INDEX GOES BRRR: 184 X: 11.5303 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 149 X: 9.3125 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 847 X: -11.0186 -INDEX GOES BRRR: 278 X: 17.3867 -INDEX GOES BRRR: 298 X: 18.6787 -INDEX GOES BRRR: 186 X: 11.6729 -INDEX GOES BRRR: 117 X: 7.32227 -INDEX GOES BRRR: 296 X: 18.543 -INDEX GOES BRRR: 166 X: 10.3994 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 888 X: -8.44434 -INDEX GOES BRRR: 165 X: 10.3496 -INDEX GOES BRRR: 189 X: 11.8145 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 370 X: 23.1338 -INDEX GOES BRRR: 452 X: 28.3047 -INDEX GOES BRRR: 22 X: 1.40332 -INDEX GOES BRRR: 164 X: 10.2539 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 277 X: 17.3398 -INDEX GOES BRRR: 112 X: 7.05762 -INDEX GOES BRRR: 919 X: -6.54199 -INDEX GOES BRRR: 121 X: 7.61328 -INDEX GOES BRRR: 55 X: 3.45215 -INDEX GOES BRRR: 11 X: 0.709961 -INDEX GOES BRRR: 183 X: 11.4678 -INDEX GOES BRRR: 947 X: -4.77344 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 218 X: 13.6641 -INDEX GOES BRRR: 225 X: 14.1191 -INDEX GOES BRRR: 168 X: 10.5352 -INDEX GOES BRRR: 72 X: 4.53809 -INDEX GOES BRRR: 156 X: 9.77734 -INDEX GOES BRRR: 16 X: 1.05469 -INDEX GOES BRRR: 318 X: 19.9111 -INDEX GOES BRRR: 84 X: 5.29199 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 187 X: 11.7412 -INDEX GOES BRRR: 286 X: 17.875 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 232 X: 14.5127 -INDEX GOES BRRR: 929 X: -5.90918 -INDEX GOES BRRR: 360 X: 22.5391 -INDEX GOES BRRR: 323 X: 20.2119 -INDEX GOES BRRR: 214 X: 13.4023 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 111 X: 6.97168 -INDEX GOES BRRR: 422 X: 26.3877 -INDEX GOES BRRR: 984 X: -2.48633 -INDEX GOES BRRR: 1014 X: -0.617188 -INDEX GOES BRRR: 424 X: 26.5527 -INDEX GOES BRRR: 62 X: 3.89453 -INDEX GOES BRRR: 280 X: 17.543 -INDEX GOES BRRR: 148 X: 9.25781 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 76 X: 4.77441 -INDEX GOES BRRR: 118 X: 7.37598 -INDEX GOES BRRR: 251 X: 15.7109 -INDEX GOES BRRR: 313 X: 19.5967 -INDEX GOES BRRR: 350 X: 21.9258 -INDEX GOES BRRR: 153 X: 9.58496 -INDEX GOES BRRR: 30 X: 1.8877 -INDEX GOES BRRR: 322 X: 20.1865 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 220 X: 13.7588 -INDEX GOES BRRR: 272 X: 17.002 -INDEX GOES BRRR: 174 X: 10.877 -INDEX GOES BRRR: 153 X: 9.57715 -INDEX GOES BRRR: 271 X: 16.9395 -INDEX GOES BRRR: 1016 X: -0.496094 -INDEX GOES BRRR: 398 X: 24.9004 -INDEX GOES BRRR: 1006 X: -1.09082 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 84 X: 5.31055 -INDEX GOES BRRR: 127 X: 7.96289 -INDEX GOES BRRR: 374 X: 23.415 -INDEX GOES BRRR: 158 X: 9.91504 -INDEX GOES BRRR: 187 X: 11.6875 -INDEX GOES BRRR: 244 X: 15.2607 -INDEX GOES BRRR: 119 X: 7.47754 -INDEX GOES BRRR: 235 X: 14.7109 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 905 X: -7.40625 -INDEX GOES BRRR: 102 X: 6.39941 -INDEX GOES BRRR: 1007 X: -1.04199 -INDEX GOES BRRR: 322 X: 20.1582 -INDEX GOES BRRR: 256 X: 16.0439 -INDEX GOES BRRR: 239 X: 14.9785 -INDEX GOES BRRR: 913 X: -6.89062 -INDEX GOES BRRR: 806 X: -13.6016 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 1022 X: -0.116211 -INDEX GOES BRRR: 294 X: 18.4131 -INDEX GOES BRRR: 305 X: 19.0625 -INDEX GOES BRRR: 989 X: -2.16504 -INDEX GOES BRRR: 292 X: 18.2539 -INDEX GOES BRRR: 917 X: -6.64551 -INDEX GOES BRRR: 334 X: 20.8809 -INDEX GOES BRRR: 771 X: -15.8096 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 308 X: 19.2861 -INDEX GOES BRRR: 227 X: 14.249 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 85 X: 5.32227 -INDEX GOES BRRR: 27 X: 1.69629 -INDEX GOES BRRR: 268 X: 16.7744 -INDEX GOES BRRR: 237 X: 14.8418 -INDEX GOES BRRR: 301 X: 18.8174 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -NOW EXPONENT TIME -INDEX GOES BRRR: 185 X: 11.5967 -INDEX GOES BRRR: 178 X: 11.1406 -INDEX GOES BRRR: 496 X: 31 -INDEX GOES BRRR: 154 X: 9.6709 -INDEX GOES BRRR: 376 X: 23.502 -INDEX GOES BRRR: 929 X: -5.92773 -INDEX GOES BRRR: 177 X: 11.1045 -INDEX GOES BRRR: 223 X: 13.9395 -NOW INVERSE TIME -INDEX GOES BRRR: 511 X: 127.999 -(5000, 8) -B [array([[0. , 0.99902344, 0.99902344, ..., 0.99902344, 0.99902344, - 0.99902344], - [0.99902344, 0. , 0.99902344, ..., 0. , 0.703125 , - 0.99902344], - [0.99902344, 0.703125 , 0.99902344, ..., 0.99902344, 0.99902344, - 0.99902344], - ..., - [0.00683594, 0.99902344, 0.99902344, ..., 0. , 0.99902344, - 0. ], - [0.99902344, 0.99902344, 0.99902344, ..., 0.99902344, 0.99902344, - 0.99902344], - [0.99902344, 0.99902344, 0.99902344, ..., 0. , 0.99902344, - 0.99902344]])] -Accuracy hls4ml relative to keras: 0.1876 ---------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 1383688646 -______ test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-XLS] ______ - -backend = 'XLS', strategy = 'latency' -generate_data = array([[ -7.25649101, 8.67699524, 12.02508133, ..., 6.22344919, - 5.5511721 , 20.79199156], - [ 10....8.81829702], - [ 11.59752574, 11.14109969, 31. , ..., -5.9268755 , - 11.10483525, 13.93947172]]) -input_bits = '16,6', input_shape = (8,), table_bits = '18,8' -io_type = 'io_parallel', custom_accum = False - - @pytest.mark.parametrize('backend', ['XLS', 'Vivado']) - @pytest.mark.parametrize('strategy', ['latency']) - @pytest.mark.parametrize( - 'input_bits,input_shape,table_bits,io_type,custom_accum', - [ - ('16,6', (8,), '18,8', 'io_parallel', False), - ], - ) - def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): - X = generate_data - model = tf.keras.models.Sequential() - model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) - model.compile() - - table_type = f'fixed<{table_bits}, RND, SAT>' - - cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['Implementation'] = strategy - cfg['LayerName']['softmax']['inv_table_t'] = table_type - cfg['LayerName']['softmax']['exp_table_t'] = table_type - cfg['LayerName']['softmax']['accum_t'] = table_type - cfg['LayerName']['softmax']['inv_inp_t'] = table_type - if custom_accum: - if backend not in ['Vivado', 'Vitis']: - pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') - W, I = map(int, input_bits.split(',')) # noqa: E741 - cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' - cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' - inp_layer_name = next(iter(cfg['LayerName'].keys())) - cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' - - odir = str( - test_root_path - / ( - f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' - f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' - ) - ) - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend - ) - hls_model.compile() - - y_keras = model.predict(X) - y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) - acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) - - print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') - -> assert acc_hls4ml >= 0.98 -E assert 0.2432 >= 0.98 - -test_softmax.py:87: AssertionError ----------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 1383688644 ------------------------------ Captured stdout call ----------------------------- -pytest-randomly: reseed with 1383688645 -WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) - 1/157 [..............................] - ETA: 2s 93/157 [================>.............] - ETA: 0s 157/157 [==============================] - 0s 550us/step -Accuracy hls4ml relative to keras: 0.2432 ---------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 1383688646 -=============================== warnings summary =============================== -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:repack_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fixedpointquantizer_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:unarylut_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_config_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:build_tables" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - --- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html -=========================== short test summary info ============================ -FAILED test_softmax.py::test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-Vivado] -FAILED test_softmax.py::test_softmax[16,6-input_shape0-18,8-io_parallel-False-latency-XLS] -======================== 2 failed, 18 warnings in 7.68s ======================== From 248c0f02379a8815720622cce36cde3e69127acd Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 1 Aug 2025 15:38:48 +0200 Subject: [PATCH 15/24] feat: stable softmax 1 specific precision working --- hls4ml/backends/xls/passes/build_attr.py | 3 + hls4ml/backends/xls/passes/build_tables.py | 37 +- .../vivado/nnet_utils/nnet_activation.h | 3 +- .../xls/firmware/ap_types/fixed_point_fix.x | 38 + .../xls/firmware/nnet_utils/activations.x | 134 +- test/pytest/junk.txt | 1896 +++++++++++++++++ test/pytest/test_softmax.py | 9 +- 7 files changed, 2050 insertions(+), 70 deletions(-) create mode 100644 test/pytest/junk.txt diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index 66ab758e39..a980dfb05b 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -159,6 +159,9 @@ def out_bu(self, layer_precision) -> str: @attach_to_node() def out_type(self, layer_precision) -> str: + if layer_precision.get('result_t', False): + width = layer_precision['result_t'].precision.width + return f'sN[u32:{width}]' for _, type_var in layer_precision.items(): return f'sN[u32:{type_var.precision.width}]' return '' diff --git a/hls4ml/backends/xls/passes/build_tables.py b/hls4ml/backends/xls/passes/build_tables.py index fa9bdb8d3f..0e179180fa 100644 --- a/hls4ml/backends/xls/passes/build_tables.py +++ b/hls4ml/backends/xls/passes/build_tables.py @@ -26,15 +26,20 @@ def match(self, node: Layer) -> bool: def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: # i * 2^{integer_part - clog2(table_size)} - def get_real_val_from_idx(i, table_size, integer=8): + def get_real_val_from_idx(i, table_size, integer, negative): N = math.ceil(math.log2(table_size)) + exp = integer - N - exp = 2 ** (integer - N) - if i < table_size / 2: + if negative: base = i + return -(base * 2**(exp-1)) + else: - base = -(table_size - i) - return base * exp + if i < table_size / 2: + base = i + else: + base = -(table_size - i) + return base * 2**exp table_size = dict(node.attributes)['table_size'] exp_table = [] @@ -47,8 +52,16 @@ def get_real_val_from_idx(i, table_size, integer=8): # softamx_inv_inp_t: 18 # result_t: 16 # softmax_table_t: 18 - # TODO: manage the differnet types + + for name, var in node.get_layer_precision().items(): + print(name, ': ', var.precision.width) + exp_width = node.get_layer_precision()['softmax_exp_table_t'].precision.width + exp_frac = exp_width - node.get_layer_precision()['softmax_exp_table_t'].precision.integer + inv_width = node.get_layer_precision()['softmax_inv_table_t'].precision.width + inv_frac = inv_width - node.get_layer_precision()['softmax_inv_table_t'].precision.integer + + type_var = node.get_layer_precision()['softmax_table_t'] width = type_var.precision.width frac = type_var.precision.width - type_var.precision.integer @@ -56,19 +69,21 @@ def get_real_val_from_idx(i, table_size, integer=8): nb = int(node.get_attr('in_nb').split(':', 1)[1]) bu = int(node.get_attr('in_bu').split(':', 1)[1]) in_integer = nb - bu + requires_negative_exp = dict(node.attributes).get('implementation', 'stable') == 'stable' # create exp table for i in range(table_size): - real_val = get_real_val_from_idx(i, table_size, integer=in_integer) + real_val = get_real_val_from_idx(i, table_size, integer=in_integer, negative=requires_negative_exp) e = math.exp(real_val) - fxp_e = Fxp(e, signed=True, n_word=width, n_frac=frac, rounding='around', overflow='saturate').raw() + # print("XLS: ", i, " x: ", real_val) + fxp_e = Fxp(e, signed=True, n_word=exp_width, n_frac=exp_frac, rounding='around', overflow='saturate').raw() exp_table.append(fxp_e) # create div table for i in range(table_size): - real_val = get_real_val_from_idx(i, table_size, integer=8) - inv = 1.0 / real_val if real_val != 0 else 2**(type_var.precision.width - 1) - fxp_inv = Fxp(inv, signed=True, n_word=width, n_frac=frac, rounding='around', overflow='saturate').raw() + real_val = get_real_val_from_idx(i, table_size, integer=8, negative=False) + inv = 1.0 / real_val if real_val != 0 else 2**(inv_width - 1) + fxp_inv = Fxp(inv, signed=True, n_word=inv_width, n_frac=inv_frac, rounding='around', overflow='saturate').raw() inv_table.append(fxp_inv) node.set_attr('write_table', True) diff --git a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h index 06390c8b52..f63c85bee7 100644 --- a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h +++ b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h @@ -157,12 +157,11 @@ void init_exp_table(typename CONFIG_T::exp_table_t table_out[CONFIG_T::exp_table if (negative) { // for normalized inputs, we keep the normalization values positive (x_bar = x_max - x) // so we need to negate the input (exp(-x_bar) = exp(x - x_max)) - // std::cout << " INVERSE: " << x << std::endl; x = -x; } typename CONFIG_T::exp_table_t exp_x = exp_fcn_float(x); table_out[i] = exp_x; - // std::cout << "exp_table[" << i << "] = " << exp_x << " X: " << x << std::endl; + std::cout << "exp_table[" << i << "] = " << exp_x << " X: " << x << std::endl; } } diff --git a/hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x b/hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x index 00f6d6bc75..420a944d92 100644 --- a/hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x +++ b/hls4ml/templates/xls/firmware/ap_types/fixed_point_fix.x @@ -77,6 +77,28 @@ pub fn add aligned_lhs + aligned_rhs } +// Subtracts two unsigned fixed point numbers, returns lhs - rhs +pub fn sub + + (fxd_a: sN[NB_A], + fxd_b: sN[NB_B]) + -> sN[NB_R] { + // Widen before left shifting to avoid overflow + let aligned_lhs = (fxd_a as sN[NB_R]) << (BE_A - BE_R) as u32; + let aligned_rhs = (fxd_b as sN[NB_R]) << (BE_B - BE_R) as u32; + + aligned_lhs - aligned_rhs +} + // Fused-multiply-add. To infer the final precision, we chain the precision calculation as a multiplication // followed by an add. @@ -125,6 +147,22 @@ pub fn add_already_widened aligned_lhs + aligned_rhs } +// Performs an subtraction assuming that the rhs is already wide enough to not overflow. +// WARNING: rhs must be wide enough to avoid any overflow +pub fn sub_already_widened + + (fxd_a: sN[NB_A], fxd_b: sN[NB_B]) + -> sN[NB_B] { + // Widen before left shifting to avoid overflow + let aligned_lhs = (fxd_a as sN[NB_B]) << (BE_A - BE_B) as u32; + let aligned_rhs = fxd_b; + + aligned_lhs - aligned_rhs +} + // Performs an fused-multiply-add assuming that the rhs is already wide enough to not overflow. // WARNING: the add rhs must be wide enough to avoid any overflow pub fn fmadd_already_widened diff --git a/hls4ml/templates/xls/firmware/nnet_utils/activations.x b/hls4ml/templates/xls/firmware/nnet_utils/activations.x index b3742b9ae5..5fd037049b 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/activations.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/activations.x @@ -72,40 +72,7 @@ fn relu_test() { // ========================================================================= // ------------------------------- Softmax --------------------------------- -fn get_exp - - (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { - - // Compute exp() with Lookup Tables - let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { - let exp_table_idx = lookup_tables::idx_from_real_val(y[i]); - update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) - }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); - - exp_result -} - -#[test] -fn get_exp_test() { - let x = sN[16][4]:[ - sN[16]:1024, - sN[16]:1024, - sN[16]:1024, - sN[16]:1024 - ]; - let expected = sN[18][4]:[ - sN[18]:2784, - sN[18]:2784, - sN[18]:2784, - sN[18]:2784 - ]; - assert_eq(expected, get_exp(x)); -} - -fn get_accum +pub fn softmax_latency // unsigned exp ACCUM - (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT] { + BU_ACCUM: u32 = {fixed_point_lib::binary_uexponent(BE_ACCUM)}, // unsigned exp ACCUM + // INV Multiplication + EXP_SUM: s32 = {BE_OUT + BE_OUT}, + NB_MUL: u32 = {NB_OUT + NB_OUT}, + EN_MUL: u32 = {fixed_point_lib::is_negative(EXP_SUM)}, + BU_MUL: u32 = {fixed_point_lib::binary_uexponent(EXP_SUM)}> + (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { // Compute exp() with Lookup Tables let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { @@ -124,32 +96,27 @@ fn get_accum update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + // Sum all exponents let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { fixed_point_fix::add_already_widened(exp_result[i], acc) }(sN[NB_ACCUM]:0); let truncate = fixed_point_fix::to_common_type(sum); let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(truncate)]; - inv_exp_sum -} + // Compute softmax + let softmax_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) + }(exp_result); -#[test] -fn get_accum_test() { - let x = sN[16][4]:[ - sN[16]:1024, - sN[16]:1024, - sN[16]:1024, - sN[16]:1024 - ]; - let expected = sN[18]:95; // ideal 95 - assert_eq(expected, get_accum(x)); -} + softmax_result +} -pub fn softmax_latency +pub fn softmax_stable (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { - + + // Find max element + let y_max = for (i, acc): (u32, sN[NB_IN]) in u32:0..VEC_SZ { + std::max(y[i], acc) + }((s32:-1 << SHIFT_LIMIT) as sN[NB_IN]); + + // Compute difference + let d_yi_ymax = for (i, z): (u32, sN[NB_IN][VEC_SZ]) in u32:0..VEC_SZ { + update(z, i, fixed_point_fix::sub_already_widened(y_max, y[i]) ) + }(sN[NB_IN][VEC_SZ]:[sN[NB_IN]:0, ...]); + // Compute exp() with Lookup Tables let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { - let exp_table_idx = lookup_tables::idx_from_real_val(y[i]); + let exp_table_idx = lookup_tables::idx_from_real_val(d_yi_ymax[i]); update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + // Sum all exponents let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { fixed_point_fix::add_already_widened(exp_result[i], acc) }(sN[NB_ACCUM]:0); let truncate = fixed_point_fix::to_common_type(sum); let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(truncate)]; - let inv_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + // Compute softmax + let softmax_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) }(exp_result); - inv_result -} + softmax_result +} pub fn argmax (x)); + + let x = sN[16][4]:[ + sN[16]:2048, + sN[16]:2048, + sN[16]:2048, + sN[16]:2048 + ]; + let expected = sN[18][4]:[ + sN[18]:258, // Ideal 256 + sN[18]:258, + sN[18]:258, + sN[18]:258 + ]; + assert_eq(expected, softmax_latency(x)); +} + +#[test] +fn softmax_stable_test() { + let x = sN[16][4]:[ + sN[16]:1024, + sN[16]:1024, + sN[16]:1024, + sN[16]:1024 + ]; + let expected = sN[18][4]:[ + sN[18]:256, // Ideal 256 + sN[18]:256, + sN[18]:256, + sN[18]:256 + ]; + assert_eq(expected, softmax_stable(x)); + + let x = sN[16][4]:[ + sN[16]:4096, + sN[16]:4096, + sN[16]:4096, + sN[16]:4096 + ]; + let expected = sN[18][4]:[ + sN[18]:256, // Ideal 256 + sN[18]:256, + sN[18]:256, + sN[18]:256 + ]; + assert_eq(expected, softmax_stable(x)); } #[test] diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt new file mode 100644 index 0000000000..00e3007af3 --- /dev/null +++ b/test/pytest/junk.txt @@ -0,0 +1,1896 @@ +============================= test session starts ============================== +platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 +pytest-randomly: reseed with 3154144949 +Using --randomly-seed=3154144949 +rootdir: /home/girji/workspace/forks/hls4ml +configfile: pyproject.toml +plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 +pytest-randomly: reseed with 3154144949 +collected 2 items + +test_softmax.py FF [100%] + +=================================== FAILURES =================================== +_______ test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-XLS] _______ + +backend = 'XLS', strategy = 'stable' +generate_data = array([[13.9744297 , 15.92180375, 22.9723219 , ..., 8.19185447, + 7.68837113, 19.16780557], + [12.8074795...107, 28.08511306], + [ 5.51985369, 13.12982809, 4.56763497, ..., 3.48239095, + 12.02306702, 11.76489227]]) +input_bits = '16,6', input_shape = (8,), table_bits = '9,6' +io_type = 'io_parallel', custom_accum = False + + @pytest.mark.parametrize('backend', ['Vivado', 'XLS']) + @pytest.mark.parametrize('strategy', ['stable']) + @pytest.mark.parametrize( + 'input_bits,input_shape,table_bits,io_type,custom_accum', + [ + # ('16,6', (8,), '18,8', 'io_parallel', False), + ('16,6', (8,), '9,6', 'io_parallel', False), + ], + ) + def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): + X = generate_data + model = tf.keras.models.Sequential() + model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) + model.compile() + + table_type = f'fixed<{table_bits}, RND, SAT>' + + cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) + cfg['LayerName']['softmax']['Implementation'] = strategy + cfg['LayerName']['softmax']['inv_table_t'] = table_type + cfg['LayerName']['softmax']['exp_table_t'] = table_type + cfg['LayerName']['softmax']['accum_t'] = table_type + cfg['LayerName']['softmax']['inv_inp_t'] = table_type + if custom_accum: + if backend not in ['Vivado', 'Vitis']: + pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') + W, I = map(int, input_bits.split(',')) # noqa: E741 + cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' + cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' + inp_layer_name = next(iter(cfg['LayerName'].keys())) + cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' + + odir = str( + test_root_path + / ( + f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' + f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' + ) + ) + hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend + ) +> hls_model.compile() + +/home/girji/workspace/forks/hls4ml/test/pytest/test_softmax.py:80: +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ +/home/girji/workspace/forks/hls4ml/hls4ml/model/graph.py:807: in compile + self._compile() +/home/girji/workspace/forks/hls4ml/hls4ml/model/graph.py:810: in _compile + lib_name = self.config.backend.compile(self) +/home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:189: in compile + subprocess.run(gen_cmd, check=True, stdout=ir_file) +_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ + +input = None, capture_output = False, timeout = None, check = True +popenargs = (['/home/girji/xls/bazel-bin/xls/dslx/ir_convert/ir_converter_main', '--top=myproject', 'myproject.x'],) +kwargs = {'stdout': <_io.TextIOWrapper name='myproject.ir' mode='w' encoding='UTF-8'>} +process = +stdout = None, stderr = None, retcode = 1 + + def run(*popenargs, + input=None, capture_output=False, timeout=None, check=False, **kwargs): + """Run command with arguments and return a CompletedProcess instance. + + The returned instance will have attributes args, returncode, stdout and + stderr. By default, stdout and stderr are not captured, and those attributes + will be None. Pass stdout=PIPE and/or stderr=PIPE in order to capture them, + or pass capture_output=True to capture both. + + If check is True and the exit code was non-zero, it raises a + CalledProcessError. The CalledProcessError object will have the return code + in the returncode attribute, and output & stderr attributes if those streams + were captured. + + If timeout is given, and the process takes too long, a TimeoutExpired + exception will be raised. + + There is an optional argument "input", allowing you to + pass bytes or a string to the subprocess's stdin. If you use this argument + you may not also use the Popen constructor's "stdin" argument, as + it will be used internally. + + By default, all communication is in bytes, and therefore any "input" should + be bytes, and the stdout and stderr will be bytes. If in text mode, any + "input" should be a string, and stdout and stderr will be strings decoded + according to locale encoding, or by "encoding" if set. Text mode is + triggered by setting any of text, encoding, errors or universal_newlines. + + The other arguments are the same as for the Popen constructor. + """ + if input is not None: + if kwargs.get('stdin') is not None: + raise ValueError('stdin and input arguments may not both be used.') + kwargs['stdin'] = PIPE + + if capture_output: + if kwargs.get('stdout') is not None or kwargs.get('stderr') is not None: + raise ValueError('stdout and stderr arguments may not be used ' + 'with capture_output.') + kwargs['stdout'] = PIPE + kwargs['stderr'] = PIPE + + with Popen(*popenargs, **kwargs) as process: + try: + stdout, stderr = process.communicate(input, timeout=timeout) + except TimeoutExpired as exc: + process.kill() + if _mswindows: + # Windows accumulates the output in a single blocking + # read() call run on child threads, with the timeout + # being done in a join() on those threads. communicate() + # _after_ kill() is required to collect that and add it + # to the exception. + exc.stdout, exc.stderr = process.communicate() + else: + # POSIX _communicate already populated the output so + # far into the TimeoutExpired exception. + process.wait() + raise + except: # Including KeyboardInterrupt, communicate handled that. + process.kill() + # We don't call process.wait() as .__exit__ does that for us. + raise + retcode = process.poll() + if check and retcode: +> raise CalledProcessError(retcode, process.args, + output=stdout, stderr=stderr) +E subprocess.CalledProcessError: Command '['/home/girji/xls/bazel-bin/xls/dslx/ir_convert/ir_converter_main', '--top=myproject', 'myproject.x']' returned non-zero exit status 1. + +/home/girji/miniconda3/envs/hls4ml/lib/python3.10/subprocess.py:526: CalledProcessError +---------------------------- Captured stdout setup ----------------------------- +pytest-randomly: reseed with 3154144948 +----------------------------- Captured stdout call ----------------------------- +pytest-randomly: reseed with 3154144949 +WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) +XLS: 0 x: -0.0 +XLS: 1 x: -0.03125 +XLS: 2 x: -0.0625 +XLS: 3 x: -0.09375 +XLS: 4 x: -0.125 +XLS: 5 x: -0.15625 +XLS: 6 x: -0.1875 +XLS: 7 x: -0.21875 +XLS: 8 x: -0.25 +XLS: 9 x: -0.28125 +XLS: 10 x: -0.3125 +XLS: 11 x: -0.34375 +XLS: 12 x: -0.375 +XLS: 13 x: -0.40625 +XLS: 14 x: -0.4375 +XLS: 15 x: -0.46875 +XLS: 16 x: -0.5 +XLS: 17 x: -0.53125 +XLS: 18 x: -0.5625 +XLS: 19 x: -0.59375 +XLS: 20 x: -0.625 +XLS: 21 x: -0.65625 +XLS: 22 x: -0.6875 +XLS: 23 x: -0.71875 +XLS: 24 x: -0.75 +XLS: 25 x: -0.78125 +XLS: 26 x: -0.8125 +XLS: 27 x: -0.84375 +XLS: 28 x: -0.875 +XLS: 29 x: -0.90625 +XLS: 30 x: -0.9375 +XLS: 31 x: -0.96875 +XLS: 32 x: -1.0 +XLS: 33 x: -1.03125 +XLS: 34 x: -1.0625 +XLS: 35 x: -1.09375 +XLS: 36 x: -1.125 +XLS: 37 x: -1.15625 +XLS: 38 x: -1.1875 +XLS: 39 x: -1.21875 +XLS: 40 x: -1.25 +XLS: 41 x: -1.28125 +XLS: 42 x: -1.3125 +XLS: 43 x: -1.34375 +XLS: 44 x: -1.375 +XLS: 45 x: -1.40625 +XLS: 46 x: -1.4375 +XLS: 47 x: -1.46875 +XLS: 48 x: -1.5 +XLS: 49 x: -1.53125 +XLS: 50 x: -1.5625 +XLS: 51 x: -1.59375 +XLS: 52 x: -1.625 +XLS: 53 x: -1.65625 +XLS: 54 x: -1.6875 +XLS: 55 x: -1.71875 +XLS: 56 x: -1.75 +XLS: 57 x: -1.78125 +XLS: 58 x: -1.8125 +XLS: 59 x: -1.84375 +XLS: 60 x: -1.875 +XLS: 61 x: -1.90625 +XLS: 62 x: -1.9375 +XLS: 63 x: -1.96875 +XLS: 64 x: -2.0 +XLS: 65 x: -2.03125 +XLS: 66 x: -2.0625 +XLS: 67 x: -2.09375 +XLS: 68 x: -2.125 +XLS: 69 x: -2.15625 +XLS: 70 x: -2.1875 +XLS: 71 x: -2.21875 +XLS: 72 x: -2.25 +XLS: 73 x: -2.28125 +XLS: 74 x: -2.3125 +XLS: 75 x: -2.34375 +XLS: 76 x: -2.375 +XLS: 77 x: -2.40625 +XLS: 78 x: -2.4375 +XLS: 79 x: -2.46875 +XLS: 80 x: -2.5 +XLS: 81 x: -2.53125 +XLS: 82 x: -2.5625 +XLS: 83 x: -2.59375 +XLS: 84 x: -2.625 +XLS: 85 x: -2.65625 +XLS: 86 x: -2.6875 +XLS: 87 x: -2.71875 +XLS: 88 x: -2.75 +XLS: 89 x: -2.78125 +XLS: 90 x: -2.8125 +XLS: 91 x: -2.84375 +XLS: 92 x: -2.875 +XLS: 93 x: -2.90625 +XLS: 94 x: -2.9375 +XLS: 95 x: -2.96875 +XLS: 96 x: -3.0 +XLS: 97 x: -3.03125 +XLS: 98 x: -3.0625 +XLS: 99 x: -3.09375 +XLS: 100 x: -3.125 +XLS: 101 x: -3.15625 +XLS: 102 x: -3.1875 +XLS: 103 x: -3.21875 +XLS: 104 x: -3.25 +XLS: 105 x: -3.28125 +XLS: 106 x: -3.3125 +XLS: 107 x: -3.34375 +XLS: 108 x: -3.375 +XLS: 109 x: -3.40625 +XLS: 110 x: -3.4375 +XLS: 111 x: -3.46875 +XLS: 112 x: -3.5 +XLS: 113 x: -3.53125 +XLS: 114 x: -3.5625 +XLS: 115 x: -3.59375 +XLS: 116 x: -3.625 +XLS: 117 x: -3.65625 +XLS: 118 x: -3.6875 +XLS: 119 x: -3.71875 +XLS: 120 x: -3.75 +XLS: 121 x: -3.78125 +XLS: 122 x: -3.8125 +XLS: 123 x: -3.84375 +XLS: 124 x: -3.875 +XLS: 125 x: -3.90625 +XLS: 126 x: -3.9375 +XLS: 127 x: -3.96875 +XLS: 128 x: -4.0 +XLS: 129 x: -4.03125 +XLS: 130 x: -4.0625 +XLS: 131 x: -4.09375 +XLS: 132 x: -4.125 +XLS: 133 x: -4.15625 +XLS: 134 x: -4.1875 +XLS: 135 x: -4.21875 +XLS: 136 x: -4.25 +XLS: 137 x: -4.28125 +XLS: 138 x: -4.3125 +XLS: 139 x: -4.34375 +XLS: 140 x: -4.375 +XLS: 141 x: -4.40625 +XLS: 142 x: -4.4375 +XLS: 143 x: -4.46875 +XLS: 144 x: -4.5 +XLS: 145 x: -4.53125 +XLS: 146 x: -4.5625 +XLS: 147 x: -4.59375 +XLS: 148 x: -4.625 +XLS: 149 x: -4.65625 +XLS: 150 x: -4.6875 +XLS: 151 x: -4.71875 +XLS: 152 x: -4.75 +XLS: 153 x: -4.78125 +XLS: 154 x: -4.8125 +XLS: 155 x: -4.84375 +XLS: 156 x: -4.875 +XLS: 157 x: -4.90625 +XLS: 158 x: -4.9375 +XLS: 159 x: -4.96875 +XLS: 160 x: -5.0 +XLS: 161 x: -5.03125 +XLS: 162 x: -5.0625 +XLS: 163 x: -5.09375 +XLS: 164 x: -5.125 +XLS: 165 x: -5.15625 +XLS: 166 x: -5.1875 +XLS: 167 x: -5.21875 +XLS: 168 x: -5.25 +XLS: 169 x: -5.28125 +XLS: 170 x: -5.3125 +XLS: 171 x: -5.34375 +XLS: 172 x: -5.375 +XLS: 173 x: -5.40625 +XLS: 174 x: -5.4375 +XLS: 175 x: -5.46875 +XLS: 176 x: -5.5 +XLS: 177 x: -5.53125 +XLS: 178 x: -5.5625 +XLS: 179 x: -5.59375 +XLS: 180 x: -5.625 +XLS: 181 x: -5.65625 +XLS: 182 x: -5.6875 +XLS: 183 x: -5.71875 +XLS: 184 x: -5.75 +XLS: 185 x: -5.78125 +XLS: 186 x: -5.8125 +XLS: 187 x: -5.84375 +XLS: 188 x: -5.875 +XLS: 189 x: -5.90625 +XLS: 190 x: -5.9375 +XLS: 191 x: -5.96875 +XLS: 192 x: -6.0 +XLS: 193 x: -6.03125 +XLS: 194 x: -6.0625 +XLS: 195 x: -6.09375 +XLS: 196 x: -6.125 +XLS: 197 x: -6.15625 +XLS: 198 x: -6.1875 +XLS: 199 x: -6.21875 +XLS: 200 x: -6.25 +XLS: 201 x: -6.28125 +XLS: 202 x: -6.3125 +XLS: 203 x: -6.34375 +XLS: 204 x: -6.375 +XLS: 205 x: -6.40625 +XLS: 206 x: -6.4375 +XLS: 207 x: -6.46875 +XLS: 208 x: -6.5 +XLS: 209 x: -6.53125 +XLS: 210 x: -6.5625 +XLS: 211 x: -6.59375 +XLS: 212 x: -6.625 +XLS: 213 x: -6.65625 +XLS: 214 x: -6.6875 +XLS: 215 x: -6.71875 +XLS: 216 x: -6.75 +XLS: 217 x: -6.78125 +XLS: 218 x: -6.8125 +XLS: 219 x: -6.84375 +XLS: 220 x: -6.875 +XLS: 221 x: -6.90625 +XLS: 222 x: -6.9375 +XLS: 223 x: -6.96875 +XLS: 224 x: -7.0 +XLS: 225 x: -7.03125 +XLS: 226 x: -7.0625 +XLS: 227 x: -7.09375 +XLS: 228 x: -7.125 +XLS: 229 x: -7.15625 +XLS: 230 x: -7.1875 +XLS: 231 x: -7.21875 +XLS: 232 x: -7.25 +XLS: 233 x: -7.28125 +XLS: 234 x: -7.3125 +XLS: 235 x: -7.34375 +XLS: 236 x: -7.375 +XLS: 237 x: -7.40625 +XLS: 238 x: -7.4375 +XLS: 239 x: -7.46875 +XLS: 240 x: -7.5 +XLS: 241 x: -7.53125 +XLS: 242 x: -7.5625 +XLS: 243 x: -7.59375 +XLS: 244 x: -7.625 +XLS: 245 x: -7.65625 +XLS: 246 x: -7.6875 +XLS: 247 x: -7.71875 +XLS: 248 x: -7.75 +XLS: 249 x: -7.78125 +XLS: 250 x: -7.8125 +XLS: 251 x: -7.84375 +XLS: 252 x: -7.875 +XLS: 253 x: -7.90625 +XLS: 254 x: -7.9375 +XLS: 255 x: -7.96875 +XLS: 256 x: -8.0 +XLS: 257 x: -8.03125 +XLS: 258 x: -8.0625 +XLS: 259 x: -8.09375 +XLS: 260 x: -8.125 +XLS: 261 x: -8.15625 +XLS: 262 x: -8.1875 +XLS: 263 x: -8.21875 +XLS: 264 x: -8.25 +XLS: 265 x: -8.28125 +XLS: 266 x: -8.3125 +XLS: 267 x: -8.34375 +XLS: 268 x: -8.375 +XLS: 269 x: -8.40625 +XLS: 270 x: -8.4375 +XLS: 271 x: -8.46875 +XLS: 272 x: -8.5 +XLS: 273 x: -8.53125 +XLS: 274 x: -8.5625 +XLS: 275 x: -8.59375 +XLS: 276 x: -8.625 +XLS: 277 x: -8.65625 +XLS: 278 x: -8.6875 +XLS: 279 x: -8.71875 +XLS: 280 x: -8.75 +XLS: 281 x: -8.78125 +XLS: 282 x: -8.8125 +XLS: 283 x: -8.84375 +XLS: 284 x: -8.875 +XLS: 285 x: -8.90625 +XLS: 286 x: -8.9375 +XLS: 287 x: -8.96875 +XLS: 288 x: -9.0 +XLS: 289 x: -9.03125 +XLS: 290 x: -9.0625 +XLS: 291 x: -9.09375 +XLS: 292 x: -9.125 +XLS: 293 x: -9.15625 +XLS: 294 x: -9.1875 +XLS: 295 x: -9.21875 +XLS: 296 x: -9.25 +XLS: 297 x: -9.28125 +XLS: 298 x: -9.3125 +XLS: 299 x: -9.34375 +XLS: 300 x: -9.375 +XLS: 301 x: -9.40625 +XLS: 302 x: -9.4375 +XLS: 303 x: -9.46875 +XLS: 304 x: -9.5 +XLS: 305 x: -9.53125 +XLS: 306 x: -9.5625 +XLS: 307 x: -9.59375 +XLS: 308 x: -9.625 +XLS: 309 x: -9.65625 +XLS: 310 x: -9.6875 +XLS: 311 x: -9.71875 +XLS: 312 x: -9.75 +XLS: 313 x: -9.78125 +XLS: 314 x: -9.8125 +XLS: 315 x: -9.84375 +XLS: 316 x: -9.875 +XLS: 317 x: -9.90625 +XLS: 318 x: -9.9375 +XLS: 319 x: -9.96875 +XLS: 320 x: -10.0 +XLS: 321 x: -10.03125 +XLS: 322 x: -10.0625 +XLS: 323 x: -10.09375 +XLS: 324 x: -10.125 +XLS: 325 x: -10.15625 +XLS: 326 x: -10.1875 +XLS: 327 x: -10.21875 +XLS: 328 x: -10.25 +XLS: 329 x: -10.28125 +XLS: 330 x: -10.3125 +XLS: 331 x: -10.34375 +XLS: 332 x: -10.375 +XLS: 333 x: -10.40625 +XLS: 334 x: -10.4375 +XLS: 335 x: -10.46875 +XLS: 336 x: -10.5 +XLS: 337 x: -10.53125 +XLS: 338 x: -10.5625 +XLS: 339 x: -10.59375 +XLS: 340 x: -10.625 +XLS: 341 x: -10.65625 +XLS: 342 x: -10.6875 +XLS: 343 x: -10.71875 +XLS: 344 x: -10.75 +XLS: 345 x: -10.78125 +XLS: 346 x: -10.8125 +XLS: 347 x: -10.84375 +XLS: 348 x: -10.875 +XLS: 349 x: -10.90625 +XLS: 350 x: -10.9375 +XLS: 351 x: -10.96875 +XLS: 352 x: -11.0 +XLS: 353 x: -11.03125 +XLS: 354 x: -11.0625 +XLS: 355 x: -11.09375 +XLS: 356 x: -11.125 +XLS: 357 x: -11.15625 +XLS: 358 x: -11.1875 +XLS: 359 x: -11.21875 +XLS: 360 x: -11.25 +XLS: 361 x: -11.28125 +XLS: 362 x: -11.3125 +XLS: 363 x: -11.34375 +XLS: 364 x: -11.375 +XLS: 365 x: -11.40625 +XLS: 366 x: -11.4375 +XLS: 367 x: -11.46875 +XLS: 368 x: -11.5 +XLS: 369 x: -11.53125 +XLS: 370 x: -11.5625 +XLS: 371 x: -11.59375 +XLS: 372 x: -11.625 +XLS: 373 x: -11.65625 +XLS: 374 x: -11.6875 +XLS: 375 x: -11.71875 +XLS: 376 x: -11.75 +XLS: 377 x: -11.78125 +XLS: 378 x: -11.8125 +XLS: 379 x: -11.84375 +XLS: 380 x: -11.875 +XLS: 381 x: -11.90625 +XLS: 382 x: -11.9375 +XLS: 383 x: -11.96875 +XLS: 384 x: -12.0 +XLS: 385 x: -12.03125 +XLS: 386 x: -12.0625 +XLS: 387 x: -12.09375 +XLS: 388 x: -12.125 +XLS: 389 x: -12.15625 +XLS: 390 x: -12.1875 +XLS: 391 x: -12.21875 +XLS: 392 x: -12.25 +XLS: 393 x: -12.28125 +XLS: 394 x: -12.3125 +XLS: 395 x: -12.34375 +XLS: 396 x: -12.375 +XLS: 397 x: -12.40625 +XLS: 398 x: -12.4375 +XLS: 399 x: -12.46875 +XLS: 400 x: -12.5 +XLS: 401 x: -12.53125 +XLS: 402 x: -12.5625 +XLS: 403 x: -12.59375 +XLS: 404 x: -12.625 +XLS: 405 x: -12.65625 +XLS: 406 x: -12.6875 +XLS: 407 x: -12.71875 +XLS: 408 x: -12.75 +XLS: 409 x: -12.78125 +XLS: 410 x: -12.8125 +XLS: 411 x: -12.84375 +XLS: 412 x: -12.875 +XLS: 413 x: -12.90625 +XLS: 414 x: -12.9375 +XLS: 415 x: -12.96875 +XLS: 416 x: -13.0 +XLS: 417 x: -13.03125 +XLS: 418 x: -13.0625 +XLS: 419 x: -13.09375 +XLS: 420 x: -13.125 +XLS: 421 x: -13.15625 +XLS: 422 x: -13.1875 +XLS: 423 x: -13.21875 +XLS: 424 x: -13.25 +XLS: 425 x: -13.28125 +XLS: 426 x: -13.3125 +XLS: 427 x: -13.34375 +XLS: 428 x: -13.375 +XLS: 429 x: -13.40625 +XLS: 430 x: -13.4375 +XLS: 431 x: -13.46875 +XLS: 432 x: -13.5 +XLS: 433 x: -13.53125 +XLS: 434 x: -13.5625 +XLS: 435 x: -13.59375 +XLS: 436 x: -13.625 +XLS: 437 x: -13.65625 +XLS: 438 x: -13.6875 +XLS: 439 x: -13.71875 +XLS: 440 x: -13.75 +XLS: 441 x: -13.78125 +XLS: 442 x: -13.8125 +XLS: 443 x: -13.84375 +XLS: 444 x: -13.875 +XLS: 445 x: -13.90625 +XLS: 446 x: -13.9375 +XLS: 447 x: -13.96875 +XLS: 448 x: -14.0 +XLS: 449 x: -14.03125 +XLS: 450 x: -14.0625 +XLS: 451 x: -14.09375 +XLS: 452 x: -14.125 +XLS: 453 x: -14.15625 +XLS: 454 x: -14.1875 +XLS: 455 x: -14.21875 +XLS: 456 x: -14.25 +XLS: 457 x: -14.28125 +XLS: 458 x: -14.3125 +XLS: 459 x: -14.34375 +XLS: 460 x: -14.375 +XLS: 461 x: -14.40625 +XLS: 462 x: -14.4375 +XLS: 463 x: -14.46875 +XLS: 464 x: -14.5 +XLS: 465 x: -14.53125 +XLS: 466 x: -14.5625 +XLS: 467 x: -14.59375 +XLS: 468 x: -14.625 +XLS: 469 x: -14.65625 +XLS: 470 x: -14.6875 +XLS: 471 x: -14.71875 +XLS: 472 x: -14.75 +XLS: 473 x: -14.78125 +XLS: 474 x: -14.8125 +XLS: 475 x: -14.84375 +XLS: 476 x: -14.875 +XLS: 477 x: -14.90625 +XLS: 478 x: -14.9375 +XLS: 479 x: -14.96875 +XLS: 480 x: -15.0 +XLS: 481 x: -15.03125 +XLS: 482 x: -15.0625 +XLS: 483 x: -15.09375 +XLS: 484 x: -15.125 +XLS: 485 x: -15.15625 +XLS: 486 x: -15.1875 +XLS: 487 x: -15.21875 +XLS: 488 x: -15.25 +XLS: 489 x: -15.28125 +XLS: 490 x: -15.3125 +XLS: 491 x: -15.34375 +XLS: 492 x: -15.375 +XLS: 493 x: -15.40625 +XLS: 494 x: -15.4375 +XLS: 495 x: -15.46875 +XLS: 496 x: -15.5 +XLS: 497 x: -15.53125 +XLS: 498 x: -15.5625 +XLS: 499 x: -15.59375 +XLS: 500 x: -15.625 +XLS: 501 x: -15.65625 +XLS: 502 x: -15.6875 +XLS: 503 x: -15.71875 +XLS: 504 x: -15.75 +XLS: 505 x: -15.78125 +XLS: 506 x: -15.8125 +XLS: 507 x: -15.84375 +XLS: 508 x: -15.875 +XLS: 509 x: -15.90625 +XLS: 510 x: -15.9375 +XLS: 511 x: -15.96875 +XLS: 512 x: -16.0 +XLS: 513 x: -16.03125 +XLS: 514 x: -16.0625 +XLS: 515 x: -16.09375 +XLS: 516 x: -16.125 +XLS: 517 x: -16.15625 +XLS: 518 x: -16.1875 +XLS: 519 x: -16.21875 +XLS: 520 x: -16.25 +XLS: 521 x: -16.28125 +XLS: 522 x: -16.3125 +XLS: 523 x: -16.34375 +XLS: 524 x: -16.375 +XLS: 525 x: -16.40625 +XLS: 526 x: -16.4375 +XLS: 527 x: -16.46875 +XLS: 528 x: -16.5 +XLS: 529 x: -16.53125 +XLS: 530 x: -16.5625 +XLS: 531 x: -16.59375 +XLS: 532 x: -16.625 +XLS: 533 x: -16.65625 +XLS: 534 x: -16.6875 +XLS: 535 x: -16.71875 +XLS: 536 x: -16.75 +XLS: 537 x: -16.78125 +XLS: 538 x: -16.8125 +XLS: 539 x: -16.84375 +XLS: 540 x: -16.875 +XLS: 541 x: -16.90625 +XLS: 542 x: -16.9375 +XLS: 543 x: -16.96875 +XLS: 544 x: -17.0 +XLS: 545 x: -17.03125 +XLS: 546 x: -17.0625 +XLS: 547 x: -17.09375 +XLS: 548 x: -17.125 +XLS: 549 x: -17.15625 +XLS: 550 x: -17.1875 +XLS: 551 x: -17.21875 +XLS: 552 x: -17.25 +XLS: 553 x: -17.28125 +XLS: 554 x: -17.3125 +XLS: 555 x: -17.34375 +XLS: 556 x: -17.375 +XLS: 557 x: -17.40625 +XLS: 558 x: -17.4375 +XLS: 559 x: -17.46875 +XLS: 560 x: -17.5 +XLS: 561 x: -17.53125 +XLS: 562 x: -17.5625 +XLS: 563 x: -17.59375 +XLS: 564 x: -17.625 +XLS: 565 x: -17.65625 +XLS: 566 x: -17.6875 +XLS: 567 x: -17.71875 +XLS: 568 x: -17.75 +XLS: 569 x: -17.78125 +XLS: 570 x: -17.8125 +XLS: 571 x: -17.84375 +XLS: 572 x: -17.875 +XLS: 573 x: -17.90625 +XLS: 574 x: -17.9375 +XLS: 575 x: -17.96875 +XLS: 576 x: -18.0 +XLS: 577 x: -18.03125 +XLS: 578 x: -18.0625 +XLS: 579 x: -18.09375 +XLS: 580 x: -18.125 +XLS: 581 x: -18.15625 +XLS: 582 x: -18.1875 +XLS: 583 x: -18.21875 +XLS: 584 x: -18.25 +XLS: 585 x: -18.28125 +XLS: 586 x: -18.3125 +XLS: 587 x: -18.34375 +XLS: 588 x: -18.375 +XLS: 589 x: -18.40625 +XLS: 590 x: -18.4375 +XLS: 591 x: -18.46875 +XLS: 592 x: -18.5 +XLS: 593 x: -18.53125 +XLS: 594 x: -18.5625 +XLS: 595 x: -18.59375 +XLS: 596 x: -18.625 +XLS: 597 x: -18.65625 +XLS: 598 x: -18.6875 +XLS: 599 x: -18.71875 +XLS: 600 x: -18.75 +XLS: 601 x: -18.78125 +XLS: 602 x: -18.8125 +XLS: 603 x: -18.84375 +XLS: 604 x: -18.875 +XLS: 605 x: -18.90625 +XLS: 606 x: -18.9375 +XLS: 607 x: -18.96875 +XLS: 608 x: -19.0 +XLS: 609 x: -19.03125 +XLS: 610 x: -19.0625 +XLS: 611 x: -19.09375 +XLS: 612 x: -19.125 +XLS: 613 x: -19.15625 +XLS: 614 x: -19.1875 +XLS: 615 x: -19.21875 +XLS: 616 x: -19.25 +XLS: 617 x: -19.28125 +XLS: 618 x: -19.3125 +XLS: 619 x: -19.34375 +XLS: 620 x: -19.375 +XLS: 621 x: -19.40625 +XLS: 622 x: -19.4375 +XLS: 623 x: -19.46875 +XLS: 624 x: -19.5 +XLS: 625 x: -19.53125 +XLS: 626 x: -19.5625 +XLS: 627 x: -19.59375 +XLS: 628 x: -19.625 +XLS: 629 x: -19.65625 +XLS: 630 x: -19.6875 +XLS: 631 x: -19.71875 +XLS: 632 x: -19.75 +XLS: 633 x: -19.78125 +XLS: 634 x: -19.8125 +XLS: 635 x: -19.84375 +XLS: 636 x: -19.875 +XLS: 637 x: -19.90625 +XLS: 638 x: -19.9375 +XLS: 639 x: -19.96875 +XLS: 640 x: -20.0 +XLS: 641 x: -20.03125 +XLS: 642 x: -20.0625 +XLS: 643 x: -20.09375 +XLS: 644 x: -20.125 +XLS: 645 x: -20.15625 +XLS: 646 x: -20.1875 +XLS: 647 x: -20.21875 +XLS: 648 x: -20.25 +XLS: 649 x: -20.28125 +XLS: 650 x: -20.3125 +XLS: 651 x: -20.34375 +XLS: 652 x: -20.375 +XLS: 653 x: -20.40625 +XLS: 654 x: -20.4375 +XLS: 655 x: -20.46875 +XLS: 656 x: -20.5 +XLS: 657 x: -20.53125 +XLS: 658 x: -20.5625 +XLS: 659 x: -20.59375 +XLS: 660 x: -20.625 +XLS: 661 x: -20.65625 +XLS: 662 x: -20.6875 +XLS: 663 x: -20.71875 +XLS: 664 x: -20.75 +XLS: 665 x: -20.78125 +XLS: 666 x: -20.8125 +XLS: 667 x: -20.84375 +XLS: 668 x: -20.875 +XLS: 669 x: -20.90625 +XLS: 670 x: -20.9375 +XLS: 671 x: -20.96875 +XLS: 672 x: -21.0 +XLS: 673 x: -21.03125 +XLS: 674 x: -21.0625 +XLS: 675 x: -21.09375 +XLS: 676 x: -21.125 +XLS: 677 x: -21.15625 +XLS: 678 x: -21.1875 +XLS: 679 x: -21.21875 +XLS: 680 x: -21.25 +XLS: 681 x: -21.28125 +XLS: 682 x: -21.3125 +XLS: 683 x: -21.34375 +XLS: 684 x: -21.375 +XLS: 685 x: -21.40625 +XLS: 686 x: -21.4375 +XLS: 687 x: -21.46875 +XLS: 688 x: -21.5 +XLS: 689 x: -21.53125 +XLS: 690 x: -21.5625 +XLS: 691 x: -21.59375 +XLS: 692 x: -21.625 +XLS: 693 x: -21.65625 +XLS: 694 x: -21.6875 +XLS: 695 x: -21.71875 +XLS: 696 x: -21.75 +XLS: 697 x: -21.78125 +XLS: 698 x: -21.8125 +XLS: 699 x: -21.84375 +XLS: 700 x: -21.875 +XLS: 701 x: -21.90625 +XLS: 702 x: -21.9375 +XLS: 703 x: -21.96875 +XLS: 704 x: -22.0 +XLS: 705 x: -22.03125 +XLS: 706 x: -22.0625 +XLS: 707 x: -22.09375 +XLS: 708 x: -22.125 +XLS: 709 x: -22.15625 +XLS: 710 x: -22.1875 +XLS: 711 x: -22.21875 +XLS: 712 x: -22.25 +XLS: 713 x: -22.28125 +XLS: 714 x: -22.3125 +XLS: 715 x: -22.34375 +XLS: 716 x: -22.375 +XLS: 717 x: -22.40625 +XLS: 718 x: -22.4375 +XLS: 719 x: -22.46875 +XLS: 720 x: -22.5 +XLS: 721 x: -22.53125 +XLS: 722 x: -22.5625 +XLS: 723 x: -22.59375 +XLS: 724 x: -22.625 +XLS: 725 x: -22.65625 +XLS: 726 x: -22.6875 +XLS: 727 x: -22.71875 +XLS: 728 x: -22.75 +XLS: 729 x: -22.78125 +XLS: 730 x: -22.8125 +XLS: 731 x: -22.84375 +XLS: 732 x: -22.875 +XLS: 733 x: -22.90625 +XLS: 734 x: -22.9375 +XLS: 735 x: -22.96875 +XLS: 736 x: -23.0 +XLS: 737 x: -23.03125 +XLS: 738 x: -23.0625 +XLS: 739 x: -23.09375 +XLS: 740 x: -23.125 +XLS: 741 x: -23.15625 +XLS: 742 x: -23.1875 +XLS: 743 x: -23.21875 +XLS: 744 x: -23.25 +XLS: 745 x: -23.28125 +XLS: 746 x: -23.3125 +XLS: 747 x: -23.34375 +XLS: 748 x: -23.375 +XLS: 749 x: -23.40625 +XLS: 750 x: -23.4375 +XLS: 751 x: -23.46875 +XLS: 752 x: -23.5 +XLS: 753 x: -23.53125 +XLS: 754 x: -23.5625 +XLS: 755 x: -23.59375 +XLS: 756 x: -23.625 +XLS: 757 x: -23.65625 +XLS: 758 x: -23.6875 +XLS: 759 x: -23.71875 +XLS: 760 x: -23.75 +XLS: 761 x: -23.78125 +XLS: 762 x: -23.8125 +XLS: 763 x: -23.84375 +XLS: 764 x: -23.875 +XLS: 765 x: -23.90625 +XLS: 766 x: -23.9375 +XLS: 767 x: -23.96875 +XLS: 768 x: -24.0 +XLS: 769 x: -24.03125 +XLS: 770 x: -24.0625 +XLS: 771 x: -24.09375 +XLS: 772 x: -24.125 +XLS: 773 x: -24.15625 +XLS: 774 x: -24.1875 +XLS: 775 x: -24.21875 +XLS: 776 x: -24.25 +XLS: 777 x: -24.28125 +XLS: 778 x: -24.3125 +XLS: 779 x: -24.34375 +XLS: 780 x: -24.375 +XLS: 781 x: -24.40625 +XLS: 782 x: -24.4375 +XLS: 783 x: -24.46875 +XLS: 784 x: -24.5 +XLS: 785 x: -24.53125 +XLS: 786 x: -24.5625 +XLS: 787 x: -24.59375 +XLS: 788 x: -24.625 +XLS: 789 x: -24.65625 +XLS: 790 x: -24.6875 +XLS: 791 x: -24.71875 +XLS: 792 x: -24.75 +XLS: 793 x: -24.78125 +XLS: 794 x: -24.8125 +XLS: 795 x: -24.84375 +XLS: 796 x: -24.875 +XLS: 797 x: -24.90625 +XLS: 798 x: -24.9375 +XLS: 799 x: -24.96875 +XLS: 800 x: -25.0 +XLS: 801 x: -25.03125 +XLS: 802 x: -25.0625 +XLS: 803 x: -25.09375 +XLS: 804 x: -25.125 +XLS: 805 x: -25.15625 +XLS: 806 x: -25.1875 +XLS: 807 x: -25.21875 +XLS: 808 x: -25.25 +XLS: 809 x: -25.28125 +XLS: 810 x: -25.3125 +XLS: 811 x: -25.34375 +XLS: 812 x: -25.375 +XLS: 813 x: -25.40625 +XLS: 814 x: -25.4375 +XLS: 815 x: -25.46875 +XLS: 816 x: -25.5 +XLS: 817 x: -25.53125 +XLS: 818 x: -25.5625 +XLS: 819 x: -25.59375 +XLS: 820 x: -25.625 +XLS: 821 x: -25.65625 +XLS: 822 x: -25.6875 +XLS: 823 x: -25.71875 +XLS: 824 x: -25.75 +XLS: 825 x: -25.78125 +XLS: 826 x: -25.8125 +XLS: 827 x: -25.84375 +XLS: 828 x: -25.875 +XLS: 829 x: -25.90625 +XLS: 830 x: -25.9375 +XLS: 831 x: -25.96875 +XLS: 832 x: -26.0 +XLS: 833 x: -26.03125 +XLS: 834 x: -26.0625 +XLS: 835 x: -26.09375 +XLS: 836 x: -26.125 +XLS: 837 x: -26.15625 +XLS: 838 x: -26.1875 +XLS: 839 x: -26.21875 +XLS: 840 x: -26.25 +XLS: 841 x: -26.28125 +XLS: 842 x: -26.3125 +XLS: 843 x: -26.34375 +XLS: 844 x: -26.375 +XLS: 845 x: -26.40625 +XLS: 846 x: -26.4375 +XLS: 847 x: -26.46875 +XLS: 848 x: -26.5 +XLS: 849 x: -26.53125 +XLS: 850 x: -26.5625 +XLS: 851 x: -26.59375 +XLS: 852 x: -26.625 +XLS: 853 x: -26.65625 +XLS: 854 x: -26.6875 +XLS: 855 x: -26.71875 +XLS: 856 x: -26.75 +XLS: 857 x: -26.78125 +XLS: 858 x: -26.8125 +XLS: 859 x: -26.84375 +XLS: 860 x: -26.875 +XLS: 861 x: -26.90625 +XLS: 862 x: -26.9375 +XLS: 863 x: -26.96875 +XLS: 864 x: -27.0 +XLS: 865 x: -27.03125 +XLS: 866 x: -27.0625 +XLS: 867 x: -27.09375 +XLS: 868 x: -27.125 +XLS: 869 x: -27.15625 +XLS: 870 x: -27.1875 +XLS: 871 x: -27.21875 +XLS: 872 x: -27.25 +XLS: 873 x: -27.28125 +XLS: 874 x: -27.3125 +XLS: 875 x: -27.34375 +XLS: 876 x: -27.375 +XLS: 877 x: -27.40625 +XLS: 878 x: -27.4375 +XLS: 879 x: -27.46875 +XLS: 880 x: -27.5 +XLS: 881 x: -27.53125 +XLS: 882 x: -27.5625 +XLS: 883 x: -27.59375 +XLS: 884 x: -27.625 +XLS: 885 x: -27.65625 +XLS: 886 x: -27.6875 +XLS: 887 x: -27.71875 +XLS: 888 x: -27.75 +XLS: 889 x: -27.78125 +XLS: 890 x: -27.8125 +XLS: 891 x: -27.84375 +XLS: 892 x: -27.875 +XLS: 893 x: -27.90625 +XLS: 894 x: -27.9375 +XLS: 895 x: -27.96875 +XLS: 896 x: -28.0 +XLS: 897 x: -28.03125 +XLS: 898 x: -28.0625 +XLS: 899 x: -28.09375 +XLS: 900 x: -28.125 +XLS: 901 x: -28.15625 +XLS: 902 x: -28.1875 +XLS: 903 x: -28.21875 +XLS: 904 x: -28.25 +XLS: 905 x: -28.28125 +XLS: 906 x: -28.3125 +XLS: 907 x: -28.34375 +XLS: 908 x: -28.375 +XLS: 909 x: -28.40625 +XLS: 910 x: -28.4375 +XLS: 911 x: -28.46875 +XLS: 912 x: -28.5 +XLS: 913 x: -28.53125 +XLS: 914 x: -28.5625 +XLS: 915 x: -28.59375 +XLS: 916 x: -28.625 +XLS: 917 x: -28.65625 +XLS: 918 x: -28.6875 +XLS: 919 x: -28.71875 +XLS: 920 x: -28.75 +XLS: 921 x: -28.78125 +XLS: 922 x: -28.8125 +XLS: 923 x: -28.84375 +XLS: 924 x: -28.875 +XLS: 925 x: -28.90625 +XLS: 926 x: -28.9375 +XLS: 927 x: -28.96875 +XLS: 928 x: -29.0 +XLS: 929 x: -29.03125 +XLS: 930 x: -29.0625 +XLS: 931 x: -29.09375 +XLS: 932 x: -29.125 +XLS: 933 x: -29.15625 +XLS: 934 x: -29.1875 +XLS: 935 x: -29.21875 +XLS: 936 x: -29.25 +XLS: 937 x: -29.28125 +XLS: 938 x: -29.3125 +XLS: 939 x: -29.34375 +XLS: 940 x: -29.375 +XLS: 941 x: -29.40625 +XLS: 942 x: -29.4375 +XLS: 943 x: -29.46875 +XLS: 944 x: -29.5 +XLS: 945 x: -29.53125 +XLS: 946 x: -29.5625 +XLS: 947 x: -29.59375 +XLS: 948 x: -29.625 +XLS: 949 x: -29.65625 +XLS: 950 x: -29.6875 +XLS: 951 x: -29.71875 +XLS: 952 x: -29.75 +XLS: 953 x: -29.78125 +XLS: 954 x: -29.8125 +XLS: 955 x: -29.84375 +XLS: 956 x: -29.875 +XLS: 957 x: -29.90625 +XLS: 958 x: -29.9375 +XLS: 959 x: -29.96875 +XLS: 960 x: -30.0 +XLS: 961 x: -30.03125 +XLS: 962 x: -30.0625 +XLS: 963 x: -30.09375 +XLS: 964 x: -30.125 +XLS: 965 x: -30.15625 +XLS: 966 x: -30.1875 +XLS: 967 x: -30.21875 +XLS: 968 x: -30.25 +XLS: 969 x: -30.28125 +XLS: 970 x: -30.3125 +XLS: 971 x: -30.34375 +XLS: 972 x: -30.375 +XLS: 973 x: -30.40625 +XLS: 974 x: -30.4375 +XLS: 975 x: -30.46875 +XLS: 976 x: -30.5 +XLS: 977 x: -30.53125 +XLS: 978 x: -30.5625 +XLS: 979 x: -30.59375 +XLS: 980 x: -30.625 +XLS: 981 x: -30.65625 +XLS: 982 x: -30.6875 +XLS: 983 x: -30.71875 +XLS: 984 x: -30.75 +XLS: 985 x: -30.78125 +XLS: 986 x: -30.8125 +XLS: 987 x: -30.84375 +XLS: 988 x: -30.875 +XLS: 989 x: -30.90625 +XLS: 990 x: -30.9375 +XLS: 991 x: -30.96875 +XLS: 992 x: -31.0 +XLS: 993 x: -31.03125 +XLS: 994 x: -31.0625 +XLS: 995 x: -31.09375 +XLS: 996 x: -31.125 +XLS: 997 x: -31.15625 +XLS: 998 x: -31.1875 +XLS: 999 x: -31.21875 +XLS: 1000 x: -31.25 +XLS: 1001 x: -31.28125 +XLS: 1002 x: -31.3125 +XLS: 1003 x: -31.34375 +XLS: 1004 x: -31.375 +XLS: 1005 x: -31.40625 +XLS: 1006 x: -31.4375 +XLS: 1007 x: -31.46875 +XLS: 1008 x: -31.5 +XLS: 1009 x: -31.53125 +XLS: 1010 x: -31.5625 +XLS: 1011 x: -31.59375 +XLS: 1012 x: -31.625 +XLS: 1013 x: -31.65625 +XLS: 1014 x: -31.6875 +XLS: 1015 x: -31.71875 +XLS: 1016 x: -31.75 +XLS: 1017 x: -31.78125 +XLS: 1018 x: -31.8125 +XLS: 1019 x: -31.84375 +XLS: 1020 x: -31.875 +XLS: 1021 x: -31.90625 +XLS: 1022 x: -31.9375 +XLS: 1023 x: -31.96875 +----------------------------- Captured stderr call ----------------------------- +ap_types/lookup_tables.x:34:5-34:19 +0032: // hls-fpga-machine-learning insert exponent table +0033: pub const EXP_TABLE = sN[u32:9][u32:1024]:[ +0034: sN[u32:9]:1024,sN[u32:9]:992,sN[u32:9]:962,sN[u32:9]:932,sN[u32:9]:904,sN[u32:9]:876,sN[u32:9]:849,sN[u32:9]:823, +~~~~~~~~~~^------------^ TypeInferenceError: sN[9] Value '1024' does not fit in the bitwidth of a sN[9] (9). Valid values are [-256, 255]. +0035: sN[u32:9]:797,sN[u32:9]:773,sN[u32:9]:749,sN[u32:9]:726,sN[u32:9]:704,sN[u32:9]:682,sN[u32:9]:661,sN[u32:9]:641, +0036: sN[u32:9]:621,sN[u32:9]:602,sN[u32:9]:583,sN[u32:9]:566,sN[u32:9]:548,sN[u32:9]:531,sN[u32:9]:515,sN[u32:9]:499, +Error: INVALID_ARGUMENT: TypeInferenceError: ap_types/lookup_tables.x:34:5-34:19 sN[9] Value '1024' does not fit in the bitwidth of a sN[9] (9). Valid values are [-256, 255]. +--------------------------- Captured stdout teardown --------------------------- +pytest-randomly: reseed with 3154144950 +_____ test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-Vivado] ______ + +backend = 'Vivado', strategy = 'stable' +generate_data = array([[13.9744297 , 15.92180375, 22.9723219 , ..., 8.19185447, + 7.68837113, 19.16780557], + [12.8074795...107, 28.08511306], + [ 5.51985369, 13.12982809, 4.56763497, ..., 3.48239095, + 12.02306702, 11.76489227]]) +input_bits = '16,6', input_shape = (8,), table_bits = '9,6' +io_type = 'io_parallel', custom_accum = False + + @pytest.mark.parametrize('backend', ['Vivado', 'XLS']) + @pytest.mark.parametrize('strategy', ['stable']) + @pytest.mark.parametrize( + 'input_bits,input_shape,table_bits,io_type,custom_accum', + [ + # ('16,6', (8,), '18,8', 'io_parallel', False), + ('16,6', (8,), '9,6', 'io_parallel', False), + ], + ) + def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): + X = generate_data + model = tf.keras.models.Sequential() + model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) + model.compile() + + table_type = f'fixed<{table_bits}, RND, SAT>' + + cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) + cfg['LayerName']['softmax']['Implementation'] = strategy + cfg['LayerName']['softmax']['inv_table_t'] = table_type + cfg['LayerName']['softmax']['exp_table_t'] = table_type + cfg['LayerName']['softmax']['accum_t'] = table_type + cfg['LayerName']['softmax']['inv_inp_t'] = table_type + if custom_accum: + if backend not in ['Vivado', 'Vitis']: + pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') + W, I = map(int, input_bits.split(',')) # noqa: E741 + cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' + cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' + inp_layer_name = next(iter(cfg['LayerName'].keys())) + cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' + + odir = str( + test_root_path + / ( + f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' + f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' + ) + ) + hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend + ) + hls_model.compile() + + y_keras = model.predict(X) + print("Y KERAS") + print(y_keras) + y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) + print("Y HLS") + print(y_hls4ml) + acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) + + print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') + +> assert acc_hls4ml >= 1.98 +E assert 0.9876 >= 1.98 + +/home/girji/workspace/forks/hls4ml/test/pytest/test_softmax.py:92: AssertionError +---------------------------- Captured stdout setup ----------------------------- +pytest-randomly: reseed with 3154144948 +----------------------------- Captured stdout call ----------------------------- +pytest-randomly: reseed with 3154144949 +WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) + 1/157 [..............................] - ETA: 5s 97/157 [=================>............] - ETA: 0s 157/157 [==============================] - 0s 514us/step +Y KERAS +[[8.5675143e-05 6.0060417e-04 6.9277126e-01 ... 2.6394545e-07 + 1.5953441e-07 1.5427970e-02] + [1.2561338e-08 2.7914938e-12 2.1759163e-09 ... 1.7508401e-06 + 4.7938420e-10 3.7804011e-08] + [5.0127023e-01 4.9872965e-01 7.5455641e-13 ... 4.5744308e-11 + 1.1251919e-07 2.6965372e-09] + ... + [8.2380371e-03 2.0399207e-11 2.0492582e-02 ... 1.8373512e-04 + 9.7096980e-01 6.8141599e-09] + [8.1300854e-08 9.5248114e-16 2.0201478e-04 ... 1.0395909e-09 + 2.2944779e-04 9.9956721e-01] + [1.6274894e-08 3.2846438e-05 6.2802177e-09 ... 2.1215807e-09 + 1.0859957e-05 8.3888826e-06]] +exp_table[0] = 1 X: -0 +exp_table[1] = 1 X: -0.0625 +exp_table[2] = 0.875 X: -0.125 +exp_table[3] = 0.875 X: -0.1875 +exp_table[4] = 0.75 X: -0.25 +exp_table[5] = 0.75 X: -0.3125 +exp_table[6] = 0.625 X: -0.375 +exp_table[7] = 0.625 X: -0.4375 +exp_table[8] = 0.625 X: -0.5 +exp_table[9] = 0.625 X: -0.5625 +exp_table[10] = 0.5 X: -0.625 +exp_table[11] = 0.5 X: -0.6875 +exp_table[12] = 0.5 X: -0.75 +exp_table[13] = 0.5 X: -0.8125 +exp_table[14] = 0.375 X: -0.875 +exp_table[15] = 0.375 X: -0.9375 +exp_table[16] = 0.375 X: -1 +exp_table[17] = 0.375 X: -1.0625 +exp_table[18] = 0.375 X: -1.125 +exp_table[19] = 0.25 X: -1.1875 +exp_table[20] = 0.25 X: -1.25 +exp_table[21] = 0.25 X: -1.3125 +exp_table[22] = 0.25 X: -1.375 +exp_table[23] = 0.25 X: -1.4375 +exp_table[24] = 0.25 X: -1.5 +exp_table[25] = 0.25 X: -1.5625 +exp_table[26] = 0.25 X: -1.625 +exp_table[27] = 0.125 X: -1.6875 +exp_table[28] = 0.125 X: -1.75 +exp_table[29] = 0.125 X: -1.8125 +exp_table[30] = 0.125 X: -1.875 +exp_table[31] = 0.125 X: -1.9375 +exp_table[32] = 0.125 X: -2 +exp_table[33] = 0.125 X: -2.0625 +exp_table[34] = 0.125 X: -2.125 +exp_table[35] = 0.125 X: -2.1875 +exp_table[36] = 0.125 X: -2.25 +exp_table[37] = 0.125 X: -2.3125 +exp_table[38] = 0.125 X: -2.375 +exp_table[39] = 0.125 X: -2.4375 +exp_table[40] = 0.125 X: -2.5 +exp_table[41] = 0.125 X: -2.5625 +exp_table[42] = 0.125 X: -2.625 +exp_table[43] = 0.125 X: -2.6875 +exp_table[44] = 0.125 X: -2.75 +exp_table[45] = 0 X: -2.8125 +exp_table[46] = 0 X: -2.875 +exp_table[47] = 0 X: -2.9375 +exp_table[48] = 0 X: -3 +exp_table[49] = 0 X: -3.0625 +exp_table[50] = 0 X: -3.125 +exp_table[51] = 0 X: -3.1875 +exp_table[52] = 0 X: -3.25 +exp_table[53] = 0 X: -3.3125 +exp_table[54] = 0 X: -3.375 +exp_table[55] = 0 X: -3.4375 +exp_table[56] = 0 X: -3.5 +exp_table[57] = 0 X: -3.5625 +exp_table[58] = 0 X: -3.625 +exp_table[59] = 0 X: -3.6875 +exp_table[60] = 0 X: -3.75 +exp_table[61] = 0 X: -3.8125 +exp_table[62] = 0 X: -3.875 +exp_table[63] = 0 X: -3.9375 +exp_table[64] = 0 X: -4 +exp_table[65] = 0 X: -4.0625 +exp_table[66] = 0 X: -4.125 +exp_table[67] = 0 X: -4.1875 +exp_table[68] = 0 X: -4.25 +exp_table[69] = 0 X: -4.3125 +exp_table[70] = 0 X: -4.375 +exp_table[71] = 0 X: -4.4375 +exp_table[72] = 0 X: -4.5 +exp_table[73] = 0 X: -4.5625 +exp_table[74] = 0 X: -4.625 +exp_table[75] = 0 X: -4.6875 +exp_table[76] = 0 X: -4.75 +exp_table[77] = 0 X: -4.8125 +exp_table[78] = 0 X: -4.875 +exp_table[79] = 0 X: -4.9375 +exp_table[80] = 0 X: -5 +exp_table[81] = 0 X: -5.0625 +exp_table[82] = 0 X: -5.125 +exp_table[83] = 0 X: -5.1875 +exp_table[84] = 0 X: -5.25 +exp_table[85] = 0 X: -5.3125 +exp_table[86] = 0 X: -5.375 +exp_table[87] = 0 X: -5.4375 +exp_table[88] = 0 X: -5.5 +exp_table[89] = 0 X: -5.5625 +exp_table[90] = 0 X: -5.625 +exp_table[91] = 0 X: -5.6875 +exp_table[92] = 0 X: -5.75 +exp_table[93] = 0 X: -5.8125 +exp_table[94] = 0 X: -5.875 +exp_table[95] = 0 X: -5.9375 +exp_table[96] = 0 X: -6 +exp_table[97] = 0 X: -6.0625 +exp_table[98] = 0 X: -6.125 +exp_table[99] = 0 X: -6.1875 +exp_table[100] = 0 X: -6.25 +exp_table[101] = 0 X: -6.3125 +exp_table[102] = 0 X: -6.375 +exp_table[103] = 0 X: -6.4375 +exp_table[104] = 0 X: -6.5 +exp_table[105] = 0 X: -6.5625 +exp_table[106] = 0 X: -6.625 +exp_table[107] = 0 X: -6.6875 +exp_table[108] = 0 X: -6.75 +exp_table[109] = 0 X: -6.8125 +exp_table[110] = 0 X: -6.875 +exp_table[111] = 0 X: -6.9375 +exp_table[112] = 0 X: -7 +exp_table[113] = 0 X: -7.0625 +exp_table[114] = 0 X: -7.125 +exp_table[115] = 0 X: -7.1875 +exp_table[116] = 0 X: -7.25 +exp_table[117] = 0 X: -7.3125 +exp_table[118] = 0 X: -7.375 +exp_table[119] = 0 X: -7.4375 +exp_table[120] = 0 X: -7.5 +exp_table[121] = 0 X: -7.5625 +exp_table[122] = 0 X: -7.625 +exp_table[123] = 0 X: -7.6875 +exp_table[124] = 0 X: -7.75 +exp_table[125] = 0 X: -7.8125 +exp_table[126] = 0 X: -7.875 +exp_table[127] = 0 X: -7.9375 +exp_table[128] = 0 X: -8 +exp_table[129] = 0 X: -8.0625 +exp_table[130] = 0 X: -8.125 +exp_table[131] = 0 X: -8.1875 +exp_table[132] = 0 X: -8.25 +exp_table[133] = 0 X: -8.3125 +exp_table[134] = 0 X: -8.375 +exp_table[135] = 0 X: -8.4375 +exp_table[136] = 0 X: -8.5 +exp_table[137] = 0 X: -8.5625 +exp_table[138] = 0 X: -8.625 +exp_table[139] = 0 X: -8.6875 +exp_table[140] = 0 X: -8.75 +exp_table[141] = 0 X: -8.8125 +exp_table[142] = 0 X: -8.875 +exp_table[143] = 0 X: -8.9375 +exp_table[144] = 0 X: -9 +exp_table[145] = 0 X: -9.0625 +exp_table[146] = 0 X: -9.125 +exp_table[147] = 0 X: -9.1875 +exp_table[148] = 0 X: -9.25 +exp_table[149] = 0 X: -9.3125 +exp_table[150] = 0 X: -9.375 +exp_table[151] = 0 X: -9.4375 +exp_table[152] = 0 X: -9.5 +exp_table[153] = 0 X: -9.5625 +exp_table[154] = 0 X: -9.625 +exp_table[155] = 0 X: -9.6875 +exp_table[156] = 0 X: -9.75 +exp_table[157] = 0 X: -9.8125 +exp_table[158] = 0 X: -9.875 +exp_table[159] = 0 X: -9.9375 +exp_table[160] = 0 X: -10 +exp_table[161] = 0 X: -10.0625 +exp_table[162] = 0 X: -10.125 +exp_table[163] = 0 X: -10.1875 +exp_table[164] = 0 X: -10.25 +exp_table[165] = 0 X: -10.3125 +exp_table[166] = 0 X: -10.375 +exp_table[167] = 0 X: -10.4375 +exp_table[168] = 0 X: -10.5 +exp_table[169] = 0 X: -10.5625 +exp_table[170] = 0 X: -10.625 +exp_table[171] = 0 X: -10.6875 +exp_table[172] = 0 X: -10.75 +exp_table[173] = 0 X: -10.8125 +exp_table[174] = 0 X: -10.875 +exp_table[175] = 0 X: -10.9375 +exp_table[176] = 0 X: -11 +exp_table[177] = 0 X: -11.0625 +exp_table[178] = 0 X: -11.125 +exp_table[179] = 0 X: -11.1875 +exp_table[180] = 0 X: -11.25 +exp_table[181] = 0 X: -11.3125 +exp_table[182] = 0 X: -11.375 +exp_table[183] = 0 X: -11.4375 +exp_table[184] = 0 X: -11.5 +exp_table[185] = 0 X: -11.5625 +exp_table[186] = 0 X: -11.625 +exp_table[187] = 0 X: -11.6875 +exp_table[188] = 0 X: -11.75 +exp_table[189] = 0 X: -11.8125 +exp_table[190] = 0 X: -11.875 +exp_table[191] = 0 X: -11.9375 +exp_table[192] = 0 X: -12 +exp_table[193] = 0 X: -12.0625 +exp_table[194] = 0 X: -12.125 +exp_table[195] = 0 X: -12.1875 +exp_table[196] = 0 X: -12.25 +exp_table[197] = 0 X: -12.3125 +exp_table[198] = 0 X: -12.375 +exp_table[199] = 0 X: -12.4375 +exp_table[200] = 0 X: -12.5 +exp_table[201] = 0 X: -12.5625 +exp_table[202] = 0 X: -12.625 +exp_table[203] = 0 X: -12.6875 +exp_table[204] = 0 X: -12.75 +exp_table[205] = 0 X: -12.8125 +exp_table[206] = 0 X: -12.875 +exp_table[207] = 0 X: -12.9375 +exp_table[208] = 0 X: -13 +exp_table[209] = 0 X: -13.0625 +exp_table[210] = 0 X: -13.125 +exp_table[211] = 0 X: -13.1875 +exp_table[212] = 0 X: -13.25 +exp_table[213] = 0 X: -13.3125 +exp_table[214] = 0 X: -13.375 +exp_table[215] = 0 X: -13.4375 +exp_table[216] = 0 X: -13.5 +exp_table[217] = 0 X: -13.5625 +exp_table[218] = 0 X: -13.625 +exp_table[219] = 0 X: -13.6875 +exp_table[220] = 0 X: -13.75 +exp_table[221] = 0 X: -13.8125 +exp_table[222] = 0 X: -13.875 +exp_table[223] = 0 X: -13.9375 +exp_table[224] = 0 X: -14 +exp_table[225] = 0 X: -14.0625 +exp_table[226] = 0 X: -14.125 +exp_table[227] = 0 X: -14.1875 +exp_table[228] = 0 X: -14.25 +exp_table[229] = 0 X: -14.3125 +exp_table[230] = 0 X: -14.375 +exp_table[231] = 0 X: -14.4375 +exp_table[232] = 0 X: -14.5 +exp_table[233] = 0 X: -14.5625 +exp_table[234] = 0 X: -14.625 +exp_table[235] = 0 X: -14.6875 +exp_table[236] = 0 X: -14.75 +exp_table[237] = 0 X: -14.8125 +exp_table[238] = 0 X: -14.875 +exp_table[239] = 0 X: -14.9375 +exp_table[240] = 0 X: -15 +exp_table[241] = 0 X: -15.0625 +exp_table[242] = 0 X: -15.125 +exp_table[243] = 0 X: -15.1875 +exp_table[244] = 0 X: -15.25 +exp_table[245] = 0 X: -15.3125 +exp_table[246] = 0 X: -15.375 +exp_table[247] = 0 X: -15.4375 +exp_table[248] = 0 X: -15.5 +exp_table[249] = 0 X: -15.5625 +exp_table[250] = 0 X: -15.625 +exp_table[251] = 0 X: -15.6875 +exp_table[252] = 0 X: -15.75 +exp_table[253] = 0 X: -15.8125 +exp_table[254] = 0 X: -15.875 +exp_table[255] = 0 X: -15.9375 +exp_table[256] = 0 X: -16 +exp_table[257] = 0 X: -16.0625 +exp_table[258] = 0 X: -16.125 +exp_table[259] = 0 X: -16.1875 +exp_table[260] = 0 X: -16.25 +exp_table[261] = 0 X: -16.3125 +exp_table[262] = 0 X: -16.375 +exp_table[263] = 0 X: -16.4375 +exp_table[264] = 0 X: -16.5 +exp_table[265] = 0 X: -16.5625 +exp_table[266] = 0 X: -16.625 +exp_table[267] = 0 X: -16.6875 +exp_table[268] = 0 X: -16.75 +exp_table[269] = 0 X: -16.8125 +exp_table[270] = 0 X: -16.875 +exp_table[271] = 0 X: -16.9375 +exp_table[272] = 0 X: -17 +exp_table[273] = 0 X: -17.0625 +exp_table[274] = 0 X: -17.125 +exp_table[275] = 0 X: -17.1875 +exp_table[276] = 0 X: -17.25 +exp_table[277] = 0 X: -17.3125 +exp_table[278] = 0 X: -17.375 +exp_table[279] = 0 X: -17.4375 +exp_table[280] = 0 X: -17.5 +exp_table[281] = 0 X: -17.5625 +exp_table[282] = 0 X: -17.625 +exp_table[283] = 0 X: -17.6875 +exp_table[284] = 0 X: -17.75 +exp_table[285] = 0 X: -17.8125 +exp_table[286] = 0 X: -17.875 +exp_table[287] = 0 X: -17.9375 +exp_table[288] = 0 X: -18 +exp_table[289] = 0 X: -18.0625 +exp_table[290] = 0 X: -18.125 +exp_table[291] = 0 X: -18.1875 +exp_table[292] = 0 X: -18.25 +exp_table[293] = 0 X: -18.3125 +exp_table[294] = 0 X: -18.375 +exp_table[295] = 0 X: -18.4375 +exp_table[296] = 0 X: -18.5 +exp_table[297] = 0 X: -18.5625 +exp_table[298] = 0 X: -18.625 +exp_table[299] = 0 X: -18.6875 +exp_table[300] = 0 X: -18.75 +exp_table[301] = 0 X: -18.8125 +exp_table[302] = 0 X: -18.875 +exp_table[303] = 0 X: -18.9375 +exp_table[304] = 0 X: -19 +exp_table[305] = 0 X: -19.0625 +exp_table[306] = 0 X: -19.125 +exp_table[307] = 0 X: -19.1875 +exp_table[308] = 0 X: -19.25 +exp_table[309] = 0 X: -19.3125 +exp_table[310] = 0 X: -19.375 +exp_table[311] = 0 X: -19.4375 +exp_table[312] = 0 X: -19.5 +exp_table[313] = 0 X: -19.5625 +exp_table[314] = 0 X: -19.625 +exp_table[315] = 0 X: -19.6875 +exp_table[316] = 0 X: -19.75 +exp_table[317] = 0 X: -19.8125 +exp_table[318] = 0 X: -19.875 +exp_table[319] = 0 X: -19.9375 +exp_table[320] = 0 X: -20 +exp_table[321] = 0 X: -20.0625 +exp_table[322] = 0 X: -20.125 +exp_table[323] = 0 X: -20.1875 +exp_table[324] = 0 X: -20.25 +exp_table[325] = 0 X: -20.3125 +exp_table[326] = 0 X: -20.375 +exp_table[327] = 0 X: -20.4375 +exp_table[328] = 0 X: -20.5 +exp_table[329] = 0 X: -20.5625 +exp_table[330] = 0 X: -20.625 +exp_table[331] = 0 X: -20.6875 +exp_table[332] = 0 X: -20.75 +exp_table[333] = 0 X: -20.8125 +exp_table[334] = 0 X: -20.875 +exp_table[335] = 0 X: -20.9375 +exp_table[336] = 0 X: -21 +exp_table[337] = 0 X: -21.0625 +exp_table[338] = 0 X: -21.125 +exp_table[339] = 0 X: -21.1875 +exp_table[340] = 0 X: -21.25 +exp_table[341] = 0 X: -21.3125 +exp_table[342] = 0 X: -21.375 +exp_table[343] = 0 X: -21.4375 +exp_table[344] = 0 X: -21.5 +exp_table[345] = 0 X: -21.5625 +exp_table[346] = 0 X: -21.625 +exp_table[347] = 0 X: -21.6875 +exp_table[348] = 0 X: -21.75 +exp_table[349] = 0 X: -21.8125 +exp_table[350] = 0 X: -21.875 +exp_table[351] = 0 X: -21.9375 +exp_table[352] = 0 X: -22 +exp_table[353] = 0 X: -22.0625 +exp_table[354] = 0 X: -22.125 +exp_table[355] = 0 X: -22.1875 +exp_table[356] = 0 X: -22.25 +exp_table[357] = 0 X: -22.3125 +exp_table[358] = 0 X: -22.375 +exp_table[359] = 0 X: -22.4375 +exp_table[360] = 0 X: -22.5 +exp_table[361] = 0 X: -22.5625 +exp_table[362] = 0 X: -22.625 +exp_table[363] = 0 X: -22.6875 +exp_table[364] = 0 X: -22.75 +exp_table[365] = 0 X: -22.8125 +exp_table[366] = 0 X: -22.875 +exp_table[367] = 0 X: -22.9375 +exp_table[368] = 0 X: -23 +exp_table[369] = 0 X: -23.0625 +exp_table[370] = 0 X: -23.125 +exp_table[371] = 0 X: -23.1875 +exp_table[372] = 0 X: -23.25 +exp_table[373] = 0 X: -23.3125 +exp_table[374] = 0 X: -23.375 +exp_table[375] = 0 X: -23.4375 +exp_table[376] = 0 X: -23.5 +exp_table[377] = 0 X: -23.5625 +exp_table[378] = 0 X: -23.625 +exp_table[379] = 0 X: -23.6875 +exp_table[380] = 0 X: -23.75 +exp_table[381] = 0 X: -23.8125 +exp_table[382] = 0 X: -23.875 +exp_table[383] = 0 X: -23.9375 +exp_table[384] = 0 X: -24 +exp_table[385] = 0 X: -24.0625 +exp_table[386] = 0 X: -24.125 +exp_table[387] = 0 X: -24.1875 +exp_table[388] = 0 X: -24.25 +exp_table[389] = 0 X: -24.3125 +exp_table[390] = 0 X: -24.375 +exp_table[391] = 0 X: -24.4375 +exp_table[392] = 0 X: -24.5 +exp_table[393] = 0 X: -24.5625 +exp_table[394] = 0 X: -24.625 +exp_table[395] = 0 X: -24.6875 +exp_table[396] = 0 X: -24.75 +exp_table[397] = 0 X: -24.8125 +exp_table[398] = 0 X: -24.875 +exp_table[399] = 0 X: -24.9375 +exp_table[400] = 0 X: -25 +exp_table[401] = 0 X: -25.0625 +exp_table[402] = 0 X: -25.125 +exp_table[403] = 0 X: -25.1875 +exp_table[404] = 0 X: -25.25 +exp_table[405] = 0 X: -25.3125 +exp_table[406] = 0 X: -25.375 +exp_table[407] = 0 X: -25.4375 +exp_table[408] = 0 X: -25.5 +exp_table[409] = 0 X: -25.5625 +exp_table[410] = 0 X: -25.625 +exp_table[411] = 0 X: -25.6875 +exp_table[412] = 0 X: -25.75 +exp_table[413] = 0 X: -25.8125 +exp_table[414] = 0 X: -25.875 +exp_table[415] = 0 X: -25.9375 +exp_table[416] = 0 X: -26 +exp_table[417] = 0 X: -26.0625 +exp_table[418] = 0 X: -26.125 +exp_table[419] = 0 X: -26.1875 +exp_table[420] = 0 X: -26.25 +exp_table[421] = 0 X: -26.3125 +exp_table[422] = 0 X: -26.375 +exp_table[423] = 0 X: -26.4375 +exp_table[424] = 0 X: -26.5 +exp_table[425] = 0 X: -26.5625 +exp_table[426] = 0 X: -26.625 +exp_table[427] = 0 X: -26.6875 +exp_table[428] = 0 X: -26.75 +exp_table[429] = 0 X: -26.8125 +exp_table[430] = 0 X: -26.875 +exp_table[431] = 0 X: -26.9375 +exp_table[432] = 0 X: -27 +exp_table[433] = 0 X: -27.0625 +exp_table[434] = 0 X: -27.125 +exp_table[435] = 0 X: -27.1875 +exp_table[436] = 0 X: -27.25 +exp_table[437] = 0 X: -27.3125 +exp_table[438] = 0 X: -27.375 +exp_table[439] = 0 X: -27.4375 +exp_table[440] = 0 X: -27.5 +exp_table[441] = 0 X: -27.5625 +exp_table[442] = 0 X: -27.625 +exp_table[443] = 0 X: -27.6875 +exp_table[444] = 0 X: -27.75 +exp_table[445] = 0 X: -27.8125 +exp_table[446] = 0 X: -27.875 +exp_table[447] = 0 X: -27.9375 +exp_table[448] = 0 X: -28 +exp_table[449] = 0 X: -28.0625 +exp_table[450] = 0 X: -28.125 +exp_table[451] = 0 X: -28.1875 +exp_table[452] = 0 X: -28.25 +exp_table[453] = 0 X: -28.3125 +exp_table[454] = 0 X: -28.375 +exp_table[455] = 0 X: -28.4375 +exp_table[456] = 0 X: -28.5 +exp_table[457] = 0 X: -28.5625 +exp_table[458] = 0 X: -28.625 +exp_table[459] = 0 X: -28.6875 +exp_table[460] = 0 X: -28.75 +exp_table[461] = 0 X: -28.8125 +exp_table[462] = 0 X: -28.875 +exp_table[463] = 0 X: -28.9375 +exp_table[464] = 0 X: -29 +exp_table[465] = 0 X: -29.0625 +exp_table[466] = 0 X: -29.125 +exp_table[467] = 0 X: -29.1875 +exp_table[468] = 0 X: -29.25 +exp_table[469] = 0 X: -29.3125 +exp_table[470] = 0 X: -29.375 +exp_table[471] = 0 X: -29.4375 +exp_table[472] = 0 X: -29.5 +exp_table[473] = 0 X: -29.5625 +exp_table[474] = 0 X: -29.625 +exp_table[475] = 0 X: -29.6875 +exp_table[476] = 0 X: -29.75 +exp_table[477] = 0 X: -29.8125 +exp_table[478] = 0 X: -29.875 +exp_table[479] = 0 X: -29.9375 +exp_table[480] = 0 X: -30 +exp_table[481] = 0 X: -30.0625 +exp_table[482] = 0 X: -30.125 +exp_table[483] = 0 X: -30.1875 +exp_table[484] = 0 X: -30.25 +exp_table[485] = 0 X: -30.3125 +exp_table[486] = 0 X: -30.375 +exp_table[487] = 0 X: -30.4375 +exp_table[488] = 0 X: -30.5 +exp_table[489] = 0 X: -30.5625 +exp_table[490] = 0 X: -30.625 +exp_table[491] = 0 X: -30.6875 +exp_table[492] = 0 X: -30.75 +exp_table[493] = 0 X: -30.8125 +exp_table[494] = 0 X: -30.875 +exp_table[495] = 0 X: -30.9375 +exp_table[496] = 0 X: -31 +exp_table[497] = 0 X: -31.0625 +exp_table[498] = 0 X: -31.125 +exp_table[499] = 0 X: -31.1875 +exp_table[500] = 0 X: -31.25 +exp_table[501] = 0 X: -31.3125 +exp_table[502] = 0 X: -31.375 +exp_table[503] = 0 X: -31.4375 +exp_table[504] = 0 X: -31.5 +exp_table[505] = 0 X: -31.5625 +exp_table[506] = 0 X: -31.625 +exp_table[507] = 0 X: -31.6875 +exp_table[508] = 0 X: -31.75 +exp_table[509] = 0 X: -31.8125 +exp_table[510] = 0 X: -31.875 +exp_table[511] = 0 X: -31.9375 +(5000, 8) +B [array([[0. , 0. , 0.625 , ..., 0. , 0. , 0. ], + [0. , 0. , 0. , ..., 0. , 0. , 0. ], + [0.5 , 0.5 , 0. , ..., 0. , 0. , 0. ], + ..., + [0. , 0. , 0. , ..., 0. , 1. , 0. ], + [0. , 0.109375, 0. , ..., 0. , 0. , 0.875 ], + [0. , 0. , 0. , ..., 0. , 0. , 0. ]])] +Y HLS +[[0. 0. 0.625 ... 0. 0. 0. ] + [0. 0. 0. ... 0. 0. 0. ] + [0.5 0.5 0. ... 0. 0. 0. ] + ... + [0. 0. 0. ... 0. 1. 0. ] + [0. 0.109375 0. ... 0. 0. 0.875 ] + [0. 0. 0. ... 0. 0. 0. ]] +Accuracy hls4ml relative to keras: 0.9876 +--------------------------- Captured stdout teardown --------------------------- +pytest-randomly: reseed with 3154144950 +=============================== warnings summary =============================== +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:repack_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fixedpointquantizer_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:unarylut_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_config_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_function_template" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +../../hls4ml/backends/xls/xls_backend.py:99 + /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:build_tables" is not part of any flow and will not be executed. + warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') + +test/pytest/test_softmax.py::test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-Vivado] + /home/girji/workspace/forks/hls4ml/hls4ml/backends/fpga/passes/fix_softmax_table_size.py:48: UserWarning: Softmax layer softmax table size is too large for inputbitwidth 16. Setting table size to 65536.To avoid this warning, please increase input bitwidth ordecrease table size. + warnings.warn( + +-- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html +=========================== short test summary info ============================ +FAILED test_softmax.py::test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-XLS] +FAILED test_softmax.py::test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-Vivado] +======================== 2 failed, 19 warnings in 6.15s ======================== diff --git a/test/pytest/test_softmax.py b/test/pytest/test_softmax.py index d8f5ac4744..36c3c7a543 100644 --- a/test/pytest/test_softmax.py +++ b/test/pytest/test_softmax.py @@ -35,12 +35,13 @@ def generate_data(input_shape): # ('16,6', (8, 8, 3), '18,8', 'io_stream', False), # ], # ) -@pytest.mark.parametrize('backend', ['XLS', 'Vivado']) +@pytest.mark.parametrize('backend', ['Vivado', 'XLS']) @pytest.mark.parametrize('strategy', ['stable']) @pytest.mark.parametrize( 'input_bits,input_shape,table_bits,io_type,custom_accum', [ - ('16,6', (8,), '18,8', 'io_parallel', False), + # ('16,6', (8,), '18,8', 'io_parallel', False), + ('16,6', (8,), '9,6', 'io_parallel', False), ], ) def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): @@ -79,7 +80,11 @@ def test_softmax(backend, strategy, generate_data, input_bits, input_shape, tabl hls_model.compile() y_keras = model.predict(X) + print("Y KERAS") + print(y_keras) y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) + print("Y HLS") + print(y_hls4ml) acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') From 4ff3f949e5b1e5a1b1f6309e3c5769fa67a6a92a Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 1 Aug 2025 15:39:10 +0200 Subject: [PATCH 16/24] cleanup: removed junk --- test/pytest/junk.txt | 1896 ------------------------------------------ 1 file changed, 1896 deletions(-) delete mode 100644 test/pytest/junk.txt diff --git a/test/pytest/junk.txt b/test/pytest/junk.txt deleted file mode 100644 index 00e3007af3..0000000000 --- a/test/pytest/junk.txt +++ /dev/null @@ -1,1896 +0,0 @@ -============================= test session starts ============================== -platform linux -- Python 3.10.16, pytest-8.4.0, pluggy-1.6.0 -pytest-randomly: reseed with 3154144949 -Using --randomly-seed=3154144949 -rootdir: /home/girji/workspace/forks/hls4ml -configfile: pyproject.toml -plugins: randomly-3.16.0, cov-6.2.1, anyio-4.9.0 -pytest-randomly: reseed with 3154144949 -collected 2 items - -test_softmax.py FF [100%] - -=================================== FAILURES =================================== -_______ test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-XLS] _______ - -backend = 'XLS', strategy = 'stable' -generate_data = array([[13.9744297 , 15.92180375, 22.9723219 , ..., 8.19185447, - 7.68837113, 19.16780557], - [12.8074795...107, 28.08511306], - [ 5.51985369, 13.12982809, 4.56763497, ..., 3.48239095, - 12.02306702, 11.76489227]]) -input_bits = '16,6', input_shape = (8,), table_bits = '9,6' -io_type = 'io_parallel', custom_accum = False - - @pytest.mark.parametrize('backend', ['Vivado', 'XLS']) - @pytest.mark.parametrize('strategy', ['stable']) - @pytest.mark.parametrize( - 'input_bits,input_shape,table_bits,io_type,custom_accum', - [ - # ('16,6', (8,), '18,8', 'io_parallel', False), - ('16,6', (8,), '9,6', 'io_parallel', False), - ], - ) - def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): - X = generate_data - model = tf.keras.models.Sequential() - model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) - model.compile() - - table_type = f'fixed<{table_bits}, RND, SAT>' - - cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['Implementation'] = strategy - cfg['LayerName']['softmax']['inv_table_t'] = table_type - cfg['LayerName']['softmax']['exp_table_t'] = table_type - cfg['LayerName']['softmax']['accum_t'] = table_type - cfg['LayerName']['softmax']['inv_inp_t'] = table_type - if custom_accum: - if backend not in ['Vivado', 'Vitis']: - pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') - W, I = map(int, input_bits.split(',')) # noqa: E741 - cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' - cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' - inp_layer_name = next(iter(cfg['LayerName'].keys())) - cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' - - odir = str( - test_root_path - / ( - f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' - f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' - ) - ) - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend - ) -> hls_model.compile() - -/home/girji/workspace/forks/hls4ml/test/pytest/test_softmax.py:80: -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ -/home/girji/workspace/forks/hls4ml/hls4ml/model/graph.py:807: in compile - self._compile() -/home/girji/workspace/forks/hls4ml/hls4ml/model/graph.py:810: in _compile - lib_name = self.config.backend.compile(self) -/home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:189: in compile - subprocess.run(gen_cmd, check=True, stdout=ir_file) -_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ - -input = None, capture_output = False, timeout = None, check = True -popenargs = (['/home/girji/xls/bazel-bin/xls/dslx/ir_convert/ir_converter_main', '--top=myproject', 'myproject.x'],) -kwargs = {'stdout': <_io.TextIOWrapper name='myproject.ir' mode='w' encoding='UTF-8'>} -process = -stdout = None, stderr = None, retcode = 1 - - def run(*popenargs, - input=None, capture_output=False, timeout=None, check=False, **kwargs): - """Run command with arguments and return a CompletedProcess instance. - - The returned instance will have attributes args, returncode, stdout and - stderr. By default, stdout and stderr are not captured, and those attributes - will be None. Pass stdout=PIPE and/or stderr=PIPE in order to capture them, - or pass capture_output=True to capture both. - - If check is True and the exit code was non-zero, it raises a - CalledProcessError. The CalledProcessError object will have the return code - in the returncode attribute, and output & stderr attributes if those streams - were captured. - - If timeout is given, and the process takes too long, a TimeoutExpired - exception will be raised. - - There is an optional argument "input", allowing you to - pass bytes or a string to the subprocess's stdin. If you use this argument - you may not also use the Popen constructor's "stdin" argument, as - it will be used internally. - - By default, all communication is in bytes, and therefore any "input" should - be bytes, and the stdout and stderr will be bytes. If in text mode, any - "input" should be a string, and stdout and stderr will be strings decoded - according to locale encoding, or by "encoding" if set. Text mode is - triggered by setting any of text, encoding, errors or universal_newlines. - - The other arguments are the same as for the Popen constructor. - """ - if input is not None: - if kwargs.get('stdin') is not None: - raise ValueError('stdin and input arguments may not both be used.') - kwargs['stdin'] = PIPE - - if capture_output: - if kwargs.get('stdout') is not None or kwargs.get('stderr') is not None: - raise ValueError('stdout and stderr arguments may not be used ' - 'with capture_output.') - kwargs['stdout'] = PIPE - kwargs['stderr'] = PIPE - - with Popen(*popenargs, **kwargs) as process: - try: - stdout, stderr = process.communicate(input, timeout=timeout) - except TimeoutExpired as exc: - process.kill() - if _mswindows: - # Windows accumulates the output in a single blocking - # read() call run on child threads, with the timeout - # being done in a join() on those threads. communicate() - # _after_ kill() is required to collect that and add it - # to the exception. - exc.stdout, exc.stderr = process.communicate() - else: - # POSIX _communicate already populated the output so - # far into the TimeoutExpired exception. - process.wait() - raise - except: # Including KeyboardInterrupt, communicate handled that. - process.kill() - # We don't call process.wait() as .__exit__ does that for us. - raise - retcode = process.poll() - if check and retcode: -> raise CalledProcessError(retcode, process.args, - output=stdout, stderr=stderr) -E subprocess.CalledProcessError: Command '['/home/girji/xls/bazel-bin/xls/dslx/ir_convert/ir_converter_main', '--top=myproject', 'myproject.x']' returned non-zero exit status 1. - -/home/girji/miniconda3/envs/hls4ml/lib/python3.10/subprocess.py:526: CalledProcessError ----------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 3154144948 ------------------------------ Captured stdout call ----------------------------- -pytest-randomly: reseed with 3154144949 -WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) -XLS: 0 x: -0.0 -XLS: 1 x: -0.03125 -XLS: 2 x: -0.0625 -XLS: 3 x: -0.09375 -XLS: 4 x: -0.125 -XLS: 5 x: -0.15625 -XLS: 6 x: -0.1875 -XLS: 7 x: -0.21875 -XLS: 8 x: -0.25 -XLS: 9 x: -0.28125 -XLS: 10 x: -0.3125 -XLS: 11 x: -0.34375 -XLS: 12 x: -0.375 -XLS: 13 x: -0.40625 -XLS: 14 x: -0.4375 -XLS: 15 x: -0.46875 -XLS: 16 x: -0.5 -XLS: 17 x: -0.53125 -XLS: 18 x: -0.5625 -XLS: 19 x: -0.59375 -XLS: 20 x: -0.625 -XLS: 21 x: -0.65625 -XLS: 22 x: -0.6875 -XLS: 23 x: -0.71875 -XLS: 24 x: -0.75 -XLS: 25 x: -0.78125 -XLS: 26 x: -0.8125 -XLS: 27 x: -0.84375 -XLS: 28 x: -0.875 -XLS: 29 x: -0.90625 -XLS: 30 x: -0.9375 -XLS: 31 x: -0.96875 -XLS: 32 x: -1.0 -XLS: 33 x: -1.03125 -XLS: 34 x: -1.0625 -XLS: 35 x: -1.09375 -XLS: 36 x: -1.125 -XLS: 37 x: -1.15625 -XLS: 38 x: -1.1875 -XLS: 39 x: -1.21875 -XLS: 40 x: -1.25 -XLS: 41 x: -1.28125 -XLS: 42 x: -1.3125 -XLS: 43 x: -1.34375 -XLS: 44 x: -1.375 -XLS: 45 x: -1.40625 -XLS: 46 x: -1.4375 -XLS: 47 x: -1.46875 -XLS: 48 x: -1.5 -XLS: 49 x: -1.53125 -XLS: 50 x: -1.5625 -XLS: 51 x: -1.59375 -XLS: 52 x: -1.625 -XLS: 53 x: -1.65625 -XLS: 54 x: -1.6875 -XLS: 55 x: -1.71875 -XLS: 56 x: -1.75 -XLS: 57 x: -1.78125 -XLS: 58 x: -1.8125 -XLS: 59 x: -1.84375 -XLS: 60 x: -1.875 -XLS: 61 x: -1.90625 -XLS: 62 x: -1.9375 -XLS: 63 x: -1.96875 -XLS: 64 x: -2.0 -XLS: 65 x: -2.03125 -XLS: 66 x: -2.0625 -XLS: 67 x: -2.09375 -XLS: 68 x: -2.125 -XLS: 69 x: -2.15625 -XLS: 70 x: -2.1875 -XLS: 71 x: -2.21875 -XLS: 72 x: -2.25 -XLS: 73 x: -2.28125 -XLS: 74 x: -2.3125 -XLS: 75 x: -2.34375 -XLS: 76 x: -2.375 -XLS: 77 x: -2.40625 -XLS: 78 x: -2.4375 -XLS: 79 x: -2.46875 -XLS: 80 x: -2.5 -XLS: 81 x: -2.53125 -XLS: 82 x: -2.5625 -XLS: 83 x: -2.59375 -XLS: 84 x: -2.625 -XLS: 85 x: -2.65625 -XLS: 86 x: -2.6875 -XLS: 87 x: -2.71875 -XLS: 88 x: -2.75 -XLS: 89 x: -2.78125 -XLS: 90 x: -2.8125 -XLS: 91 x: -2.84375 -XLS: 92 x: -2.875 -XLS: 93 x: -2.90625 -XLS: 94 x: -2.9375 -XLS: 95 x: -2.96875 -XLS: 96 x: -3.0 -XLS: 97 x: -3.03125 -XLS: 98 x: -3.0625 -XLS: 99 x: -3.09375 -XLS: 100 x: -3.125 -XLS: 101 x: -3.15625 -XLS: 102 x: -3.1875 -XLS: 103 x: -3.21875 -XLS: 104 x: -3.25 -XLS: 105 x: -3.28125 -XLS: 106 x: -3.3125 -XLS: 107 x: -3.34375 -XLS: 108 x: -3.375 -XLS: 109 x: -3.40625 -XLS: 110 x: -3.4375 -XLS: 111 x: -3.46875 -XLS: 112 x: -3.5 -XLS: 113 x: -3.53125 -XLS: 114 x: -3.5625 -XLS: 115 x: -3.59375 -XLS: 116 x: -3.625 -XLS: 117 x: -3.65625 -XLS: 118 x: -3.6875 -XLS: 119 x: -3.71875 -XLS: 120 x: -3.75 -XLS: 121 x: -3.78125 -XLS: 122 x: -3.8125 -XLS: 123 x: -3.84375 -XLS: 124 x: -3.875 -XLS: 125 x: -3.90625 -XLS: 126 x: -3.9375 -XLS: 127 x: -3.96875 -XLS: 128 x: -4.0 -XLS: 129 x: -4.03125 -XLS: 130 x: -4.0625 -XLS: 131 x: -4.09375 -XLS: 132 x: -4.125 -XLS: 133 x: -4.15625 -XLS: 134 x: -4.1875 -XLS: 135 x: -4.21875 -XLS: 136 x: -4.25 -XLS: 137 x: -4.28125 -XLS: 138 x: -4.3125 -XLS: 139 x: -4.34375 -XLS: 140 x: -4.375 -XLS: 141 x: -4.40625 -XLS: 142 x: -4.4375 -XLS: 143 x: -4.46875 -XLS: 144 x: -4.5 -XLS: 145 x: -4.53125 -XLS: 146 x: -4.5625 -XLS: 147 x: -4.59375 -XLS: 148 x: -4.625 -XLS: 149 x: -4.65625 -XLS: 150 x: -4.6875 -XLS: 151 x: -4.71875 -XLS: 152 x: -4.75 -XLS: 153 x: -4.78125 -XLS: 154 x: -4.8125 -XLS: 155 x: -4.84375 -XLS: 156 x: -4.875 -XLS: 157 x: -4.90625 -XLS: 158 x: -4.9375 -XLS: 159 x: -4.96875 -XLS: 160 x: -5.0 -XLS: 161 x: -5.03125 -XLS: 162 x: -5.0625 -XLS: 163 x: -5.09375 -XLS: 164 x: -5.125 -XLS: 165 x: -5.15625 -XLS: 166 x: -5.1875 -XLS: 167 x: -5.21875 -XLS: 168 x: -5.25 -XLS: 169 x: -5.28125 -XLS: 170 x: -5.3125 -XLS: 171 x: -5.34375 -XLS: 172 x: -5.375 -XLS: 173 x: -5.40625 -XLS: 174 x: -5.4375 -XLS: 175 x: -5.46875 -XLS: 176 x: -5.5 -XLS: 177 x: -5.53125 -XLS: 178 x: -5.5625 -XLS: 179 x: -5.59375 -XLS: 180 x: -5.625 -XLS: 181 x: -5.65625 -XLS: 182 x: -5.6875 -XLS: 183 x: -5.71875 -XLS: 184 x: -5.75 -XLS: 185 x: -5.78125 -XLS: 186 x: -5.8125 -XLS: 187 x: -5.84375 -XLS: 188 x: -5.875 -XLS: 189 x: -5.90625 -XLS: 190 x: -5.9375 -XLS: 191 x: -5.96875 -XLS: 192 x: -6.0 -XLS: 193 x: -6.03125 -XLS: 194 x: -6.0625 -XLS: 195 x: -6.09375 -XLS: 196 x: -6.125 -XLS: 197 x: -6.15625 -XLS: 198 x: -6.1875 -XLS: 199 x: -6.21875 -XLS: 200 x: -6.25 -XLS: 201 x: -6.28125 -XLS: 202 x: -6.3125 -XLS: 203 x: -6.34375 -XLS: 204 x: -6.375 -XLS: 205 x: -6.40625 -XLS: 206 x: -6.4375 -XLS: 207 x: -6.46875 -XLS: 208 x: -6.5 -XLS: 209 x: -6.53125 -XLS: 210 x: -6.5625 -XLS: 211 x: -6.59375 -XLS: 212 x: -6.625 -XLS: 213 x: -6.65625 -XLS: 214 x: -6.6875 -XLS: 215 x: -6.71875 -XLS: 216 x: -6.75 -XLS: 217 x: -6.78125 -XLS: 218 x: -6.8125 -XLS: 219 x: -6.84375 -XLS: 220 x: -6.875 -XLS: 221 x: -6.90625 -XLS: 222 x: -6.9375 -XLS: 223 x: -6.96875 -XLS: 224 x: -7.0 -XLS: 225 x: -7.03125 -XLS: 226 x: -7.0625 -XLS: 227 x: -7.09375 -XLS: 228 x: -7.125 -XLS: 229 x: -7.15625 -XLS: 230 x: -7.1875 -XLS: 231 x: -7.21875 -XLS: 232 x: -7.25 -XLS: 233 x: -7.28125 -XLS: 234 x: -7.3125 -XLS: 235 x: -7.34375 -XLS: 236 x: -7.375 -XLS: 237 x: -7.40625 -XLS: 238 x: -7.4375 -XLS: 239 x: -7.46875 -XLS: 240 x: -7.5 -XLS: 241 x: -7.53125 -XLS: 242 x: -7.5625 -XLS: 243 x: -7.59375 -XLS: 244 x: -7.625 -XLS: 245 x: -7.65625 -XLS: 246 x: -7.6875 -XLS: 247 x: -7.71875 -XLS: 248 x: -7.75 -XLS: 249 x: -7.78125 -XLS: 250 x: -7.8125 -XLS: 251 x: -7.84375 -XLS: 252 x: -7.875 -XLS: 253 x: -7.90625 -XLS: 254 x: -7.9375 -XLS: 255 x: -7.96875 -XLS: 256 x: -8.0 -XLS: 257 x: -8.03125 -XLS: 258 x: -8.0625 -XLS: 259 x: -8.09375 -XLS: 260 x: -8.125 -XLS: 261 x: -8.15625 -XLS: 262 x: -8.1875 -XLS: 263 x: -8.21875 -XLS: 264 x: -8.25 -XLS: 265 x: -8.28125 -XLS: 266 x: -8.3125 -XLS: 267 x: -8.34375 -XLS: 268 x: -8.375 -XLS: 269 x: -8.40625 -XLS: 270 x: -8.4375 -XLS: 271 x: -8.46875 -XLS: 272 x: -8.5 -XLS: 273 x: -8.53125 -XLS: 274 x: -8.5625 -XLS: 275 x: -8.59375 -XLS: 276 x: -8.625 -XLS: 277 x: -8.65625 -XLS: 278 x: -8.6875 -XLS: 279 x: -8.71875 -XLS: 280 x: -8.75 -XLS: 281 x: -8.78125 -XLS: 282 x: -8.8125 -XLS: 283 x: -8.84375 -XLS: 284 x: -8.875 -XLS: 285 x: -8.90625 -XLS: 286 x: -8.9375 -XLS: 287 x: -8.96875 -XLS: 288 x: -9.0 -XLS: 289 x: -9.03125 -XLS: 290 x: -9.0625 -XLS: 291 x: -9.09375 -XLS: 292 x: -9.125 -XLS: 293 x: -9.15625 -XLS: 294 x: -9.1875 -XLS: 295 x: -9.21875 -XLS: 296 x: -9.25 -XLS: 297 x: -9.28125 -XLS: 298 x: -9.3125 -XLS: 299 x: -9.34375 -XLS: 300 x: -9.375 -XLS: 301 x: -9.40625 -XLS: 302 x: -9.4375 -XLS: 303 x: -9.46875 -XLS: 304 x: -9.5 -XLS: 305 x: -9.53125 -XLS: 306 x: -9.5625 -XLS: 307 x: -9.59375 -XLS: 308 x: -9.625 -XLS: 309 x: -9.65625 -XLS: 310 x: -9.6875 -XLS: 311 x: -9.71875 -XLS: 312 x: -9.75 -XLS: 313 x: -9.78125 -XLS: 314 x: -9.8125 -XLS: 315 x: -9.84375 -XLS: 316 x: -9.875 -XLS: 317 x: -9.90625 -XLS: 318 x: -9.9375 -XLS: 319 x: -9.96875 -XLS: 320 x: -10.0 -XLS: 321 x: -10.03125 -XLS: 322 x: -10.0625 -XLS: 323 x: -10.09375 -XLS: 324 x: -10.125 -XLS: 325 x: -10.15625 -XLS: 326 x: -10.1875 -XLS: 327 x: -10.21875 -XLS: 328 x: -10.25 -XLS: 329 x: -10.28125 -XLS: 330 x: -10.3125 -XLS: 331 x: -10.34375 -XLS: 332 x: -10.375 -XLS: 333 x: -10.40625 -XLS: 334 x: -10.4375 -XLS: 335 x: -10.46875 -XLS: 336 x: -10.5 -XLS: 337 x: -10.53125 -XLS: 338 x: -10.5625 -XLS: 339 x: -10.59375 -XLS: 340 x: -10.625 -XLS: 341 x: -10.65625 -XLS: 342 x: -10.6875 -XLS: 343 x: -10.71875 -XLS: 344 x: -10.75 -XLS: 345 x: -10.78125 -XLS: 346 x: -10.8125 -XLS: 347 x: -10.84375 -XLS: 348 x: -10.875 -XLS: 349 x: -10.90625 -XLS: 350 x: -10.9375 -XLS: 351 x: -10.96875 -XLS: 352 x: -11.0 -XLS: 353 x: -11.03125 -XLS: 354 x: -11.0625 -XLS: 355 x: -11.09375 -XLS: 356 x: -11.125 -XLS: 357 x: -11.15625 -XLS: 358 x: -11.1875 -XLS: 359 x: -11.21875 -XLS: 360 x: -11.25 -XLS: 361 x: -11.28125 -XLS: 362 x: -11.3125 -XLS: 363 x: -11.34375 -XLS: 364 x: -11.375 -XLS: 365 x: -11.40625 -XLS: 366 x: -11.4375 -XLS: 367 x: -11.46875 -XLS: 368 x: -11.5 -XLS: 369 x: -11.53125 -XLS: 370 x: -11.5625 -XLS: 371 x: -11.59375 -XLS: 372 x: -11.625 -XLS: 373 x: -11.65625 -XLS: 374 x: -11.6875 -XLS: 375 x: -11.71875 -XLS: 376 x: -11.75 -XLS: 377 x: -11.78125 -XLS: 378 x: -11.8125 -XLS: 379 x: -11.84375 -XLS: 380 x: -11.875 -XLS: 381 x: -11.90625 -XLS: 382 x: -11.9375 -XLS: 383 x: -11.96875 -XLS: 384 x: -12.0 -XLS: 385 x: -12.03125 -XLS: 386 x: -12.0625 -XLS: 387 x: -12.09375 -XLS: 388 x: -12.125 -XLS: 389 x: -12.15625 -XLS: 390 x: -12.1875 -XLS: 391 x: -12.21875 -XLS: 392 x: -12.25 -XLS: 393 x: -12.28125 -XLS: 394 x: -12.3125 -XLS: 395 x: -12.34375 -XLS: 396 x: -12.375 -XLS: 397 x: -12.40625 -XLS: 398 x: -12.4375 -XLS: 399 x: -12.46875 -XLS: 400 x: -12.5 -XLS: 401 x: -12.53125 -XLS: 402 x: -12.5625 -XLS: 403 x: -12.59375 -XLS: 404 x: -12.625 -XLS: 405 x: -12.65625 -XLS: 406 x: -12.6875 -XLS: 407 x: -12.71875 -XLS: 408 x: -12.75 -XLS: 409 x: -12.78125 -XLS: 410 x: -12.8125 -XLS: 411 x: -12.84375 -XLS: 412 x: -12.875 -XLS: 413 x: -12.90625 -XLS: 414 x: -12.9375 -XLS: 415 x: -12.96875 -XLS: 416 x: -13.0 -XLS: 417 x: -13.03125 -XLS: 418 x: -13.0625 -XLS: 419 x: -13.09375 -XLS: 420 x: -13.125 -XLS: 421 x: -13.15625 -XLS: 422 x: -13.1875 -XLS: 423 x: -13.21875 -XLS: 424 x: -13.25 -XLS: 425 x: -13.28125 -XLS: 426 x: -13.3125 -XLS: 427 x: -13.34375 -XLS: 428 x: -13.375 -XLS: 429 x: -13.40625 -XLS: 430 x: -13.4375 -XLS: 431 x: -13.46875 -XLS: 432 x: -13.5 -XLS: 433 x: -13.53125 -XLS: 434 x: -13.5625 -XLS: 435 x: -13.59375 -XLS: 436 x: -13.625 -XLS: 437 x: -13.65625 -XLS: 438 x: -13.6875 -XLS: 439 x: -13.71875 -XLS: 440 x: -13.75 -XLS: 441 x: -13.78125 -XLS: 442 x: -13.8125 -XLS: 443 x: -13.84375 -XLS: 444 x: -13.875 -XLS: 445 x: -13.90625 -XLS: 446 x: -13.9375 -XLS: 447 x: -13.96875 -XLS: 448 x: -14.0 -XLS: 449 x: -14.03125 -XLS: 450 x: -14.0625 -XLS: 451 x: -14.09375 -XLS: 452 x: -14.125 -XLS: 453 x: -14.15625 -XLS: 454 x: -14.1875 -XLS: 455 x: -14.21875 -XLS: 456 x: -14.25 -XLS: 457 x: -14.28125 -XLS: 458 x: -14.3125 -XLS: 459 x: -14.34375 -XLS: 460 x: -14.375 -XLS: 461 x: -14.40625 -XLS: 462 x: -14.4375 -XLS: 463 x: -14.46875 -XLS: 464 x: -14.5 -XLS: 465 x: -14.53125 -XLS: 466 x: -14.5625 -XLS: 467 x: -14.59375 -XLS: 468 x: -14.625 -XLS: 469 x: -14.65625 -XLS: 470 x: -14.6875 -XLS: 471 x: -14.71875 -XLS: 472 x: -14.75 -XLS: 473 x: -14.78125 -XLS: 474 x: -14.8125 -XLS: 475 x: -14.84375 -XLS: 476 x: -14.875 -XLS: 477 x: -14.90625 -XLS: 478 x: -14.9375 -XLS: 479 x: -14.96875 -XLS: 480 x: -15.0 -XLS: 481 x: -15.03125 -XLS: 482 x: -15.0625 -XLS: 483 x: -15.09375 -XLS: 484 x: -15.125 -XLS: 485 x: -15.15625 -XLS: 486 x: -15.1875 -XLS: 487 x: -15.21875 -XLS: 488 x: -15.25 -XLS: 489 x: -15.28125 -XLS: 490 x: -15.3125 -XLS: 491 x: -15.34375 -XLS: 492 x: -15.375 -XLS: 493 x: -15.40625 -XLS: 494 x: -15.4375 -XLS: 495 x: -15.46875 -XLS: 496 x: -15.5 -XLS: 497 x: -15.53125 -XLS: 498 x: -15.5625 -XLS: 499 x: -15.59375 -XLS: 500 x: -15.625 -XLS: 501 x: -15.65625 -XLS: 502 x: -15.6875 -XLS: 503 x: -15.71875 -XLS: 504 x: -15.75 -XLS: 505 x: -15.78125 -XLS: 506 x: -15.8125 -XLS: 507 x: -15.84375 -XLS: 508 x: -15.875 -XLS: 509 x: -15.90625 -XLS: 510 x: -15.9375 -XLS: 511 x: -15.96875 -XLS: 512 x: -16.0 -XLS: 513 x: -16.03125 -XLS: 514 x: -16.0625 -XLS: 515 x: -16.09375 -XLS: 516 x: -16.125 -XLS: 517 x: -16.15625 -XLS: 518 x: -16.1875 -XLS: 519 x: -16.21875 -XLS: 520 x: -16.25 -XLS: 521 x: -16.28125 -XLS: 522 x: -16.3125 -XLS: 523 x: -16.34375 -XLS: 524 x: -16.375 -XLS: 525 x: -16.40625 -XLS: 526 x: -16.4375 -XLS: 527 x: -16.46875 -XLS: 528 x: -16.5 -XLS: 529 x: -16.53125 -XLS: 530 x: -16.5625 -XLS: 531 x: -16.59375 -XLS: 532 x: -16.625 -XLS: 533 x: -16.65625 -XLS: 534 x: -16.6875 -XLS: 535 x: -16.71875 -XLS: 536 x: -16.75 -XLS: 537 x: -16.78125 -XLS: 538 x: -16.8125 -XLS: 539 x: -16.84375 -XLS: 540 x: -16.875 -XLS: 541 x: -16.90625 -XLS: 542 x: -16.9375 -XLS: 543 x: -16.96875 -XLS: 544 x: -17.0 -XLS: 545 x: -17.03125 -XLS: 546 x: -17.0625 -XLS: 547 x: -17.09375 -XLS: 548 x: -17.125 -XLS: 549 x: -17.15625 -XLS: 550 x: -17.1875 -XLS: 551 x: -17.21875 -XLS: 552 x: -17.25 -XLS: 553 x: -17.28125 -XLS: 554 x: -17.3125 -XLS: 555 x: -17.34375 -XLS: 556 x: -17.375 -XLS: 557 x: -17.40625 -XLS: 558 x: -17.4375 -XLS: 559 x: -17.46875 -XLS: 560 x: -17.5 -XLS: 561 x: -17.53125 -XLS: 562 x: -17.5625 -XLS: 563 x: -17.59375 -XLS: 564 x: -17.625 -XLS: 565 x: -17.65625 -XLS: 566 x: -17.6875 -XLS: 567 x: -17.71875 -XLS: 568 x: -17.75 -XLS: 569 x: -17.78125 -XLS: 570 x: -17.8125 -XLS: 571 x: -17.84375 -XLS: 572 x: -17.875 -XLS: 573 x: -17.90625 -XLS: 574 x: -17.9375 -XLS: 575 x: -17.96875 -XLS: 576 x: -18.0 -XLS: 577 x: -18.03125 -XLS: 578 x: -18.0625 -XLS: 579 x: -18.09375 -XLS: 580 x: -18.125 -XLS: 581 x: -18.15625 -XLS: 582 x: -18.1875 -XLS: 583 x: -18.21875 -XLS: 584 x: -18.25 -XLS: 585 x: -18.28125 -XLS: 586 x: -18.3125 -XLS: 587 x: -18.34375 -XLS: 588 x: -18.375 -XLS: 589 x: -18.40625 -XLS: 590 x: -18.4375 -XLS: 591 x: -18.46875 -XLS: 592 x: -18.5 -XLS: 593 x: -18.53125 -XLS: 594 x: -18.5625 -XLS: 595 x: -18.59375 -XLS: 596 x: -18.625 -XLS: 597 x: -18.65625 -XLS: 598 x: -18.6875 -XLS: 599 x: -18.71875 -XLS: 600 x: -18.75 -XLS: 601 x: -18.78125 -XLS: 602 x: -18.8125 -XLS: 603 x: -18.84375 -XLS: 604 x: -18.875 -XLS: 605 x: -18.90625 -XLS: 606 x: -18.9375 -XLS: 607 x: -18.96875 -XLS: 608 x: -19.0 -XLS: 609 x: -19.03125 -XLS: 610 x: -19.0625 -XLS: 611 x: -19.09375 -XLS: 612 x: -19.125 -XLS: 613 x: -19.15625 -XLS: 614 x: -19.1875 -XLS: 615 x: -19.21875 -XLS: 616 x: -19.25 -XLS: 617 x: -19.28125 -XLS: 618 x: -19.3125 -XLS: 619 x: -19.34375 -XLS: 620 x: -19.375 -XLS: 621 x: -19.40625 -XLS: 622 x: -19.4375 -XLS: 623 x: -19.46875 -XLS: 624 x: -19.5 -XLS: 625 x: -19.53125 -XLS: 626 x: -19.5625 -XLS: 627 x: -19.59375 -XLS: 628 x: -19.625 -XLS: 629 x: -19.65625 -XLS: 630 x: -19.6875 -XLS: 631 x: -19.71875 -XLS: 632 x: -19.75 -XLS: 633 x: -19.78125 -XLS: 634 x: -19.8125 -XLS: 635 x: -19.84375 -XLS: 636 x: -19.875 -XLS: 637 x: -19.90625 -XLS: 638 x: -19.9375 -XLS: 639 x: -19.96875 -XLS: 640 x: -20.0 -XLS: 641 x: -20.03125 -XLS: 642 x: -20.0625 -XLS: 643 x: -20.09375 -XLS: 644 x: -20.125 -XLS: 645 x: -20.15625 -XLS: 646 x: -20.1875 -XLS: 647 x: -20.21875 -XLS: 648 x: -20.25 -XLS: 649 x: -20.28125 -XLS: 650 x: -20.3125 -XLS: 651 x: -20.34375 -XLS: 652 x: -20.375 -XLS: 653 x: -20.40625 -XLS: 654 x: -20.4375 -XLS: 655 x: -20.46875 -XLS: 656 x: -20.5 -XLS: 657 x: -20.53125 -XLS: 658 x: -20.5625 -XLS: 659 x: -20.59375 -XLS: 660 x: -20.625 -XLS: 661 x: -20.65625 -XLS: 662 x: -20.6875 -XLS: 663 x: -20.71875 -XLS: 664 x: -20.75 -XLS: 665 x: -20.78125 -XLS: 666 x: -20.8125 -XLS: 667 x: -20.84375 -XLS: 668 x: -20.875 -XLS: 669 x: -20.90625 -XLS: 670 x: -20.9375 -XLS: 671 x: -20.96875 -XLS: 672 x: -21.0 -XLS: 673 x: -21.03125 -XLS: 674 x: -21.0625 -XLS: 675 x: -21.09375 -XLS: 676 x: -21.125 -XLS: 677 x: -21.15625 -XLS: 678 x: -21.1875 -XLS: 679 x: -21.21875 -XLS: 680 x: -21.25 -XLS: 681 x: -21.28125 -XLS: 682 x: -21.3125 -XLS: 683 x: -21.34375 -XLS: 684 x: -21.375 -XLS: 685 x: -21.40625 -XLS: 686 x: -21.4375 -XLS: 687 x: -21.46875 -XLS: 688 x: -21.5 -XLS: 689 x: -21.53125 -XLS: 690 x: -21.5625 -XLS: 691 x: -21.59375 -XLS: 692 x: -21.625 -XLS: 693 x: -21.65625 -XLS: 694 x: -21.6875 -XLS: 695 x: -21.71875 -XLS: 696 x: -21.75 -XLS: 697 x: -21.78125 -XLS: 698 x: -21.8125 -XLS: 699 x: -21.84375 -XLS: 700 x: -21.875 -XLS: 701 x: -21.90625 -XLS: 702 x: -21.9375 -XLS: 703 x: -21.96875 -XLS: 704 x: -22.0 -XLS: 705 x: -22.03125 -XLS: 706 x: -22.0625 -XLS: 707 x: -22.09375 -XLS: 708 x: -22.125 -XLS: 709 x: -22.15625 -XLS: 710 x: -22.1875 -XLS: 711 x: -22.21875 -XLS: 712 x: -22.25 -XLS: 713 x: -22.28125 -XLS: 714 x: -22.3125 -XLS: 715 x: -22.34375 -XLS: 716 x: -22.375 -XLS: 717 x: -22.40625 -XLS: 718 x: -22.4375 -XLS: 719 x: -22.46875 -XLS: 720 x: -22.5 -XLS: 721 x: -22.53125 -XLS: 722 x: -22.5625 -XLS: 723 x: -22.59375 -XLS: 724 x: -22.625 -XLS: 725 x: -22.65625 -XLS: 726 x: -22.6875 -XLS: 727 x: -22.71875 -XLS: 728 x: -22.75 -XLS: 729 x: -22.78125 -XLS: 730 x: -22.8125 -XLS: 731 x: -22.84375 -XLS: 732 x: -22.875 -XLS: 733 x: -22.90625 -XLS: 734 x: -22.9375 -XLS: 735 x: -22.96875 -XLS: 736 x: -23.0 -XLS: 737 x: -23.03125 -XLS: 738 x: -23.0625 -XLS: 739 x: -23.09375 -XLS: 740 x: -23.125 -XLS: 741 x: -23.15625 -XLS: 742 x: -23.1875 -XLS: 743 x: -23.21875 -XLS: 744 x: -23.25 -XLS: 745 x: -23.28125 -XLS: 746 x: -23.3125 -XLS: 747 x: -23.34375 -XLS: 748 x: -23.375 -XLS: 749 x: -23.40625 -XLS: 750 x: -23.4375 -XLS: 751 x: -23.46875 -XLS: 752 x: -23.5 -XLS: 753 x: -23.53125 -XLS: 754 x: -23.5625 -XLS: 755 x: -23.59375 -XLS: 756 x: -23.625 -XLS: 757 x: -23.65625 -XLS: 758 x: -23.6875 -XLS: 759 x: -23.71875 -XLS: 760 x: -23.75 -XLS: 761 x: -23.78125 -XLS: 762 x: -23.8125 -XLS: 763 x: -23.84375 -XLS: 764 x: -23.875 -XLS: 765 x: -23.90625 -XLS: 766 x: -23.9375 -XLS: 767 x: -23.96875 -XLS: 768 x: -24.0 -XLS: 769 x: -24.03125 -XLS: 770 x: -24.0625 -XLS: 771 x: -24.09375 -XLS: 772 x: -24.125 -XLS: 773 x: -24.15625 -XLS: 774 x: -24.1875 -XLS: 775 x: -24.21875 -XLS: 776 x: -24.25 -XLS: 777 x: -24.28125 -XLS: 778 x: -24.3125 -XLS: 779 x: -24.34375 -XLS: 780 x: -24.375 -XLS: 781 x: -24.40625 -XLS: 782 x: -24.4375 -XLS: 783 x: -24.46875 -XLS: 784 x: -24.5 -XLS: 785 x: -24.53125 -XLS: 786 x: -24.5625 -XLS: 787 x: -24.59375 -XLS: 788 x: -24.625 -XLS: 789 x: -24.65625 -XLS: 790 x: -24.6875 -XLS: 791 x: -24.71875 -XLS: 792 x: -24.75 -XLS: 793 x: -24.78125 -XLS: 794 x: -24.8125 -XLS: 795 x: -24.84375 -XLS: 796 x: -24.875 -XLS: 797 x: -24.90625 -XLS: 798 x: -24.9375 -XLS: 799 x: -24.96875 -XLS: 800 x: -25.0 -XLS: 801 x: -25.03125 -XLS: 802 x: -25.0625 -XLS: 803 x: -25.09375 -XLS: 804 x: -25.125 -XLS: 805 x: -25.15625 -XLS: 806 x: -25.1875 -XLS: 807 x: -25.21875 -XLS: 808 x: -25.25 -XLS: 809 x: -25.28125 -XLS: 810 x: -25.3125 -XLS: 811 x: -25.34375 -XLS: 812 x: -25.375 -XLS: 813 x: -25.40625 -XLS: 814 x: -25.4375 -XLS: 815 x: -25.46875 -XLS: 816 x: -25.5 -XLS: 817 x: -25.53125 -XLS: 818 x: -25.5625 -XLS: 819 x: -25.59375 -XLS: 820 x: -25.625 -XLS: 821 x: -25.65625 -XLS: 822 x: -25.6875 -XLS: 823 x: -25.71875 -XLS: 824 x: -25.75 -XLS: 825 x: -25.78125 -XLS: 826 x: -25.8125 -XLS: 827 x: -25.84375 -XLS: 828 x: -25.875 -XLS: 829 x: -25.90625 -XLS: 830 x: -25.9375 -XLS: 831 x: -25.96875 -XLS: 832 x: -26.0 -XLS: 833 x: -26.03125 -XLS: 834 x: -26.0625 -XLS: 835 x: -26.09375 -XLS: 836 x: -26.125 -XLS: 837 x: -26.15625 -XLS: 838 x: -26.1875 -XLS: 839 x: -26.21875 -XLS: 840 x: -26.25 -XLS: 841 x: -26.28125 -XLS: 842 x: -26.3125 -XLS: 843 x: -26.34375 -XLS: 844 x: -26.375 -XLS: 845 x: -26.40625 -XLS: 846 x: -26.4375 -XLS: 847 x: -26.46875 -XLS: 848 x: -26.5 -XLS: 849 x: -26.53125 -XLS: 850 x: -26.5625 -XLS: 851 x: -26.59375 -XLS: 852 x: -26.625 -XLS: 853 x: -26.65625 -XLS: 854 x: -26.6875 -XLS: 855 x: -26.71875 -XLS: 856 x: -26.75 -XLS: 857 x: -26.78125 -XLS: 858 x: -26.8125 -XLS: 859 x: -26.84375 -XLS: 860 x: -26.875 -XLS: 861 x: -26.90625 -XLS: 862 x: -26.9375 -XLS: 863 x: -26.96875 -XLS: 864 x: -27.0 -XLS: 865 x: -27.03125 -XLS: 866 x: -27.0625 -XLS: 867 x: -27.09375 -XLS: 868 x: -27.125 -XLS: 869 x: -27.15625 -XLS: 870 x: -27.1875 -XLS: 871 x: -27.21875 -XLS: 872 x: -27.25 -XLS: 873 x: -27.28125 -XLS: 874 x: -27.3125 -XLS: 875 x: -27.34375 -XLS: 876 x: -27.375 -XLS: 877 x: -27.40625 -XLS: 878 x: -27.4375 -XLS: 879 x: -27.46875 -XLS: 880 x: -27.5 -XLS: 881 x: -27.53125 -XLS: 882 x: -27.5625 -XLS: 883 x: -27.59375 -XLS: 884 x: -27.625 -XLS: 885 x: -27.65625 -XLS: 886 x: -27.6875 -XLS: 887 x: -27.71875 -XLS: 888 x: -27.75 -XLS: 889 x: -27.78125 -XLS: 890 x: -27.8125 -XLS: 891 x: -27.84375 -XLS: 892 x: -27.875 -XLS: 893 x: -27.90625 -XLS: 894 x: -27.9375 -XLS: 895 x: -27.96875 -XLS: 896 x: -28.0 -XLS: 897 x: -28.03125 -XLS: 898 x: -28.0625 -XLS: 899 x: -28.09375 -XLS: 900 x: -28.125 -XLS: 901 x: -28.15625 -XLS: 902 x: -28.1875 -XLS: 903 x: -28.21875 -XLS: 904 x: -28.25 -XLS: 905 x: -28.28125 -XLS: 906 x: -28.3125 -XLS: 907 x: -28.34375 -XLS: 908 x: -28.375 -XLS: 909 x: -28.40625 -XLS: 910 x: -28.4375 -XLS: 911 x: -28.46875 -XLS: 912 x: -28.5 -XLS: 913 x: -28.53125 -XLS: 914 x: -28.5625 -XLS: 915 x: -28.59375 -XLS: 916 x: -28.625 -XLS: 917 x: -28.65625 -XLS: 918 x: -28.6875 -XLS: 919 x: -28.71875 -XLS: 920 x: -28.75 -XLS: 921 x: -28.78125 -XLS: 922 x: -28.8125 -XLS: 923 x: -28.84375 -XLS: 924 x: -28.875 -XLS: 925 x: -28.90625 -XLS: 926 x: -28.9375 -XLS: 927 x: -28.96875 -XLS: 928 x: -29.0 -XLS: 929 x: -29.03125 -XLS: 930 x: -29.0625 -XLS: 931 x: -29.09375 -XLS: 932 x: -29.125 -XLS: 933 x: -29.15625 -XLS: 934 x: -29.1875 -XLS: 935 x: -29.21875 -XLS: 936 x: -29.25 -XLS: 937 x: -29.28125 -XLS: 938 x: -29.3125 -XLS: 939 x: -29.34375 -XLS: 940 x: -29.375 -XLS: 941 x: -29.40625 -XLS: 942 x: -29.4375 -XLS: 943 x: -29.46875 -XLS: 944 x: -29.5 -XLS: 945 x: -29.53125 -XLS: 946 x: -29.5625 -XLS: 947 x: -29.59375 -XLS: 948 x: -29.625 -XLS: 949 x: -29.65625 -XLS: 950 x: -29.6875 -XLS: 951 x: -29.71875 -XLS: 952 x: -29.75 -XLS: 953 x: -29.78125 -XLS: 954 x: -29.8125 -XLS: 955 x: -29.84375 -XLS: 956 x: -29.875 -XLS: 957 x: -29.90625 -XLS: 958 x: -29.9375 -XLS: 959 x: -29.96875 -XLS: 960 x: -30.0 -XLS: 961 x: -30.03125 -XLS: 962 x: -30.0625 -XLS: 963 x: -30.09375 -XLS: 964 x: -30.125 -XLS: 965 x: -30.15625 -XLS: 966 x: -30.1875 -XLS: 967 x: -30.21875 -XLS: 968 x: -30.25 -XLS: 969 x: -30.28125 -XLS: 970 x: -30.3125 -XLS: 971 x: -30.34375 -XLS: 972 x: -30.375 -XLS: 973 x: -30.40625 -XLS: 974 x: -30.4375 -XLS: 975 x: -30.46875 -XLS: 976 x: -30.5 -XLS: 977 x: -30.53125 -XLS: 978 x: -30.5625 -XLS: 979 x: -30.59375 -XLS: 980 x: -30.625 -XLS: 981 x: -30.65625 -XLS: 982 x: -30.6875 -XLS: 983 x: -30.71875 -XLS: 984 x: -30.75 -XLS: 985 x: -30.78125 -XLS: 986 x: -30.8125 -XLS: 987 x: -30.84375 -XLS: 988 x: -30.875 -XLS: 989 x: -30.90625 -XLS: 990 x: -30.9375 -XLS: 991 x: -30.96875 -XLS: 992 x: -31.0 -XLS: 993 x: -31.03125 -XLS: 994 x: -31.0625 -XLS: 995 x: -31.09375 -XLS: 996 x: -31.125 -XLS: 997 x: -31.15625 -XLS: 998 x: -31.1875 -XLS: 999 x: -31.21875 -XLS: 1000 x: -31.25 -XLS: 1001 x: -31.28125 -XLS: 1002 x: -31.3125 -XLS: 1003 x: -31.34375 -XLS: 1004 x: -31.375 -XLS: 1005 x: -31.40625 -XLS: 1006 x: -31.4375 -XLS: 1007 x: -31.46875 -XLS: 1008 x: -31.5 -XLS: 1009 x: -31.53125 -XLS: 1010 x: -31.5625 -XLS: 1011 x: -31.59375 -XLS: 1012 x: -31.625 -XLS: 1013 x: -31.65625 -XLS: 1014 x: -31.6875 -XLS: 1015 x: -31.71875 -XLS: 1016 x: -31.75 -XLS: 1017 x: -31.78125 -XLS: 1018 x: -31.8125 -XLS: 1019 x: -31.84375 -XLS: 1020 x: -31.875 -XLS: 1021 x: -31.90625 -XLS: 1022 x: -31.9375 -XLS: 1023 x: -31.96875 ------------------------------ Captured stderr call ----------------------------- -ap_types/lookup_tables.x:34:5-34:19 -0032: // hls-fpga-machine-learning insert exponent table -0033: pub const EXP_TABLE = sN[u32:9][u32:1024]:[ -0034: sN[u32:9]:1024,sN[u32:9]:992,sN[u32:9]:962,sN[u32:9]:932,sN[u32:9]:904,sN[u32:9]:876,sN[u32:9]:849,sN[u32:9]:823, -~~~~~~~~~~^------------^ TypeInferenceError: sN[9] Value '1024' does not fit in the bitwidth of a sN[9] (9). Valid values are [-256, 255]. -0035: sN[u32:9]:797,sN[u32:9]:773,sN[u32:9]:749,sN[u32:9]:726,sN[u32:9]:704,sN[u32:9]:682,sN[u32:9]:661,sN[u32:9]:641, -0036: sN[u32:9]:621,sN[u32:9]:602,sN[u32:9]:583,sN[u32:9]:566,sN[u32:9]:548,sN[u32:9]:531,sN[u32:9]:515,sN[u32:9]:499, -Error: INVALID_ARGUMENT: TypeInferenceError: ap_types/lookup_tables.x:34:5-34:19 sN[9] Value '1024' does not fit in the bitwidth of a sN[9] (9). Valid values are [-256, 255]. ---------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 3154144950 -_____ test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-Vivado] ______ - -backend = 'Vivado', strategy = 'stable' -generate_data = array([[13.9744297 , 15.92180375, 22.9723219 , ..., 8.19185447, - 7.68837113, 19.16780557], - [12.8074795...107, 28.08511306], - [ 5.51985369, 13.12982809, 4.56763497, ..., 3.48239095, - 12.02306702, 11.76489227]]) -input_bits = '16,6', input_shape = (8,), table_bits = '9,6' -io_type = 'io_parallel', custom_accum = False - - @pytest.mark.parametrize('backend', ['Vivado', 'XLS']) - @pytest.mark.parametrize('strategy', ['stable']) - @pytest.mark.parametrize( - 'input_bits,input_shape,table_bits,io_type,custom_accum', - [ - # ('16,6', (8,), '18,8', 'io_parallel', False), - ('16,6', (8,), '9,6', 'io_parallel', False), - ], - ) - def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): - X = generate_data - model = tf.keras.models.Sequential() - model.add(tf.keras.layers.Activation(input_shape=input_shape, activation='softmax', name='softmax')) - model.compile() - - table_type = f'fixed<{table_bits}, RND, SAT>' - - cfg = hls4ml.utils.config_from_keras_model(model, granularity='name', backend=backend) - cfg['LayerName']['softmax']['Implementation'] = strategy - cfg['LayerName']['softmax']['inv_table_t'] = table_type - cfg['LayerName']['softmax']['exp_table_t'] = table_type - cfg['LayerName']['softmax']['accum_t'] = table_type - cfg['LayerName']['softmax']['inv_inp_t'] = table_type - if custom_accum: - if backend not in ['Vivado', 'Vitis']: - pytest.skip('Custom accumulators are only supported for Vivado and Vitis backends') - W, I = map(int, input_bits.split(',')) # noqa: E741 - cfg['LayerName']['softmax']['accum_t'] = f'fixed<{W+3},{I+3}>' - cfg['LayerName']['softmax']['inv_inp_t'] = f'fixed<{W+2},{I+2}>' - inp_layer_name = next(iter(cfg['LayerName'].keys())) - cfg['LayerName'][inp_layer_name]['Precision']['result'] = f'fixed<{input_bits}>' - - odir = str( - test_root_path - / ( - f'hls4mlprj_softmax_{backend}_{io_type}_{strategy}_{input_shape}' - f'_input-bits={input_bits}_table-bits={table_bits}_custom-accum={custom_accum}' - ) - ) - hls_model = hls4ml.converters.convert_from_keras_model( - model, hls_config=cfg, io_type=io_type, output_dir=odir, backend=backend - ) - hls_model.compile() - - y_keras = model.predict(X) - print("Y KERAS") - print(y_keras) - y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) - print("Y HLS") - print(y_hls4ml) - acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) - - print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') - -> assert acc_hls4ml >= 1.98 -E assert 0.9876 >= 1.98 - -/home/girji/workspace/forks/hls4ml/test/pytest/test_softmax.py:92: AssertionError ----------------------------- Captured stdout setup ----------------------------- -pytest-randomly: reseed with 3154144948 ------------------------------ Captured stdout call ----------------------------- -pytest-randomly: reseed with 3154144949 -WARNING: Config parameter "accum_t" overwrites an existing attribute in layer "softmax" (Softmax) - 1/157 [..............................] - ETA: 5s 97/157 [=================>............] - ETA: 0s 157/157 [==============================] - 0s 514us/step -Y KERAS -[[8.5675143e-05 6.0060417e-04 6.9277126e-01 ... 2.6394545e-07 - 1.5953441e-07 1.5427970e-02] - [1.2561338e-08 2.7914938e-12 2.1759163e-09 ... 1.7508401e-06 - 4.7938420e-10 3.7804011e-08] - [5.0127023e-01 4.9872965e-01 7.5455641e-13 ... 4.5744308e-11 - 1.1251919e-07 2.6965372e-09] - ... - [8.2380371e-03 2.0399207e-11 2.0492582e-02 ... 1.8373512e-04 - 9.7096980e-01 6.8141599e-09] - [8.1300854e-08 9.5248114e-16 2.0201478e-04 ... 1.0395909e-09 - 2.2944779e-04 9.9956721e-01] - [1.6274894e-08 3.2846438e-05 6.2802177e-09 ... 2.1215807e-09 - 1.0859957e-05 8.3888826e-06]] -exp_table[0] = 1 X: -0 -exp_table[1] = 1 X: -0.0625 -exp_table[2] = 0.875 X: -0.125 -exp_table[3] = 0.875 X: -0.1875 -exp_table[4] = 0.75 X: -0.25 -exp_table[5] = 0.75 X: -0.3125 -exp_table[6] = 0.625 X: -0.375 -exp_table[7] = 0.625 X: -0.4375 -exp_table[8] = 0.625 X: -0.5 -exp_table[9] = 0.625 X: -0.5625 -exp_table[10] = 0.5 X: -0.625 -exp_table[11] = 0.5 X: -0.6875 -exp_table[12] = 0.5 X: -0.75 -exp_table[13] = 0.5 X: -0.8125 -exp_table[14] = 0.375 X: -0.875 -exp_table[15] = 0.375 X: -0.9375 -exp_table[16] = 0.375 X: -1 -exp_table[17] = 0.375 X: -1.0625 -exp_table[18] = 0.375 X: -1.125 -exp_table[19] = 0.25 X: -1.1875 -exp_table[20] = 0.25 X: -1.25 -exp_table[21] = 0.25 X: -1.3125 -exp_table[22] = 0.25 X: -1.375 -exp_table[23] = 0.25 X: -1.4375 -exp_table[24] = 0.25 X: -1.5 -exp_table[25] = 0.25 X: -1.5625 -exp_table[26] = 0.25 X: -1.625 -exp_table[27] = 0.125 X: -1.6875 -exp_table[28] = 0.125 X: -1.75 -exp_table[29] = 0.125 X: -1.8125 -exp_table[30] = 0.125 X: -1.875 -exp_table[31] = 0.125 X: -1.9375 -exp_table[32] = 0.125 X: -2 -exp_table[33] = 0.125 X: -2.0625 -exp_table[34] = 0.125 X: -2.125 -exp_table[35] = 0.125 X: -2.1875 -exp_table[36] = 0.125 X: -2.25 -exp_table[37] = 0.125 X: -2.3125 -exp_table[38] = 0.125 X: -2.375 -exp_table[39] = 0.125 X: -2.4375 -exp_table[40] = 0.125 X: -2.5 -exp_table[41] = 0.125 X: -2.5625 -exp_table[42] = 0.125 X: -2.625 -exp_table[43] = 0.125 X: -2.6875 -exp_table[44] = 0.125 X: -2.75 -exp_table[45] = 0 X: -2.8125 -exp_table[46] = 0 X: -2.875 -exp_table[47] = 0 X: -2.9375 -exp_table[48] = 0 X: -3 -exp_table[49] = 0 X: -3.0625 -exp_table[50] = 0 X: -3.125 -exp_table[51] = 0 X: -3.1875 -exp_table[52] = 0 X: -3.25 -exp_table[53] = 0 X: -3.3125 -exp_table[54] = 0 X: -3.375 -exp_table[55] = 0 X: -3.4375 -exp_table[56] = 0 X: -3.5 -exp_table[57] = 0 X: -3.5625 -exp_table[58] = 0 X: -3.625 -exp_table[59] = 0 X: -3.6875 -exp_table[60] = 0 X: -3.75 -exp_table[61] = 0 X: -3.8125 -exp_table[62] = 0 X: -3.875 -exp_table[63] = 0 X: -3.9375 -exp_table[64] = 0 X: -4 -exp_table[65] = 0 X: -4.0625 -exp_table[66] = 0 X: -4.125 -exp_table[67] = 0 X: -4.1875 -exp_table[68] = 0 X: -4.25 -exp_table[69] = 0 X: -4.3125 -exp_table[70] = 0 X: -4.375 -exp_table[71] = 0 X: -4.4375 -exp_table[72] = 0 X: -4.5 -exp_table[73] = 0 X: -4.5625 -exp_table[74] = 0 X: -4.625 -exp_table[75] = 0 X: -4.6875 -exp_table[76] = 0 X: -4.75 -exp_table[77] = 0 X: -4.8125 -exp_table[78] = 0 X: -4.875 -exp_table[79] = 0 X: -4.9375 -exp_table[80] = 0 X: -5 -exp_table[81] = 0 X: -5.0625 -exp_table[82] = 0 X: -5.125 -exp_table[83] = 0 X: -5.1875 -exp_table[84] = 0 X: -5.25 -exp_table[85] = 0 X: -5.3125 -exp_table[86] = 0 X: -5.375 -exp_table[87] = 0 X: -5.4375 -exp_table[88] = 0 X: -5.5 -exp_table[89] = 0 X: -5.5625 -exp_table[90] = 0 X: -5.625 -exp_table[91] = 0 X: -5.6875 -exp_table[92] = 0 X: -5.75 -exp_table[93] = 0 X: -5.8125 -exp_table[94] = 0 X: -5.875 -exp_table[95] = 0 X: -5.9375 -exp_table[96] = 0 X: -6 -exp_table[97] = 0 X: -6.0625 -exp_table[98] = 0 X: -6.125 -exp_table[99] = 0 X: -6.1875 -exp_table[100] = 0 X: -6.25 -exp_table[101] = 0 X: -6.3125 -exp_table[102] = 0 X: -6.375 -exp_table[103] = 0 X: -6.4375 -exp_table[104] = 0 X: -6.5 -exp_table[105] = 0 X: -6.5625 -exp_table[106] = 0 X: -6.625 -exp_table[107] = 0 X: -6.6875 -exp_table[108] = 0 X: -6.75 -exp_table[109] = 0 X: -6.8125 -exp_table[110] = 0 X: -6.875 -exp_table[111] = 0 X: -6.9375 -exp_table[112] = 0 X: -7 -exp_table[113] = 0 X: -7.0625 -exp_table[114] = 0 X: -7.125 -exp_table[115] = 0 X: -7.1875 -exp_table[116] = 0 X: -7.25 -exp_table[117] = 0 X: -7.3125 -exp_table[118] = 0 X: -7.375 -exp_table[119] = 0 X: -7.4375 -exp_table[120] = 0 X: -7.5 -exp_table[121] = 0 X: -7.5625 -exp_table[122] = 0 X: -7.625 -exp_table[123] = 0 X: -7.6875 -exp_table[124] = 0 X: -7.75 -exp_table[125] = 0 X: -7.8125 -exp_table[126] = 0 X: -7.875 -exp_table[127] = 0 X: -7.9375 -exp_table[128] = 0 X: -8 -exp_table[129] = 0 X: -8.0625 -exp_table[130] = 0 X: -8.125 -exp_table[131] = 0 X: -8.1875 -exp_table[132] = 0 X: -8.25 -exp_table[133] = 0 X: -8.3125 -exp_table[134] = 0 X: -8.375 -exp_table[135] = 0 X: -8.4375 -exp_table[136] = 0 X: -8.5 -exp_table[137] = 0 X: -8.5625 -exp_table[138] = 0 X: -8.625 -exp_table[139] = 0 X: -8.6875 -exp_table[140] = 0 X: -8.75 -exp_table[141] = 0 X: -8.8125 -exp_table[142] = 0 X: -8.875 -exp_table[143] = 0 X: -8.9375 -exp_table[144] = 0 X: -9 -exp_table[145] = 0 X: -9.0625 -exp_table[146] = 0 X: -9.125 -exp_table[147] = 0 X: -9.1875 -exp_table[148] = 0 X: -9.25 -exp_table[149] = 0 X: -9.3125 -exp_table[150] = 0 X: -9.375 -exp_table[151] = 0 X: -9.4375 -exp_table[152] = 0 X: -9.5 -exp_table[153] = 0 X: -9.5625 -exp_table[154] = 0 X: -9.625 -exp_table[155] = 0 X: -9.6875 -exp_table[156] = 0 X: -9.75 -exp_table[157] = 0 X: -9.8125 -exp_table[158] = 0 X: -9.875 -exp_table[159] = 0 X: -9.9375 -exp_table[160] = 0 X: -10 -exp_table[161] = 0 X: -10.0625 -exp_table[162] = 0 X: -10.125 -exp_table[163] = 0 X: -10.1875 -exp_table[164] = 0 X: -10.25 -exp_table[165] = 0 X: -10.3125 -exp_table[166] = 0 X: -10.375 -exp_table[167] = 0 X: -10.4375 -exp_table[168] = 0 X: -10.5 -exp_table[169] = 0 X: -10.5625 -exp_table[170] = 0 X: -10.625 -exp_table[171] = 0 X: -10.6875 -exp_table[172] = 0 X: -10.75 -exp_table[173] = 0 X: -10.8125 -exp_table[174] = 0 X: -10.875 -exp_table[175] = 0 X: -10.9375 -exp_table[176] = 0 X: -11 -exp_table[177] = 0 X: -11.0625 -exp_table[178] = 0 X: -11.125 -exp_table[179] = 0 X: -11.1875 -exp_table[180] = 0 X: -11.25 -exp_table[181] = 0 X: -11.3125 -exp_table[182] = 0 X: -11.375 -exp_table[183] = 0 X: -11.4375 -exp_table[184] = 0 X: -11.5 -exp_table[185] = 0 X: -11.5625 -exp_table[186] = 0 X: -11.625 -exp_table[187] = 0 X: -11.6875 -exp_table[188] = 0 X: -11.75 -exp_table[189] = 0 X: -11.8125 -exp_table[190] = 0 X: -11.875 -exp_table[191] = 0 X: -11.9375 -exp_table[192] = 0 X: -12 -exp_table[193] = 0 X: -12.0625 -exp_table[194] = 0 X: -12.125 -exp_table[195] = 0 X: -12.1875 -exp_table[196] = 0 X: -12.25 -exp_table[197] = 0 X: -12.3125 -exp_table[198] = 0 X: -12.375 -exp_table[199] = 0 X: -12.4375 -exp_table[200] = 0 X: -12.5 -exp_table[201] = 0 X: -12.5625 -exp_table[202] = 0 X: -12.625 -exp_table[203] = 0 X: -12.6875 -exp_table[204] = 0 X: -12.75 -exp_table[205] = 0 X: -12.8125 -exp_table[206] = 0 X: -12.875 -exp_table[207] = 0 X: -12.9375 -exp_table[208] = 0 X: -13 -exp_table[209] = 0 X: -13.0625 -exp_table[210] = 0 X: -13.125 -exp_table[211] = 0 X: -13.1875 -exp_table[212] = 0 X: -13.25 -exp_table[213] = 0 X: -13.3125 -exp_table[214] = 0 X: -13.375 -exp_table[215] = 0 X: -13.4375 -exp_table[216] = 0 X: -13.5 -exp_table[217] = 0 X: -13.5625 -exp_table[218] = 0 X: -13.625 -exp_table[219] = 0 X: -13.6875 -exp_table[220] = 0 X: -13.75 -exp_table[221] = 0 X: -13.8125 -exp_table[222] = 0 X: -13.875 -exp_table[223] = 0 X: -13.9375 -exp_table[224] = 0 X: -14 -exp_table[225] = 0 X: -14.0625 -exp_table[226] = 0 X: -14.125 -exp_table[227] = 0 X: -14.1875 -exp_table[228] = 0 X: -14.25 -exp_table[229] = 0 X: -14.3125 -exp_table[230] = 0 X: -14.375 -exp_table[231] = 0 X: -14.4375 -exp_table[232] = 0 X: -14.5 -exp_table[233] = 0 X: -14.5625 -exp_table[234] = 0 X: -14.625 -exp_table[235] = 0 X: -14.6875 -exp_table[236] = 0 X: -14.75 -exp_table[237] = 0 X: -14.8125 -exp_table[238] = 0 X: -14.875 -exp_table[239] = 0 X: -14.9375 -exp_table[240] = 0 X: -15 -exp_table[241] = 0 X: -15.0625 -exp_table[242] = 0 X: -15.125 -exp_table[243] = 0 X: -15.1875 -exp_table[244] = 0 X: -15.25 -exp_table[245] = 0 X: -15.3125 -exp_table[246] = 0 X: -15.375 -exp_table[247] = 0 X: -15.4375 -exp_table[248] = 0 X: -15.5 -exp_table[249] = 0 X: -15.5625 -exp_table[250] = 0 X: -15.625 -exp_table[251] = 0 X: -15.6875 -exp_table[252] = 0 X: -15.75 -exp_table[253] = 0 X: -15.8125 -exp_table[254] = 0 X: -15.875 -exp_table[255] = 0 X: -15.9375 -exp_table[256] = 0 X: -16 -exp_table[257] = 0 X: -16.0625 -exp_table[258] = 0 X: -16.125 -exp_table[259] = 0 X: -16.1875 -exp_table[260] = 0 X: -16.25 -exp_table[261] = 0 X: -16.3125 -exp_table[262] = 0 X: -16.375 -exp_table[263] = 0 X: -16.4375 -exp_table[264] = 0 X: -16.5 -exp_table[265] = 0 X: -16.5625 -exp_table[266] = 0 X: -16.625 -exp_table[267] = 0 X: -16.6875 -exp_table[268] = 0 X: -16.75 -exp_table[269] = 0 X: -16.8125 -exp_table[270] = 0 X: -16.875 -exp_table[271] = 0 X: -16.9375 -exp_table[272] = 0 X: -17 -exp_table[273] = 0 X: -17.0625 -exp_table[274] = 0 X: -17.125 -exp_table[275] = 0 X: -17.1875 -exp_table[276] = 0 X: -17.25 -exp_table[277] = 0 X: -17.3125 -exp_table[278] = 0 X: -17.375 -exp_table[279] = 0 X: -17.4375 -exp_table[280] = 0 X: -17.5 -exp_table[281] = 0 X: -17.5625 -exp_table[282] = 0 X: -17.625 -exp_table[283] = 0 X: -17.6875 -exp_table[284] = 0 X: -17.75 -exp_table[285] = 0 X: -17.8125 -exp_table[286] = 0 X: -17.875 -exp_table[287] = 0 X: -17.9375 -exp_table[288] = 0 X: -18 -exp_table[289] = 0 X: -18.0625 -exp_table[290] = 0 X: -18.125 -exp_table[291] = 0 X: -18.1875 -exp_table[292] = 0 X: -18.25 -exp_table[293] = 0 X: -18.3125 -exp_table[294] = 0 X: -18.375 -exp_table[295] = 0 X: -18.4375 -exp_table[296] = 0 X: -18.5 -exp_table[297] = 0 X: -18.5625 -exp_table[298] = 0 X: -18.625 -exp_table[299] = 0 X: -18.6875 -exp_table[300] = 0 X: -18.75 -exp_table[301] = 0 X: -18.8125 -exp_table[302] = 0 X: -18.875 -exp_table[303] = 0 X: -18.9375 -exp_table[304] = 0 X: -19 -exp_table[305] = 0 X: -19.0625 -exp_table[306] = 0 X: -19.125 -exp_table[307] = 0 X: -19.1875 -exp_table[308] = 0 X: -19.25 -exp_table[309] = 0 X: -19.3125 -exp_table[310] = 0 X: -19.375 -exp_table[311] = 0 X: -19.4375 -exp_table[312] = 0 X: -19.5 -exp_table[313] = 0 X: -19.5625 -exp_table[314] = 0 X: -19.625 -exp_table[315] = 0 X: -19.6875 -exp_table[316] = 0 X: -19.75 -exp_table[317] = 0 X: -19.8125 -exp_table[318] = 0 X: -19.875 -exp_table[319] = 0 X: -19.9375 -exp_table[320] = 0 X: -20 -exp_table[321] = 0 X: -20.0625 -exp_table[322] = 0 X: -20.125 -exp_table[323] = 0 X: -20.1875 -exp_table[324] = 0 X: -20.25 -exp_table[325] = 0 X: -20.3125 -exp_table[326] = 0 X: -20.375 -exp_table[327] = 0 X: -20.4375 -exp_table[328] = 0 X: -20.5 -exp_table[329] = 0 X: -20.5625 -exp_table[330] = 0 X: -20.625 -exp_table[331] = 0 X: -20.6875 -exp_table[332] = 0 X: -20.75 -exp_table[333] = 0 X: -20.8125 -exp_table[334] = 0 X: -20.875 -exp_table[335] = 0 X: -20.9375 -exp_table[336] = 0 X: -21 -exp_table[337] = 0 X: -21.0625 -exp_table[338] = 0 X: -21.125 -exp_table[339] = 0 X: -21.1875 -exp_table[340] = 0 X: -21.25 -exp_table[341] = 0 X: -21.3125 -exp_table[342] = 0 X: -21.375 -exp_table[343] = 0 X: -21.4375 -exp_table[344] = 0 X: -21.5 -exp_table[345] = 0 X: -21.5625 -exp_table[346] = 0 X: -21.625 -exp_table[347] = 0 X: -21.6875 -exp_table[348] = 0 X: -21.75 -exp_table[349] = 0 X: -21.8125 -exp_table[350] = 0 X: -21.875 -exp_table[351] = 0 X: -21.9375 -exp_table[352] = 0 X: -22 -exp_table[353] = 0 X: -22.0625 -exp_table[354] = 0 X: -22.125 -exp_table[355] = 0 X: -22.1875 -exp_table[356] = 0 X: -22.25 -exp_table[357] = 0 X: -22.3125 -exp_table[358] = 0 X: -22.375 -exp_table[359] = 0 X: -22.4375 -exp_table[360] = 0 X: -22.5 -exp_table[361] = 0 X: -22.5625 -exp_table[362] = 0 X: -22.625 -exp_table[363] = 0 X: -22.6875 -exp_table[364] = 0 X: -22.75 -exp_table[365] = 0 X: -22.8125 -exp_table[366] = 0 X: -22.875 -exp_table[367] = 0 X: -22.9375 -exp_table[368] = 0 X: -23 -exp_table[369] = 0 X: -23.0625 -exp_table[370] = 0 X: -23.125 -exp_table[371] = 0 X: -23.1875 -exp_table[372] = 0 X: -23.25 -exp_table[373] = 0 X: -23.3125 -exp_table[374] = 0 X: -23.375 -exp_table[375] = 0 X: -23.4375 -exp_table[376] = 0 X: -23.5 -exp_table[377] = 0 X: -23.5625 -exp_table[378] = 0 X: -23.625 -exp_table[379] = 0 X: -23.6875 -exp_table[380] = 0 X: -23.75 -exp_table[381] = 0 X: -23.8125 -exp_table[382] = 0 X: -23.875 -exp_table[383] = 0 X: -23.9375 -exp_table[384] = 0 X: -24 -exp_table[385] = 0 X: -24.0625 -exp_table[386] = 0 X: -24.125 -exp_table[387] = 0 X: -24.1875 -exp_table[388] = 0 X: -24.25 -exp_table[389] = 0 X: -24.3125 -exp_table[390] = 0 X: -24.375 -exp_table[391] = 0 X: -24.4375 -exp_table[392] = 0 X: -24.5 -exp_table[393] = 0 X: -24.5625 -exp_table[394] = 0 X: -24.625 -exp_table[395] = 0 X: -24.6875 -exp_table[396] = 0 X: -24.75 -exp_table[397] = 0 X: -24.8125 -exp_table[398] = 0 X: -24.875 -exp_table[399] = 0 X: -24.9375 -exp_table[400] = 0 X: -25 -exp_table[401] = 0 X: -25.0625 -exp_table[402] = 0 X: -25.125 -exp_table[403] = 0 X: -25.1875 -exp_table[404] = 0 X: -25.25 -exp_table[405] = 0 X: -25.3125 -exp_table[406] = 0 X: -25.375 -exp_table[407] = 0 X: -25.4375 -exp_table[408] = 0 X: -25.5 -exp_table[409] = 0 X: -25.5625 -exp_table[410] = 0 X: -25.625 -exp_table[411] = 0 X: -25.6875 -exp_table[412] = 0 X: -25.75 -exp_table[413] = 0 X: -25.8125 -exp_table[414] = 0 X: -25.875 -exp_table[415] = 0 X: -25.9375 -exp_table[416] = 0 X: -26 -exp_table[417] = 0 X: -26.0625 -exp_table[418] = 0 X: -26.125 -exp_table[419] = 0 X: -26.1875 -exp_table[420] = 0 X: -26.25 -exp_table[421] = 0 X: -26.3125 -exp_table[422] = 0 X: -26.375 -exp_table[423] = 0 X: -26.4375 -exp_table[424] = 0 X: -26.5 -exp_table[425] = 0 X: -26.5625 -exp_table[426] = 0 X: -26.625 -exp_table[427] = 0 X: -26.6875 -exp_table[428] = 0 X: -26.75 -exp_table[429] = 0 X: -26.8125 -exp_table[430] = 0 X: -26.875 -exp_table[431] = 0 X: -26.9375 -exp_table[432] = 0 X: -27 -exp_table[433] = 0 X: -27.0625 -exp_table[434] = 0 X: -27.125 -exp_table[435] = 0 X: -27.1875 -exp_table[436] = 0 X: -27.25 -exp_table[437] = 0 X: -27.3125 -exp_table[438] = 0 X: -27.375 -exp_table[439] = 0 X: -27.4375 -exp_table[440] = 0 X: -27.5 -exp_table[441] = 0 X: -27.5625 -exp_table[442] = 0 X: -27.625 -exp_table[443] = 0 X: -27.6875 -exp_table[444] = 0 X: -27.75 -exp_table[445] = 0 X: -27.8125 -exp_table[446] = 0 X: -27.875 -exp_table[447] = 0 X: -27.9375 -exp_table[448] = 0 X: -28 -exp_table[449] = 0 X: -28.0625 -exp_table[450] = 0 X: -28.125 -exp_table[451] = 0 X: -28.1875 -exp_table[452] = 0 X: -28.25 -exp_table[453] = 0 X: -28.3125 -exp_table[454] = 0 X: -28.375 -exp_table[455] = 0 X: -28.4375 -exp_table[456] = 0 X: -28.5 -exp_table[457] = 0 X: -28.5625 -exp_table[458] = 0 X: -28.625 -exp_table[459] = 0 X: -28.6875 -exp_table[460] = 0 X: -28.75 -exp_table[461] = 0 X: -28.8125 -exp_table[462] = 0 X: -28.875 -exp_table[463] = 0 X: -28.9375 -exp_table[464] = 0 X: -29 -exp_table[465] = 0 X: -29.0625 -exp_table[466] = 0 X: -29.125 -exp_table[467] = 0 X: -29.1875 -exp_table[468] = 0 X: -29.25 -exp_table[469] = 0 X: -29.3125 -exp_table[470] = 0 X: -29.375 -exp_table[471] = 0 X: -29.4375 -exp_table[472] = 0 X: -29.5 -exp_table[473] = 0 X: -29.5625 -exp_table[474] = 0 X: -29.625 -exp_table[475] = 0 X: -29.6875 -exp_table[476] = 0 X: -29.75 -exp_table[477] = 0 X: -29.8125 -exp_table[478] = 0 X: -29.875 -exp_table[479] = 0 X: -29.9375 -exp_table[480] = 0 X: -30 -exp_table[481] = 0 X: -30.0625 -exp_table[482] = 0 X: -30.125 -exp_table[483] = 0 X: -30.1875 -exp_table[484] = 0 X: -30.25 -exp_table[485] = 0 X: -30.3125 -exp_table[486] = 0 X: -30.375 -exp_table[487] = 0 X: -30.4375 -exp_table[488] = 0 X: -30.5 -exp_table[489] = 0 X: -30.5625 -exp_table[490] = 0 X: -30.625 -exp_table[491] = 0 X: -30.6875 -exp_table[492] = 0 X: -30.75 -exp_table[493] = 0 X: -30.8125 -exp_table[494] = 0 X: -30.875 -exp_table[495] = 0 X: -30.9375 -exp_table[496] = 0 X: -31 -exp_table[497] = 0 X: -31.0625 -exp_table[498] = 0 X: -31.125 -exp_table[499] = 0 X: -31.1875 -exp_table[500] = 0 X: -31.25 -exp_table[501] = 0 X: -31.3125 -exp_table[502] = 0 X: -31.375 -exp_table[503] = 0 X: -31.4375 -exp_table[504] = 0 X: -31.5 -exp_table[505] = 0 X: -31.5625 -exp_table[506] = 0 X: -31.625 -exp_table[507] = 0 X: -31.6875 -exp_table[508] = 0 X: -31.75 -exp_table[509] = 0 X: -31.8125 -exp_table[510] = 0 X: -31.875 -exp_table[511] = 0 X: -31.9375 -(5000, 8) -B [array([[0. , 0. , 0.625 , ..., 0. , 0. , 0. ], - [0. , 0. , 0. , ..., 0. , 0. , 0. ], - [0.5 , 0.5 , 0. , ..., 0. , 0. , 0. ], - ..., - [0. , 0. , 0. , ..., 0. , 1. , 0. ], - [0. , 0.109375, 0. , ..., 0. , 0. , 0.875 ], - [0. , 0. , 0. , ..., 0. , 0. , 0. ]])] -Y HLS -[[0. 0. 0.625 ... 0. 0. 0. ] - [0. 0. 0. ... 0. 0. 0. ] - [0.5 0.5 0. ... 0. 0. 0. ] - ... - [0. 0. 0. ... 0. 1. 0. ] - [0. 0.109375 0. ... 0. 0. 0.875 ] - [0. 0. 0. ... 0. 0. 0. ]] -Accuracy hls4ml relative to keras: 0.9876 ---------------------------- Captured stdout teardown --------------------------- -pytest-randomly: reseed with 3154144950 -=============================== warnings summary =============================== -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:reshape_stream" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:repack_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:process_fixed_point_quantizer_layer" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fixedpointquantizer_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:unarylut_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_output" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:clone_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:remove_final_reshape" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:register_bram_weights" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:xnor_pooling" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:generate_conv_im2col" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_config_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:embedding_function_template" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:skip_softmax" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:fix_softmax_table_size" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_parallel_reshape" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:inplace_stream_flatten" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -../../hls4ml/backends/xls/xls_backend.py:99 - /home/girji/workspace/forks/hls4ml/hls4ml/backends/xls/xls_backend.py:99: UserWarning: WARNING: Optimizer "xls:build_tables" is not part of any flow and will not be executed. - warn(f'WARNING: Optimizer "{opt}" is not part of any flow and will not be executed.') - -test/pytest/test_softmax.py::test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-Vivado] - /home/girji/workspace/forks/hls4ml/hls4ml/backends/fpga/passes/fix_softmax_table_size.py:48: UserWarning: Softmax layer softmax table size is too large for inputbitwidth 16. Setting table size to 65536.To avoid this warning, please increase input bitwidth ordecrease table size. - warnings.warn( - --- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html -=========================== short test summary info ============================ -FAILED test_softmax.py::test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-XLS] -FAILED test_softmax.py::test_softmax[16,6-input_shape0-9,6-io_parallel-False-stable-Vivado] -======================== 2 failed, 19 warnings in 6.15s ======================== From 9a73968dd7d0a739b76a119a14469a3bdb16b2cc Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 1 Aug 2025 16:29:15 +0200 Subject: [PATCH 17/24] feat: integrated stable softmax with all layers --- hls4ml/backends/xls/passes/build_attr.py | 19 +- .../xls/firmware/ap_types/lookup_tables.x | 36 --- hls4ml/templates/xls/firmware/myproject.x | 3 + .../xls/firmware/nnet_utils/activations.x | 154 +------------ .../xls/firmware/nnet_utils/lookup_tables.x | 212 ++++++++++++++++++ hls4ml/writer/xls_writer.py | 25 ++- test/pytest/test_softmax.py | 4 +- 7 files changed, 253 insertions(+), 200 deletions(-) delete mode 100644 hls4ml/templates/xls/firmware/ap_types/lookup_tables.x create mode 100644 hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index a980dfb05b..55591914cb 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -143,6 +143,9 @@ def in_type(self, prev_layer_precision: dict | None) -> str: @attach_to_node() def out_nb(self, layer_precision: dict) -> str: + if layer_precision.get('result_t', False): + width = layer_precision['result_t'].precision.width + return f'u32:{width}' for _, type_var in layer_precision.items(): return f'u32:{type_var.precision.width}' return '' @@ -179,10 +182,22 @@ def func_call(self) -> str: implementation = dict(self.node.attributes).get('implementation', 'stable') if implementation == 'stable': table_size = dict(self.node.attributes)['table_size'] - func_call_str = f'activations::softmax_stable<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}, u32:{table_size}>' + exp_width = self.node.get_layer_precision()['softmax_exp_table_t'].precision.width + exp_frac = exp_width - self.node.get_layer_precision()['softmax_exp_table_t'].precision.integer + inv_width = self.node.get_layer_precision()['softmax_inv_table_t'].precision.width + inv_frac = inv_width - self.node.get_layer_precision()['softmax_inv_table_t'].precision.integer + + func_call_str = ( + f"lookup_tables::softmax_stable<" + f"{self.node.get_attr('in_nb')}, {self.node.get_attr('in_en')}, {self.node.get_attr('in_bu')}, " + f" {self.node.get_attr('out_nb')}, {self.node.get_attr('out_en')}, {self.node.get_attr('out_bu')}, " + f"u32:{exp_width}, u32:1, u32:{exp_frac}, " + f"u32:{inv_width}, u32:1, u32:{inv_frac}, " + f"u32:{table_size}>" + ) elif implementation == 'latency': table_size = dict(self.node.attributes)['table_size'] - func_call_str = f'activations::softmax_latency<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}, u32:{table_size}>' + func_call_str = f'lookup_tables::softmax_latency<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}, u32:{table_size}>' elif implementation == 'argmax': func_call_str = f'activations::argmax<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' return func_call_str diff --git a/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x b/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x deleted file mode 100644 index 3daca09019..0000000000 --- a/hls4ml/templates/xls/firmware/ap_types/lookup_tables.x +++ /dev/null @@ -1,36 +0,0 @@ -import std; - - -pub fn idx_from_real_val - // NB-N but it the generated table influences this factor as well - (x: sN[NB]) -> uN[N] { - - let unsgined_x = x as uN[NB]; - //let idx = (unsgined_x >> LOW_END) & ((uN[NB]:1 << N) - uN[NB]:1); - let idx = (unsgined_x >> LOW_END); - idx as uN[N] -} - -#[test] -fn idx_from_real_val_test() { - let x = sN[16]:256; - let expected = uN[10]:1; - assert_eq(expected, idx_from_real_val(x)); - - let x = sN[16]:1024; - let expected = uN[10]:4; - assert_eq(expected, idx_from_real_val(x)); - - let x = sN[18]:1024; - let expected = uN[10]:4; - assert_eq(expected, idx_from_real_val(x)); -} - - -// hls-fpga-machine-learning insert exponent table - - -// hls-fpga-machine-learning insert inversion table - diff --git a/hls4ml/templates/xls/firmware/myproject.x b/hls4ml/templates/xls/firmware/myproject.x index a67f055332..6967872ad2 100644 --- a/hls4ml/templates/xls/firmware/myproject.x +++ b/hls4ml/templates/xls/firmware/myproject.x @@ -1,6 +1,9 @@ import nnet_utils.activations; import nnet_utils.fc; +// hls-fpga-machine-learning imports + + // hls-fpga-machine-learning debugging diff --git a/hls4ml/templates/xls/firmware/nnet_utils/activations.x b/hls4ml/templates/xls/firmware/nnet_utils/activations.x index 5fd037049b..97e0140816 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/activations.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/activations.x @@ -2,7 +2,6 @@ import std; import ap_types.fixed_point_fix; import ap_types.fixed_point_lib; -import ap_types.lookup_tables; // ========================================================================= @@ -70,96 +69,7 @@ fn relu_test() { } // ========================================================================= -// ------------------------------- Softmax --------------------------------- - -pub fn softmax_latency - - (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { - - // Compute exp() with Lookup Tables - let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { - let exp_table_idx = lookup_tables::idx_from_real_val(y[i]); - update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) - }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); - - // Sum all exponents - let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { - fixed_point_fix::add_already_widened(exp_result[i], acc) - }(sN[NB_ACCUM]:0); - let truncate = fixed_point_fix::to_common_type(sum); - let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(truncate)]; - - // Compute softmax - let softmax_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { - update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) - }(exp_result); - - softmax_result -} - -pub fn softmax_stable - - (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { - - // Find max element - let y_max = for (i, acc): (u32, sN[NB_IN]) in u32:0..VEC_SZ { - std::max(y[i], acc) - }((s32:-1 << SHIFT_LIMIT) as sN[NB_IN]); - - // Compute difference - let d_yi_ymax = for (i, z): (u32, sN[NB_IN][VEC_SZ]) in u32:0..VEC_SZ { - update(z, i, fixed_point_fix::sub_already_widened(y_max, y[i]) ) - }(sN[NB_IN][VEC_SZ]:[sN[NB_IN]:0, ...]); - - // Compute exp() with Lookup Tables - let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { - let exp_table_idx = lookup_tables::idx_from_real_val(d_yi_ymax[i]); - update(exp_vec, i, lookup_tables::EXP_TABLE[exp_table_idx]) - }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); - - // Sum all exponents - let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { - fixed_point_fix::add_already_widened(exp_result[i], acc) - }(sN[NB_ACCUM]:0); - let truncate = fixed_point_fix::to_common_type(sum); - let inv_exp_sum = lookup_tables::INV_TABLE[lookup_tables::idx_from_real_val(truncate)]; - - // Compute softmax - let softmax_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { - update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) - }(exp_result); - - softmax_result -} +// ------------------------------- Argmax --------------------------------- pub fn argmax (x)); - - let x = sN[16][4]:[ - sN[16]:2048, - sN[16]:2048, - sN[16]:2048, - sN[16]:2048 - ]; - let expected = sN[18][4]:[ - sN[18]:258, // Ideal 256 - sN[18]:258, - sN[18]:258, - sN[18]:258 - ]; - assert_eq(expected, softmax_latency(x)); -} - -#[test] -fn softmax_stable_test() { - let x = sN[16][4]:[ - sN[16]:1024, - sN[16]:1024, - sN[16]:1024, - sN[16]:1024 - ]; - let expected = sN[18][4]:[ - sN[18]:256, // Ideal 256 - sN[18]:256, - sN[18]:256, - sN[18]:256 - ]; - assert_eq(expected, softmax_stable(x)); - - let x = sN[16][4]:[ - sN[16]:4096, - sN[16]:4096, - sN[16]:4096, - sN[16]:4096 - ]; - let expected = sN[18][4]:[ - sN[18]:256, // Ideal 256 - sN[18]:256, - sN[18]:256, - sN[18]:256 - ]; - assert_eq(expected, softmax_stable(x)); -} - #[test] fn argmax_test() { let x = sN[16][2]:[ diff --git a/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x new file mode 100644 index 0000000000..e2677ebfee --- /dev/null +++ b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x @@ -0,0 +1,212 @@ + +import std; +import ap_types.fixed_point_fix; +import ap_types.fixed_point_lib; + + +// hls-fpga-machine-learning insert exponent table + + +// hls-fpga-machine-learning insert inversion table + + + +pub fn idx_from_real_val + // NB-N but it the generated table influences this factor as well + (x: sN[NB]) -> uN[N] { + + let unsgined_x = x as uN[NB]; + //let idx = (unsgined_x >> LOW_END) & ((uN[NB]:1 << N) - uN[NB]:1); + let idx = (unsgined_x >> LOW_END); + idx as uN[N] +} + +#[test] +fn idx_from_real_val_test() { + let x = sN[16]:256; + let expected = uN[10]:4; + assert_eq(expected, idx_from_real_val(x)); + + let x = sN[16]:1024; + let expected = uN[10]:16; + assert_eq(expected, idx_from_real_val(x)); + + let x = sN[18]:1024; + let expected = uN[10]:4; + assert_eq(expected, idx_from_real_val(x)); +} + + +// ========================================================================= +// ------------------------------ Softmax ---------------------------------- + +pub fn softmax_latency + + (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { + + // Compute exp() with Lookup Tables + let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + let exp_table_idx = idx_from_real_val(y[i]); + update(exp_vec, i, EXP_TABLE[exp_table_idx]) + }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + + // Sum all exponents + let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { + fixed_point_fix::add_already_widened(exp_result[i], acc) + }(sN[NB_ACCUM]:0); + let truncate = fixed_point_fix::to_common_type(sum); + let inv_exp_sum = INV_TABLE[idx_from_real_val(truncate)]; + + // Compute softmax + let softmax_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) + }(exp_result); + + softmax_result +} + +pub fn softmax_stable + + (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { + + // Find max element + let y_max = for (i, acc): (u32, sN[NB_IN]) in u32:0..VEC_SZ { + std::max(y[i], acc) + }((s32:-1 << SHIFT_LIMIT) as sN[NB_IN]); + + // Compute difference + let d_yi_ymax = for (i, z): (u32, sN[NB_IN][VEC_SZ]) in u32:0..VEC_SZ { + update(z, i, fixed_point_fix::sub_already_widened(y_max, y[i]) ) + }(sN[NB_IN][VEC_SZ]:[sN[NB_IN]:0, ...]); + + // Compute exp() with Lookup Tables + let exp_result = for (i, exp_vec): (u32, sN[NB_TABLE_EXP][VEC_SZ]) in u32:0..VEC_SZ { + let exp_table_idx = idx_from_real_val(d_yi_ymax[i]); + update(exp_vec, i, EXP_TABLE[exp_table_idx]) + }(sN[NB_TABLE_EXP][VEC_SZ]:[sN[NB_TABLE_EXP]:0, ...]); + + // Sum all exponents + let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { + fixed_point_fix::add_already_widened(exp_result[i], acc) + }(sN[NB_ACCUM]:0); + let truncate = fixed_point_fix::to_common_type(sum); + let inv_exp_sum = INV_TABLE[idx_from_real_val(truncate)]; + + // Compute softmax + let softmax_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + update(inv_vec, i, fixed_point_fix::to_common_type( + fixed_point_fix::mul + (exp_result[i], inv_exp_sum) + )) + }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + + softmax_result +} + +#[test] +fn softmax_latency_test() { + let x = sN[16][4]:[ + sN[16]:1024, + sN[16]:1024, + sN[16]:1024, + sN[16]:1024 + ]; + let expected = sN[18][4]:[ + sN[18]:258, // Ideal 256 + sN[18]:258, + sN[18]:258, + sN[18]:258 + ]; + assert_eq(expected, softmax_latency(x)); + + let x = sN[16][4]:[ + sN[16]:2048, + sN[16]:2048, + sN[16]:2048, + sN[16]:2048 + ]; + let expected = sN[18][4]:[ + sN[18]:258, // Ideal 256 + sN[18]:258, + sN[18]:258, + sN[18]:258 + ]; + assert_eq(expected, softmax_latency(x)); +} + +#[test] +fn softmax_stable_test() { + let x = sN[16][4]:[ + sN[16]:1024, + sN[16]:1024, + sN[16]:1024, + sN[16]:1024 + ]; + let expected = sN[16][4]:[ + sN[16]:256, // Ideal 256 + sN[16]:256, + sN[16]:256, + sN[16]:256 + ]; + assert_eq(expected, softmax_stable + (x)); + + let x = sN[16][4]:[ + sN[16]:4096, + sN[16]:4096, + sN[16]:4096, + sN[16]:4096 + ]; + let expected = sN[16][4]:[ + sN[16]:256, // Ideal 256 + sN[16]:256, + sN[16]:256, + sN[16]:256 + ]; + assert_eq(expected, softmax_stable + (x)); +} + + diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index c0016df537..92f525e000 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -45,6 +45,12 @@ def write_project_dslx(self, model: ModelGraph) -> None: if 'myproject' in line: newline = line.replace('myproject', model.config.get_project_name()) + elif '// hls-fpga-machine-learning imports' in line: + newline = line + for layer in layers: + if 'lookup_tables' in layer.get_attr("func_call"): + newline += 'import nnet_utils.lookup_tables;\n' + elif '// hls-fpga-machine-learning insert dimensions' in line: newline = line for layer in layers: @@ -144,8 +150,8 @@ def write_project_dslx(self, model: ModelGraph) -> None: def write_lookup_tables(self, model: ModelGraph) -> None: filedir = os.path.dirname(os.path.abspath(__file__)) - f = open(os.path.join(filedir, '../templates/xls/firmware/ap_types/lookup_tables.x')) - fout = open(f'{model.config.get_output_dir()}/firmware/ap_types/lookup_tables.x', 'w') + f = open(os.path.join(filedir, '../templates/xls/firmware/nnet_utils/lookup_tables.x')) + fout = open(f'{model.config.get_output_dir()}/firmware/nnet_utils/lookup_tables.x', 'w') layers = list(model.get_layers()) indent = ' ' @@ -156,10 +162,13 @@ def write_lookup_tables(self, model: ModelGraph) -> None: newline = line for layer in layers: if layer.get_attr('write_table'): - newline += f'pub const EXP_TABLE = sN[{layer.get_attr("out_nb")}][u32:{dict(layer.attributes)["table_size"]}]:[\n' + # Get types + exp_width = layer.get_layer_precision()['softmax_exp_table_t'].precision.width + + newline += f'pub const EXP_TABLE = sN[{exp_width}][u32:{dict(layer.attributes)["table_size"]}]:[\n' newline += indent for i, elem in enumerate(layer.get_attr("exp_table_xls")): - newline += f'sN[{layer.get_attr("out_nb")}]:{elem}' + newline += f'sN[{exp_width}]:{elem}' if i < len(layer.get_attr("exp_table_xls")) - 1: newline += ',' if (i+1) % elems_per_line == 0: @@ -172,10 +181,13 @@ def write_lookup_tables(self, model: ModelGraph) -> None: newline = line for layer in layers: if layer.get_attr('write_table'): - newline += f'pub const INV_TABLE = sN[{layer.get_attr("out_nb")}][u32:{dict(layer.attributes)["table_size"]}]:[\n' + # Get types + inv_width = layer.get_layer_precision()['softmax_inv_table_t'].precision.width + + newline += f'pub const INV_TABLE = sN[{inv_width}][u32:{dict(layer.attributes)["table_size"]}]:[\n' newline += indent for i, elem in enumerate(layer.get_attr("inv_table_xls")): - newline += f'sN[{layer.get_attr("out_nb")}]:{elem}' + newline += f'sN[{inv_width}]:{elem}' if i < len(layer.get_attr("inv_table_xls")) - 1: newline += ', ' if (i+1) % elems_per_line == 0: @@ -183,7 +195,6 @@ def write_lookup_tables(self, model: ModelGraph) -> None: if i < len(layer.get_attr("inv_table_xls")) - 1: newline += indent newline += '];\n' - else: newline = line fout.write(newline) diff --git a/test/pytest/test_softmax.py b/test/pytest/test_softmax.py index 36c3c7a543..70d8cb4450 100644 --- a/test/pytest/test_softmax.py +++ b/test/pytest/test_softmax.py @@ -40,8 +40,8 @@ def generate_data(input_shape): @pytest.mark.parametrize( 'input_bits,input_shape,table_bits,io_type,custom_accum', [ - # ('16,6', (8,), '18,8', 'io_parallel', False), - ('16,6', (8,), '9,6', 'io_parallel', False), + ('16,6', (8,), '18,8', 'io_parallel', False), + # ('16,6', (8,), '9,6', 'io_parallel', False), ], ) def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): From 5684d260da6e5c805ca3cfe5ab76c9bfd9fd7d5b Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Sun, 3 Aug 2025 13:19:27 +0200 Subject: [PATCH 18/24] feat: softmax stable and argmax working any bit precision combination --- hls4ml/backends/xls/passes/build_attr.py | 48 +++-- hls4ml/backends/xls/passes/build_tables.py | 30 +-- .../backends/xls/passes/merge_dense_relu.py | 3 +- hls4ml/model/graph.py | 6 - .../vivado/nnet_utils/nnet_activation.h | 16 -- hls4ml/templates/xls/firmware/myproject.x | 2 - .../xls/firmware/nnet_utils/lookup_tables.x | 184 ++++++++++-------- hls4ml/writer/xls_writer.py | 19 +- test/pytest/test_softmax.py | 11 +- 9 files changed, 151 insertions(+), 168 deletions(-) diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index 55591914cb..cd666afa80 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -93,12 +93,13 @@ def out_dim_val(self, v: int) -> int: return v @attach_to_node() - def fxp_weights(self, weights, precisions: dict, out_dim: int, in_dim: int) -> NDArray[NDArray[np.int_]]: + def fxp_weights(self, weights, out_dim: int, in_dim: int) -> NDArray[NDArray[np.int_]]: #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. - width: int = list(precisions.items())[0][1].precision.width - frac: int = width - list(precisions.items())[0][1].precision.integer # has weights if len(weights) >= 1: + width = int(self.node.get_attr('in_nb').split(':', 1)[1]) + frac = int(self.node.get_attr('in_bu').split(':', 1)[1]) + mat = np.array(list(list(weights)[0])).reshape(in_dim, out_dim) mat_T = mat.T # in Keras the weights are transposed fxp_w: NDArray[NDArray[np.int_]] = Fxp(mat_T, signed=True, n_word=width, n_frac=frac).raw() @@ -106,12 +107,13 @@ def fxp_weights(self, weights, precisions: dict, out_dim: int, in_dim: int) -> N return np.array([]) @attach_to_node() - def fxp_bias(self, weights, precisions: dict) -> NDArray[np.int_]: + def fxp_bias(self, weights) -> NDArray[np.int_]: #TODO: check which element in the precision array should we take Currently we assume the precision of weights is the first elem. - width: int = list(precisions.items())[0][1].precision.width - frac: int = width - list(precisions.items())[0][1].precision.integer # has bias if len(weights) >= 2: + width = int(self.node.get_attr('in_nb').split(':', 1)[1]) + frac = int(self.node.get_attr('in_bu').split(':', 1)[1]) + fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() return fxp_b return np.array([]) @@ -134,13 +136,6 @@ def in_bu(self, prev_layer_precision: dict | None) -> str: return f'u32:{type_var.precision.width - type_var.precision.integer}' return '' - @attach_to_node() - def in_type(self, prev_layer_precision: dict | None) -> str: - if prev_layer_precision: - for _, type_var in prev_layer_precision.items(): - return f'sN[u32:{type_var.precision.width}]' - return '' - @attach_to_node() def out_nb(self, layer_precision: dict) -> str: if layer_precision.get('result_t', False): @@ -156,18 +151,21 @@ def out_en(self) -> Literal['u32:1']: @attach_to_node() def out_bu(self, layer_precision) -> str: + if layer_precision.get('result_t', False): + width = layer_precision['result_t'].precision.width + integer = layer_precision['result_t'].precision.integer + return f'u32:{width - integer}' for _, type_var in layer_precision.items(): return f'u32:{type_var.precision.width - type_var.precision.integer}' return '' @attach_to_node() - def out_type(self, layer_precision) -> str: - if layer_precision.get('result_t', False): - width = layer_precision['result_t'].precision.width - return f'sN[u32:{width}]' - for _, type_var in layer_precision.items(): - return f'sN[u32:{type_var.precision.width}]' - return '' + def in_type(self) -> str: + return f'sN[{self.node.get_attr("in_nb")}]' + + @attach_to_node() + def out_type(self) -> str: + return f'sN[{self.node.get_attr("out_nb")}]' @attach_to_node() def func_call(self) -> str: @@ -204,7 +202,7 @@ def func_call(self) -> str: class BuildAttr(OptimizerPass): - """Builds all the XLS specific attributes for all layers. + """Builds the XLS specific attributes for all layers. """ def match(self, node: Layer) -> bool: @@ -236,13 +234,13 @@ def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: .in_nb(prev_layer_precision) .in_en() .in_bu(prev_layer_precision) - .in_type(prev_layer_precision) - .out_type(curr_prec) .out_nb(curr_prec) .out_en() .out_bu(curr_prec) - .fxp_weights(curr_weights, curr_prec, out_dim=curr_out_dim_val, in_dim=prev_out_dim_val) - .fxp_bias(curr_weights, curr_prec) + .in_type() + .out_type() + .fxp_weights(curr_weights, out_dim=curr_out_dim_val, in_dim=prev_out_dim_val) + .fxp_bias(curr_weights) .func_call() ) diff --git a/hls4ml/backends/xls/passes/build_tables.py b/hls4ml/backends/xls/passes/build_tables.py index 0e179180fa..508abbddcd 100644 --- a/hls4ml/backends/xls/passes/build_tables.py +++ b/hls4ml/backends/xls/passes/build_tables.py @@ -1,7 +1,6 @@ # Typing imports from __future__ import annotations # makes all annotations into strings from typing import Literal, TYPE_CHECKING -from numpy.typing import NDArray if TYPE_CHECKING: from hls4ml.model.graph import ModelGraph from hls4ml.model.layers import Layer @@ -19,7 +18,9 @@ class BuildTables(OptimizerPass): """ def match(self, node: Layer) -> bool: - if node.class_name == 'Softmax': + """Matches too all softmax layers. The only optimization that does not include a table lookup is 'argmax'. + """ + if node.class_name == 'Softmax' and dict(node.attributes).get('implementation', 'stable') != 'argmax': return True return False @@ -27,13 +28,18 @@ def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: # i * 2^{integer_part - clog2(table_size)} def get_real_val_from_idx(i, table_size, integer, negative): + """Helper function to generate corresponding real values from table indexes. + The top N-bits of a fixed-point representation are set according to the index. + Note that the last bit is the sign bit. + + When negative (we normalize by subtracting the highest softmax value) we must account for the sign change. + """ N = math.ceil(math.log2(table_size)) exp = integer - N if negative: base = i return -(base * 2**(exp-1)) - else: if i < table_size / 2: base = i @@ -45,27 +51,12 @@ def get_real_val_from_idx(i, table_size, integer, negative): exp_table = [] inv_table = [] - # Types: - # softmax_accum_t: 18 - # softmax_inv_table_t: 18 - # softmax_exp_table_t: 18 - # softamx_inv_inp_t: 18 - # result_t: 16 - # softmax_table_t: 18 - # TODO: manage the differnet types - - for name, var in node.get_layer_precision().items(): - print(name, ': ', var.precision.width) + # extract bit precisions for tables exp_width = node.get_layer_precision()['softmax_exp_table_t'].precision.width exp_frac = exp_width - node.get_layer_precision()['softmax_exp_table_t'].precision.integer inv_width = node.get_layer_precision()['softmax_inv_table_t'].precision.width inv_frac = inv_width - node.get_layer_precision()['softmax_inv_table_t'].precision.integer - - type_var = node.get_layer_precision()['softmax_table_t'] - width = type_var.precision.width - frac = type_var.precision.width - type_var.precision.integer - nb = int(node.get_attr('in_nb').split(':', 1)[1]) bu = int(node.get_attr('in_bu').split(':', 1)[1]) in_integer = nb - bu @@ -75,7 +66,6 @@ def get_real_val_from_idx(i, table_size, integer, negative): for i in range(table_size): real_val = get_real_val_from_idx(i, table_size, integer=in_integer, negative=requires_negative_exp) e = math.exp(real_val) - # print("XLS: ", i, " x: ", real_val) fxp_e = Fxp(e, signed=True, n_word=exp_width, n_frac=exp_frac, rounding='around', overflow='saturate').raw() exp_table.append(fxp_e) diff --git a/hls4ml/backends/xls/passes/merge_dense_relu.py b/hls4ml/backends/xls/passes/merge_dense_relu.py index 829df163ce..9afb6c7b47 100644 --- a/hls4ml/backends/xls/passes/merge_dense_relu.py +++ b/hls4ml/backends/xls/passes/merge_dense_relu.py @@ -14,6 +14,7 @@ class MergeDenseRelu(OptimizerPass): """ def match(self, node) -> bool: + """We first match a dense layer and in the transform step we merge any following ReLU layers.""" if node.class_name == 'Dense': return True return False @@ -24,7 +25,7 @@ def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: for i, layer in enumerate(layers[:-1]): next_layer = layers[i + 1] if layer == node and next_layer.class_name == 'Activation': - new_func_call = f'fc::dense_relu<{layer.get_attr("in_nb")}, {layer.get_attr("in_en")}, {layer.get_attr("in_bu")}, {layer.get_attr("out_nb")}, {layer.get_attr("out_en")}, {layer.get_attr("out_bu")}>' + new_func_call = f'fc::dense_relu<{layer.get_attr("in_nb")}, {layer.get_attr("in_en")}, {layer.get_attr("in_bu")}, {next_layer.get_attr("out_nb")}, {next_layer.get_attr("out_en")}, {next_layer.get_attr("out_bu")}>' layer.set_attr('func_call', new_func_call) next_layer.set_attr('write_func', False) diff --git a/hls4ml/model/graph.py b/hls4ml/model/graph.py index a7ef3abdfc..2cade0593c 100644 --- a/hls4ml/model/graph.py +++ b/hls4ml/model/graph.py @@ -903,17 +903,12 @@ def _predict(self, x): output = [np.asarray([output[i_sample][i_output] for i_sample in range(n_samples)]) for i_output in range(n_outputs)] if n_samples == 1 and n_outputs == 1: - print('A') return output[0][0] elif n_outputs == 1: - print(output[0].shape) - print('B', output) return output[0] elif n_samples == 1: - print('C') return [output_i[0] for output_i in output] else: - print('D') return output def predict(self, x): @@ -1324,7 +1319,6 @@ def write(self): def compile(self): self.write() - print("HERERERE") self._compile() def predict(self, x, sim='csim'): diff --git a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h index f63c85bee7..0df7512472 100644 --- a/hls4ml/templates/vivado/nnet_utils/nnet_activation.h +++ b/hls4ml/templates/vivado/nnet_utils/nnet_activation.h @@ -86,7 +86,6 @@ template void init_sigmoid_table(typename CONFI float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = sigmoid_fcn_float(in_val); - // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -134,9 +133,7 @@ template inline float softmax_real_val_from_ // Treat the index as the top N bits static constexpr int N = ceillog2(table_size); // number of address bits for table data_T x(0); - // std::cout << "Width: " << x.width << " N: " << N << std::endl; x(x.width - 1, x.width - N) = i; - // std::cout << " X: " << x << std::endl; return (float)x; } @@ -144,7 +141,6 @@ template inline unsigned softmax_idx_from_re // Slice the top N bits to get an index into the table static constexpr int N = ceillog2(table_size); // number of address bits for table ap_uint y = x(x.width - 1, x.width - N); // slice the top N bits of input - // std::cout << "INDEX GOES BRRR: " << (unsigned)y(N - 1, 0) << " X: "<< (float)x << std::endl; return (unsigned)y(N - 1, 0); } @@ -161,7 +157,6 @@ void init_exp_table(typename CONFIG_T::exp_table_t table_out[CONFIG_T::exp_table } typename CONFIG_T::exp_table_t exp_x = exp_fcn_float(x); table_out[i] = exp_x; - std::cout << "exp_table[" << i << "] = " << exp_x << " X: " << x << std::endl; } } @@ -172,7 +167,6 @@ void init_invert_table(typename CONFIG_T::inv_table_t table_out[CONFIG_T::inv_ta float x = softmax_real_val_from_idx(i); typename CONFIG_T::inv_table_t inv_x = 1 / x; table_out[i] = inv_x; - // std::cout << "inv_table[" << i << "] = " << inv_x << " X: " << x << std::endl; } } @@ -198,7 +192,6 @@ void softmax_latency(data_T data[CONFIG_T::n_slice], res_T res[CONFIG_T::n_slice initialized = true; } // Calculate all the e^x's - // std::cout << "NOW EXPONENT TIME" << std::endl; typename CONFIG_T::accum_t exp_res[CONFIG_T::n_slice]; #pragma HLS array_partition variable=exp_res complete typename CONFIG_T::inv_inp_t exp_sum(0); @@ -212,7 +205,6 @@ void softmax_latency(data_T data[CONFIG_T::n_slice], res_T res[CONFIG_T::n_slice // Rounding & Saturation mode, which improve accuracy, prevent Vivado from expression balancing Op_add op_add; exp_sum = reduce>(exp_res, op_add); - // std::cout << "NOW INVERSE TIME" << std::endl; typename CONFIG_T::inv_table_t inv_exp_sum = invert_table[softmax_idx_from_real_val(exp_sum)]; for (unsigned i = 0; i < CONFIG_T::n_slice; i++) { @@ -281,7 +273,6 @@ template void init_exp_table_legacy(typename CO float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = exp_fcn_float(in_val); - // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -433,8 +424,6 @@ template void init_tanh_table(typename CONFIG_T float in_val = 2 * 4.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = tanh(in_val); - // // std::cout << "Tanh: Lookup table Index: " << ii<< " In Value: " << in_val << " Result: " << real_val << - // std::endl; table_out[ii] = real_val; } } @@ -461,7 +450,6 @@ template void tanh(data_T data[CO for (int ii = 0; ii < CONFIG_T::n_in; ii++) { data_round = data[ii] * CONFIG_T::table_size / 8; index = data_round + 4 * CONFIG_T::table_size / 8; - // // std::cout << "Input: " << data[ii] << " Round: " << data_round << " Index: " << index << std::endl; if (index < 0) index = 0; if (index > CONFIG_T::table_size - 1) @@ -572,7 +560,6 @@ template void init_softplus_table(typename CONF float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = softplus_fcn_float(in_val); - // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -621,7 +608,6 @@ template void init_softsign_table(typename CONF float in_val = 2 * 8.0 * (ii - float(N_TABLE) / 2.0) / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = softsign_fcn_float(in_val); - // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -670,7 +656,6 @@ template void init_elu_table(typename CONFIG_T: float in_val = -8.0 * ii / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = elu_fcn_float(in_val); - // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } @@ -727,7 +712,6 @@ template void init_selu_table(typename CONFIG_T float in_val = -8.0 * ii / float(N_TABLE); // Next, compute lookup table function typename CONFIG_T::table_t real_val = selu_fcn_float(in_val); - // // std::cout << "Lookup table In Value: " << in_val << " Result: " << real_val << std::endl; table_out[ii] = real_val; } } diff --git a/hls4ml/templates/xls/firmware/myproject.x b/hls4ml/templates/xls/firmware/myproject.x index 6967872ad2..b678b93a52 100644 --- a/hls4ml/templates/xls/firmware/myproject.x +++ b/hls4ml/templates/xls/firmware/myproject.x @@ -1,5 +1,3 @@ -import nnet_utils.activations; -import nnet_utils.fc; // hls-fpga-machine-learning imports diff --git a/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x index e2677ebfee..7052a262a8 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x @@ -14,7 +14,7 @@ import ap_types.fixed_point_lib; pub fn idx_from_real_val // NB-N but it the generated table influences this factor as well + LOW_END: u32 = {if NB > N { NB - N } else { u32:0 }}> // NB-N but it the generated table influences this factor as well (x: sN[NB]) -> uN[N] { let unsgined_x = x as uN[NB]; @@ -45,38 +45,44 @@ fn idx_from_real_val_test() { pub fn softmax_latency (y: sN[NB_IN][VEC_SZ]) -> sN[NB_OUT][VEC_SZ] { // Compute exp() with Lookup Tables - let exp_result = for (i, exp_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { + let exp_result = for (i, exp_vec): (u32, sN[NB_TABLE_EXP][VEC_SZ]) in u32:0..VEC_SZ { let exp_table_idx = idx_from_real_val(y[i]); update(exp_vec, i, EXP_TABLE[exp_table_idx]) - }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); + }(sN[NB_TABLE_EXP][VEC_SZ]:[sN[NB_TABLE_EXP]:0, ...]); // Sum all exponents let sum = for (i, acc): (u32, sN[NB_ACCUM]) in u32:0..VEC_SZ { - fixed_point_fix::add_already_widened(exp_result[i], acc) + fixed_point_fix::add_already_widened(exp_result[i], acc) }(sN[NB_ACCUM]:0); - let truncate = fixed_point_fix::to_common_type(sum); + let truncate = fixed_point_fix::to_common_type(sum); let inv_exp_sum = INV_TABLE[idx_from_real_val(truncate)]; // Compute softmax let softmax_result = for (i, inv_vec): (u32, sN[NB_OUT][VEC_SZ]) in u32:0..VEC_SZ { - update(inv_vec, i, fixed_point_fix::to_common_type(fixed_point_fix::mul(exp_result[i], inv_exp_sum))) - }(exp_result); + update(inv_vec, i, fixed_point_fix::to_common_type( + fixed_point_fix::mul + (exp_result[i], inv_exp_sum) + )) + }(sN[NB_OUT][VEC_SZ]:[sN[NB_OUT]:0, ...]); softmax_result } @@ -137,76 +143,88 @@ pub fn softmax_stable softmax_result } -#[test] -fn softmax_latency_test() { - let x = sN[16][4]:[ - sN[16]:1024, - sN[16]:1024, - sN[16]:1024, - sN[16]:1024 - ]; - let expected = sN[18][4]:[ - sN[18]:258, // Ideal 256 - sN[18]:258, - sN[18]:258, - sN[18]:258 - ]; - assert_eq(expected, softmax_latency(x)); - - let x = sN[16][4]:[ - sN[16]:2048, - sN[16]:2048, - sN[16]:2048, - sN[16]:2048 - ]; - let expected = sN[18][4]:[ - sN[18]:258, // Ideal 256 - sN[18]:258, - sN[18]:258, - sN[18]:258 - ]; - assert_eq(expected, softmax_latency(x)); -} - -#[test] -fn softmax_stable_test() { - let x = sN[16][4]:[ - sN[16]:1024, - sN[16]:1024, - sN[16]:1024, - sN[16]:1024 - ]; - let expected = sN[16][4]:[ - sN[16]:256, // Ideal 256 - sN[16]:256, - sN[16]:256, - sN[16]:256 - ]; - assert_eq(expected, softmax_stable - (x)); - - let x = sN[16][4]:[ - sN[16]:4096, - sN[16]:4096, - sN[16]:4096, - sN[16]:4096 - ]; - let expected = sN[16][4]:[ - sN[16]:256, // Ideal 256 - sN[16]:256, - sN[16]:256, - sN[16]:256 - ]; - assert_eq(expected, softmax_stable - (x)); -} +// ------------- Tests should be generated depending on the table precision/size + +// #[test] +// fn softmax_latency_test() { +// let x = sN[16][4]:[ +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:258, // Ideal 256 +// sN[16]:258, +// sN[16]:258, +// sN[16]:258 +// ]; +// assert_eq(expected, softmax_latency +// (x)); + +// let x = sN[16][4]:[ +// sN[16]:2048, +// sN[16]:2048, +// sN[16]:2048, +// sN[16]:2048 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:258, // Ideal 256 +// sN[16]:258, +// sN[16]:258, +// sN[16]:258 +// ]; +// assert_eq(expected, softmax_latency +// (x)); +// } + +// #[test] +// fn softmax_stable_test() { +// let x = sN[16][4]:[ +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:256, // Ideal 256 +// sN[16]:256, +// sN[16]:256, +// sN[16]:256 +// ]; +// assert_eq(expected, softmax_stable +// (x)); + +// let x = sN[16][4]:[ +// sN[16]:4096, +// sN[16]:4096, +// sN[16]:4096, +// sN[16]:4096 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:256, // Ideal 256 +// sN[16]:256, +// sN[16]:256, +// sN[16]:256 +// ]; +// assert_eq(expected, softmax_stable +// (x)); +// } diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 92f525e000..cb484e1838 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -47,9 +47,12 @@ def write_project_dslx(self, model: ModelGraph) -> None: elif '// hls-fpga-machine-learning imports' in line: newline = line + seen_libs = [] for layer in layers: - if 'lookup_tables' in layer.get_attr("func_call"): - newline += 'import nnet_utils.lookup_tables;\n' + lib = layer.get_attr('func_call').split('::', 1)[0] + if lib and lib not in seen_libs: + seen_libs.append(lib) + newline += f'import nnet_utils.{lib};\n' elif '// hls-fpga-machine-learning insert dimensions' in line: newline = line @@ -64,8 +67,8 @@ def write_project_dslx(self, model: ModelGraph) -> None: if layer.class_name == 'Input': newline += indent + f'x: {layer.get_attr("out_type")}[{layer.get_attr("out_dim_key")}],\n' elif layer.get_attr("write_weights"): - newline += indent + f'w{i}: {layer.get_attr("out_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}],\n' - newline += indent + f'b{i}: {layer.get_attr("out_type")}[{layer.get_attr("out_dim_key")}]' + newline += indent + f'w{i}: {layer.get_attr("in_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}],\n' + newline += indent + f'b{i}: {layer.get_attr("in_type")}[{layer.get_attr("out_dim_key")}]' if weighted_layers_count < len([layer for layer in layers if layer.get_attr("write_weights")]) - 1: newline += ',\n' weighted_layers_count += 1 @@ -102,11 +105,11 @@ def write_project_dslx(self, model: ModelGraph) -> None: for i, layer in enumerate(layers): if layer.get_attr("write_weights"): # Weights - newline += indent + f'let w{i} = {layer.get_attr("out_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}]:[\n' + newline += indent + f'let w{i} = {layer.get_attr("in_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}]:[\n' for idx_row, row in enumerate(layer.get_attr('fxp_weights')): newline += indent + indent + '[' for idx_col, w in enumerate(row): - newline += f'{layer.get_attr("out_type")}:{w}' + newline += f'{layer.get_attr("in_type")}:{w}' if idx_col < len(row) - 1: newline += ',' newline += ']' @@ -116,10 +119,10 @@ def write_project_dslx(self, model: ModelGraph) -> None: newline += '\n' newline += indent + '];\n' # Bias - newline += indent + f'let b{i} = {layer.get_attr("out_type")}[{layer.get_attr("out_dim_key")}]:[\n' + newline += indent + f'let b{i} = {layer.get_attr("in_type")}[{layer.get_attr("out_dim_key")}]:[\n' newline += indent + indent for idx_b, b in enumerate(layer.get_attr("fxp_bias")): - newline += f'{layer.get_attr("out_type")}:{b}' + newline += f'{layer.get_attr("in_type")}:{b}' if idx_b < len(layer.get_attr("fxp_bias")) - 1: newline += ',' newline += '\n' + indent + '];\n' diff --git a/test/pytest/test_softmax.py b/test/pytest/test_softmax.py index 70d8cb4450..ab3406b153 100644 --- a/test/pytest/test_softmax.py +++ b/test/pytest/test_softmax.py @@ -35,13 +35,14 @@ def generate_data(input_shape): # ('16,6', (8, 8, 3), '18,8', 'io_stream', False), # ], # ) -@pytest.mark.parametrize('backend', ['Vivado', 'XLS']) -@pytest.mark.parametrize('strategy', ['stable']) +@pytest.mark.parametrize('backend', ['XLS']) +@pytest.mark.parametrize('strategy', ['stable', 'argmax']) @pytest.mark.parametrize( 'input_bits,input_shape,table_bits,io_type,custom_accum', [ ('16,6', (8,), '18,8', 'io_parallel', False), - # ('16,6', (8,), '9,6', 'io_parallel', False), + ('16,6', (8,), '9,6', 'io_parallel', False), + ('9,6', (8,), '18,8', 'io_parallel', False), ], ) def test_softmax(backend, strategy, generate_data, input_bits, input_shape, table_bits, io_type, custom_accum): @@ -80,11 +81,7 @@ def test_softmax(backend, strategy, generate_data, input_bits, input_shape, tabl hls_model.compile() y_keras = model.predict(X) - print("Y KERAS") - print(y_keras) y_hls4ml = hls_model.predict(X).reshape(y_keras.shape) - print("Y HLS") - print(y_hls4ml) acc_hls4ml = accuracy_score(np.argmax(y_keras, axis=-1).ravel(), np.argmax(y_hls4ml, axis=-1).ravel()) print(f'Accuracy hls4ml relative to keras: {acc_hls4ml}') From ff02a021f8439c3b2da297822f049dbaa73d15f1 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Tue, 12 Aug 2025 14:01:39 +0200 Subject: [PATCH 19/24] feat: xls utilization report parsing with vivado --- hls4ml/backends/xls/xls_backend.py | 68 +++++++++++++++++++++--------- hls4ml/backends/xls/xls_types.py | 29 ------------- hls4ml/report/__init__.py | 1 + hls4ml/report/xls_report.py | 67 +++++++++++++++++++++++++++++ hls4ml/templates/xls/build_prj.tcl | 41 ++++++++++++++++++ hls4ml/writer/xls_writer.py | 15 ++++++- test/pytest/test_keras_api.py | 1 + 7 files changed, 170 insertions(+), 52 deletions(-) delete mode 100644 hls4ml/backends/xls/xls_types.py create mode 100644 hls4ml/report/xls_report.py create mode 100644 hls4ml/templates/xls/build_prj.tcl diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index c715bbef5a..a185fadda3 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -24,8 +24,7 @@ Activation, Softmax ) -from hls4ml.utils import attribute_descriptions as descriptions -from hls4ml.model.types import IntegerPrecisionType, NamedType +from hls4ml.report import parse_xls_report class XLSBackend(FPGABackend): def __init__(self) -> None: @@ -117,7 +116,7 @@ def get_writer_flow(self) -> str: def create_initial_config( self, - part='xcvu13p-flga2577-2-e', + part='xcu250-figd2104-2L-e', clock_period=5, clock_uncertainty='12.5%', io_type='io_parallel', @@ -170,7 +169,6 @@ def _get_backend_exec_path(self, model: ModelGraph) -> str: raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') return path - #TODO: this return value conflicts with the expected return value in ModelGraph of compile() def compile(self, model: ModelGraph) -> None: path = self._get_backend_exec_path(model) @@ -324,30 +322,53 @@ def _correct_dims(results_floats: list[NDArray[np.floating]], n_samples: int, n_ return result_corrected_dims - #TODO: use the other flags def build( - self, - model, - reset=False, - csim=True, - synth=True, - cosim=False, - validation=False, - export=False, - vsynth=False, - fifo_opt=False, - codegen_flags='--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps=100 --reset=reset', - ): + self, + model: ModelGraph, + reset: bool = True, + clk_period: int = 4000, + pr: bool = False, + ) -> dict: + """ Builds the RTL (SystemVerilog) code and uses Vivado to return the resource utilization. + + Args: + model (ModelGraph): the hls4ml model. + reset (bool): the reset synthesis option + clk_period (int): clock period in picoseconds (e.g., 4000 ps => 1,000,000 / 4000 = 250 MHz) + pr (bool): place and route option + """ + if 'linux' in sys.platform: path = os.path.expandvars(model.config.get_config_value('xls_bazel_bin_path')) if os.path.isdir(path) == 0: raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') + + def build_flags() -> str: + flags = f'--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps={clk_period} ' + if reset: + flags += '--reset=reset' + return flags + + def build_vivado_flags() -> list[str]: + f = [ + '-mode', 'batch', + '-nolog', + '-nojournal', + '-source', './build_prj.tcl', + '-tclargs', + f'firmware/{model.config.get_project_name()}.sv', + f'{model.config.get_config_value("Part")}' + ] + if pr: + f += '--pr' + return f - curr_dir = os.getcwd() + curr_dir: str = os.getcwd() os.chdir(f'{model.config.get_output_dir()}/firmware') kernel_name = model.config.get_project_name() - ## Generate RTL + # Generate RTL + codegen_flags: str = build_flags() with open(f'{kernel_name}.sv', 'w') as synth_file: flags = shlex.split(codegen_flags) synth_cmd = [ @@ -357,7 +378,12 @@ def build( ] subprocess.run(synth_cmd, check=True, stdout=synth_file) + # Run Vivado for resource report os.chdir(curr_dir) + os.chdir(f'{model.config.get_output_dir()}') - #TODO: return parsed report - # return parse_vivado_report(model.config.get_output_dir()) + vivado_command: list[str] = ['vivado'] + build_vivado_flags() + subprocess.run(vivado_command, check=True) + + os.chdir(curr_dir) + return parse_xls_report(model.config.get_output_dir()) diff --git a/hls4ml/backends/xls/xls_types.py b/hls4ml/backends/xls/xls_types.py deleted file mode 100644 index 22ccf943fb..0000000000 --- a/hls4ml/backends/xls/xls_types.py +++ /dev/null @@ -1,29 +0,0 @@ -from hls4ml.backends.fpga.fpga_types import ( - ArrayVariableConverter, - VariableDefinition, -) - -# region ArrayVariable - - -class XLSArrayVariableDefinition(VariableDefinition): - def definition_cpp(self, name_suffix='', as_reference=False): - return 'multi_dense_fxd::{type}<{width}, 1, {fraction}>'.format( - type=self.type.name, name=self.name, suffix=name_suffix, width=self.type.precision.width, - fraction=self.type.precision.width - self.type.precision.integer - ) - - -class XLSInplaceArrayVariableDefinition(VariableDefinition): - def definition_cpp(self): - return f'auto& {self.name} = {self.input_var.name}' - - -class XLSArrayVariableConverter(ArrayVariableConverter): - def __init__(self, type_converter): - super().__init__(type_converter=type_converter, prefix='XLS', definition_cls=XLSArrayVariableDefinition) - - -class XLSInplaceArrayVariableConverter(ArrayVariableConverter): - def __init__(self, type_converter): - super().__init__(type_converter=type_converter, prefix='XLS', definition_cls=XLSInplaceArrayVariableDefinition) \ No newline at end of file diff --git a/hls4ml/report/__init__.py b/hls4ml/report/__init__.py index d8a4e3407a..9aa21de7d0 100644 --- a/hls4ml/report/__init__.py +++ b/hls4ml/report/__init__.py @@ -9,3 +9,4 @@ from hls4ml.report.vivado_report import parse_vivado_report # noqa: F401 from hls4ml.report.vivado_report import print_vivado_report # noqa: F401 from hls4ml.report.vivado_report import read_vivado_report # noqa: F401 +from hls4ml.report.xls_report import parse_xls_report # noqa: F401 diff --git a/hls4ml/report/xls_report.py b/hls4ml/report/xls_report.py new file mode 100644 index 0000000000..76035cc7c6 --- /dev/null +++ b/hls4ml/report/xls_report.py @@ -0,0 +1,67 @@ +import os +import re +from pathlib import Path + + +def _parse_project(path) -> tuple[str, str]: + prj_dir = None + top_func_name = None + + project_path = Path(path + "/firmware") + sv_files = list(project_path.glob("*.x")) + project_file = sv_files[0] + + top_func_name = project_file.stem + prj_dir = top_func_name + '_prj' + + return prj_dir, top_func_name + + +def parse_xls_report(hls_dir) -> dict: + if not os.path.exists(hls_dir): + print(f'Path {hls_dir} does not exist. Exiting.') + return + + prj_dir = None + top_func_name = None + + prj_dir, top_func_name = _parse_project(hls_dir) + + if prj_dir is None or top_func_name is None: + print('Unable to read project data. Exiting.') + return + + sln_dir = hls_dir + '/' + prj_dir + if not os.path.exists(sln_dir): + print(f'Project {prj_dir} does not exist. Rerun "hls4ml build -p {hls_dir}".') + return + + report = {} + + vivado_syn_file = hls_dir + '/reports/synth_util.rpt' + if os.path.isfile(vivado_syn_file): + vivado_synth_rpt = {} + with open(vivado_syn_file) as f: + section = 0 + for line in f.readlines(): + match = re.match(r'^(\d)\.', line) + if match: + section = int(match.group(1)) + # Sometimes, phrases such as 'CLB Registers' can show up in the non-tabular sections of the report + if '|' in line: + # CLB (2019.X) vs. Slice (2020.X) + if ('CLB LUTs' in line or 'Slice LUTs' in line) and section == 1: + vivado_synth_rpt['LUT'] = line.split('|')[2].strip() + elif ('CLB Registers' in line or 'Slice Registers' in line) and section == 1: + vivado_synth_rpt['FF'] = line.split('|')[2].strip() + elif 'Block RAM Tile' in line and section == 2: + vivado_synth_rpt['BRAM_18K'] = line.split('|')[2].strip() + elif 'URAM' in line and section == 2: + vivado_synth_rpt['URAM'] = line.split('|')[2].strip() + elif 'DSPs' in line and section == 3: + vivado_synth_rpt['DSP48E'] = line.split('|')[2].strip() + report['VivadoSynthReport'] = vivado_synth_rpt + else: + print('Vivado synthesis report not found.') + + return report \ No newline at end of file diff --git a/hls4ml/templates/xls/build_prj.tcl b/hls4ml/templates/xls/build_prj.tcl new file mode 100644 index 0000000000..1968d9fc9f --- /dev/null +++ b/hls4ml/templates/xls/build_prj.tcl @@ -0,0 +1,41 @@ +# synth_pr.tcl +# Usage: +# vivado -mode batch -nolog -nojournal -source synth_pr.tcl --tclargs [--pr] + +if {![llength $argv] >= 2} { + puts stderr "ERROR: missing arguments\nUsage: vivado -mode batch -source synth_pr.tcl -tclargs [--pr]" + exit 1 +} + +# get arguments +set sv_file [lindex $argv 0] +set board [lindex $argv 1] +set do_pr 0 +if {[llength $argv] > 2 && [lindex $argv 2] eq "--pr"} { + set do_pr 1 +} + +# infer top name from the file (strip path and extension) +set proj_name [file rootname [file tail $sv_file]] +set top_name $proj_name +file delete -force "./${proj_name}_prj" +file mkdir "./${proj_name}_prj" + +# create project +create_project $proj_name "./${proj_name}_prj" -part $board + +# add the SV files +add_files $sv_file +set_property top $top_name [current_fileset] +update_compile_order -fileset sources_1 + +#synthesize +launch_runs synth_1 -jobs 4 +wait_on_run synth_1 +open_run synth_1 + +# report resource & timing after synthesis +set rpt_dir "./reports" +file mkdir $rpt_dir +report_utilization -file [file join $rpt_dir "synth_util.rpt"] +# report_timing_summary -file synth_timing.rpt \ No newline at end of file diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index cb484e1838..909e75d240 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -23,8 +23,18 @@ def write_project_dir(self, model: ModelGraph) -> None: if not os.path.isdir(f"{model.config.get_output_dir()}/firmware"): os.makedirs(f"{model.config.get_output_dir()}/firmware") - # if not os.path.isdir(f"{model.config.get_output_dir()}/predictions"): - # os.makedirs(f"{model.config.get_output_dir()}/predictions") + if not os.path.isdir(f"{model.config.get_output_dir()}/reports"): + os.makedirs(f"{model.config.get_output_dir()}/reports") + + + def write_build_script(self, model: ModelGraph) -> None: + # build_prj.tcl + filedir = os.path.dirname(os.path.abspath(__file__)) + srcpath = os.path.join(filedir, '../templates/xls/build_prj.tcl') + dstpath = f'{model.config.get_output_dir()}/build_prj.tcl' + copyfile(srcpath, dstpath) + + def write_project_dslx(self, model: ModelGraph) -> None: """Write the main architecture source file (myproject.x) @@ -237,6 +247,7 @@ def write_nnet_utils(self, model: ModelGraph) -> None: def write_hls(self, model: ModelGraph) -> None: self.write_project_dir(model) + self.write_build_script(model) self.write_project_dslx(model) self.write_nnet_utils(model) self.write_lookup_tables(model) \ No newline at end of file diff --git a/test/pytest/test_keras_api.py b/test/pytest/test_keras_api.py index 870a248798..e24989437e 100644 --- a/test/pytest/test_keras_api.py +++ b/test/pytest/test_keras_api.py @@ -63,6 +63,7 @@ def test_dense(backend, io_type): hls_model.compile() hls_prediction = hls_model.predict(X_input) + hls_model.build() np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=1e-2, atol=0.01) From c6a9ccdd1cc19f94f2c5c5d145aeb1382761cf83 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Tue, 19 Aug 2025 18:33:22 +0200 Subject: [PATCH 20/24] wip: cnn --- test/pytest/test_keras_api.py | 207 ++++++++++++++++++---------------- 1 file changed, 109 insertions(+), 98 deletions(-) diff --git a/test/pytest/test_keras_api.py b/test/pytest/test_keras_api.py index e24989437e..49617d1942 100644 --- a/test/pytest/test_keras_api.py +++ b/test/pytest/test_keras_api.py @@ -215,8 +215,6 @@ def test_activations(activation_function, backend, io_type): # chans_options = ['channels_last'] # padds_options = ['same', 'valid'] - - # @pytest.mark.parametrize('chans', chans_options) # @pytest.mark.parametrize('padds', padds_options) # @pytest.mark.parametrize( @@ -231,106 +229,119 @@ def test_activations(activation_function, backend, io_type): # ], # ) # @pytest.mark.parametrize('io_type', ['io_parallel', 'io_stream']) -# def test_conv2d(chans, padds, backend, strategy, io_type): -# model = tf.keras.models.Sequential() -# input_shape = (28, 28, 3) -# model.add( -# Conv2D( -# filters=32, -# kernel_size=(4, 4), -# strides=(4, 4), -# padding=padds, -# input_shape=input_shape, -# kernel_initializer='normal', -# use_bias=False, -# data_format=chans, -# ) -# ) -# model.compile(optimizer='adam', loss='mse') -# X_input = np.random.rand(100, *input_shape) -# keras_prediction = model.predict(X_input) +chans_options = ['channels_last'] +padds_options = ['valid'] +@pytest.mark.parametrize('chans', chans_options) +@pytest.mark.parametrize('padds', padds_options) +@pytest.mark.parametrize( + 'backend,strategy', + [ + # ('Vivado', 'Latency'), + ('XLS', 'Latency'), + ], +) +@pytest.mark.parametrize('io_type', ['io_parallel']) +def test_conv2d(chans, padds, backend, strategy, io_type): + model = tf.keras.models.Sequential() + input_shape = (28, 28, 3) + model.add( + Conv2D( + filters=32, + kernel_size=(4, 4), + strides=(1, 1), + padding=padds, + input_shape=input_shape, + kernel_initializer='normal', + use_bias=False, + data_format=chans, + ) + ) + model.compile(optimizer='adam', loss='mse') -# config = hls4ml.utils.config_from_keras_model(model) -# config['Model']['Strategy'] = strategy -# output_dir = str(test_root_path / f'hls4mlprj_keras_api_conv2d_{backend}_{strategy}_{chans}_{padds}_{io_type}') -# hls_model = hls4ml.converters.convert_from_keras_model( -# model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type -# ) -# hls_model.compile() -# hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) + X_input = np.random.rand(100, *input_shape) + keras_prediction = model.predict(X_input) -# # A high tolerance, simply to verify correct functionality -# np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=5e-2) + config = hls4ml.utils.config_from_keras_model(model) + config['Model']['Strategy'] = strategy + output_dir = str(test_root_path / f'hls4mlprj_keras_api_conv2d_{backend}_{strategy}_{chans}_{padds}_{io_type}') + hls_model = hls4ml.converters.convert_from_keras_model( + model, hls_config=config, output_dir=output_dir, backend=backend, io_type=io_type + ) + hls_model.compile() + hls_prediction = hls_model.predict(X_input).reshape(keras_prediction.shape) -# assert len(model.layers) + 1 == len(hls_model.get_layers()) -# assert list(hls_model.get_layers())[1].attributes['name'] == model.layers[0]._name -# assert list(hls_model.get_layers())[1].attributes['class_name'] == 'Conv2D' -# assert list(hls_model.get_layers())[1].attributes['activation'] == str(model.layers[0].activation).split()[1] -# assert list(hls_model.get_layers())[1].attributes['filt_width'] == model.layers[0].kernel_size[1] -# assert list(hls_model.get_layers())[1].attributes['filt_height'] == model.layers[0].kernel_size[0] -# assert list(hls_model.get_layers())[1].attributes['n_filt'] == model.layers[0].filters -# assert list(hls_model.get_layers())[1].attributes['stride_width'] == model.layers[0].strides[1] -# assert list(hls_model.get_layers())[1].attributes['stride_height'] == model.layers[0].strides[0] -# assert list(hls_model.get_layers())[1].attributes['data_format'] == model.layers[0].data_format - -# if model.layers[0].data_format == 'channels_first': -# assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[1] -# assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[2] -# assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[3] -# assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[2] -# assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[3] -# elif model.layers[0].data_format == 'channels_last': -# assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[3] -# assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[1] -# assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[2] -# assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[1] -# assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[2] - -# if model.layers[0].padding == 'same': -# if model.layers[0].data_format == 'channels_first': -# out_height = model.layers[0].output_shape[2] -# out_width = model.layers[0].output_shape[3] -# pad_along_height = max( -# (out_height - 1) * model.layers[0].strides[0] -# + model.layers[0].kernel_size[0] -# - model.layers[0]._batch_input_shape[2], -# 0, -# ) -# pad_along_width = max( -# (out_width - 1) * model.layers[0].strides[1] -# + model.layers[0].kernel_size[1] -# - model.layers[0]._batch_input_shape[3], -# 0, -# ) -# elif model.layers[0].data_format == 'channels_last': -# out_height = model.layers[0].output_shape[1] -# out_width = model.layers[0].output_shape[2] -# pad_along_height = max( -# (out_height - 1) * model.layers[0].strides[0] -# + model.layers[0].kernel_size[0] -# - model.layers[0]._batch_input_shape[1], -# 0, -# ) -# pad_along_width = max( -# (out_width - 1) * model.layers[0].strides[1] -# + model.layers[0].kernel_size[1] -# - model.layers[0]._batch_input_shape[2], -# 0, -# ) -# pad_top = pad_along_height // 2 -# pad_bottom = pad_along_height - pad_top -# pad_left = pad_along_width // 2 -# pad_right = pad_along_width - pad_left -# assert list(hls_model.get_layers())[1].attributes['pad_top'] == pad_top -# assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == pad_bottom -# assert list(hls_model.get_layers())[1].attributes['pad_left'] == pad_left -# assert list(hls_model.get_layers())[1].attributes['pad_right'] == pad_right -# elif model.layers[0].padding == 'valid': -# assert list(hls_model.get_layers())[1].attributes['pad_top'] == 0 -# assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == 0 -# assert list(hls_model.get_layers())[1].attributes['pad_left'] == 0 -# assert list(hls_model.get_layers())[1].attributes['pad_right'] == 0 + # A high tolerance, simply to verify correct functionality + np.testing.assert_allclose(hls_prediction, keras_prediction, rtol=0, atol=5e-2) + + assert len(model.layers) + 1 == len(hls_model.get_layers()) + assert list(hls_model.get_layers())[1].attributes['name'] == model.layers[0]._name + assert list(hls_model.get_layers())[1].attributes['class_name'] == 'Conv2D' + assert list(hls_model.get_layers())[1].attributes['activation'] == str(model.layers[0].activation).split()[1] + assert list(hls_model.get_layers())[1].attributes['filt_width'] == model.layers[0].kernel_size[1] + assert list(hls_model.get_layers())[1].attributes['filt_height'] == model.layers[0].kernel_size[0] + assert list(hls_model.get_layers())[1].attributes['n_filt'] == model.layers[0].filters + assert list(hls_model.get_layers())[1].attributes['stride_width'] == model.layers[0].strides[1] + assert list(hls_model.get_layers())[1].attributes['stride_height'] == model.layers[0].strides[0] + assert list(hls_model.get_layers())[1].attributes['data_format'] == model.layers[0].data_format + + if model.layers[0].data_format == 'channels_first': + assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[1] + assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[2] + assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[3] + assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[2] + assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[3] + elif model.layers[0].data_format == 'channels_last': + assert list(hls_model.get_layers())[1].attributes['n_chan'] == model.layers[0]._batch_input_shape[3] + assert list(hls_model.get_layers())[1].attributes['in_height'] == model.layers[0]._batch_input_shape[1] + assert list(hls_model.get_layers())[1].attributes['in_width'] == model.layers[0]._batch_input_shape[2] + assert list(hls_model.get_layers())[1].attributes['out_height'] == model.layers[0].output_shape[1] + assert list(hls_model.get_layers())[1].attributes['out_width'] == model.layers[0].output_shape[2] + + if model.layers[0].padding == 'same': + if model.layers[0].data_format == 'channels_first': + out_height = model.layers[0].output_shape[2] + out_width = model.layers[0].output_shape[3] + pad_along_height = max( + (out_height - 1) * model.layers[0].strides[0] + + model.layers[0].kernel_size[0] + - model.layers[0]._batch_input_shape[2], + 0, + ) + pad_along_width = max( + (out_width - 1) * model.layers[0].strides[1] + + model.layers[0].kernel_size[1] + - model.layers[0]._batch_input_shape[3], + 0, + ) + elif model.layers[0].data_format == 'channels_last': + out_height = model.layers[0].output_shape[1] + out_width = model.layers[0].output_shape[2] + pad_along_height = max( + (out_height - 1) * model.layers[0].strides[0] + + model.layers[0].kernel_size[0] + - model.layers[0]._batch_input_shape[1], + 0, + ) + pad_along_width = max( + (out_width - 1) * model.layers[0].strides[1] + + model.layers[0].kernel_size[1] + - model.layers[0]._batch_input_shape[2], + 0, + ) + pad_top = pad_along_height // 2 + pad_bottom = pad_along_height - pad_top + pad_left = pad_along_width // 2 + pad_right = pad_along_width - pad_left + assert list(hls_model.get_layers())[1].attributes['pad_top'] == pad_top + assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == pad_bottom + assert list(hls_model.get_layers())[1].attributes['pad_left'] == pad_left + assert list(hls_model.get_layers())[1].attributes['pad_right'] == pad_right + elif model.layers[0].padding == 'valid': + assert list(hls_model.get_layers())[1].attributes['pad_top'] == 0 + assert list(hls_model.get_layers())[1].attributes['pad_bottom'] == 0 + assert list(hls_model.get_layers())[1].attributes['pad_left'] == 0 + assert list(hls_model.get_layers())[1].attributes['pad_right'] == 0 # # Currently only Vivado and Vitis is supported for io_stream. From 81af6b630cbb86d80781f81088eab623996cc104 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 21 Aug 2025 17:02:21 +0200 Subject: [PATCH 21/24] feat: prepared writer weights for CNNs --- hls4ml/backends/xls/passes/build_attr.py | 49 +++++++++---- hls4ml/templates/xls/firmware/myproject.x | 4 +- hls4ml/writer/xls_writer.py | 87 +++++++++++++++-------- 3 files changed, 92 insertions(+), 48 deletions(-) diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index cd666afa80..a1831f216b 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -65,28 +65,28 @@ def wrapped(self, *args, **kwargs): @attach_to_node() def write_weights(self) -> bool: - return self.node.class_name in ['Dense'] + return self.node.class_name in ['Dense', 'Conv2D'] @attach_to_node() def write_dims(self) -> bool: - return self.node.class_name in ['Input', 'Dense'] + return self.node.class_name in ['Input', 'Dense', 'Conv2D'] @attach_to_node() def write_func(self) -> bool: - return self.node.class_name in ['Dense', 'Activation', 'Softmax'] + return self.node.class_name in ['Dense', 'Activation', 'Softmax', 'Conv2D'] @attach_to_node() - def in_dim_key(self, v: str) -> str: - return v + def in_dim_key(self, k: str) -> str: + return k @attach_to_node() def in_dim_val(self, v: int) -> int: return v @attach_to_node() - def out_dim_key(self, v: str) -> str: - return v + def out_dim_key(self, k: str) -> str: + return k @attach_to_node() def out_dim_val(self, v: int) -> int: @@ -99,11 +99,23 @@ def fxp_weights(self, weights, out_dim: int, in_dim: int) -> NDArray[NDArray[np. if len(weights) >= 1: width = int(self.node.get_attr('in_nb').split(':', 1)[1]) frac = int(self.node.get_attr('in_bu').split(':', 1)[1]) - - mat = np.array(list(list(weights)[0])).reshape(in_dim, out_dim) - mat_T = mat.T # in Keras the weights are transposed - fxp_w: NDArray[NDArray[np.int_]] = Fxp(mat_T, signed=True, n_word=width, n_frac=frac).raw() - return fxp_w + # Conv + if self.node.class_name == 'Conv2D': + n_chan = self.node.get_attr('n_chan') + filt_height = self.node.get_attr('filt_height') + filt_width = self.node.get_attr('filt_width') + n_filt = self.node.get_attr('n_filt') + mat = np.array(list(list(weights)[0])).reshape(filt_height, filt_width, n_chan, n_filt) + mat_T = np.transpose(mat, (3, 2, 0, 1)) # in Keras the weights are transposed + fxp_w: NDArray[NDArray[np.int_]] = Fxp(mat_T, signed=True, n_word=width, n_frac=frac).raw() + return fxp_w + + # Dense + elif self.node.class_name == 'Dense': + mat = np.array(list(list(weights)[0])).reshape(in_dim, out_dim) + mat_T = mat.T # in Keras the weights are transposed + fxp_w: NDArray[NDArray[np.int_]] = Fxp(mat_T, signed=True, n_word=width, n_frac=frac).raw() + return fxp_w return np.array([]) @attach_to_node() @@ -113,9 +125,15 @@ def fxp_bias(self, weights) -> NDArray[np.int_]: if len(weights) >= 2: width = int(self.node.get_attr('in_nb').split(':', 1)[1]) frac = int(self.node.get_attr('in_bu').split(':', 1)[1]) - - fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() - return fxp_b + # Conv + if self.node.class_name == 'Conv2D': + fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() + return fxp_b + + # Dense + elif self.node.class_name == 'Dense': + fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() + return fxp_b return np.array([]) @attach_to_node() @@ -218,6 +236,7 @@ def transform(self, model: ModelGraph, node: Layer) -> Literal[False]: for layer in model.get_layers(): curr_out_dim_key: str = list(layer.get_output_variable().get_shape())[0][0] curr_out_dim_val: int = list(layer.get_output_variable().get_shape())[0][1] + curr_weights = layer.get_weights() curr_prec: dict = layer.get_layer_precision() diff --git a/hls4ml/templates/xls/firmware/myproject.x b/hls4ml/templates/xls/firmware/myproject.x index b678b93a52..68d58db539 100644 --- a/hls4ml/templates/xls/firmware/myproject.x +++ b/hls4ml/templates/xls/firmware/myproject.x @@ -14,7 +14,7 @@ pub fn myproject_architecture( // hls-fpga-machine-learning architecture arguments ) -> - // hls-fpga-machine-learning output + // hls-fpga-machine-learning output { // hls-fpga-machine-learning insert layers @@ -24,7 +24,7 @@ pub fn myproject_architecture( pub fn myproject( // hls-fpga-machine-learning top function input )-> - // hls-fpga-machine-learning top function output + // hls-fpga-machine-learning output { // hls-fpga-machine-learning load weights diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 909e75d240..494f7aa88d 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -9,11 +9,31 @@ from hls4ml.writer.writers import Writer -config_filename = 'hls4ml_config.yml' - class XLSWriter(Writer): + def _write_weights(self, layer, weights): + """A recursive function to write weights of any number of dimensions. + + It uses the function call stack to close paranthesis. + """ + indent = ' ' + + if len(weights.shape) == 1: + newline = indent + indent + '[' + for idx_col, w in enumerate(weights): + newline += f'{layer.get_attr("in_type")}:{w}' + if idx_col < len(weights) - 1: + newline += ',' + newline += '],\n' + return newline + + newline = indent + '[\n' + for idx in range(len(weights)): + newline += self._write_weights(layer, weights[idx]) + newline += indent + '],\n' + return newline + def write_project_dir(self, model: ModelGraph) -> None: """Write the base project directory @@ -35,7 +55,6 @@ def write_build_script(self, model: ModelGraph) -> None: copyfile(srcpath, dstpath) - def write_project_dslx(self, model: ModelGraph) -> None: """Write the main architecture source file (myproject.x) @@ -68,27 +87,40 @@ def write_project_dslx(self, model: ModelGraph) -> None: newline = line for layer in layers: if layer.get_attr("write_dims"): - newline += f'const {layer.get_attr("out_dim_key")} = u32:{layer.get_attr("out_dim_val")};\n' + for dim in list(layer.get_output_variable().get_shape()): + newline += f'const {dim[0]} = u32:{dim[1]};\n' elif '// hls-fpga-machine-learning architecture arguments' in line: newline = '' weighted_layers_count = 0 for i, layer in enumerate(layers): if layer.class_name == 'Input': - newline += indent + f'x: {layer.get_attr("out_type")}[{layer.get_attr("out_dim_key")}],\n' + newline += indent + f'x: {layer.get_attr("out_type")}' + for dim in list(layer.get_output_variable().get_shape()): + newline += f'[{dim[0]}]' + newline += ',\n' elif layer.get_attr("write_weights"): - newline += indent + f'w{i}: {layer.get_attr("in_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}],\n' - newline += indent + f'b{i}: {layer.get_attr("in_type")}[{layer.get_attr("out_dim_key")}]' + # weights arguments + newline += indent + f'w{i}: {layer.get_attr("in_type")}' + for w_dim in layer.get_attr("fxp_weights").shape: + newline += f'[u32:{w_dim}]' + newline += ',\n' + # bias argument + newline += indent + f'b{i}: {layer.get_attr("in_type")}' + for b_dim in layer.get_attr("fxp_bias").shape: + newline += f'[u32:{b_dim}]' if weighted_layers_count < len([layer for layer in layers if layer.get_attr("write_weights")]) - 1: newline += ',\n' weighted_layers_count += 1 else: newline += '\n' - elif '// hls-fpga-machine-learning output ' in line: + elif '// hls-fpga-machine-learning output' in line: last_layer_type = layers[-1].get_attr("out_type") - last_layer_dim_key = layers[-1].get_attr("out_dim_key") - newline = indent + f'{last_layer_type}[{last_layer_dim_key}]\n' + newline = indent + f'{last_layer_type}' + for dim in list(layers[-1].get_output_variable().get_shape()): + newline += f'[{dim[0]}]' + newline += '\n' elif '// hls-fpga-machine-learning insert layers' in line: newline = line @@ -105,36 +137,29 @@ def write_project_dslx(self, model: ModelGraph) -> None: newline += indent + prev_var + '\n' elif '// hls-fpga-machine-learning top function input' in line: - newline = indent + f'x: {layers[0].get_attr("out_type")}[{layers[0].get_attr("out_dim_key")}]\n' - - elif '// hls-fpga-machine-learning top function output' in line: - newline = indent + f'{layers[-1].get_attr("out_type")}[{layers[-1].get_attr("out_dim_key")}]\n' + newline = indent + f'x: {layer.get_attr("out_type")}' + for dim in list(layers[0].get_output_variable().get_shape()): + newline += f'[{dim[0]}]' + newline += '\n' elif '// hls-fpga-machine-learning load weights' in line: newline = line for i, layer in enumerate(layers): if layer.get_attr("write_weights"): # Weights - newline += indent + f'let w{i} = {layer.get_attr("in_type")}[{layer.get_attr("in_dim_key")}][{layer.get_attr("out_dim_key")}]:[\n' - for idx_row, row in enumerate(layer.get_attr('fxp_weights')): - newline += indent + indent + '[' - for idx_col, w in enumerate(row): - newline += f'{layer.get_attr("in_type")}:{w}' - if idx_col < len(row) - 1: - newline += ',' - newline += ']' - if idx_row < len(layer.get_attr("fxp_weights")) - 1: - newline += ',\n' - else: - newline += '\n' + newline += indent + f'let w{i} = {layer.get_attr("in_type")}' + for w_dim in layer.get_attr("fxp_weights").shape: + newline += f'[u32:{w_dim}]' + newline += ':\n' + newline += indent + '[\n' + for idx in range(len(layer.get_attr("fxp_weights"))): + newline += self._write_weights(layer, layer.get_attr("fxp_weights")[idx]) newline += indent + '];\n' # Bias - newline += indent + f'let b{i} = {layer.get_attr("in_type")}[{layer.get_attr("out_dim_key")}]:[\n' + newline += indent + f'let b{i} = {layer.get_attr("in_type")}[u32:{layer.get_attr("fxp_bias").shape[0]}]:[\n' newline += indent + indent - for idx_b, b in enumerate(layer.get_attr("fxp_bias")): - newline += f'{layer.get_attr("in_type")}:{b}' - if idx_b < len(layer.get_attr("fxp_bias")) - 1: - newline += ',' + for b in layer.get_attr("fxp_bias"): + newline += f'{layer.get_attr("in_type")}:{b},' newline += '\n' + indent + '];\n' elif '// hls-fpga-machine-learning call inlined weights' in line: From 5c42f5c1ba54944e02980d2ae14abe81e86d38b1 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Fri, 22 Aug 2025 11:54:46 +0200 Subject: [PATCH 22/24] feat: conv2d_latency is now code generated --- hls4ml/backends/xls/passes/build_attr.py | 14 +- .../xls/firmware/nnet_utils/conv2d.x | 667 ++++++++++++++++++ hls4ml/templates/xls/firmware/nnet_utils/fc.x | 3 - .../xls/firmware/nnet_utils/lookup_tables.x | 425 ++++++++--- hls4ml/writer/xls_writer.py | 4 +- 5 files changed, 1015 insertions(+), 98 deletions(-) create mode 100644 hls4ml/templates/xls/firmware/nnet_utils/conv2d.x diff --git a/hls4ml/backends/xls/passes/build_attr.py b/hls4ml/backends/xls/passes/build_attr.py index a1831f216b..ebba509380 100644 --- a/hls4ml/backends/xls/passes/build_attr.py +++ b/hls4ml/backends/xls/passes/build_attr.py @@ -125,15 +125,8 @@ def fxp_bias(self, weights) -> NDArray[np.int_]: if len(weights) >= 2: width = int(self.node.get_attr('in_nb').split(':', 1)[1]) frac = int(self.node.get_attr('in_bu').split(':', 1)[1]) - # Conv - if self.node.class_name == 'Conv2D': - fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() - return fxp_b - - # Dense - elif self.node.class_name == 'Dense': - fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() - return fxp_b + fxp_b: NDArray[np.int_] = Fxp(list(list(weights)[1]), signed=True, n_word=width, n_frac=frac).raw() + return fxp_b return np.array([]) @attach_to_node() @@ -191,6 +184,9 @@ def func_call(self) -> str: if self.node.class_name == 'Dense': func_call_str = f'fc::dense<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' + elif self.node.class_name == 'Conv2D': + func_call_str = f'conv2d::conv2d_latency<{self.node.get_attr("in_nb")}, {self.node.get_attr("in_en")}, {self.node.get_attr("in_bu")}, {self.node.get_attr("out_nb")}, {self.node.get_attr("out_en")}, {self.node.get_attr("out_bu")}>' + elif self.node.class_name == 'Activation': func_call_str = f'activations::relu<{self.node.get_attr("out_nb")}>' diff --git a/hls4ml/templates/xls/firmware/nnet_utils/conv2d.x b/hls4ml/templates/xls/firmware/nnet_utils/conv2d.x new file mode 100644 index 0000000000..686385b0a2 --- /dev/null +++ b/hls4ml/templates/xls/firmware/nnet_utils/conv2d.x @@ -0,0 +1,667 @@ +import std; + +import ap_types.fixed_point_fix; +import ap_types.fixed_point_lib; + +import nnet_utils.activations; + + +pub fn conv2d_latency + + (x: sN[NB_IN][IN_HEIGHT][IN_WIDTH][IN_CHANNELS], + W: sN[NB_IN][KERN_HEIGHT][KERN_WIDTH][IN_CHANNELS][OUT_FILTERS], + b: sN[NB_IN][OUT_FILTERS]) + -> sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH][OUT_FILTERS] { + + for (filter_idx, image): (u32, sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH][OUT_FILTERS]) in u32:0..OUT_FILTERS { + + let computer_plane = for (i, plane): (u32, sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH]) in u32:0..OUT_WIDTH { + let computed_row = for (j, plane_row): (u32, sN[NB_OUT][OUT_HEIGHT]) in u32:0..OUT_HEIGHT { + + // Compute convolution across channels + let conv_pixel = for (ch_idx, pixel): (u32, sN[NB_CONV]) in u32:0..IN_CHANNELS { + // Compute convolution for 1 channel + for (ii, ch_pixel): (u32, sN[NB_CONV]) in u32:0..KERN_WIDTH { + for (jj, acc): (u32, sN[NB_CONV]) in u32:0..KERN_HEIGHT { + fixed_point_fix::fmadd_already_widened + (x[ch_idx][i+ii][j+jj], W[filter_idx][ch_idx][ii][jj], acc) + }(ch_pixel) + }(pixel) + }(sN[NB_CONV]:0); + + // Add bias & truncate to output type + let pixel_with_bias = fixed_point_fix::add(conv_pixel, b[filter_idx]); + let common_pixel = fixed_point_fix::to_common_type(pixel_with_bias); + update(plane_row, j, common_pixel) + + }(sN[NB_OUT][OUT_HEIGHT]:[sN[NB_OUT]:0, ...]); + update(plane, i, computed_row) + + }(sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH]:[sN[NB_OUT][OUT_HEIGHT]:[sN[NB_OUT]:0, ...], ...]); + update(image, filter_idx, computer_plane) + + // Whole image initialization + }(sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH][OUT_FILTERS]:[ + sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH]:[ + sN[NB_OUT][OUT_HEIGHT]:[sN[NB_OUT]:0, + ...], ...], ...]) +} + +pub fn conv_relu_latency + + (x: sN[NB_IN][IN_HEIGHT][IN_WIDTH][IN_CHANNELS], + W: sN[NB_IN][KERN_HEIGHT][KERN_WIDTH][IN_CHANNELS][OUT_FILTERS], + b: sN[NB_IN][OUT_FILTERS]) + -> sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH][OUT_FILTERS] { + + for (filter_idx, image): (u32, sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH][OUT_FILTERS]) in u32:0..OUT_FILTERS { + + let computer_plane = for (i, plane): (u32, sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH]) in u32:0..OUT_WIDTH { + let computed_row = for (j, plane_row): (u32, sN[NB_OUT][OUT_HEIGHT]) in u32:0..OUT_HEIGHT { + + // Compute convolution across channels + let conv_pixel = for (ch_idx, pixel): (u32, sN[NB_CONV]) in u32:0..IN_CHANNELS { + // Compute convolution for 1 channel + for (ii, ch_pixel): (u32, sN[NB_CONV]) in u32:0..KERN_WIDTH { + for (jj, acc): (u32, sN[NB_CONV]) in u32:0..KERN_HEIGHT { + fixed_point_fix::fmadd_already_widened + (x[ch_idx][i+ii][j+jj], W[filter_idx][ch_idx][ii][jj], acc) + }(ch_pixel) + }(pixel) + }(sN[NB_CONV]:0); + + // Add bias & truncate to output type + let pixel_with_bias = fixed_point_fix::add(conv_pixel, b[filter_idx]); + let common_pixel = fixed_point_fix::to_common_type(pixel_with_bias); + let relu_pixel = activations::relu_1elem(common_pixel); + update(plane_row, j, relu_pixel) + + }(sN[NB_OUT][OUT_HEIGHT]:[sN[NB_OUT]:0, ...]); + update(plane, i, computed_row) + + }(sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH]:[sN[NB_OUT][OUT_HEIGHT]:[sN[NB_OUT]:0, ...], ...]); + update(image, filter_idx, computer_plane) + + // Whole image initialization + }(sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH][OUT_FILTERS]:[ + sN[NB_OUT][OUT_HEIGHT][OUT_WIDTH]:[ + sN[NB_OUT][OUT_HEIGHT]:[sN[NB_OUT]:0, + ...], ...], ...]) +} + + + +#[test] +fn conv2d_latency_test_uniform_io() { + // x = + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + let x = sN[16][5][5][1]:[sN[16][5][5]:[sN[16][5]:[sN[16]:1024, ...], ...], ...]; + + // w = + // | 1, 1, 1| + // | 2, 2, 2| + // | 3, 3, 3| + let w = sN[16][3][3][1][1]:[[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:3072, sN[16]:3072, sN[16]:3072], + ]]]; + let b = sN[16][1]:[sN[16]:0]; + + // expected = + // | 18, 18, 18| + // | 18, 18, 18| + // | 18, 18, 18| + let expected = sN[16][3][3][1]:[[ + [sN[16]:18432, sN[16]:18432, sN[16]:18432], + [sN[16]:18432, sN[16]:18432, sN[16]:18432], + [sN[16]:18432, sN[16]:18432, sN[16]:18432], + ]]; + assert_eq(expected, conv2d_latency(x, w, b)); +} + +#[test] +fn conv2d_latency_test_bias() { + // x = + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + // | 1, 1, 1, 1, 1| + let x = sN[16][5][5][1]:[sN[16][5][5]:[sN[16][5]:[sN[16]:1024, ...], ...], ...]; + + // w = + // | 1, 1, 1| + // | 2, 2, 2| + // | 3, 3, 3| + let w = sN[16][3][3][1][1]:[[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:3072, sN[16]:3072, sN[16]:3072], + ]]]; + // b = | 1 | + let b = sN[16][1]:[sN[16]:1024]; + + // expected = + // | 19, 19, 19| + // | 19, 19, 19| + // | 19, 19, 19| + let expected = sN[16][3][3][1]:[[ + [sN[16]:19456, sN[16]:19456, sN[16]:19456], + [sN[16]:19456, sN[16]:19456, sN[16]:19456], + [sN[16]:19456, sN[16]:19456, sN[16]:19456], + ]]; + assert_eq(expected, conv2d_latency(x, w, b)); +} + +#[test] +fn conv2d_latency_test_pattern() { + // x = + // | 1, 1, 1, 1, 1| + // | 0, 0, 0, 0, 0| + // | 2, 2, 2, 2, 2| + // | 0, 0, 0, 0, 0| + // | 1, 1, 1, 1, 1| + let x = sN[16][5][5][1]:[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]]; + + // w = + // | 1, 1, 1| + // | 2, 2, 2| + // | 3, 3, 3| + let w = sN[16][3][3][1][1]:[[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:3072, sN[16]:3072, sN[16]:3072], + ]]]; + // b = | 0 | + let b = sN[16][1]:[sN[16]:0]; + + // expected = + // | 21, 21, 21| + // | 12, 12, 12| + // | 15, 15, 15| + let expected = sN[16][3][3][1]:[[ + [sN[16]:21504, sN[16]:21504, sN[16]:21504], + [sN[16]:12288, sN[16]:12288, sN[16]:12288], + [sN[16]:15360, sN[16]:15360, sN[16]:15360], + ]]; + assert_eq(expected, conv2d_latency(x, w, b)); +} + +#[test] +fn conv2d_latency_test_mutiple_filters() { + // x = + // | 1, 1, 1, 1, 1| + // | 0, 0, 0, 0, 0| + // | 2, 2, 2, 2, 2| + // | 0, 0, 0, 0, 0| + // | 1, 1, 1, 1, 1| + let x = sN[16][5][5][1]:[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]]; + + // w = + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + // | 2, 2, 2| | 1, 1, 1| | 0, 0, 0| + // | 3, 3, 3| | 1, 1, 1| | 0, 0, 0| + let w = sN[16][3][3][1][3]:[[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:3072, sN[16]:3072, sN[16]:3072], + ]],[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]],[[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ]]]; + // b = | 0, 0 ,-2| + let b = sN[16][3]:[sN[16]:0, sN[16]:0, sN[16]:-2048]; + + // expected = + // | 21, 21, 21| | 6, 6, 6| | 0, 0, 0| + // | 12, 12, 12| | 9, 9, 9| | 0, 0, 0| + // | 15, 15, 15| | 6, 6, 6| | 0, 0, 0| + let expected = sN[16][3][3][3]:[[ + [sN[16]:21504, sN[16]:21504, sN[16]:21504], + [sN[16]:12288, sN[16]:12288, sN[16]:12288], + [sN[16]:15360, sN[16]:15360, sN[16]:15360] + ],[ + [sN[16]:9216, sN[16]:9216, sN[16]:9216], + [sN[16]:6144, sN[16]:6144, sN[16]:6144], + [sN[16]:9216, sN[16]:9216, sN[16]:9216] + ],[ + [sN[16]:-2048, sN[16]:-2048, sN[16]:-2048], + [sN[16]:-2048, sN[16]:-2048, sN[16]:-2048], + [sN[16]:-2048, sN[16]:-2048, sN[16]:-2048], + ]]; + assert_eq(expected, conv2d_latency(x, w, b)); +} + +#[test] +fn conv2d_latency_test_mutiple_channels() { + // x = + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 2, 2, 2, 2, 2| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + let x = sN[16][5][5][3]:[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + ],]; + + // w = + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + // | 2, 2, 2| | 1, 1, 1| | 0, 0, 0| + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + let w = sN[16][3][3][3][1]:[[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ]]]; + // b = | 1 | + let b = sN[16][1]:[sN[16]:0]; + + // expected = + // | 18, 18, 18| + // | 21, 21, 21| + // | 18, 18, 18| + let expected = sN[16][3][3][1]:[[ + [sN[16]:18432, sN[16]:18432, sN[16]:18432], + [sN[16]:21504, sN[16]:21504, sN[16]:21504], + [sN[16]:18432, sN[16]:18432, sN[16]:18432] + ]]; + assert_eq(expected, conv2d_latency(x, w, b)); +} + +#[test] +fn conv2d_latency_test_mutiple_channels_and_filters() { + // x = + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 2, 2, 2, 2, 2| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + let x = sN[16][5][5][3]:[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + ],]; + + // w = + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + // | 2, 2, 2| | 1, 1, 1| | 0, 0, 0| + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + + // | 0, 0, 0| | 0, 0, 0| | 0, 0, 0| + // | 0, 0, 0| | 0, 0, 0| | 0, 0, 0| + // | 0, 0, 0| | 0, 0, 0| | 0, 0, 0| + let w = sN[16][3][3][3][3]:[ + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ]], + + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]], + + [[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ]],]; + // b = | 0, 0, 0| + let b = sN[16][3]:[sN[16]:0, sN[16]:0, sN[16]:0]; + + // expected = + // | 18, 18, 18| | 18, 18, 18| | 0, 0, 0| + // | 21, 21, 21| | 15, 15, 15| | 0, 0, 0| + // | 18, 18, 18| | 18, 18, 18| | 0, 0, 0| + let expected = sN[16][3][3][3]:[[ + [sN[16]:18432, sN[16]:18432, sN[16]:18432], + [sN[16]:21504, sN[16]:21504, sN[16]:21504], + [sN[16]:18432, sN[16]:18432, sN[16]:18432] + ],[ + [sN[16]:18432, sN[16]:18432, sN[16]:18432], + [sN[16]:15360, sN[16]:15360, sN[16]:15360], + [sN[16]:18432, sN[16]:18432, sN[16]:18432] + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ]]; + + assert_eq(expected, conv2d_latency(x, w, b)); +} + +#[test] +fn conv2d_latency_test_two_layers() { + // x = + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 2, 2, 2, 2, 2| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + let x = sN[16][5][5][3]:[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + ],]; + + // w = + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + // | 2, 2, 2| | 1, 1, 1| | 0, 0, 0| + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + let w0 = sN[16][3][3][3][2]:[ + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ]], + + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]]]; + // b = | -17, -17| + let b0 = sN[16][2]:[sN[16]:-17408, sN[16]:-17408]; + + // w1 = + // | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| + let w1 = sN[16][3][3][2][1]:[ + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]]]; + // b = | 0 | + let b1 = sN[16][1]:[sN[16]:0]; + + // expected = | 18 | + let expected = sN[16][1][1][1]:[[ + [sN[16]:18432], + ]]; + + let z0 = conv2d_latency(x, w0, b0); + let z1 = conv2d_latency(z0, w1, b1); + assert_eq(expected, z1); +} + +#[test] +fn conv_relu_latency_test_two_layers() { + // x = + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 2, 2, 2, 2, 2| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 0, 0, 0, 0, 0| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + // | 1, 1, 1, 1, 1| | 1, 1, 1, 1, 1| | 0, 0, 0, 0, 0| + let x = sN[16][5][5][3]:[[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0, sN[16]:0], + ],]; + + // w = + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + // | 2, 2, 2| | 1, 1, 1| | 0, 0, 0| + // | 1, 1, 1| | 1, 1, 1| | 0, 0, 0| + + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| | 1, 1, 1| + let w0 = sN[16][3][3][3][2]:[ + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:2048, sN[16]:2048, sN[16]:2048], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + [sN[16]:0, sN[16]:0, sN[16]:0], + ]], + + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]]]; + // b = | -17, -17| + let b0 = sN[16][2]:[sN[16]:-17408, sN[16]:-17408]; + + // w1 = + // | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| + // | 1, 1, 1| | 1, 1, 1| + let w1 = sN[16][3][3][2][1]:[ + [[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ],[ + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + [sN[16]:1024, sN[16]:1024, sN[16]:1024], + ]]]; + // b = | 0 | + let b1 = sN[16][1]:[sN[16]:0]; + + // expected = | 18 | + let expected = sN[16][1][1][1]:[[ + [sN[16]:24576], + ]]; + + let z0 = conv_relu_latency(x, w0, b0); + let z1 = conv_relu_latency(z0, w1, b1); + assert_eq(expected, z1); +} \ No newline at end of file diff --git a/hls4ml/templates/xls/firmware/nnet_utils/fc.x b/hls4ml/templates/xls/firmware/nnet_utils/fc.x index 73981a94f3..cd2d51ae15 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/fc.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/fc.x @@ -26,7 +26,6 @@ pub const FXP_12_0 = sN[NB_COMMON]:12288; pub const FXP_13_5 = sN[NB_COMMON]:13824; - // Wx = y // When called must specify the fixed point precision that is in the output. // This allows the truncation to be done correctly. @@ -35,7 +34,6 @@ pub fn dense NB_OUT: u32, EN_OUT: u32, BU_OUT: u32, COLS: u32, ROWS: u32, BE_OUT: s32 = {fixed_point_lib::binary_exponent(EN_OUT, BU_OUT)}, //new - BE_IN: s32 = {fixed_point_lib::binary_exponent(EN_IN, BU_IN)}, // binary exp X // Precision inference MUL BE_MUL: s32 = {BE_IN + BE_IN}, // binary exp MUL @@ -74,7 +72,6 @@ pub fn dense_relu NB_OUT: u32, EN_OUT: u32, BU_OUT: u32, COLS: u32, ROWS: u32, BE_OUT: s32 = {fixed_point_lib::binary_exponent(EN_OUT, BU_OUT)}, //new - BE_IN: s32 = {fixed_point_lib::binary_exponent(EN_IN, BU_IN)}, // binary exp X // Precision inference MUL BE_MUL: s32 = {BE_IN + BE_IN}, // binary exp MUL diff --git a/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x index 7052a262a8..2c30eb5810 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x @@ -5,10 +5,269 @@ import ap_types.fixed_point_lib; // hls-fpga-machine-learning insert exponent table +const EXP_TABLE = sN[u32:18][u32:1024]:[ + sN[u32:18]:1024,sN[u32:18]:1090,sN[u32:18]:1160,sN[u32:18]:1235,sN[u32:18]:1315,sN[u32:18]:1400,sN[u32:18]:1490,sN[u32:18]:1586, + sN[u32:18]:1688,sN[u32:18]:1797,sN[u32:18]:1913,sN[u32:18]:2036,sN[u32:18]:2168,sN[u32:18]:2308,sN[u32:18]:2456,sN[u32:18]:2615, + sN[u32:18]:2784,sN[u32:18]:2963,sN[u32:18]:3154,sN[u32:18]:3358,sN[u32:18]:3574,sN[u32:18]:3805,sN[u32:18]:4050,sN[u32:18]:4311, + sN[u32:18]:4589,sN[u32:18]:4885,sN[u32:18]:5200,sN[u32:18]:5536,sN[u32:18]:5893,sN[u32:18]:6273,sN[u32:18]:6677,sN[u32:18]:7108, + sN[u32:18]:7566,sN[u32:18]:8054,sN[u32:18]:8574,sN[u32:18]:9127,sN[u32:18]:9715,sN[u32:18]:10342,sN[u32:18]:11009,sN[u32:18]:11719, + sN[u32:18]:12475,sN[u32:18]:13279,sN[u32:18]:14136,sN[u32:18]:15048,sN[u32:18]:16018,sN[u32:18]:17051,sN[u32:18]:18151,sN[u32:18]:19321, + sN[u32:18]:20568,sN[u32:18]:21894,sN[u32:18]:23306,sN[u32:18]:24809,sN[u32:18]:26409,sN[u32:18]:28113,sN[u32:18]:29926,sN[u32:18]:31856, + sN[u32:18]:33910,sN[u32:18]:36097,sN[u32:18]:38425,sN[u32:18]:40904,sN[u32:18]:43542,sN[u32:18]:46350,sN[u32:18]:49339,sN[u32:18]:52521, + sN[u32:18]:55909,sN[u32:18]:59514,sN[u32:18]:63353,sN[u32:18]:67439,sN[u32:18]:71788,sN[u32:18]:76418,sN[u32:18]:81346,sN[u32:18]:86593, + sN[u32:18]:92178,sN[u32:18]:98122,sN[u32:18]:104451,sN[u32:18]:111187,sN[u32:18]:118358,sN[u32:18]:125992,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, + sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:1, + sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1, + sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1, + sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2, + sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:4,sN[u32:18]:4, + sN[u32:18]:4,sN[u32:18]:4,sN[u32:18]:5,sN[u32:18]:5,sN[u32:18]:5,sN[u32:18]:6,sN[u32:18]:6,sN[u32:18]:6, + sN[u32:18]:7,sN[u32:18]:7,sN[u32:18]:8,sN[u32:18]:8,sN[u32:18]:9,sN[u32:18]:9,sN[u32:18]:10,sN[u32:18]:11, + sN[u32:18]:11,sN[u32:18]:12,sN[u32:18]:13,sN[u32:18]:14,sN[u32:18]:15,sN[u32:18]:16,sN[u32:18]:17,sN[u32:18]:18, + sN[u32:18]:19,sN[u32:18]:20,sN[u32:18]:21,sN[u32:18]:23,sN[u32:18]:24,sN[u32:18]:26,sN[u32:18]:27,sN[u32:18]:29, + sN[u32:18]:31,sN[u32:18]:33,sN[u32:18]:35,sN[u32:18]:37,sN[u32:18]:40,sN[u32:18]:42,sN[u32:18]:45,sN[u32:18]:48, + sN[u32:18]:51,sN[u32:18]:54,sN[u32:18]:58,sN[u32:18]:61,sN[u32:18]:65,sN[u32:18]:70,sN[u32:18]:74,sN[u32:18]:79, + sN[u32:18]:84,sN[u32:18]:89,sN[u32:18]:95,sN[u32:18]:101,sN[u32:18]:108,sN[u32:18]:115,sN[u32:18]:122,sN[u32:18]:130, + sN[u32:18]:139,sN[u32:18]:148,sN[u32:18]:157,sN[u32:18]:167,sN[u32:18]:178,sN[u32:18]:189,sN[u32:18]:202,sN[u32:18]:215, + sN[u32:18]:228,sN[u32:18]:243,sN[u32:18]:259,sN[u32:18]:276,sN[u32:18]:293,sN[u32:18]:312,sN[u32:18]:332,sN[u32:18]:354, + sN[u32:18]:377,sN[u32:18]:401,sN[u32:18]:427,sN[u32:18]:454,sN[u32:18]:484,sN[u32:18]:515,sN[u32:18]:548,sN[u32:18]:583, + sN[u32:18]:621,sN[u32:18]:661,sN[u32:18]:704,sN[u32:18]:749,sN[u32:18]:797,sN[u32:18]:849,sN[u32:18]:904,sN[u32:18]:962 +]; // hls-fpga-machine-learning insert inversion table - +const INV_TABLE = sN[u32:18][u32:1024]:[ + sN[u32:18]:131071, sN[u32:18]:4096, sN[u32:18]:2048, sN[u32:18]:1365, sN[u32:18]:1024, sN[u32:18]:819, sN[u32:18]:683, sN[u32:18]:585, + sN[u32:18]:512, sN[u32:18]:455, sN[u32:18]:410, sN[u32:18]:372, sN[u32:18]:341, sN[u32:18]:315, sN[u32:18]:293, sN[u32:18]:273, + sN[u32:18]:256, sN[u32:18]:241, sN[u32:18]:228, sN[u32:18]:216, sN[u32:18]:205, sN[u32:18]:195, sN[u32:18]:186, sN[u32:18]:178, + sN[u32:18]:171, sN[u32:18]:164, sN[u32:18]:158, sN[u32:18]:152, sN[u32:18]:146, sN[u32:18]:141, sN[u32:18]:137, sN[u32:18]:132, + sN[u32:18]:128, sN[u32:18]:124, sN[u32:18]:120, sN[u32:18]:117, sN[u32:18]:114, sN[u32:18]:111, sN[u32:18]:108, sN[u32:18]:105, + sN[u32:18]:102, sN[u32:18]:100, sN[u32:18]:98, sN[u32:18]:95, sN[u32:18]:93, sN[u32:18]:91, sN[u32:18]:89, sN[u32:18]:87, + sN[u32:18]:85, sN[u32:18]:84, sN[u32:18]:82, sN[u32:18]:80, sN[u32:18]:79, sN[u32:18]:77, sN[u32:18]:76, sN[u32:18]:74, + sN[u32:18]:73, sN[u32:18]:72, sN[u32:18]:71, sN[u32:18]:69, sN[u32:18]:68, sN[u32:18]:67, sN[u32:18]:66, sN[u32:18]:65, + sN[u32:18]:64, sN[u32:18]:63, sN[u32:18]:62, sN[u32:18]:61, sN[u32:18]:60, sN[u32:18]:59, sN[u32:18]:59, sN[u32:18]:58, + sN[u32:18]:57, sN[u32:18]:56, sN[u32:18]:55, sN[u32:18]:55, sN[u32:18]:54, sN[u32:18]:53, sN[u32:18]:53, sN[u32:18]:52, + sN[u32:18]:51, sN[u32:18]:51, sN[u32:18]:50, sN[u32:18]:49, sN[u32:18]:49, sN[u32:18]:48, sN[u32:18]:48, sN[u32:18]:47, + sN[u32:18]:47, sN[u32:18]:46, sN[u32:18]:46, sN[u32:18]:45, sN[u32:18]:45, sN[u32:18]:44, sN[u32:18]:44, sN[u32:18]:43, + sN[u32:18]:43, sN[u32:18]:42, sN[u32:18]:42, sN[u32:18]:41, sN[u32:18]:41, sN[u32:18]:41, sN[u32:18]:40, sN[u32:18]:40, + sN[u32:18]:39, sN[u32:18]:39, sN[u32:18]:39, sN[u32:18]:38, sN[u32:18]:38, sN[u32:18]:38, sN[u32:18]:37, sN[u32:18]:37, + sN[u32:18]:37, sN[u32:18]:36, sN[u32:18]:36, sN[u32:18]:36, sN[u32:18]:35, sN[u32:18]:35, sN[u32:18]:35, sN[u32:18]:34, + sN[u32:18]:34, sN[u32:18]:34, sN[u32:18]:34, sN[u32:18]:33, sN[u32:18]:33, sN[u32:18]:33, sN[u32:18]:33, sN[u32:18]:32, + sN[u32:18]:32, sN[u32:18]:32, sN[u32:18]:32, sN[u32:18]:31, sN[u32:18]:31, sN[u32:18]:31, sN[u32:18]:31, sN[u32:18]:30, + sN[u32:18]:30, sN[u32:18]:30, sN[u32:18]:30, sN[u32:18]:29, sN[u32:18]:29, sN[u32:18]:29, sN[u32:18]:29, sN[u32:18]:29, + sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:27, sN[u32:18]:27, sN[u32:18]:27, + sN[u32:18]:27, sN[u32:18]:27, sN[u32:18]:27, sN[u32:18]:26, sN[u32:18]:26, sN[u32:18]:26, sN[u32:18]:26, sN[u32:18]:26, + sN[u32:18]:26, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, + sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:23, + sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:22, + sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:21, + sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, + sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, + sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, + sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:18, sN[u32:18]:18, + sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, + sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, + sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, + sN[u32:18]:17, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, + sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, + sN[u32:18]:16, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, + sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, + sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, + sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, + sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, + sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, + sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, + sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, + sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, + sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, + sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, + sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, + sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, + sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, + sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, + sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:10, + sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, + sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, + sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, + sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, + sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, + sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, + sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, + sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, + sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, + sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, + sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, + sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, + sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, + sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, + sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, + sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, + sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, + sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, + sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-9, + sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, + sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, + sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, + sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, + sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, + sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, + sN[u32:18]:-9, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, + sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, + sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, + sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, + sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, + sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, + sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, + sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, + sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, + sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, + sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, + sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, + sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, + sN[u32:18]:-12, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, + sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, + sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, + sN[u32:18]:-13, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, + sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, + sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-15, sN[u32:18]:-15, + sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, + sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, + sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, + sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, + sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, + sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-18, sN[u32:18]:-18, + sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, + sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, + sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-20, sN[u32:18]:-20, + sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, + sN[u32:18]:-20, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, + sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, + sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, + sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, + sN[u32:18]:-24, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, + sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-27, sN[u32:18]:-27, + sN[u32:18]:-27, sN[u32:18]:-27, sN[u32:18]:-27, sN[u32:18]:-27, sN[u32:18]:-28, sN[u32:18]:-28, sN[u32:18]:-28, sN[u32:18]:-28, + sN[u32:18]:-28, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-30, sN[u32:18]:-30, + sN[u32:18]:-30, sN[u32:18]:-30, sN[u32:18]:-31, sN[u32:18]:-31, sN[u32:18]:-31, sN[u32:18]:-31, sN[u32:18]:-32, sN[u32:18]:-32, + sN[u32:18]:-32, sN[u32:18]:-32, sN[u32:18]:-33, sN[u32:18]:-33, sN[u32:18]:-33, sN[u32:18]:-33, sN[u32:18]:-34, sN[u32:18]:-34, + sN[u32:18]:-34, sN[u32:18]:-34, sN[u32:18]:-35, sN[u32:18]:-35, sN[u32:18]:-35, sN[u32:18]:-36, sN[u32:18]:-36, sN[u32:18]:-36, + sN[u32:18]:-37, sN[u32:18]:-37, sN[u32:18]:-37, sN[u32:18]:-38, sN[u32:18]:-38, sN[u32:18]:-38, sN[u32:18]:-39, sN[u32:18]:-39, + sN[u32:18]:-39, sN[u32:18]:-40, sN[u32:18]:-40, sN[u32:18]:-41, sN[u32:18]:-41, sN[u32:18]:-41, sN[u32:18]:-42, sN[u32:18]:-42, + sN[u32:18]:-43, sN[u32:18]:-43, sN[u32:18]:-44, sN[u32:18]:-44, sN[u32:18]:-45, sN[u32:18]:-45, sN[u32:18]:-46, sN[u32:18]:-46, + sN[u32:18]:-47, sN[u32:18]:-47, sN[u32:18]:-48, sN[u32:18]:-48, sN[u32:18]:-49, sN[u32:18]:-49, sN[u32:18]:-50, sN[u32:18]:-51, + sN[u32:18]:-51, sN[u32:18]:-52, sN[u32:18]:-53, sN[u32:18]:-53, sN[u32:18]:-54, sN[u32:18]:-55, sN[u32:18]:-55, sN[u32:18]:-56, + sN[u32:18]:-57, sN[u32:18]:-58, sN[u32:18]:-59, sN[u32:18]:-59, sN[u32:18]:-60, sN[u32:18]:-61, sN[u32:18]:-62, sN[u32:18]:-63, + sN[u32:18]:-64, sN[u32:18]:-65, sN[u32:18]:-66, sN[u32:18]:-67, sN[u32:18]:-68, sN[u32:18]:-69, sN[u32:18]:-71, sN[u32:18]:-72, + sN[u32:18]:-73, sN[u32:18]:-74, sN[u32:18]:-76, sN[u32:18]:-77, sN[u32:18]:-79, sN[u32:18]:-80, sN[u32:18]:-82, sN[u32:18]:-84, + sN[u32:18]:-85, sN[u32:18]:-87, sN[u32:18]:-89, sN[u32:18]:-91, sN[u32:18]:-93, sN[u32:18]:-95, sN[u32:18]:-98, sN[u32:18]:-100, + sN[u32:18]:-102, sN[u32:18]:-105, sN[u32:18]:-108, sN[u32:18]:-111, sN[u32:18]:-114, sN[u32:18]:-117, sN[u32:18]:-120, sN[u32:18]:-124, + sN[u32:18]:-128, sN[u32:18]:-132, sN[u32:18]:-137, sN[u32:18]:-141, sN[u32:18]:-146, sN[u32:18]:-152, sN[u32:18]:-158, sN[u32:18]:-164, + sN[u32:18]:-171, sN[u32:18]:-178, sN[u32:18]:-186, sN[u32:18]:-195, sN[u32:18]:-205, sN[u32:18]:-216, sN[u32:18]:-228, sN[u32:18]:-241, + sN[u32:18]:-256, sN[u32:18]:-273, sN[u32:18]:-293, sN[u32:18]:-315, sN[u32:18]:-341, sN[u32:18]:-372, sN[u32:18]:-410, sN[u32:18]:-455, + sN[u32:18]:-512, sN[u32:18]:-585, sN[u32:18]:-683, sN[u32:18]:-819, sN[u32:18]:-1024, sN[u32:18]:-1365, sN[u32:18]:-2048, sN[u32:18]:-4096 +]; pub fn idx_from_real_val @@ -143,88 +402,86 @@ pub fn softmax_stable softmax_result } -// ------------- Tests should be generated depending on the table precision/size - -// #[test] -// fn softmax_latency_test() { -// let x = sN[16][4]:[ -// sN[16]:1024, -// sN[16]:1024, -// sN[16]:1024, -// sN[16]:1024 -// ]; -// let expected = sN[16][4]:[ -// sN[16]:258, // Ideal 256 -// sN[16]:258, -// sN[16]:258, -// sN[16]:258 -// ]; -// assert_eq(expected, softmax_latency -// (x)); - -// let x = sN[16][4]:[ -// sN[16]:2048, -// sN[16]:2048, -// sN[16]:2048, -// sN[16]:2048 -// ]; -// let expected = sN[16][4]:[ -// sN[16]:258, // Ideal 256 -// sN[16]:258, -// sN[16]:258, -// sN[16]:258 -// ]; -// assert_eq(expected, softmax_latency -// (x)); -// } - -// #[test] -// fn softmax_stable_test() { -// let x = sN[16][4]:[ -// sN[16]:1024, -// sN[16]:1024, -// sN[16]:1024, -// sN[16]:1024 -// ]; -// let expected = sN[16][4]:[ -// sN[16]:256, // Ideal 256 -// sN[16]:256, -// sN[16]:256, -// sN[16]:256 -// ]; -// assert_eq(expected, softmax_stable -// (x)); - -// let x = sN[16][4]:[ -// sN[16]:4096, -// sN[16]:4096, -// sN[16]:4096, -// sN[16]:4096 -// ]; -// let expected = sN[16][4]:[ -// sN[16]:256, // Ideal 256 -// sN[16]:256, -// sN[16]:256, -// sN[16]:256 -// ]; -// assert_eq(expected, softmax_stable -// (x)); -// } +#[test] +fn softmax_latency_test() { + let x = sN[16][4]:[ + sN[16]:1024, + sN[16]:1024, + sN[16]:1024, + sN[16]:1024 + ]; + let expected = sN[16][4]:[ + sN[16]:258, // Ideal 256 + sN[16]:258, + sN[16]:258, + sN[16]:258 + ]; + assert_eq(expected, softmax_latency + (x)); + + let x = sN[16][4]:[ + sN[16]:2048, + sN[16]:2048, + sN[16]:2048, + sN[16]:2048 + ]; + let expected = sN[16][4]:[ + sN[16]:258, // Ideal 256 + sN[16]:258, + sN[16]:258, + sN[16]:258 + ]; + assert_eq(expected, softmax_latency + (x)); +} + +#[test] +fn softmax_stable_test() { + let x = sN[16][4]:[ + sN[16]:1024, + sN[16]:1024, + sN[16]:1024, + sN[16]:1024 + ]; + let expected = sN[16][4]:[ + sN[16]:256, // Ideal 256 + sN[16]:256, + sN[16]:256, + sN[16]:256 + ]; + assert_eq(expected, softmax_stable + (x)); + + let x = sN[16][4]:[ + sN[16]:4096, + sN[16]:4096, + sN[16]:4096, + sN[16]:4096 + ]; + let expected = sN[16][4]:[ + sN[16]:256, // Ideal 256 + sN[16]:256, + sN[16]:256, + sN[16]:256 + ]; + assert_eq(expected, softmax_stable + (x)); +} diff --git a/hls4ml/writer/xls_writer.py b/hls4ml/writer/xls_writer.py index 494f7aa88d..de64f46d65 100644 --- a/hls4ml/writer/xls_writer.py +++ b/hls4ml/writer/xls_writer.py @@ -102,7 +102,7 @@ def write_project_dslx(self, model: ModelGraph) -> None: elif layer.get_attr("write_weights"): # weights arguments newline += indent + f'w{i}: {layer.get_attr("in_type")}' - for w_dim in layer.get_attr("fxp_weights").shape: + for w_dim in reversed(layer.get_attr("fxp_weights").shape): newline += f'[u32:{w_dim}]' newline += ',\n' # bias argument @@ -148,7 +148,7 @@ def write_project_dslx(self, model: ModelGraph) -> None: if layer.get_attr("write_weights"): # Weights newline += indent + f'let w{i} = {layer.get_attr("in_type")}' - for w_dim in layer.get_attr("fxp_weights").shape: + for w_dim in reversed(layer.get_attr("fxp_weights").shape): newline += f'[u32:{w_dim}]' newline += ':\n' newline += indent + '[\n' From 092a809e022b1f3157476039903aa263d6430ee5 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Thu, 28 Aug 2025 22:55:54 +0200 Subject: [PATCH 23/24] reverted look_up tables --- docs/requirements.txt | 7 - .../xls/firmware/nnet_utils/lookup_tables.x | 427 ++++-------------- 2 files changed, 84 insertions(+), 350 deletions(-) delete mode 100644 docs/requirements.txt diff --git a/docs/requirements.txt b/docs/requirements.txt deleted file mode 100644 index fe3c4f2544..0000000000 --- a/docs/requirements.txt +++ /dev/null @@ -1,7 +0,0 @@ -. -setuptools_scm[toml]>=5 -sphinx>=3.2.1 -sphinx_contributors -sphinx_github_changelog -sphinx_rtd_theme -toposort>=1.5.0 diff --git a/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x index 2c30eb5810..d718c3a8ae 100644 --- a/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x +++ b/hls4ml/templates/xls/firmware/nnet_utils/lookup_tables.x @@ -5,269 +5,10 @@ import ap_types.fixed_point_lib; // hls-fpga-machine-learning insert exponent table -const EXP_TABLE = sN[u32:18][u32:1024]:[ - sN[u32:18]:1024,sN[u32:18]:1090,sN[u32:18]:1160,sN[u32:18]:1235,sN[u32:18]:1315,sN[u32:18]:1400,sN[u32:18]:1490,sN[u32:18]:1586, - sN[u32:18]:1688,sN[u32:18]:1797,sN[u32:18]:1913,sN[u32:18]:2036,sN[u32:18]:2168,sN[u32:18]:2308,sN[u32:18]:2456,sN[u32:18]:2615, - sN[u32:18]:2784,sN[u32:18]:2963,sN[u32:18]:3154,sN[u32:18]:3358,sN[u32:18]:3574,sN[u32:18]:3805,sN[u32:18]:4050,sN[u32:18]:4311, - sN[u32:18]:4589,sN[u32:18]:4885,sN[u32:18]:5200,sN[u32:18]:5536,sN[u32:18]:5893,sN[u32:18]:6273,sN[u32:18]:6677,sN[u32:18]:7108, - sN[u32:18]:7566,sN[u32:18]:8054,sN[u32:18]:8574,sN[u32:18]:9127,sN[u32:18]:9715,sN[u32:18]:10342,sN[u32:18]:11009,sN[u32:18]:11719, - sN[u32:18]:12475,sN[u32:18]:13279,sN[u32:18]:14136,sN[u32:18]:15048,sN[u32:18]:16018,sN[u32:18]:17051,sN[u32:18]:18151,sN[u32:18]:19321, - sN[u32:18]:20568,sN[u32:18]:21894,sN[u32:18]:23306,sN[u32:18]:24809,sN[u32:18]:26409,sN[u32:18]:28113,sN[u32:18]:29926,sN[u32:18]:31856, - sN[u32:18]:33910,sN[u32:18]:36097,sN[u32:18]:38425,sN[u32:18]:40904,sN[u32:18]:43542,sN[u32:18]:46350,sN[u32:18]:49339,sN[u32:18]:52521, - sN[u32:18]:55909,sN[u32:18]:59514,sN[u32:18]:63353,sN[u32:18]:67439,sN[u32:18]:71788,sN[u32:18]:76418,sN[u32:18]:81346,sN[u32:18]:86593, - sN[u32:18]:92178,sN[u32:18]:98122,sN[u32:18]:104451,sN[u32:18]:111187,sN[u32:18]:118358,sN[u32:18]:125992,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071,sN[u32:18]:131071, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0, - sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:0,sN[u32:18]:1, - sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1, - sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1,sN[u32:18]:1, - sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2,sN[u32:18]:2, - sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:3,sN[u32:18]:4,sN[u32:18]:4, - sN[u32:18]:4,sN[u32:18]:4,sN[u32:18]:5,sN[u32:18]:5,sN[u32:18]:5,sN[u32:18]:6,sN[u32:18]:6,sN[u32:18]:6, - sN[u32:18]:7,sN[u32:18]:7,sN[u32:18]:8,sN[u32:18]:8,sN[u32:18]:9,sN[u32:18]:9,sN[u32:18]:10,sN[u32:18]:11, - sN[u32:18]:11,sN[u32:18]:12,sN[u32:18]:13,sN[u32:18]:14,sN[u32:18]:15,sN[u32:18]:16,sN[u32:18]:17,sN[u32:18]:18, - sN[u32:18]:19,sN[u32:18]:20,sN[u32:18]:21,sN[u32:18]:23,sN[u32:18]:24,sN[u32:18]:26,sN[u32:18]:27,sN[u32:18]:29, - sN[u32:18]:31,sN[u32:18]:33,sN[u32:18]:35,sN[u32:18]:37,sN[u32:18]:40,sN[u32:18]:42,sN[u32:18]:45,sN[u32:18]:48, - sN[u32:18]:51,sN[u32:18]:54,sN[u32:18]:58,sN[u32:18]:61,sN[u32:18]:65,sN[u32:18]:70,sN[u32:18]:74,sN[u32:18]:79, - sN[u32:18]:84,sN[u32:18]:89,sN[u32:18]:95,sN[u32:18]:101,sN[u32:18]:108,sN[u32:18]:115,sN[u32:18]:122,sN[u32:18]:130, - sN[u32:18]:139,sN[u32:18]:148,sN[u32:18]:157,sN[u32:18]:167,sN[u32:18]:178,sN[u32:18]:189,sN[u32:18]:202,sN[u32:18]:215, - sN[u32:18]:228,sN[u32:18]:243,sN[u32:18]:259,sN[u32:18]:276,sN[u32:18]:293,sN[u32:18]:312,sN[u32:18]:332,sN[u32:18]:354, - sN[u32:18]:377,sN[u32:18]:401,sN[u32:18]:427,sN[u32:18]:454,sN[u32:18]:484,sN[u32:18]:515,sN[u32:18]:548,sN[u32:18]:583, - sN[u32:18]:621,sN[u32:18]:661,sN[u32:18]:704,sN[u32:18]:749,sN[u32:18]:797,sN[u32:18]:849,sN[u32:18]:904,sN[u32:18]:962 -]; // hls-fpga-machine-learning insert inversion table -const INV_TABLE = sN[u32:18][u32:1024]:[ - sN[u32:18]:131071, sN[u32:18]:4096, sN[u32:18]:2048, sN[u32:18]:1365, sN[u32:18]:1024, sN[u32:18]:819, sN[u32:18]:683, sN[u32:18]:585, - sN[u32:18]:512, sN[u32:18]:455, sN[u32:18]:410, sN[u32:18]:372, sN[u32:18]:341, sN[u32:18]:315, sN[u32:18]:293, sN[u32:18]:273, - sN[u32:18]:256, sN[u32:18]:241, sN[u32:18]:228, sN[u32:18]:216, sN[u32:18]:205, sN[u32:18]:195, sN[u32:18]:186, sN[u32:18]:178, - sN[u32:18]:171, sN[u32:18]:164, sN[u32:18]:158, sN[u32:18]:152, sN[u32:18]:146, sN[u32:18]:141, sN[u32:18]:137, sN[u32:18]:132, - sN[u32:18]:128, sN[u32:18]:124, sN[u32:18]:120, sN[u32:18]:117, sN[u32:18]:114, sN[u32:18]:111, sN[u32:18]:108, sN[u32:18]:105, - sN[u32:18]:102, sN[u32:18]:100, sN[u32:18]:98, sN[u32:18]:95, sN[u32:18]:93, sN[u32:18]:91, sN[u32:18]:89, sN[u32:18]:87, - sN[u32:18]:85, sN[u32:18]:84, sN[u32:18]:82, sN[u32:18]:80, sN[u32:18]:79, sN[u32:18]:77, sN[u32:18]:76, sN[u32:18]:74, - sN[u32:18]:73, sN[u32:18]:72, sN[u32:18]:71, sN[u32:18]:69, sN[u32:18]:68, sN[u32:18]:67, sN[u32:18]:66, sN[u32:18]:65, - sN[u32:18]:64, sN[u32:18]:63, sN[u32:18]:62, sN[u32:18]:61, sN[u32:18]:60, sN[u32:18]:59, sN[u32:18]:59, sN[u32:18]:58, - sN[u32:18]:57, sN[u32:18]:56, sN[u32:18]:55, sN[u32:18]:55, sN[u32:18]:54, sN[u32:18]:53, sN[u32:18]:53, sN[u32:18]:52, - sN[u32:18]:51, sN[u32:18]:51, sN[u32:18]:50, sN[u32:18]:49, sN[u32:18]:49, sN[u32:18]:48, sN[u32:18]:48, sN[u32:18]:47, - sN[u32:18]:47, sN[u32:18]:46, sN[u32:18]:46, sN[u32:18]:45, sN[u32:18]:45, sN[u32:18]:44, sN[u32:18]:44, sN[u32:18]:43, - sN[u32:18]:43, sN[u32:18]:42, sN[u32:18]:42, sN[u32:18]:41, sN[u32:18]:41, sN[u32:18]:41, sN[u32:18]:40, sN[u32:18]:40, - sN[u32:18]:39, sN[u32:18]:39, sN[u32:18]:39, sN[u32:18]:38, sN[u32:18]:38, sN[u32:18]:38, sN[u32:18]:37, sN[u32:18]:37, - sN[u32:18]:37, sN[u32:18]:36, sN[u32:18]:36, sN[u32:18]:36, sN[u32:18]:35, sN[u32:18]:35, sN[u32:18]:35, sN[u32:18]:34, - sN[u32:18]:34, sN[u32:18]:34, sN[u32:18]:34, sN[u32:18]:33, sN[u32:18]:33, sN[u32:18]:33, sN[u32:18]:33, sN[u32:18]:32, - sN[u32:18]:32, sN[u32:18]:32, sN[u32:18]:32, sN[u32:18]:31, sN[u32:18]:31, sN[u32:18]:31, sN[u32:18]:31, sN[u32:18]:30, - sN[u32:18]:30, sN[u32:18]:30, sN[u32:18]:30, sN[u32:18]:29, sN[u32:18]:29, sN[u32:18]:29, sN[u32:18]:29, sN[u32:18]:29, - sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:28, sN[u32:18]:27, sN[u32:18]:27, sN[u32:18]:27, - sN[u32:18]:27, sN[u32:18]:27, sN[u32:18]:27, sN[u32:18]:26, sN[u32:18]:26, sN[u32:18]:26, sN[u32:18]:26, sN[u32:18]:26, - sN[u32:18]:26, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, sN[u32:18]:25, - sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:24, sN[u32:18]:23, - sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:23, sN[u32:18]:22, - sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:22, sN[u32:18]:21, - sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, sN[u32:18]:21, - sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, - sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:20, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, - sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:19, sN[u32:18]:18, sN[u32:18]:18, - sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, - sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:18, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, - sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, sN[u32:18]:17, - sN[u32:18]:17, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, - sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, sN[u32:18]:16, - sN[u32:18]:16, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, - sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, - sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:15, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, - sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, - sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, sN[u32:18]:14, - sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, - sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, - sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, sN[u32:18]:13, - sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, - sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, - sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, - sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:12, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, - sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, - sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, - sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, - sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:11, sN[u32:18]:10, - sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, - sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, - sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, - sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, - sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, sN[u32:18]:10, - sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, - sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, - sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, - sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, - sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, - sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:9, - sN[u32:18]:9, sN[u32:18]:9, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, - sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, - sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, - sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, sN[u32:18]:8, - sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, - sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, - sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, - sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-8, sN[u32:18]:-9, - sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, - sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, - sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, - sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, - sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, - sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, sN[u32:18]:-9, - sN[u32:18]:-9, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, - sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, - sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, - sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, - sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-10, - sN[u32:18]:-10, sN[u32:18]:-10, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, - sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, - sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, - sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, - sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-11, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, - sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, - sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, - sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, sN[u32:18]:-12, - sN[u32:18]:-12, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, - sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, - sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, sN[u32:18]:-13, - sN[u32:18]:-13, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, - sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, - sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-14, sN[u32:18]:-15, sN[u32:18]:-15, - sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, - sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, sN[u32:18]:-15, - sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, - sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, sN[u32:18]:-16, - sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, - sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-17, sN[u32:18]:-18, sN[u32:18]:-18, - sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, - sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-18, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, - sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-19, sN[u32:18]:-20, sN[u32:18]:-20, - sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, sN[u32:18]:-20, - sN[u32:18]:-20, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-21, - sN[u32:18]:-21, sN[u32:18]:-21, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-22, - sN[u32:18]:-22, sN[u32:18]:-22, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-23, - sN[u32:18]:-23, sN[u32:18]:-23, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, sN[u32:18]:-24, - sN[u32:18]:-24, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, sN[u32:18]:-25, - sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-26, sN[u32:18]:-27, sN[u32:18]:-27, - sN[u32:18]:-27, sN[u32:18]:-27, sN[u32:18]:-27, sN[u32:18]:-27, sN[u32:18]:-28, sN[u32:18]:-28, sN[u32:18]:-28, sN[u32:18]:-28, - sN[u32:18]:-28, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-29, sN[u32:18]:-30, sN[u32:18]:-30, - sN[u32:18]:-30, sN[u32:18]:-30, sN[u32:18]:-31, sN[u32:18]:-31, sN[u32:18]:-31, sN[u32:18]:-31, sN[u32:18]:-32, sN[u32:18]:-32, - sN[u32:18]:-32, sN[u32:18]:-32, sN[u32:18]:-33, sN[u32:18]:-33, sN[u32:18]:-33, sN[u32:18]:-33, sN[u32:18]:-34, sN[u32:18]:-34, - sN[u32:18]:-34, sN[u32:18]:-34, sN[u32:18]:-35, sN[u32:18]:-35, sN[u32:18]:-35, sN[u32:18]:-36, sN[u32:18]:-36, sN[u32:18]:-36, - sN[u32:18]:-37, sN[u32:18]:-37, sN[u32:18]:-37, sN[u32:18]:-38, sN[u32:18]:-38, sN[u32:18]:-38, sN[u32:18]:-39, sN[u32:18]:-39, - sN[u32:18]:-39, sN[u32:18]:-40, sN[u32:18]:-40, sN[u32:18]:-41, sN[u32:18]:-41, sN[u32:18]:-41, sN[u32:18]:-42, sN[u32:18]:-42, - sN[u32:18]:-43, sN[u32:18]:-43, sN[u32:18]:-44, sN[u32:18]:-44, sN[u32:18]:-45, sN[u32:18]:-45, sN[u32:18]:-46, sN[u32:18]:-46, - sN[u32:18]:-47, sN[u32:18]:-47, sN[u32:18]:-48, sN[u32:18]:-48, sN[u32:18]:-49, sN[u32:18]:-49, sN[u32:18]:-50, sN[u32:18]:-51, - sN[u32:18]:-51, sN[u32:18]:-52, sN[u32:18]:-53, sN[u32:18]:-53, sN[u32:18]:-54, sN[u32:18]:-55, sN[u32:18]:-55, sN[u32:18]:-56, - sN[u32:18]:-57, sN[u32:18]:-58, sN[u32:18]:-59, sN[u32:18]:-59, sN[u32:18]:-60, sN[u32:18]:-61, sN[u32:18]:-62, sN[u32:18]:-63, - sN[u32:18]:-64, sN[u32:18]:-65, sN[u32:18]:-66, sN[u32:18]:-67, sN[u32:18]:-68, sN[u32:18]:-69, sN[u32:18]:-71, sN[u32:18]:-72, - sN[u32:18]:-73, sN[u32:18]:-74, sN[u32:18]:-76, sN[u32:18]:-77, sN[u32:18]:-79, sN[u32:18]:-80, sN[u32:18]:-82, sN[u32:18]:-84, - sN[u32:18]:-85, sN[u32:18]:-87, sN[u32:18]:-89, sN[u32:18]:-91, sN[u32:18]:-93, sN[u32:18]:-95, sN[u32:18]:-98, sN[u32:18]:-100, - sN[u32:18]:-102, sN[u32:18]:-105, sN[u32:18]:-108, sN[u32:18]:-111, sN[u32:18]:-114, sN[u32:18]:-117, sN[u32:18]:-120, sN[u32:18]:-124, - sN[u32:18]:-128, sN[u32:18]:-132, sN[u32:18]:-137, sN[u32:18]:-141, sN[u32:18]:-146, sN[u32:18]:-152, sN[u32:18]:-158, sN[u32:18]:-164, - sN[u32:18]:-171, sN[u32:18]:-178, sN[u32:18]:-186, sN[u32:18]:-195, sN[u32:18]:-205, sN[u32:18]:-216, sN[u32:18]:-228, sN[u32:18]:-241, - sN[u32:18]:-256, sN[u32:18]:-273, sN[u32:18]:-293, sN[u32:18]:-315, sN[u32:18]:-341, sN[u32:18]:-372, sN[u32:18]:-410, sN[u32:18]:-455, - sN[u32:18]:-512, sN[u32:18]:-585, sN[u32:18]:-683, sN[u32:18]:-819, sN[u32:18]:-1024, sN[u32:18]:-1365, sN[u32:18]:-2048, sN[u32:18]:-4096 -]; + pub fn idx_from_real_val @@ -402,86 +143,86 @@ pub fn softmax_stable softmax_result } -#[test] -fn softmax_latency_test() { - let x = sN[16][4]:[ - sN[16]:1024, - sN[16]:1024, - sN[16]:1024, - sN[16]:1024 - ]; - let expected = sN[16][4]:[ - sN[16]:258, // Ideal 256 - sN[16]:258, - sN[16]:258, - sN[16]:258 - ]; - assert_eq(expected, softmax_latency - (x)); - - let x = sN[16][4]:[ - sN[16]:2048, - sN[16]:2048, - sN[16]:2048, - sN[16]:2048 - ]; - let expected = sN[16][4]:[ - sN[16]:258, // Ideal 256 - sN[16]:258, - sN[16]:258, - sN[16]:258 - ]; - assert_eq(expected, softmax_latency - (x)); -} - -#[test] -fn softmax_stable_test() { - let x = sN[16][4]:[ - sN[16]:1024, - sN[16]:1024, - sN[16]:1024, - sN[16]:1024 - ]; - let expected = sN[16][4]:[ - sN[16]:256, // Ideal 256 - sN[16]:256, - sN[16]:256, - sN[16]:256 - ]; - assert_eq(expected, softmax_stable - (x)); - - let x = sN[16][4]:[ - sN[16]:4096, - sN[16]:4096, - sN[16]:4096, - sN[16]:4096 - ]; - let expected = sN[16][4]:[ - sN[16]:256, // Ideal 256 - sN[16]:256, - sN[16]:256, - sN[16]:256 - ]; - assert_eq(expected, softmax_stable - (x)); -} - - +// ------------- Tests should be generated depending on the table precision/size + +// #[test] +// fn softmax_latency_test() { +// let x = sN[16][4]:[ +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:258, // Ideal 256 +// sN[16]:258, +// sN[16]:258, +// sN[16]:258 +// ]; +// assert_eq(expected, softmax_latency +// (x)); + +// let x = sN[16][4]:[ +// sN[16]:2048, +// sN[16]:2048, +// sN[16]:2048, +// sN[16]:2048 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:258, // Ideal 256 +// sN[16]:258, +// sN[16]:258, +// sN[16]:258 +// ]; +// assert_eq(expected, softmax_latency +// (x)); +// } + +// #[test] +// fn softmax_stable_test() { +// let x = sN[16][4]:[ +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024, +// sN[16]:1024 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:256, // Ideal 256 +// sN[16]:256, +// sN[16]:256, +// sN[16]:256 +// ]; +// assert_eq(expected, softmax_stable +// (x)); + +// let x = sN[16][4]:[ +// sN[16]:4096, +// sN[16]:4096, +// sN[16]:4096, +// sN[16]:4096 +// ]; +// let expected = sN[16][4]:[ +// sN[16]:256, // Ideal 256 +// sN[16]:256, +// sN[16]:256, +// sN[16]:256 +// ]; +// assert_eq(expected, softmax_stable +// (x)); +// } \ No newline at end of file From 90c3231b2138eed6173316caac28ca69f96532d4 Mon Sep 17 00:00:00 2001 From: Girjoaba Date: Sun, 31 Aug 2025 12:33:59 +0200 Subject: [PATCH 24/24] feat: timing report when building the project --- hls4ml/backends/xls/xls_backend.py | 8 +++--- hls4ml/templates/xls/build_prj.tcl | 40 ++++++++++++++++++++++-------- 2 files changed, 33 insertions(+), 15 deletions(-) diff --git a/hls4ml/backends/xls/xls_backend.py b/hls4ml/backends/xls/xls_backend.py index a185fadda3..a6754e1666 100644 --- a/hls4ml/backends/xls/xls_backend.py +++ b/hls4ml/backends/xls/xls_backend.py @@ -326,7 +326,6 @@ def build( self, model: ModelGraph, reset: bool = True, - clk_period: int = 4000, pr: bool = False, ) -> dict: """ Builds the RTL (SystemVerilog) code and uses Vivado to return the resource utilization. @@ -334,7 +333,7 @@ def build( Args: model (ModelGraph): the hls4ml model. reset (bool): the reset synthesis option - clk_period (int): clock period in picoseconds (e.g., 4000 ps => 1,000,000 / 4000 = 250 MHz) + clk_period (int): clock period in nanoseconds (e.g., 5 ns => 1,000 / 5 = 200 MHz) pr (bool): place and route option """ @@ -344,7 +343,7 @@ def build( raise Exception('XLS is expected to be installed in your $HOME dir. We are looking for `$HOME/xls/bazel-bin`') def build_flags() -> str: - flags = f'--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps={clk_period} ' + flags = f'--delay_model=asap7 --fifo_module="xls_fifo_wrapper" --clock_period_ps={model.config.get_config_value("ClockPeriod")*1000} ' if reset: flags += '--reset=reset' return flags @@ -357,7 +356,8 @@ def build_vivado_flags() -> list[str]: '-source', './build_prj.tcl', '-tclargs', f'firmware/{model.config.get_project_name()}.sv', - f'{model.config.get_config_value("Part")}' + f'{model.config.get_config_value("Part")}', + f'{model.config.get_config_value("ClockPeriod")}' ] if pr: f += '--pr' diff --git a/hls4ml/templates/xls/build_prj.tcl b/hls4ml/templates/xls/build_prj.tcl index 1968d9fc9f..bfaab85c7d 100644 --- a/hls4ml/templates/xls/build_prj.tcl +++ b/hls4ml/templates/xls/build_prj.tcl @@ -2,16 +2,17 @@ # Usage: # vivado -mode batch -nolog -nojournal -source synth_pr.tcl --tclargs [--pr] -if {![llength $argv] >= 2} { - puts stderr "ERROR: missing arguments\nUsage: vivado -mode batch -source synth_pr.tcl -tclargs [--pr]" +if {![llength $argv] >= 3} { + puts stderr "ERROR: missing arguments\nUsage: vivado -mode batch -source synth_pr.tcl -tclargs [--pr]" exit 1 } # get arguments -set sv_file [lindex $argv 0] -set board [lindex $argv 1] +set sv_file [lindex $argv 0] +set board [lindex $argv 1] +set clk_period [lindex $argv 2] set do_pr 0 -if {[llength $argv] > 2 && [lindex $argv 2] eq "--pr"} { +if {[llength $argv] > 3 && [lindex $argv 3] eq "--pr"} { set do_pr 1 } @@ -20,22 +21,39 @@ set proj_name [file rootname [file tail $sv_file]] set top_name $proj_name file delete -force "./${proj_name}_prj" file mkdir "./${proj_name}_prj" +set rpt_dir "./reports" +file mkdir $rpt_dir # create project create_project $proj_name "./${proj_name}_prj" -part $board + +# add clock +create_clock -name sys_clk -period $clk_period [get_ports clk] + # add the SV files add_files $sv_file set_property top $top_name [current_fileset] update_compile_order -fileset sources_1 -#synthesize +# launch synth (as you already do) launch_runs synth_1 -jobs 4 wait_on_run synth_1 -open_run synth_1 + +# report timing +report_clocks -file [file join $rpt_dir "clocks_post_synth.rpt"] +report_timing_summary -delay_type min_max -check_timing -warn_on_violation \ + -max_paths 10 -file [file join $rpt_dir "timing_post_synth.rpt"] + +# set common opt/physopt/route switches for impl_1 +set_property STEPS.OPT_DESIGN.ARGS {-retarget -propconst -sweep -bram_power_opt -shift_register_opt} [get_runs impl_1] +set_property STEPS.PHYS_OPT_DESIGN.IS_ENABLED true [get_runs impl_1] +set_property STEPS.PHYS_OPT_DESIGN.ARGS {-directive Explore} [get_runs impl_1] +set_property STEPS.ROUTE_DESIGN.ARGS {-directive Explore} [get_runs impl_1] + +# launch implementation +launch_runs impl_1 -to_step route_design -jobs 4 +wait_on_run impl_1 # report resource & timing after synthesis -set rpt_dir "./reports" -file mkdir $rpt_dir -report_utilization -file [file join $rpt_dir "synth_util.rpt"] -# report_timing_summary -file synth_timing.rpt \ No newline at end of file +report_utilization -file [file join $rpt_dir "synth_util.rpt"] \ No newline at end of file