Skip to content

Commit 8839169

Browse files
committed
Add time-stamp to all scripts.
1 parent 89d1e0f commit 8839169

25 files changed

+230
-0
lines changed

Diff for: bin/Makefile.questa

+10
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,7 @@
11
#-*- mode: makefile -*-
2+
3+
# Time-stamp: <2022-07-07 09:21:13>
4+
25
# -----------------------------------------------------------------------------------------------
36
# Make-file to run Mentor Graphics QuestaSim/ModelSim targets
47
# -----------------------------------------------------------------------------------------------
@@ -118,3 +121,10 @@ variables:
118121
@echo "VSIM_MODE = $(VSIM_MODE)"
119122
@echo "PARAMETERS = $(PARAMETERS)"
120123
@echo "VLOG = $(VLOG)"
124+
125+
# This is for the sake of Emacs.
126+
# Local Variables:
127+
# time-stamp-end: "$"
128+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
129+
# time-stamp-start: "Time-stamp: "
130+
# End:

Diff for: bin/del_eda_temp

+9
Original file line numberDiff line numberDiff line change
@@ -6,6 +6,8 @@
66
# $1 - replay for question(yes or no)
77
#
88

9+
# Time-stamp: <2022-07-07 09:18:46>
10+
911
read_yesno () {
1012
while :
1113
do
@@ -144,3 +146,10 @@ rm -rf xlnx_auto_0_xdb
144146
rm -rf xst
145147

146148
echo "Cleaning complete."
149+
150+
# This is for the sake of Emacs.
151+
# Local Variables:
152+
# time-stamp-end: "$"
153+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
154+
# time-stamp-start: "Time-stamp: "
155+
# End:

Diff for: bin/gtkwave_cfg.tcl

+8
Original file line numberDiff line numberDiff line change
@@ -14,6 +14,7 @@
1414
# Print to PDF
1515
# Notes on toggle menu items
1616

17+
# Time-stamp: <2022-07-07 09:18:56>
1718

1819
# * Customize view settings
1920
# Show full signal hierarchy
@@ -96,3 +97,10 @@ gtkwave::/Time/Zoom/Zoom_Full
9697
# gtkwave::/View/Scale_To_Time_Dimension/ns
9798
# gtkwave::/View/Scale_To_Time_Dimension/ps
9899
# gtkwave::/View/Scale_To_Time_Dimension/fs
100+
101+
# This is for the sake of Emacs.
102+
# Local Variables:
103+
# time-stamp-end: "$"
104+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
105+
# time-stamp-start: "Time-stamp: "
106+
# End:

Diff for: bin/mg_make

+9
Original file line numberDiff line numberDiff line change
@@ -4,5 +4,14 @@
44
# Run Makefile.questa to run Mentor Graphics QuestaSim/ModelSim targets
55
#
66

7+
# Time-stamp: <2022-07-07 09:20:47>
8+
79
PATH2SCRIPT="$(cd "$(dirname "${BASH_SOURCE[0]}")" >/dev/null 2>&1 && pwd)"
810
make -f "$PATH2SCRIPT"/Makefile.questa $* PATH2SCRIPT="$PATH2SCRIPT"
11+
12+
# This is for the sake of Emacs.
13+
# Local Variables:
14+
# time-stamp-end: "$"
15+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
16+
# time-stamp-start: "Time-stamp: "
17+
# End:

Diff for: bin/mg_questasim_templates

+14
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,8 @@
99
# - wave_prj_name_tb.do
1010
# which may be used for simulation.
1111

12+
# Time-stamp: <2022-07-07 09:19:06>
13+
1214
use v5.14;
1315
use File::Basename;
1416

@@ -41,6 +43,9 @@ sub template_parser {
4143
my @fdata = ();
4244
local $" = "\n"; # list separator
4345
foreach (@data) {
46+
if (/__END__/) {
47+
last;
48+
}
4449
if (/FILE_NAME=(.*)/) {
4550
if (defined $file{'fname'}) { # new File template
4651
$file{'data'} = "@fdata";
@@ -284,3 +289,12 @@ initial begin
284289
end
285290
286291
endmodule
292+
293+
__END__
294+
295+
# This is for the sake of Emacs.
296+
# Local Variables:
297+
# time-stamp-end: "$"
298+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
299+
# time-stamp-start: "Time-stamp: "
300+
# End:

Diff for: bin/qp_asp

+9
Original file line numberDiff line numberDiff line change
@@ -6,6 +6,8 @@
66
# This script launches Intel Quartus Prime Programmer to program 'pof' file via ASP. The 'pof'
77
# file is searched for in the current directory or given as an argument.
88

9+
# Time-stamp: <2022-07-07 09:19:13>
10+
911
echo "+------------------------------------------------------------------------+"
1012
echo "| Quartus Prime Programmer (ASP) programming |"
1113
echo "+------------------------------------------------------------------------+"
@@ -185,3 +187,10 @@ else
185187
fi
186188

187189
exit "$RC"
190+
191+
# This is for the sake of Emacs.
192+
# Local Variables:
193+
# time-stamp-end: "$"
194+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
195+
# time-stamp-start: "Time-stamp: "
196+
# End:

Diff for: bin/qp_asp_verify

+9
Original file line numberDiff line numberDiff line change
@@ -6,6 +6,8 @@
66
# This script launches Intel Quartus Prime Programmer to verifying EPCS/EPC via ASP. The 'pof'
77
# file is searched for in the current directory or given as an argument.
88

9+
# Time-stamp: <2022-07-07 09:19:17>
10+
911
echo "+------------------------------------------------------------------------+"
1012
echo "| Quartus Prime Programmer ( ASP ) verification |"
1113
echo "+------------------------------------------------------------------------+"
@@ -184,3 +186,10 @@ else
184186
fi
185187

186188
exit "$RC"
189+
190+
# This is for the sake of Emacs.
191+
# Local Variables:
192+
# time-stamp-end: "$"
193+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
194+
# time-stamp-start: "Time-stamp: "
195+
# End:

Diff for: bin/qp_build

+9
Original file line numberDiff line numberDiff line change
@@ -7,6 +7,8 @@
77
# and build it.
88
#
99

10+
# Time-stamp: <2022-07-07 09:19:21>
11+
1012
echo "+------------------------------------------------------------------------+"
1113
echo "| Build Quartus Prime project |"
1214
echo "+------------------------------------------------------------------------+"
@@ -132,3 +134,10 @@ if [ "$EN_WISH_MSG" -eq 1 ]; then
132134
fi
133135

134136
exit 0
137+
138+
# This is for the sake of Emacs.
139+
# Local Variables:
140+
# time-stamp-end: "$"
141+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
142+
# time-stamp-start: "Time-stamp: "
143+
# End:

Diff for: bin/qp_info

+9
Original file line numberDiff line numberDiff line change
@@ -2,6 +2,8 @@
22

33
# Show information about Quartus Prime project
44

5+
# Time-stamp: <2022-07-07 09:19:25>
6+
57
qp_prj_info() {
68
PRJ=$(ls ./*.qpf 2>/dev/null)
79

@@ -78,3 +80,10 @@ fi
7880

7981
qp_prj_info
8082
qp_revision_info
83+
84+
# This is for the sake of Emacs.
85+
# Local Variables:
86+
# time-stamp-end: "$"
87+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
88+
# time-stamp-start: "Time-stamp: "
89+
# End:

Diff for: bin/qp_jtag

+9
Original file line numberDiff line numberDiff line change
@@ -11,6 +11,8 @@
1111
# TODO: add handler for error: Error (213019): Can't scan JTAG chain. Error code 87.
1212
# for example latch command: 'jtagconfig.exe'
1313

14+
# Time-stamp: <2022-07-07 09:19:28>
15+
1416
echo "+------------------------------------------------------------------------+"
1517
echo "| Quartus Prime Programmer ( JTAG ) |"
1618
echo "+------------------------------------------------------------------------+"
@@ -195,3 +197,10 @@ else
195197
fi
196198

197199
exit "$RC"
200+
201+
# This is for the sake of Emacs.
202+
# Local Variables:
203+
# time-stamp-end: "$"
204+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
205+
# time-stamp-start: "Time-stamp: "
206+
# End:

Diff for: bin/qp_jtag_verify

+9
Original file line numberDiff line numberDiff line change
@@ -11,6 +11,8 @@
1111
# TODO: add handler for error: Error (213019): Can't scan JTAG chain. Error code 87.
1212
# for example latch command: 'jtagconfig.exe'
1313

14+
# Time-stamp: <2022-07-07 09:19:32>
15+
1416
echo "+------------------------------------------------------------------------+"
1517
echo "| Quartus Prime Programmer ( JTAG ) |"
1618
echo "+------------------------------------------------------------------------+"
@@ -160,3 +162,10 @@ FTIME=$(date +%c -r "$FNAME")
160162
echo "Verification file: $FNAME $FTIME"
161163

162164
quartus_pgm -c "$CNAME" -m jtag -o v\;"$FNAME"
165+
166+
# This is for the sake of Emacs.
167+
# Local Variables:
168+
# time-stamp-end: "$"
169+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
170+
# time-stamp-start: "Time-stamp: "
171+
# End:

Diff for: bin/qp_make_qip

+9
Original file line numberDiff line numberDiff line change
@@ -2,6 +2,8 @@
22

33
# Make Quartus Prime QIP-file
44

5+
# Time-stamp: <2022-07-07 09:19:35>
6+
57
puts "+------------------------------------------------------------------------+"
68
puts "| Make Quartus Prime Qip-file |"
79
puts "+------------------------------------------------------------------------+"
@@ -106,3 +108,10 @@ close $p_file
106108
puts "----------------------------------"
107109
puts " Find $cnt files"
108110
puts " Write file '$file_name'"
111+
112+
# This is for the sake of Emacs.
113+
# Local Variables:
114+
# time-stamp-end: "$"
115+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
116+
# time-stamp-start: "Time-stamp: "
117+
# End:

Diff for: bin/qp_nios_stack_rpt

+9
Original file line numberDiff line numberDiff line change
@@ -4,6 +4,15 @@
44

55
# This script find and show Nios stack report.
66

7+
# Time-stamp: <2022-07-07 09:19:38>
8+
79
echo "Stack Report"
810
find . -name *.elf -exec nios2-stackreport {} \;
911
find . -name *.elf -exec nios2-elf-size.exe {} \;
12+
13+
# This is for the sake of Emacs.
14+
# Local Variables:
15+
# time-stamp-end: "$"
16+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
17+
# time-stamp-start: "Time-stamp: "
18+
# End:

Diff for: bin/qp_open

+9
Original file line numberDiff line numberDiff line change
@@ -6,6 +6,8 @@
66
# This script look for Intel Quartus Prime project file (qpf) and opens one of the revisions.
77
#
88

9+
# Time-stamp: <2022-07-07 09:19:41>
10+
911
echo "+------------------------------------------------------------------------+"
1012
echo "| Open quartus project |"
1113
echo "+------------------------------------------------------------------------+"
@@ -84,3 +86,10 @@ fi
8486
echo "open revision: $revision_name"
8587

8688
quartus "$project" -c "$revision_name" &
89+
90+
# This is for the sake of Emacs.
91+
# Local Variables:
92+
# time-stamp-end: "$"
93+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
94+
# time-stamp-start: "Time-stamp: "
95+
# End:

Diff for: bin/qp_report

+9
Original file line numberDiff line numberDiff line change
@@ -2,6 +2,8 @@
22

33
# Show Quartus Prime compilation report
44

5+
# Time-stamp: <2022-07-07 09:19:44>
6+
57
if [ "$1" = '-h' ] || [ "$1" = '--help' ] || [ "$1" = '-help' ]; then
68
echo "Usage:"
79
echo " ${BASH_SOURCE##*/} [revision-file-number]"
@@ -374,3 +376,10 @@ fi
374376
# fi
375377

376378
# echo "CMD_FLAGS: $CMD_FLAGS"
379+
380+
# This is for the sake of Emacs.
381+
# Local Variables:
382+
# time-stamp-end: "$"
383+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
384+
# time-stamp-start: "Time-stamp: "
385+
# End:

Diff for: bin/qp_report_latches

+9
Original file line numberDiff line numberDiff line change
@@ -2,6 +2,8 @@
22

33
# Report about project latches from Quartus report files
44

5+
# Time-stamp: <2022-07-07 09:19:47>
6+
57
if hash rg 2>/dev/null; then
68
CMD=rg
79
CMD_FLAGS="-Hn"
@@ -12,3 +14,10 @@ fi
1214

1315
$CMD $CMD_FLAGS -e " latch " *.rpt 2>/dev/null
1416
$CMD $CMD_FLAGS -e " latch " output_files/*.rpt 2>/dev/null
17+
18+
# This is for the sake of Emacs.
19+
# Local Variables:
20+
# time-stamp-end: "$"
21+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
22+
# time-stamp-start: "Time-stamp: "
23+
# End:

Diff for: bin/qp_report_pin

+9
Original file line numberDiff line numberDiff line change
@@ -5,6 +5,8 @@
55
# This script simplify Quartus Prime report file to show user assignment pins only or sort pins
66
# by group: user, power, ground, other
77

8+
# Time-stamp: <2022-07-07 09:19:50>
9+
810
use v5.14;
911

1012
use File::Basename;
@@ -155,3 +157,10 @@ say $fh_out @nc;
155157

156158
close($fh_in);
157159
close($fh_out);
160+
161+
# This is for the sake of Emacs.
162+
# Local Variables:
163+
# time-stamp-end: "$"
164+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
165+
# time-stamp-start: "Time-stamp: "
166+
# End:

Diff for: bin/qp_set_path

+9
Original file line numberDiff line numberDiff line change
@@ -7,6 +7,8 @@
77
# qp_set_path /c/intelFPGA_pro/21.1 - set path to Quartus
88
#
99

10+
# Time-stamp: <2022-07-07 09:19:53>
11+
1012
echo "+------------------------------------------------------------------------+"
1113
echo "| Set Intel Quartus path variable |"
1214
echo "+------------------------------------------------------------------------+"
@@ -103,3 +105,10 @@ else
103105
echo " $quartus_sopc_bin_dir"
104106
echo " $nios2_bin_dir"
105107
fi
108+
109+
# This is for the sake of Emacs.
110+
# Local Variables:
111+
# time-stamp-end: "$"
112+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
113+
# time-stamp-start: "Time-stamp: "
114+
# End:

Diff for: bin/qp_show_prg_files

+9
Original file line numberDiff line numberDiff line change
@@ -2,6 +2,8 @@
22

33
# Show list of Quartus Prime program files
44

5+
# Time-stamp: <2022-07-07 09:19:56>
6+
57
SCRIPT_NAME="${BASH_SOURCE##*/}"
68
if [ "$1" = '-h' ] || [ "$1" = '--help' ] || [ "$1" = '-help' ]; then
79
echo "Usage:"
@@ -58,3 +60,10 @@ for i in `ls $OUTDIR 2>/dev/null | grep -e '.*\.\(sof\|pof\|jic\)$'`; do
5860
echo
5961
fi
6062
done
63+
64+
# This is for the sake of Emacs.
65+
# Local Variables:
66+
# time-stamp-end: "$"
67+
# time-stamp-format: "<%:y-%02m-%02d %02H:%02M:%02S>"
68+
# time-stamp-start: "Time-stamp: "
69+
# End:

0 commit comments

Comments
 (0)