Skip to content

Commit

Permalink
feat: debug signals inicialization
Browse files Browse the repository at this point in the history
  • Loading branch information
Elizabeth-0 committed Jan 17, 2025
1 parent 0ee7abe commit c1079fe
Show file tree
Hide file tree
Showing 2 changed files with 19 additions and 2 deletions.
17 changes: 15 additions & 2 deletions test/tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -51,12 +51,25 @@ module tb;
initial begin
rx = 1; // Idle state
#200; // Wait for reset
$display("Starting UART Test...");

// Send UART commands and monitor outputs
send_uart_byte(8'h54); // 'T' - Triangle wave
#200;
$display("Wave Select: %b, White Noise Enable: %b", wave_select, white_noise_en);
$display("Sent 'T': wave_select = %b, white_noise_en = %b", wave_select, white_noise_en);

send_uart_byte(8'h53); // 'S' - Sawtooth wave
#200;
$display("Wave Select: %b, White Noise Enable: %b", wave_select, white_noise_en);
$display("Sent 'S': wave_select = %b, white_noise_en = %b", wave_select, white_noise_en);

send_uart_byte(8'h4E); // 'N' - Enable white noise
#200;
$display("Sent 'N': wave_select = %b, white_noise_en = %b", wave_select, white_noise_en);

send_uart_byte(8'h46); // 'F' - Disable white noise
#200;
$display("Sent 'F': wave_select = %b, white_noise_en = %b", wave_select, white_noise_en);

$finish;
end
endmodule
4 changes: 4 additions & 0 deletions test/test.py
Original file line number Diff line number Diff line change
Expand Up @@ -31,15 +31,19 @@ async def test_uart_wave_selection(dut):
await send_uart_byte(dut, 0x54) # 'T' - Triangle wave
await Timer(100, units="ns")
assert dut.wave_select.value == 0b000, "Triangle wave selection failed"
dut._log.info(f"Triangle wave selected: wave_select = {dut.wave_select.value}")

await send_uart_byte(dut, 0x53) # 'S' - Sawtooth wave
await Timer(100, units="ns")
assert dut.wave_select.value == 0b001, "Sawtooth wave selection failed"
dut._log.info(f"Sawtooth wave selected: wave_select = {dut.wave_select.value}")

await send_uart_byte(dut, 0x4E) # 'N' - Enable white noise
await Timer(100, units="ns")
assert dut.white_noise_en.value == 1, "White noise enable failed"
dut._log.info(f"White noise enabled: white_noise_en = {dut.white_noise_en.value}")

await send_uart_byte(dut, 0x46) # 'F' - Disable white noise
await Timer(100, units="ns")
assert dut.white_noise_en.value == 0, "White noise disable failed"
dut._log.info(f"White noise disabled: white_noise_en = {dut.white_noise_en.value}")

0 comments on commit c1079fe

Please sign in to comment.